--Address settings
constant INIT_ADDRESS : std_logic_vector := x"F570";
- constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"81";
+ constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"85";
constant INCLUDE_UART : integer := c_YES; --300 slices
constant INCLUDE_SPI : integer := c_YES; --300 slices
--Address settings
constant INIT_ADDRESS : std_logic_vector := x"F570";
- constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"81";
+ constant BROADCAST_SPECIAL_ADDR : std_logic_vector;
constant INCLUDE_UART : integer := c_YES; --300 slices
constant INCLUDE_SPI : integer := c_YES; --300 slices
constant CLOCK_FREQUENCY : integer := CLOCK_FREQUENCY_ARR(USE_120_MHZ);
constant MEDIA_FREQUENCY : integer := MEDIA_FREQUENCY_ARR(USE_120_MHZ);
+ constant BROADCAST_SPECIAL_ADDR : std_logic_vector := std_logic_vector(to_unsigned(132-SERDES_NUM*3,8)); --81 (SFP) or 84 (Backplane)
+
+
function generateIncludedFeatures return std_logic_vector is
variable t : std_logic_vector(63 downto 0);
begin