DATA_FINISHED_OUT : out std_logic;
--
--To Bus Handler
- HCB_READ_EN_IN : in std_logic;
- HCB_WRITE_EN_IN : in std_logic;
- HCB_ADDR_IN : in std_logic_vector(6 downto 0);
+ HCB_READ_EN_IN : in std_logic := '0';
+ HCB_WRITE_EN_IN : in std_logic := '0';
+ HCB_ADDR_IN : in std_logic_vector(6 downto 0) := (others => '0');
HCB_DATA_OUT : out std_logic_vector(31 downto 0);
HCB_DATAREADY_OUT : out std_logic;
HCB_UNKNOWN_ADDR_OUT : out std_logic;
- SRB_READ_EN_IN : in std_logic;
- SRB_WRITE_EN_IN : in std_logic;
- SRB_ADDR_IN : in std_logic_vector(6 downto 0);
+ SRB_READ_EN_IN : in std_logic := '0';
+ SRB_WRITE_EN_IN : in std_logic := '0';
+ SRB_ADDR_IN : in std_logic_vector(6 downto 0) := (others => '0');
SRB_DATA_OUT : out std_logic_vector(31 downto 0);
SRB_DATAREADY_OUT : out std_logic;
SRB_UNKNOWN_ADDR_OUT : out std_logic;
- CDB_READ_EN_IN : in std_logic;
- CDB_WRITE_EN_IN : in std_logic;
- CDB_ADDR_IN : in std_logic_vector(6 downto 0);
+ CDB_READ_EN_IN : in std_logic := '0';
+ CDB_WRITE_EN_IN : in std_logic := '0';
+ CDB_ADDR_IN : in std_logic_vector(6 downto 0) := (others => '0');
CDB_DATA_OUT : out std_logic_vector(31 downto 0);
CDB_DATAREADY_OUT : out std_logic;
CDB_UNKNOWN_ADDR_OUT : out std_logic;
- ESB_READ_EN_IN : in std_logic;
- ESB_WRITE_EN_IN : in std_logic;
- ESB_ADDR_IN : in std_logic_vector(6 downto 0);
+ ESB_READ_EN_IN : in std_logic := '0';
+ ESB_WRITE_EN_IN : in std_logic := '0';
+ ESB_ADDR_IN : in std_logic_vector(6 downto 0) := (others => '0');
ESB_DATA_OUT : out std_logic_vector(31 downto 0);
ESB_DATAREADY_OUT : out std_logic;
ESB_UNKNOWN_ADDR_OUT : out std_logic;
- EFB_READ_EN_IN : in std_logic;
- EFB_WRITE_EN_IN : in std_logic;
- EFB_ADDR_IN : in std_logic_vector(6 downto 0);
+ EFB_READ_EN_IN : in std_logic := '0';
+ EFB_WRITE_EN_IN : in std_logic := '0';
+ EFB_ADDR_IN : in std_logic_vector(6 downto 0) := (others => '0');
EFB_DATA_OUT : out std_logic_vector(31 downto 0);
EFB_DATAREADY_OUT : out std_logic;
EFB_UNKNOWN_ADDR_OUT : out std_logic;
- LHB_READ_EN_IN : in std_logic;
- LHB_WRITE_EN_IN : in std_logic;
- LHB_ADDR_IN : in std_logic_vector(6 downto 0);
+ LHB_READ_EN_IN : in std_logic := '0';
+ LHB_WRITE_EN_IN : in std_logic := '0';
+ LHB_ADDR_IN : in std_logic_vector(6 downto 0) := (others => '0');
LHB_DATA_OUT : out std_logic_vector(31 downto 0);
LHB_DATAREADY_OUT : out std_logic;
LHB_UNKNOWN_ADDR_OUT : out std_logic;