RDO_ADDITIONAL_DATA : in std_logic_vector(31 downto 0);
RDO_ADDITIONAL_WRITE : in std_logic;
RDO_ADDITIONAL_FINISHED : in std_logic;
+ RDO_ADDITIONAL_STATUSBITS_IN : in std_logic_vector(31 downto 0) := (others => '0');
-- Slow Control --------------------------------------------------------------------
COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs
RDO_ADDITIONAL_DATA : in std_logic_vector(31 downto 0);
RDO_ADDITIONAL_WRITE : in std_logic;
RDO_ADDITIONAL_FINISHED : in std_logic;
+ RDO_ADDITIONAL_STATUSBITS_IN : in std_logic_vector(31 downto 0) := (others => '0');
-- Slow Control --------------------------------------------------------------------
COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs
FEE_TRG_RELEASE_IN(0) => RDO_DATA_FINISHED_IN,
FEE_TRG_RELEASE_IN(1) => RDO_ADDITIONAL_FINISHED,
FEE_TRG_STATUSBITS_IN(31 downto 0) => RDO_TRG_STATUSBITS_IN,
- FEE_TRG_STATUSBITS_IN(63 downto 32) => (others => '0'),
+ FEE_TRG_STATUSBITS_IN(63 downto 32) => RDO_ADDITIONAL_STATUSBITS_IN,
FEE_DATA_IN(31 downto 0) => RDO_DATA_IN,
FEE_DATA_IN(63 downto 32) => RDO_ADDITIONAL_DATA,
FEE_DATA_WRITE_IN(0) => RDO_DATA_WRITE_IN,