BUS_MASTER_IN.ack <= DAT_DATAREADY_IN or DAT_WRITE_ACK_IN;
BUS_MASTER_IN.nack <= DAT_NO_MORE_DATA_IN;
BUS_MASTER_IN.unknown <= DAT_UNKNOWN_ADDR_IN;
+ BUS_MASTER_IN.data <= DAT_DATA_IN;
--Fucking Modelsim wants it like this...
ONEWIRE_MONITOR_OUT : out std_logic;
MY_ADDRESS_OUT : out std_logic_vector (15 downto 0);
UNIQUE_ID_OUT : out std_logic_vector (63 downto 0);
+ --Data port - external master (e.g. Flash or Debug)
+ BUS_MASTER_IN : out CTRLBUS_TX;
+ BUS_MASTER_OUT : in CTRLBUS_RX := (data => (others => '0'), addr => (others => '0'), write => '0', read => '0', timeout => '0');
+ BUS_MASTER_ACTIVE : in std_logic := '0';
--REGIO INTERFACE (0x8000 - 0xFFFF)
REGIO_ADDR_OUT : out std_logic_vector (16-1 downto 0);
REGIO_READ_ENABLE_OUT : out std_logic;
ONEWIRE_MONITOR_OUT : out std_logic;
MY_ADDRESS_OUT : out std_logic_vector (15 downto 0);
UNIQUE_ID_OUT : out std_logic_vector (63 downto 0);
+ --Data port - external master (e.g. Flash or Debug)
+ BUS_MASTER_IN : out CTRLBUS_TX;
+ BUS_MASTER_OUT : in CTRLBUS_RX := (data => (others => '0'), addr => (others => '0'), write => '0', read => '0', timeout => '0');
+ BUS_MASTER_ACTIVE : in std_logic := '0';
--REGIO INTERFACE (0x8000 - 0xFFFF)
REGIO_ADDR_OUT : out std_logic_vector (16-1 downto 0);
REGIO_READ_ENABLE_OUT : out std_logic;
ONEWIRE_MONITOR_OUT=> ONEWIRE_MONITOR_OUT,
MY_ADDRESS_OUT => my_address,
UNIQUE_ID_OUT => UNIQUE_ID_OUT,
+ BUS_MASTER_IN => BUS_MASTER_IN,
+ BUS_MASTER_OUT => BUS_MASTER_OUT,
+ BUS_MASTER_ACTIVE => BUS_MASTER_ACTIVE,
COMMON_CTRL_REGS => common_ctrl,
COMMON_STAT_REGS => common_stat,
COMMON_CTRL_REG_STROBE => common_ctrl_strobe,