constant FPGA_TYPE : integer := 3; --3: ECP3, 5: ECP5
-- Link speed
- constant LINK_SPEED : integer := 200; -- 125: 1.25Gbps, 200: 2.00Gbps
+ constant LINK_SPEED : integer := 125; -- 125: 1.25Gbps, 200: 2.00Gbps
--Gbe included?
constant INCLUDE_GBE : integer := c_NO;
signal hit_in_i : std_logic_vector(64 downto 1);
signal mbs_async_out : std_logic;
- attribute syn_keep of GSR_N : signal is true;
- attribute syn_preserve of GSR_N : signal is true;
- attribute syn_keep of bussci1_rx : signal is true;
- attribute syn_preserve of bussci1_rx : signal is true;
+ attribute syn_keep of GSR_N : signal is true;
+ attribute syn_preserve of GSR_N : signal is true;
+ attribute syn_keep of bussci1_rx : signal is true;
+ attribute syn_preserve of bussci1_rx : signal is true;
attribute syn_keep of bustools_rx : signal is true;
attribute syn_preserve of bustools_rx : signal is true;
- attribute syn_keep of bustc_rx : signal is true;
- attribute syn_preserve of bustc_rx : signal is true;
+ attribute syn_keep of bustc_rx : signal is true;
+ attribute syn_preserve of bustc_rx : signal is true;
signal tx_dlm_i : std_logic;
signal rx_dlm_i : std_logic;
signal reset_i : std_logic;
signal time_counter : unsigned(31 downto 0) := (others => '0');
- signal led : std_logic_vector(1 downto 0);
signal debug_clock_reset : std_logic_vector(31 downto 0);
signal inputs : std_logic_vector(67 downto 0);
signal monitor_inputs_i : std_logic_vector(MONITOR_INPUT_NUM-1 downto 0);