--- /dev/null
+// ts_mac_core_beh.v generated by Lattice IP Model Creator version 1\r
+// created on Wed Aug 24 16:57:02 CST 2011\r
+// Copyright(c) 2007 Lattice Semiconductor Corporation. All rights reserved\r
+// obfuscator_exe version 1.mar0807\r
+\r
+// top\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module uk979bb (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en, \r
+ \r
+ \r
+ mtbb27f, \r
+ ald93fd, \r
+ \r
+ \r
+ shc9fed,\r
+ zx4ff6d,\r
+ \r
+ \r
+ yx7fb6e,\r
+ offdb74,\r
+ qtedba5,\r
+ su6dd2c\r
+);\r
+parameter ld6e960 = 8;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input mtbb27f;\r
+input shc9fed;\r
+input zx4ff6d;\r
+input [ld6e960-1:0] ald93fd;\r
+output offdb74;\r
+output qtedba5;\r
+output su6dd2c;\r
+output [ld6e960-1:0] yx7fb6e;\r
+reg offdb74;\r
+reg qtedba5;\r
+reg su6dd2c;\r
+reg [ld6e960-1:0] yx7fb6e;\r
+reg kf3941a;\r
+parameter faca0d4 = 2;\r
+parameter zx506a3 = 2'b01;\r
+parameter fc8351f = 2'b10;\r
+parameter sw1a8fb = 0;\r
+parameter ead47d9 = 1;\r
+parameter swa3ec9 = 8'hd5;\r
+parameter ie1f649 = 8'h55;\r
+wire zkfb248;\r
+wire ald9243;\r
+reg shc921d;\r
+reg su490ec;\r
+reg tu48760;\r
+reg [faca0d4-1:0] cb1d810;\r
+reg [2:0] fnec084;\r
+reg [faca0d4-1:0] ep213d;\r
+reg ks109ed;\r
+reg [ld6e960 - 1 : 0] hd27b47;\r
+reg bn3da3f;\r
+reg qted1ff;\r
+reg ww68ffd;\r
+reg ps47fe8;\r
+reg ri3ff42;\r
+reg icffa12;\r
+reg qtfd090;\r
+reg cze8484;\r
+reg [faca0d4 - 1 : 0] wl1212b;\r
+reg [2 : 0] ep9095c;\r
+reg [faca0d4 - 1 : 0] ls25727;\r
+reg [2047:0] ec2b93b;\r
+wire [12:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 13,ph27642 = 32'hfdfff40b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+ \r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin yx7fb6e <= 0; end else if (rxmac_clk_en) begin if (ks109ed) begin yx7fb6e <= hd27b47; end end\r
+end\r
+\r
+\r
+\r
+\r
+assign zkfb248 = hd27b47[7:0] == swa3ec9;\r
+assign ald9243 = hd27b47[7:0] == ie1f649;\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin ep213d <= zx506a3; fnec084 <= 3'b0; offdb74 <= 1'b0; su6dd2c <= 1'b0; kf3941a <= 1'b0; qtedba5 <= 1'b0; end else if (rxmac_clk_en) begin ep213d <= wl1212b; kf3941a <= icffa12; qtedba5 <= ww68ffd; offdb74 <= 1'b0; \r
+ fnec084 <= cze8484 ? 3'h1 : (|ep9095c) ? (ep9095c+3'h1) : ls25727[sw1a8fb] ? 3'h0 : ep9095c;\r
+ if (qtfd090) begin su6dd2c <= 1'b1; end else if (qted1ff) begin su6dd2c <= 1'b0; end else if (bn3da3f) begin su6dd2c <= 1'b0; end end\r
+end\r
+\r
+\r
+always @(ls25727 or bn3da3f or ri3ff42 or ps47fe8 or ep9095c) begin case(ls25727) zx506a3 : begin if (bn3da3f && ps47fe8) begin cb1d810 = zx506a3; shc921d = 1'b1; su490ec = 1'b0; tu48760 = 1'b0; end else if (bn3da3f && ri3ff42) begin cb1d810 = fc8351f; shc921d = 1'b0; su490ec = 1'b0; tu48760 = 1'b1; end else if (bn3da3f && !ps47fe8 && !ri3ff42) begin cb1d810 = zx506a3; shc921d = 1'b0; su490ec = 1'b1; tu48760 = 1'b0; end\r
+ else begin cb1d810 = zx506a3; shc921d = 1'b0; su490ec = 1'b0; tu48760 = 1'b0; end end\r
+ fc8351f : begin if (ps47fe8) begin cb1d810 = zx506a3; shc921d = 1'b1; su490ec = 1'b0; tu48760 = 1'b0; end else if (~|ep9095c & ~ri3ff42) begin cb1d810 = zx506a3; shc921d = 1'b0; su490ec = 1'b1; tu48760 = 1'b0; end else begin cb1d810 = fc8351f; shc921d = 1'b0; su490ec = 1'b0; tu48760 = 1'b0; end\r
+ end\r
+ default : begin cb1d810 = zx506a3; shc921d = 1'b0; su490ec = 1'b0; tu48760 = 1'b0; end endcase\r
+end\r
+\r
+always@* begin ks109ed<=ea5c9d9[0];hd27b47<={ald93fd>>1,ea5c9d9[1]};bn3da3f<=ea5c9d9[2];qted1ff<=ea5c9d9[3];ww68ffd<=ea5c9d9[4];ps47fe8<=ea5c9d9[5];ri3ff42<=ea5c9d9[6];icffa12<=ea5c9d9[7];qtfd090<=ea5c9d9[8];cze8484<=ea5c9d9[9];wl1212b<={cb1d810>>1,ea5c9d9[10]};ep9095c<={fnec084>>1,ea5c9d9[11]};ls25727<={ep213d>>1,ea5c9d9[12]};end\r
+always@* begin ec2b93b[2047]<=ald93fd[0];ec2b93b[2046]<=shc9fed;ec2b93b[2044]<=zx4ff6d;ec2b93b[2040]<=kf3941a;ec2b93b[2032]<=zkfb248;ec2b93b[2016]<=ald9243;ec2b93b[1984]<=shc921d;ec2b93b[1920]<=su490ec;ec2b93b[1793]<=tu48760;ec2b93b[1539]<=cb1d810[0];ec2b93b[1030]<=fnec084[0];ec2b93b[1023]<=mtbb27f;ec2b93b[13]<=ep213d[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module zmbda32 (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ nt32741,\r
+ qtedba5,\r
+\r
+ \r
+ zx4ff6d,\r
+ uve83d9,\r
+\r
+ \r
+ en41ecd,\r
+ ksf66d,\r
+ nr7b369,\r
+ ipd9b4f,\r
+ blcda7c,\r
+ qg6d3e7,\r
+ xw69f39,\r
+ zx4f9ca,\r
+ xw7ce56,\r
+ kde72b3,\r
+ ux3959c,\r
+ hocace4,\r
+\r
+ \r
+ ic56722,\r
+\r
+ \r
+ ukb3910,\r
+ do9c880,\r
+ lqe4402,\r
+ hd22013,\r
+ uk1009e,\r
+ sj804f1,\r
+ qv278c\r
+);\r
+parameter ld6e960 = 8;\r
+parameter db9e32f = 48;\r
+parameter lqf197e = 6;\r
+parameter ng8cbf3 = 8;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input qtedba5;\r
+input zx4ff6d;\r
+input uve83d9;\r
+input [ld6e960-1:0] nt32741;\r
+input [ng8cbf3-1:0] en41ecd;\r
+input [ng8cbf3-1:0] ksf66d;\r
+input [ng8cbf3-1:0] nr7b369;\r
+input [ng8cbf3-1:0] ipd9b4f;\r
+input [ng8cbf3-1:0] blcda7c;\r
+input [ng8cbf3-1:0] qg6d3e7;\r
+input [ng8cbf3-1:0] xw69f39;\r
+input [ng8cbf3-1:0] zx4f9ca;\r
+input [db9e32f-1:0] xw7ce56;\r
+input kde72b3;\r
+input ux3959c;\r
+input hocace4;\r
+input [lqf197e-1:0] ic56722;\r
+output do9c880;\r
+output lqe4402;\r
+output hd22013;\r
+output uk1009e;\r
+output sj804f1;\r
+output qv278c;\r
+output ukb3910;\r
+reg uk1009e;\r
+reg sj804f1;\r
+reg qv278c;\r
+reg do9c880;\r
+localparam xje6095 = 11;\r
+localparam tw304ae = 11'b00000000001;\r
+localparam uk82572 = 11'b00000000010;\r
+localparam kf12b91 = 11'b00000000100;\r
+localparam qv95c8d = 11'b00000001000;\r
+localparam irae46c = 11'b00000010000;\r
+localparam go72364 = 11'b00000100000;\r
+localparam bn91b21 = 11'b00001000000;\r
+localparam qi8d90e = 11'b00010000000;\r
+localparam ho6c871 = 11'b00100000000;\r
+localparam bl6438a = 11'b01000000000;\r
+localparam co21c57 = 11'b10000000000;\r
+localparam sw1a8fb = 0;\r
+localparam ead47d9 = 1;\r
+localparam qv8ae1e = 2;\r
+localparam dm570f4 = 3;\r
+localparam hqb87a2 = 4;\r
+localparam ipc3d12 = 5;\r
+localparam ng1e894 = 6;\r
+localparam rgf44a3 = 7;\r
+localparam fca251a = 8;\r
+localparam gd128d2 = 9;\r
+localparam lf94695 = 10;\r
+wire wya34af;\r
+wire ks1a578;\r
+wire rtd2bc0;\r
+wire ux95e07;\r
+wire phaf03c;\r
+wire kq781e6;\r
+reg fac0f30;\r
+reg ux7983;\r
+reg [xje6095-1:0] fne60e5;\r
+reg [xje6095-1:0] ng83972;\r
+wire db1cb92;\r
+wire kqe5c96;\r
+wire sw2e4b0;\r
+wire ic72580;\r
+wire ou92c01;\r
+wire vk96009;\r
+reg xlb004a;\r
+reg cb80256;\r
+reg [xje6095-1:0] jr95a2;\r
+reg [xje6095-1:0] me568b6;\r
+wire gdb45b1;\r
+reg vka2d8c;\r
+reg [ng8cbf3-1:0] gqb631f;\r
+reg anb18f9;\r
+reg do8c7cd;\r
+wire dm63e6a;\r
+reg sw1f353;\r
+reg dzf9a98;\r
+reg [xje6095-1:0] kd6a63e;\r
+reg [xje6095-1:0] co98fa0;\r
+wire fnc7d00;\r
+wire ou3e806;\r
+wire lqf4030;\r
+reg lfa0182;\r
+reg ecc17;\r
+reg nt60b9;\r
+reg fc305cf;\r
+reg mg82e7a;\r
+reg ux173d0;\r
+reg mgb9e84;\r
+reg zkcf421;\r
+reg ukb3910;\r
+reg [ld6e960 - 1 : 0] nt842ea;\r
+reg aa21756;\r
+reg qted1ff;\r
+reg rg5d58a;\r
+reg [ng8cbf3 - 1 : 0] su56299;\r
+reg [ng8cbf3 - 1 : 0] kf8a644;\r
+reg [ng8cbf3 - 1 : 0] ls99128;\r
+reg [ng8cbf3 - 1 : 0] ip44a1f;\r
+reg [ng8cbf3 - 1 : 0] db287eb;\r
+reg [ng8cbf3 - 1 : 0] uk1faf8;\r
+reg [ng8cbf3 - 1 : 0] xwebe0c;\r
+reg [ng8cbf3 - 1 : 0] mrf831e;\r
+reg [db9e32f - 1 : 0] lfc7a1;\r
+reg ps63d0e;\r
+reg ls1e873;\r
+reg byf439b;\r
+reg [lqf197e - 1 : 0] doe6d4;\r
+reg ho736a3;\r
+reg jr9b51b;\r
+reg hoda8de;\r
+reg uid46f3;\r
+reg qia3799;\r
+reg pu1bcc8;\r
+reg gode643;\r
+reg ldf3218;\r
+reg [xje6095 - 1 : 0] thc863b;\r
+reg [xje6095 - 1 : 0] gd18ef1;\r
+reg ldc778c;\r
+reg ou3bc67;\r
+reg kqde338;\r
+reg psf19c0;\r
+reg tw8ce03;\r
+reg ea6701b;\r
+reg zz380d8;\r
+reg vic06c6;\r
+reg [xje6095 - 1 : 0] vk1b197;\r
+reg [xje6095 - 1 : 0] wjc65d6;\r
+reg bn32eb5;\r
+reg gq975ae;\r
+reg [ng8cbf3 - 1 : 0] ipd6b86;\r
+reg bnb5c35;\r
+reg mtae1af;\r
+reg of70d7c;\r
+reg ls86be5;\r
+reg ls35f2a;\r
+reg [xje6095 - 1 : 0] ps7ca9f;\r
+reg [xje6095 - 1 : 0] pu2a7d9;\r
+reg kq53eca;\r
+reg qv9f651;\r
+reg lqfb28c;\r
+reg shd9463;\r
+reg faca31d;\r
+reg yx518eb;\r
+reg tw8c75c;\r
+reg by63ae2;\r
+reg ep1d713;\r
+reg xweb89d;\r
+reg ay5c4eb;\r
+reg [2047:0] ec2b93b;\r
+wire [57:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 58,ph27642 = 32'hfdffc68b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+assign fnc7d00 = (gd18ef1[ipc3d12] | gd18ef1[lf94695]) & (byf439b | gode643 | zz380d8 | (ls1e873 & ls86be5));\r
+assign lqf4030 = (gd18ef1[ipc3d12] | gd18ef1[lf94695]) & ~kq53eca;\r
+assign ou3e806 = shd9463 & ~(faca31d | (mtae1af & ps63d0e & gq975ae));\r
+\r
+assign lqe4402 = by63ae2 | tw8c75c;\r
+\r
+assign hd22013 = ep1d713 | yx518eb;\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin fc305cf <= 1'b0; mg82e7a <= 1'b0; ux173d0 <= 1'b0; mgb9e84 <= 1'b0; zkcf421 <= 1'b0; ng83972 <= tw304ae; me568b6 <= tw304ae; co98fa0 <= tw304ae; do9c880 <= 1'b0; ecc17 <= 1'b0; qv278c <= 1'b0; uk1009e <= 1'b0; sj804f1 <= 1'b0; anb18f9 <= 1'b0; do8c7cd <= 1'b0; lfa0182 <= 1'b0; nt60b9 <= 1'b0; end else if (rxmac_clk_en) begin zkcf421 <= rg5d58a; fc305cf <= (mtae1af & ps63d0e & gq975ae); mg82e7a <= faca31d; ux173d0 <= qv9f651; mgb9e84 <= aa21756; if(ay5c4eb) begin ng83972 <= tw304ae; me568b6 <= tw304ae; co98fa0 <= tw304ae; end else begin ng83972 <= thc863b; me568b6 <= vk1b197; co98fa0 <= ps7ca9f; end ecc17 <= kq53eca; lfa0182 <= lqfb28c; do8c7cd <= bn32eb5; if (aa21756) anb18f9 <= nt842ea[0]; if (gode643) do9c880 <= gode643; else if (qted1ff) do9c880 <= gode643; else do9c880 <= do9c880; if (zz380d8) qv278c <= zz380d8; else if (qted1ff) qv278c <= zz380d8; else qv278c <= qv278c; if (qv9f651 | qted1ff) nt60b9 <= qv9f651; else if (aa21756) nt60b9 <= 1'b0; else nt60b9 <= yx518eb; if (bn32eb5) uk1009e <= (bn32eb5); else if (qted1ff) uk1009e <= bn32eb5; else if (aa21756) uk1009e <= 1'b0; else uk1009e <= uk1009e; if (ls86be5) sj804f1 <= ls86be5; else if (qted1ff) sj804f1 <= ls86be5; else if (xweb89d) sj804f1 <= 1'b0; else sj804f1 <= sj804f1; end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+assign kq781e6 = lfc7a1[47:40] == nt842ea;\r
+\r
+assign phaf03c = lfc7a1[39:32] == nt842ea;\r
+\r
+assign ux95e07 = lfc7a1[31:24] == nt842ea;\r
+\r
+assign rtd2bc0 = lfc7a1[23:16] == nt842ea;\r
+\r
+assign ks1a578 = lfc7a1[15:8] == nt842ea;\r
+\r
+assign wya34af = lfc7a1[7:0] == nt842ea;\r
+\r
+\r
+always @(gd18ef1 or aa21756 or ho736a3 or jr9b51b or hoda8de or uid46f3 or qia3799 or pu1bcc8) begin ukb3910 = 1'b1; case(gd18ef1) tw304ae : begin if (!aa21756) begin fne60e5 = tw304ae; fac0f30 = 1'b0; ux7983 = 1'b0; ukb3910 = 1'b0; end else if (aa21756) begin if (pu1bcc8) begin fne60e5 = uk82572; fac0f30 = 1'b0; ux7983 = 1'b0; end else begin fne60e5 = bn91b21; fac0f30 = 1'b0; ux7983 = 1'b0; end end end uk82572 : begin if (qia3799) begin fne60e5 = kf12b91; fac0f30 = 1'b0; ux7983 = 1'b0; end else begin fne60e5 = qi8d90e; fac0f30 = 1'b0; ux7983 = 1'b0; end end kf12b91 : begin if (uid46f3) begin fne60e5 = qv95c8d; fac0f30 = 1'b0; ux7983 = 1'b0; end else begin fne60e5 = ho6c871; fac0f30 = 1'b0; ux7983 = 1'b0; end end qv95c8d : begin if (hoda8de) begin fne60e5 = irae46c; fac0f30 = 1'b0; ux7983 = 1'b0; end else begin fne60e5 = bl6438a; fac0f30 = 1'b0; ux7983 = 1'b0; end end irae46c : begin if (jr9b51b) begin fne60e5 = go72364; fac0f30 = 1'b0; ux7983 = 1'b0; end else begin fne60e5 = co21c57; fac0f30 = 1'b0; ux7983 = 1'b0; end end go72364 : begin if (ho736a3) begin fne60e5 = tw304ae; fac0f30 = 1'b1; ux7983 = 1'b0; end else begin fne60e5 = tw304ae; fac0f30 = 1'b0; ux7983 = 1'b1; end end bn91b21 : begin fne60e5 = qi8d90e; fac0f30 = 1'b0; ux7983 = 1'b0; end qi8d90e : begin fne60e5 = ho6c871; fac0f30 = 1'b0; ux7983 = 1'b0; end ho6c871 : begin fne60e5 = bl6438a; fac0f30 = 1'b0; ux7983 = 1'b0; end bl6438a : begin fne60e5 = co21c57; fac0f30 = 1'b0; ux7983 = 1'b0; end co21c57 : begin fne60e5 = tw304ae; fac0f30 = 1'b0; ux7983 = 1'b1; end default : begin fne60e5 = tw304ae; fac0f30 = 1'b0; ux7983 = 1'b0; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign vk96009 = 8'h01 == nt842ea;\r
+\r
+assign ou92c01 = 8'h80 == nt842ea;\r
+\r
+assign ic72580 = 8'hc2 == nt842ea;\r
+\r
+assign sw2e4b0 = 8'h00 == nt842ea;\r
+\r
+assign kqe5c96 = 8'h00 == nt842ea;\r
+\r
+assign db1cb92 = 8'h01 == nt842ea;\r
+\r
+\r
+always @(wjc65d6 or aa21756 or ldc778c or ou3bc67 or kqde338 or psf19c0 or tw8ce03 or ea6701b) begin case(wjc65d6) tw304ae : begin if (!aa21756) begin jr95a2 = tw304ae; xlb004a = 1'b0; cb80256 = 1'b0; end else if (aa21756) begin if (ea6701b) begin jr95a2 = uk82572; xlb004a = 1'b0; cb80256 = 1'b0; end else begin jr95a2 = bn91b21; xlb004a = 1'b0; cb80256 = 1'b0; end end end uk82572 : begin if (tw8ce03) begin jr95a2 = kf12b91; xlb004a = 1'b0; cb80256 = 1'b0; end else begin jr95a2 = qi8d90e; xlb004a = 1'b0; cb80256 = 1'b0; end end kf12b91 : begin if (psf19c0) begin jr95a2 = qv95c8d; xlb004a = 1'b0; cb80256 = 1'b0; end else begin jr95a2 = ho6c871; xlb004a = 1'b0; cb80256 = 1'b0; end end qv95c8d : begin if (kqde338) begin jr95a2 = irae46c; xlb004a = 1'b0; cb80256 = 1'b0; end else begin jr95a2 = bl6438a; xlb004a = 1'b0; cb80256 = 1'b0; end end irae46c : begin if (ou3bc67) begin jr95a2 = go72364; xlb004a = 1'b0; cb80256 = 1'b0; end else begin jr95a2 = co21c57; xlb004a = 1'b0; cb80256 = 1'b0; end end go72364 : begin if (ldc778c) begin jr95a2 = tw304ae; xlb004a = 1'b1; cb80256 = 1'b0; end else begin jr95a2 = tw304ae; xlb004a = 1'b0; cb80256 = 1'b1; end end bn91b21 : begin jr95a2 = qi8d90e; xlb004a = 1'b0; cb80256 = 1'b0; end qi8d90e : begin jr95a2 = ho6c871; xlb004a = 1'b0; cb80256 = 1'b0; end ho6c871 : begin jr95a2 = bl6438a; xlb004a = 1'b0; cb80256 = 1'b0; end bl6438a : begin jr95a2 = co21c57; xlb004a = 1'b0; cb80256 = 1'b0; end co21c57 : begin jr95a2 = tw304ae; xlb004a = 1'b0; cb80256 = 1'b1; end default : begin jr95a2 = tw304ae; xlb004a = 1'b0; cb80256 = 1'b0; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign gdb45b1 = bnb5c35 & gd18ef1[lf94695] & ~ls86be5 & ~zz380d8 ;\r
+\r
+always @ (doe6d4[5:3] or su56299 or kf8a644 or ls99128 or ip44a1f or db287eb or uk1faf8 or xwebe0c or mrf831e) begin case (doe6d4[5:3]) 3'b000 : begin gqb631f = su56299; end 3'b001 : begin gqb631f = kf8a644; end 3'b010 : begin gqb631f = ls99128; end 3'b011 : begin gqb631f = ip44a1f; end 3'b100 : begin gqb631f = db287eb; end 3'b101 : begin gqb631f = uk1faf8; end 3'b110 : begin gqb631f = xwebe0c; end 3'b111 : begin gqb631f = mrf831e; end endcase\r
+end\r
+\r
+always @ (doe6d4[2:0] or ipd6b86) begin case (doe6d4[2:0]) 3'b000 : begin vka2d8c = ipd6b86[0]; end 3'b001 : begin vka2d8c = ipd6b86[1]; end 3'b010 : begin vka2d8c = ipd6b86[2]; end 3'b011 : begin vka2d8c = ipd6b86[3]; end 3'b100 : begin vka2d8c = ipd6b86[4]; end 3'b101 : begin vka2d8c = ipd6b86[5]; end 3'b110 : begin vka2d8c = ipd6b86[6]; end 3'b111 : begin vka2d8c = ipd6b86[7]; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign dm63e6a = 8'hff == nt842ea;\r
+\r
+\r
+always @(pu2a7d9 or aa21756 or of70d7c) begin case(pu2a7d9) tw304ae : begin if (!aa21756) begin kd6a63e = tw304ae; sw1f353 = 1'b0; dzf9a98 = 1'b0; end else if (aa21756) begin if (of70d7c) begin kd6a63e = uk82572; sw1f353 = 1'b0; dzf9a98 = 1'b0; end else begin kd6a63e = bn91b21; sw1f353 = 1'b0; dzf9a98 = 1'b0; end end end uk82572 : begin if (of70d7c) begin kd6a63e = kf12b91; sw1f353 = 1'b0; dzf9a98 = 1'b0; end else begin kd6a63e = qi8d90e; sw1f353 = 1'b0; dzf9a98 = 1'b0; end end kf12b91 : begin if (of70d7c) begin kd6a63e = qv95c8d; sw1f353 = 1'b0; dzf9a98 = 1'b0; end else begin kd6a63e = ho6c871; sw1f353 = 1'b0; dzf9a98 = 1'b0; end end qv95c8d : begin if (of70d7c) begin kd6a63e = irae46c; sw1f353 = 1'b0; dzf9a98 = 1'b0; end else begin kd6a63e = bl6438a; sw1f353 = 1'b0; dzf9a98 = 1'b0; end end irae46c : begin if (of70d7c) begin kd6a63e = go72364; sw1f353 = 1'b0; dzf9a98 = 1'b0; end else begin kd6a63e = co21c57; sw1f353 = 1'b0; dzf9a98 = 1'b0; end end go72364 : begin if (of70d7c) begin kd6a63e = tw304ae; sw1f353 = 1'b1; dzf9a98 = 1'b0; end else begin kd6a63e = tw304ae; sw1f353 = 1'b0; dzf9a98 = 1'b1; end end bn91b21 : begin kd6a63e = qi8d90e; sw1f353 = 1'b0; dzf9a98 = 1'b0; end qi8d90e : begin kd6a63e = ho6c871; sw1f353 = 1'b0; dzf9a98 = 1'b0; end ho6c871 : begin kd6a63e = bl6438a; sw1f353 = 1'b0; dzf9a98 = 1'b0; end bl6438a : begin kd6a63e = co21c57; sw1f353 = 1'b0; dzf9a98 = 1'b0; end co21c57 : begin kd6a63e = tw304ae; sw1f353 = 1'b0; dzf9a98 = 1'b1; end default : begin kd6a63e = tw304ae; sw1f353 = 1'b0; dzf9a98 = 1'b0; end endcase\r
+end\r
+\r
+always@* begin nt842ea<={nt32741>>1,ea5c9d9[0]};aa21756<=ea5c9d9[1];qted1ff<=ea5c9d9[2];rg5d58a<=ea5c9d9[3];su56299<={en41ecd>>1,ea5c9d9[4]};kf8a644<={ksf66d>>1,ea5c9d9[5]};ls99128<={nr7b369>>1,ea5c9d9[6]};ip44a1f<={ipd9b4f>>1,ea5c9d9[7]};db287eb<={blcda7c>>1,ea5c9d9[8]};uk1faf8<={qg6d3e7>>1,ea5c9d9[9]};xwebe0c<={xw69f39>>1,ea5c9d9[10]};mrf831e<={zx4f9ca>>1,ea5c9d9[11]};lfc7a1<={xw7ce56>>1,ea5c9d9[12]};ps63d0e<=ea5c9d9[13];ls1e873<=ea5c9d9[14];byf439b<=ea5c9d9[15];doe6d4<={ic56722>>1,ea5c9d9[16]};ho736a3<=ea5c9d9[17];jr9b51b<=ea5c9d9[18];hoda8de<=ea5c9d9[19];uid46f3<=ea5c9d9[20];qia3799<=ea5c9d9[21];pu1bcc8<=ea5c9d9[22];gode643<=ea5c9d9[23];ldf3218<=ea5c9d9[24];thc863b<={fne60e5>>1,ea5c9d9[25]};gd18ef1<={ng83972>>1,ea5c9d9[26]};ldc778c<=ea5c9d9[27];ou3bc67<=ea5c9d9[28];kqde338<=ea5c9d9[29];psf19c0<=ea5c9d9[30];tw8ce03<=ea5c9d9[31];ea6701b<=ea5c9d9[32];zz380d8<=ea5c9d9[33];vic06c6<=ea5c9d9[34];vk1b197<={jr95a2>>1,ea5c9d9[35]};wjc65d6<={me568b6>>1,ea5c9d9[36]};bn32eb5<=ea5c9d9[37];gq975ae<=ea5c9d9[38];ipd6b86<={gqb631f>>1,ea5c9d9[39]};bnb5c35<=ea5c9d9[40];mtae1af<=ea5c9d9[41];of70d7c<=ea5c9d9[42];ls86be5<=ea5c9d9[43];ls35f2a<=ea5c9d9[44];ps7ca9f<={kd6a63e>>1,ea5c9d9[45]};pu2a7d9<={co98fa0>>1,ea5c9d9[46]};kq53eca<=ea5c9d9[47];qv9f651<=ea5c9d9[48];lqfb28c<=ea5c9d9[49];shd9463<=ea5c9d9[50];faca31d<=ea5c9d9[51];yx518eb<=ea5c9d9[52];tw8c75c<=ea5c9d9[53];by63ae2<=ea5c9d9[54];ep1d713<=ea5c9d9[55];xweb89d<=ea5c9d9[56];ay5c4eb<=ea5c9d9[57];end\r
+always@* begin ec2b93b[2047]<=qtedba5;ec2b93b[2046]<=zx4ff6d;ec2b93b[2044]<=uve83d9;ec2b93b[2040]<=en41ecd[0];ec2b93b[2032]<=ksf66d[0];ec2b93b[2017]<=nr7b369[0];ec2b93b[1987]<=ipd9b4f[0];ec2b93b[1980]<=lqf4030;ec2b93b[1963]<=xlb004a;ec2b93b[1942]<=mg82e7a;ec2b93b[1926]<=blcda7c[0];ec2b93b[1921]<=fac0f30;ec2b93b[1913]<=lfa0182;ec2b93b[1903]<=dm63e6a;ec2b93b[1879]<=cb80256;ec2b93b[1837]<=ux173d0;ec2b93b[1805]<=qg6d3e7[0];ec2b93b[1795]<=ux7983;ec2b93b[1783]<=co98fa0[0];ec2b93b[1778]<=ecc17;ec2b93b[1758]<=sw1f353;ec2b93b[1710]<=jr95a2[0];ec2b93b[1679]<=ic56722[0];ec2b93b[1627]<=mgb9e84;ec2b93b[1562]<=xw69f39[0];ec2b93b[1543]<=fne60e5[0];ec2b93b[1519]<=fnc7d00;ec2b93b[1509]<=nt60b9;ec2b93b[1499]<=anb18f9;ec2b93b[1469]<=dzf9a98;ec2b93b[1398]<=vka2d8c;ec2b93b[1373]<=me568b6[0];ec2b93b[1310]<=wya34af;ec2b93b[1207]<=zkcf421;ec2b93b[1144]<=rtd2bc0;ec2b93b[1076]<=zx4f9ca[0];ec2b93b[1039]<=ng83972[0];ec2b93b[1023]<=nt32741[0];ec2b93b[990]<=ou3e806;ec2b93b[981]<=vk96009;ec2b93b[971]<=fc305cf;ec2b93b[960]<=kq781e6;ec2b93b[951]<=do8c7cd;ec2b93b[891]<=kd6a63e[0];ec2b93b[839]<=hocace4;ec2b93b[749]<=gqb631f[0];ec2b93b[699]<=gdb45b1;ec2b93b[572]<=ks1a578;ec2b93b[490]<=ou92c01;ec2b93b[480]<=phaf03c;ec2b93b[419]<=ux3959c;ec2b93b[245]<=ic72580;ec2b93b[240]<=ux95e07;ec2b93b[209]<=kde72b3;ec2b93b[122]<=sw2e4b0;ec2b93b[104]<=xw7ce56[0];ec2b93b[61]<=kqe5c96;ec2b93b[30]<=db1cb92;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module fa63a6e (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ pf6e773,\r
+ lq73b9e,\r
+ ie9dcf2,\r
+\r
+ \r
+ rx_fifo_full,\r
+\r
+ \r
+ ne73c82,\r
+\r
+ \r
+ bn9e412,\r
+ wjf2096,\r
+ yz904b5,\r
+ wy825ad,\r
+\r
+ \r
+ rx_fifo_error,\r
+ rx_stat_vector,\r
+ rx_dbout,\r
+ rx_write,\r
+ rx_stat_en,\r
+ rx_eof,\r
+ rx_error\r
+);\r
+parameter ld6e960 = 8;\r
+parameter xwfb4be = 20;\r
+parameter jcda5f3 = 32;\r
+parameter jpd2f9a = 8;\r
+parameter gq97cd7 = 4;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input lq73b9e;\r
+input pf6e773;\r
+input [1:0] ie9dcf2;\r
+input rx_fifo_full;\r
+input [xwfb4be-1:0] ne73c82;\r
+output [jpd2f9a-1:0] bn9e412;\r
+output [jpd2f9a-1:0] wjf2096;\r
+output yz904b5;\r
+output wy825ad;\r
+output rx_fifo_error;\r
+output [jcda5f3-1:0] rx_stat_vector;\r
+output [ld6e960-1:0] rx_dbout;\r
+output rx_write;\r
+output rx_stat_en;\r
+output rx_eof;\r
+output rx_error;\r
+reg [jpd2f9a:0] zk79681;\r
+reg [jpd2f9a:0] pf5a051;\r
+wire yz904b5;\r
+wire wy825ad;\r
+reg rx_fifo_error;\r
+reg [jcda5f3-1:0] rx_stat_vector;\r
+reg [ld6e960-1:0] rx_dbout ;\r
+reg [ld6e960-1:0] vk8ceb3;\r
+reg [ld6e960-1:0] vk3acd8;\r
+reg rx_write ;\r
+reg gqb3614;\r
+reg bn9b0a1;\r
+reg xjd850e;\r
+reg rx_stat_en;\r
+reg rx_eof;\r
+reg rx_error;\r
+reg [xwfb4be-1:0] ww77ce2;\r
+reg uxbe710;\r
+wire nrf3885;\r
+wire zm9c42e;\r
+reg kde2173;\r
+reg sw10b9c;\r
+reg yz85ce0;\r
+wire ou2e705;\r
+reg [gq97cd7-1:0] xy9c142;\r
+reg sue0a13;\r
+wire [jpd2f9a:0] jr284c0;\r
+reg [jpd2f9a:0] db1303b;\r
+reg gq981de;\r
+reg nec0ef1;\r
+reg gd778b;\r
+reg aa3bc5d;\r
+reg cmde2e8;\r
+reg dmf1740;\r
+reg [1 : 0] zz8ba02;\r
+reg xw5d016;\r
+reg [xwfb4be - 1 : 0] fn4059d;\r
+reg [jpd2f9a : 0] bn16774;\r
+reg [jpd2f9a : 0] wy9dd30;\r
+reg [ld6e960 - 1 : 0] fn74c03;\r
+reg [ld6e960 - 1 : 0] pu300f8;\r
+reg qv807c2;\r
+reg bn3e14;\r
+reg ie1f0a6;\r
+reg [xwfb4be - 1 : 0] fnc2984;\r
+reg pu14c26;\r
+reg yma6132;\r
+reg ba30990;\r
+reg nt84c83;\r
+reg gd2641f;\r
+reg ph320fc;\r
+reg ux907e7;\r
+reg [gq97cd7 - 1 : 0] gq1f9ea;\r
+reg pffcf51;\r
+reg [jpd2f9a : 0] hd3d47d;\r
+reg [jpd2f9a : 0] sh51f70;\r
+reg yz8fb84;\r
+reg os7dc24;\r
+reg psee121;\r
+reg mr7090a;\r
+reg [2047:0] ec2b93b;\r
+wire [27:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 28,ph27642 = 32'hfdffc68b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+assign bn9e412 = bn16774[jpd2f9a-1:0];\r
+assign wjf2096 = wy9dd30[jpd2f9a-1:0];\r
+assign jr284c0 = ({(bn16774[jpd2f9a] ^ wy9dd30[jpd2f9a]), bn16774[jpd2f9a-1:0]}) - ({1'b0, wy9dd30[jpd2f9a-1:0]});\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin uxbe710 <= 0; kde2173 <= 0; end else if (rxmac_clk_en) begin kde2173 <= ba30990; if ((|gq1f9ea) | (yma6132)) begin uxbe710 <= 1; end else begin uxbe710 <= 0; end end\r
+end\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin xy9c142 <= 4'h0; sue0a13 <= 1'b0; yz85ce0 <= 1'b0; zk79681 <= 9'd0; pf5a051 <= 9'd0; db1303b <= 9'd0; gq981de <= 1'b0; nec0ef1 <= 1'b0; ww77ce2 <= 1'b0; rx_stat_vector <= 32'b0; rx_dbout <= 8'd0; vk8ceb3 <= 8'd0; vk3acd8 <= 8'd0; rx_write <= 1'b0; gqb3614 <= 1'b0; bn9b0a1 <= 1'b0; xjd850e <= 1'b0; rx_stat_en <= 1'b0; rx_eof <= 1'b0; rx_error <= 1'b0; sw10b9c <= 1'b0; gd778b <= 1'b0; aa3bc5d <= 1'b0; rx_fifo_error <= 1'b0; end else if (rxmac_clk_en) begin ww77ce2 <= fn4059d;\r
+ rx_fifo_error <= ux907e7 | (rx_fifo_error & ~rx_eof); if ((cmde2e8 == 1) & (zz8ba02 == 3)) begin sw10b9c <= 1; end else begin sw10b9c <= 0; end gd778b <= gd2641f; aa3bc5d <= dmf1740; if (ph320fc == 1) begin xy9c142 <= 0; end else if (!ba30990 && psee121) begin xy9c142 <= gq1f9ea + 4'b0001; end else if (ba30990 && !psee121) begin xy9c142 <= gq1f9ea - 4'b0001; end if ((hd3d47d[jpd2f9a-1:1] == 0) && ((hd3d47d[0] == 0) || (yz904b5 == 1))) begin yz85ce0 <= 1; end else begin yz85ce0 <= 0; end if (hd3d47d[jpd2f9a-1:2] == 6'b111111) begin sue0a13 <= 1; end else begin sue0a13 <= 0; end\r
+ if (gd2641f) db1303b <= bn16774 + 8'd1; if (cmde2e8) zk79681 <= bn16774 + 8'd1; else if (mr7090a) zk79681 <= sh51f70; if (yz904b5) pf5a051 <= wy9dd30 + 8'd1; \r
+ gq981de <= yz904b5; nec0ef1 <= yz8fb84; if (fnc2984[18]) begin rx_stat_vector <= {fnc2984[15:0], fn4059d[15:0]}; end vk3acd8 <= fnc2984[7:0]; vk8ceb3 <= pu300f8; rx_dbout <= fn74c03; bn9b0a1 <= os7dc24 & ~xw5d016 & ~fnc2984[19]; gqb3614 <= bn3e14; rx_write <= qv807c2; xjd850e <= yz8fb84 & fnc2984[18]; rx_stat_en <= ie1f0a6; rx_eof <= os7dc24 & fnc2984[17]; rx_error <= os7dc24 & fnc2984[16]; end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign nrf3885 = (hd3d47d[jpd2f9a-1:0] >= 7'h42);\r
+\r
+\r
+assign wy825ad = cmde2e8 & ~pffcf51;\r
+assign yz904b5 = pu14c26 & ~ph320fc & ~ba30990 & ~nt84c83;\r
+\r
+\r
+assign zm9c42e = yz8fb84 & fnc2984[18];\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign ou2e705 = (pu14c26 | rx_write) & xw5d016 & ~ph320fc & ~ba30990;\r
+\r
+always@* begin cmde2e8<=ea5c9d9[0];dmf1740<=ea5c9d9[1];zz8ba02<={ie9dcf2>>1,ea5c9d9[2]};xw5d016<=ea5c9d9[3];fn4059d<={ne73c82>>1,ea5c9d9[4]};bn16774<={zk79681>>1,ea5c9d9[5]};wy9dd30<={pf5a051>>1,ea5c9d9[6]};fn74c03<={vk8ceb3>>1,ea5c9d9[7]};pu300f8<={vk3acd8>>1,ea5c9d9[8]};qv807c2<=ea5c9d9[9];bn3e14<=ea5c9d9[10];ie1f0a6<=ea5c9d9[11];fnc2984<={ww77ce2>>1,ea5c9d9[12]};pu14c26<=ea5c9d9[13];yma6132<=ea5c9d9[14];ba30990<=ea5c9d9[15];nt84c83<=ea5c9d9[16];gd2641f<=ea5c9d9[17];ph320fc<=ea5c9d9[18];ux907e7<=ea5c9d9[19];gq1f9ea<={xy9c142>>1,ea5c9d9[20]};pffcf51<=ea5c9d9[21];hd3d47d<={jr284c0>>1,ea5c9d9[22]};sh51f70<={db1303b>>1,ea5c9d9[23]};yz8fb84<=ea5c9d9[24];os7dc24<=ea5c9d9[25];psee121<=ea5c9d9[26];mr7090a<=ea5c9d9[27];end\r
+always@* begin ec2b93b[2047]<=lq73b9e;ec2b93b[2046]<=ie9dcf2[0];ec2b93b[2044]<=rx_fifo_full;ec2b93b[2040]<=ne73c82[0];ec2b93b[2032]<=zk79681[0];ec2b93b[2017]<=pf5a051[0];ec2b93b[1987]<=vk8ceb3[0];ec2b93b[1926]<=vk3acd8[0];ec2b93b[1921]<=db1303b[0];ec2b93b[1805]<=gqb3614;ec2b93b[1795]<=gq981de;ec2b93b[1679]<=kde2173;ec2b93b[1562]<=bn9b0a1;ec2b93b[1543]<=nec0ef1;ec2b93b[1310]<=sw10b9c;ec2b93b[1144]<=ou2e705;ec2b93b[1076]<=xjd850e;ec2b93b[1039]<=gd778b;ec2b93b[1023]<=pf6e773;ec2b93b[960]<=jr284c0[0];ec2b93b[839]<=zm9c42e;ec2b93b[572]<=yz85ce0;ec2b93b[480]<=sue0a13;ec2b93b[419]<=nrf3885;ec2b93b[240]<=xy9c142[0];ec2b93b[209]<=uxbe710;ec2b93b[104]<=ww77ce2[0];ec2b93b[30]<=aa3bc5d;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module shc9dff (\r
+\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ nt32741,\r
+\r
+ \r
+ zx4ff6d,\r
+ thec185,\r
+ uve83d9,\r
+ nt617c,\r
+\r
+ \r
+ fc30be7,\r
+\r
+ \r
+\r
+ qv278c,\r
+ do9c880,\r
+\r
+ \r
+\r
+ vi7ceeb,\r
+ uve775b,\r
+ uk3badc,\r
+ jpdd6e0,\r
+ lqeb707,\r
+ gb5b83a,\r
+ ofdc1d5,\r
+ yke0ead,\r
+ cb7568,\r
+ vk3ab45,\r
+ psd5a2a,\r
+ zmad152,\r
+ qg68a93,\r
+ vv45498\r
+\r
+);\r
+parameter ld6e960 = 8;\r
+parameter vi52632 = 14;\r
+parameter vk93192 = 16;\r
+parameter uk98c92 = 16;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input zx4ff6d;\r
+input thec185;\r
+input uve83d9;\r
+input nt617c;\r
+input do9c880;\r
+input qv278c;\r
+input [ld6e960-1:0] nt32741;\r
+input [vk93192-1:0] fc30be7;\r
+output vi7ceeb;\r
+output uve775b;\r
+output uk3badc;\r
+output ofdc1d5;\r
+output yke0ead;\r
+output cb7568;\r
+output psd5a2a;\r
+output qg68a93;\r
+output lqeb707;\r
+output vv45498;\r
+output [uk98c92-1:0] vk3ab45;\r
+output [vk93192-1:0] zmad152;\r
+output [vi52632-1:0] jpdd6e0;\r
+output [vi52632-1:0] gb5b83a;\r
+reg vi7ceeb;\r
+reg uve775b;\r
+reg uk3badc;\r
+reg ofdc1d5;\r
+reg yke0ead;\r
+reg cb7568;\r
+reg qg68a93;\r
+reg vv45498;\r
+reg [vi52632-1:0] gb5b83a;\r
+reg [vi52632-1:0] jpdd6e0;\r
+reg [vk93192-1:0] zmad152;\r
+reg [ld6e960-1:0] osfa629;\r
+wire psd5a2a;\r
+wire [uk98c92-1:0] vk3ab45;\r
+reg lqeb707;\r
+localparam su49815 = 16'h8808;\r
+localparam ww4c0a9 = 16'h8100;\r
+localparam al60548 = 16'd46;\r
+localparam wl2a45 = 16'd42;\r
+localparam uk1522c = 9;\r
+localparam qia9161 = 9'b000000001;\r
+localparam xw48b09 = 9'b000000010;\r
+localparam nr4584b = 9'b000000100;\r
+localparam gd2c25f = 9'b000001000;\r
+localparam xj612fd = 9'b000010000;\r
+localparam zz97ef = 9'b000100000;\r
+localparam yx4bf7e = 9'b001000000;\r
+localparam rt5fbf4 = 9'b010000000;\r
+localparam yxfdfa7 = 9'b100000000;\r
+wire qgefd3d;\r
+wire zx7e9e9;\r
+wire vif4f4e;\r
+wire pua7a73;\r
+wire nt3d39b;\r
+wire fne9cde;\r
+wire kd4e6f6;\r
+wire lq737b5;\r
+wire ph9bdab;\r
+reg [uk1522c-1:0] pff6af9;\r
+reg rvb57ce;\r
+reg gdabe76;\r
+reg xj5f3b5;\r
+reg rtf9dae;\r
+reg [uk1522c-1:0] xw76bb8;\r
+reg zkcf421;\r
+reg [ld6e960 - 1 : 0] nt842ea;\r
+reg qted1ff;\r
+reg pfc2f4a;\r
+reg rg5d58a;\r
+reg babd2b5;\r
+reg [vk93192 - 1 : 0] bl4ad57;\r
+reg me56abe;\r
+reg hdb55f1;\r
+reg [ld6e960 - 1 : 0] ne57c77;\r
+reg ohbe3bb;\r
+reg psf1ddd;\r
+reg vx8eeeb;\r
+reg qg7775e;\r
+reg cbbbaf1;\r
+reg vvdd78c;\r
+reg dmebc67;\r
+reg yx5e33b;\r
+reg xwf19dc;\r
+reg [uk1522c - 1 : 0] ww6771b;\r
+reg ks3b8d8;\r
+reg gbdc6c0;\r
+reg pfe3605;\r
+reg hq1b02e;\r
+reg [uk1522c - 1 : 0] nec0b97;\r
+reg ay5c4eb;\r
+reg [2047:0] ec2b93b;\r
+wire [24:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 25,ph27642 = 32'hfdffca8b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign qgefd3d = pfc2f4a && ({ne57c77, nt842ea} == su49815);\r
+assign zx7e9e9 = pfc2f4a && ({ne57c77, nt842ea} != su49815);\r
+\r
+\r
+\r
+\r
+assign vif4f4e = pfc2f4a && ({ne57c77, nt842ea} == ww4c0a9);\r
+\r
+\r
+\r
+\r
+\r
+assign pua7a73 = ((pfc2f4a & (ohbe3bb | (~ohbe3bb & ~vx8eeeb && {ne57c77, nt842ea} < al60548))) | (gbdc6c0 && {ne57c77, nt842ea} < wl2a45));\r
+\r
+\r
+\r
+\r
+\r
+assign lq737b5 = (hdb55f1 | me56abe) & pfe3605 && ({ne57c77, nt842ea} == bl4ad57);\r
+assign kd4e6f6 = pfe3605 && ({ne57c77, nt842ea} != bl4ad57);\r
+assign ph9bdab = me56abe & psf1ddd;\r
+\r
+\r
+\r
+\r
+assign nt3d39b = ((pfc2f4a & ~vx8eeeb) | (gbdc6c0) && {ne57c77, nt842ea} >= 16'h0600);\r
+\r
+\r
+\r
+assign fne9cde = pfc2f4a & ~ohbe3bb;\r
+\r
+assign psd5a2a = ks3b8d8; \r
+assign vk3ab45 = {ne57c77, nt842ea}; \r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin vi7ceeb <= 1'b0; uve775b <= 1'b0; uk3badc <= 1'b0; ofdc1d5 <= 1'b0; yke0ead <= 1'b0; cb7568 <= 1'b0; jpdd6e0 <= 14'b0; gb5b83a <= 14'b0; zmad152 <= 16'b0; zkcf421 <= 1'b0; xw76bb8 <= qia9161; qg68a93 <= 1'b0; vv45498 <= 1'b0; lqeb707 <= 1'b0; osfa629 <= 0; end else if (rxmac_clk_en) begin\r
+ osfa629 <= nt842ea; zkcf421 <= rg5d58a; lqeb707 <= (pfc2f4a & ~vx8eeeb) | gbdc6c0; if (ay5c4eb) xw76bb8 <= qia9161; else begin xw76bb8 <= ww6771b; if (babd2b5) gb5b83a <= gb5b83a - 14'd1; end if (pfc2f4a && ohbe3bb) gb5b83a <= 14'd46; else if ((pfc2f4a && !uk3badc) || gbdc6c0) begin jpdd6e0 <= {ne57c77[5:0], nt842ea}; gb5b83a <= {ne57c77[5:0], nt842ea}; end if (hq1b02e) zmad152 <= {ne57c77, nt842ea}; if (vvdd78c) vi7ceeb <= vvdd78c; else if (qted1ff) vi7ceeb <= 1'b0; else vi7ceeb <= vi7ceeb; if (ohbe3bb) uve775b <= ohbe3bb; else if (qted1ff) uve775b <= 1'b0; else uve775b <= uve775b; if (cbbbaf1) cb7568 <= cbbbaf1; else if (qted1ff) cb7568 <= 1'b0; else cb7568 <= cb7568; if (qg7775e) yke0ead <= qg7775e; else if (qted1ff) yke0ead <= 1'b0; else yke0ead <= yke0ead; if (yx5e33b) ofdc1d5 <= yx5e33b; else if (qted1ff) ofdc1d5 <= 1'b0; else ofdc1d5 <= ofdc1d5; if (dmebc67) qg68a93 <= dmebc67; else if (qted1ff) qg68a93 <= 1'b0; else qg68a93 <= qg68a93; if (xwf19dc) vv45498 <= xwf19dc; else if (qted1ff) vv45498 <= 1'b0; else vv45498 <= vv45498; if (vx8eeeb) uk3badc <= vx8eeeb; else if (qted1ff) uk3badc <= 1'b0; else uk3badc <= uk3badc; end\r
+end\r
+\r
+always @ (nec0b97 or pfc2f4a or vx8eeeb or ohbe3bb or dmebc67) begin case (nec0b97) qia9161 : begin if (pfc2f4a && vx8eeeb) begin pff6af9 = xw48b09; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end else if (pfc2f4a && ohbe3bb) begin pff6af9 = zz97ef; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end else begin pff6af9 = qia9161; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end end xw48b09 : begin pff6af9 = nr4584b; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end nr4584b : begin pff6af9 = gd2c25f; rvb57ce = 1'b1; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end gd2c25f : begin pff6af9 = xj612fd; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end xj612fd : begin pff6af9 = qia9161; rvb57ce = 1'b0; gdabe76 = 1'b1; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end zz97ef : begin pff6af9 = yx4bf7e; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end yx4bf7e : begin if (dmebc67) begin pff6af9 = qia9161; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b1; rtf9dae = 1'b0; end else begin pff6af9 = rt5fbf4; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b1; rtf9dae = 1'b0; end end rt5fbf4 : begin pff6af9 = yxfdfa7; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end yxfdfa7 : begin pff6af9 = qia9161; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b1; end default : begin pff6af9 = qia9161; rvb57ce = 1'b0; gdabe76 = 1'b0; xj5f3b5 = 1'b0; rtf9dae = 1'b0; end endcase\r
+end\r
+always@* begin nt842ea<={nt32741>>1,ea5c9d9[0]};qted1ff<=ea5c9d9[1];pfc2f4a<=ea5c9d9[2];rg5d58a<=ea5c9d9[3];babd2b5<=ea5c9d9[4];bl4ad57<={fc30be7>>1,ea5c9d9[5]};me56abe<=ea5c9d9[6];hdb55f1<=ea5c9d9[7];ne57c77<={osfa629>>1,ea5c9d9[8]};ohbe3bb<=ea5c9d9[9];psf1ddd<=ea5c9d9[10];vx8eeeb<=ea5c9d9[11];qg7775e<=ea5c9d9[12];cbbbaf1<=ea5c9d9[13];vvdd78c<=ea5c9d9[14];dmebc67<=ea5c9d9[15];yx5e33b<=ea5c9d9[16];xwf19dc<=ea5c9d9[17];ww6771b<={pff6af9>>1,ea5c9d9[18]};ks3b8d8<=ea5c9d9[19];gbdc6c0<=ea5c9d9[20];pfe3605<=ea5c9d9[21];hq1b02e<=ea5c9d9[22];nec0b97<={xw76bb8>>1,ea5c9d9[23]};ay5c4eb<=ea5c9d9[24];end\r
+always@* begin ec2b93b[2047]<=zx4ff6d;ec2b93b[2046]<=thec185;ec2b93b[2044]<=uve83d9;ec2b93b[2040]<=nt617c;ec2b93b[2032]<=fc30be7[0];ec2b93b[2017]<=qv278c;ec2b93b[1987]<=do9c880;ec2b93b[1926]<=osfa629[0];ec2b93b[1804]<=qgefd3d;ec2b93b[1803]<=xw76bb8[0];ec2b93b[1560]<=zx7e9e9;ec2b93b[1558]<=zkcf421;ec2b93b[1550]<=lq737b5;ec2b93b[1072]<=vif4f4e;ec2b93b[1052]<=ph9bdab;ec2b93b[1023]<=nt32741[0];ec2b93b[901]<=rtf9dae;ec2b93b[775]<=kd4e6f6;ec2b93b[450]<=xj5f3b5;ec2b93b[387]<=fne9cde;ec2b93b[225]<=gdabe76;ec2b93b[193]<=nt3d39b;ec2b93b[112]<=rvb57ce;ec2b93b[96]<=pua7a73;ec2b93b[56]<=pff6af9[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module icce60c (\r
+ vi73061, \r
+ ou9830b, \r
+ ykc1859,\r
+ rvc2c9,\r
+ nr6164e,\r
+ vkb277,\r
+ ui593bb,\r
+ wjc9dd9,\r
+ en4eecd,\r
+ rxmac_clk_en,\r
+ gdbb361\r
+ );\r
+output [5:0] vi73061;\r
+output ou9830b;\r
+input [7:0] ykc1859;\r
+input [31:0] wjc9dd9;\r
+input rvc2c9;\r
+input nr6164e;\r
+input vkb277;\r
+input ui593bb;\r
+input en4eecd;\r
+input rxmac_clk_en;\r
+input gdbb361;\r
+reg [31:0] jp50046;\r
+reg [5:0] vi73061;\r
+reg ou9830b;\r
+wire [31:0] cb8de2;\r
+wire [31:0] yk46f15;\r
+reg [7 : 0] nt378a8;\r
+reg ymbc546;\r
+reg ofe2a30;\r
+reg co15185;\r
+reg doa8c29;\r
+reg [31 : 0] su4614a;\r
+reg [31 : 0] tw30a51;\r
+reg [31 : 0] an8528b;\r
+reg [31 : 0] cb2945c;\r
+reg [2047:0] ec2b93b;\r
+wire [8:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 9,ph27642 = 32'hfdffe06b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+assign yk46f15[0] = ~tw30a51[0];\r
+assign yk46f15[1] = ~tw30a51[1];\r
+assign yk46f15[2] = tw30a51[2];\r
+assign yk46f15[3] = ~tw30a51[3];\r
+assign yk46f15[4] = ~tw30a51[4];\r
+assign yk46f15[5] = ~tw30a51[5];\r
+assign yk46f15[6] = ~tw30a51[6];\r
+assign yk46f15[7] = tw30a51[7];\r
+assign yk46f15[8] = ~tw30a51[8];\r
+assign yk46f15[9] = tw30a51[9];\r
+assign yk46f15[10] = ~tw30a51[10];\r
+assign yk46f15[11] = ~tw30a51[11];\r
+assign yk46f15[12] = ~tw30a51[12];\r
+assign yk46f15[13] = tw30a51[13];\r
+assign yk46f15[14] = ~tw30a51[14];\r
+assign yk46f15[15] = ~tw30a51[15];\r
+assign yk46f15[16] = tw30a51[16];\r
+assign yk46f15[17] = tw30a51[17];\r
+assign yk46f15[18] = ~tw30a51[18];\r
+assign yk46f15[19] = tw30a51[19];\r
+assign yk46f15[20] = tw30a51[20];\r
+assign yk46f15[21] = tw30a51[21];\r
+assign yk46f15[22] = tw30a51[22];\r
+assign yk46f15[23] = tw30a51[23];\r
+assign yk46f15[24] = ~tw30a51[24];\r
+assign yk46f15[25] = ~tw30a51[25];\r
+assign yk46f15[26] = ~tw30a51[26];\r
+assign yk46f15[27] = tw30a51[27];\r
+assign yk46f15[28] = tw30a51[28];\r
+assign yk46f15[29] = tw30a51[29];\r
+assign yk46f15[30] = ~tw30a51[30];\r
+assign yk46f15[31] = ~tw30a51[31];\r
+\r
+always @ (posedge en4eecd or negedge gdbb361)\r
+begin if (!gdbb361) begin jp50046 <= 32'hffffffff; vi73061 <= 6'b000000; ou9830b <= 0; end else if (rxmac_clk_en) begin if (ymbc546) begin jp50046 <= an8528b; end else if (doa8c29) begin jp50046 <= su4614a; end if (ofe2a30) begin vi73061[5] <= an8528b[30]; vi73061[4] <= an8528b[29]; vi73061[3] <= an8528b[28]; vi73061[2] <= an8528b[27]; vi73061[1] <= an8528b[26]; vi73061[0] <= an8528b[25]; end if (co15185) begin if (cb2945c == 0) begin ou9830b <= 0; end else begin ou9830b <= 1; end end else if (doa8c29) begin ou9830b <= 0; end end\r
+end\r
+\r
+assign cb8de2[0] = tw30a51[30] ^ nt378a8[7] ^ nt378a8[1] ^ tw30a51[24];\r
+assign cb8de2[1] = tw30a51[30] ^ nt378a8[6] ^ nt378a8[7] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25];\r
+assign cb8de2[2] = tw30a51[30] ^ nt378a8[6] ^ nt378a8[7] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25] ^ tw30a51[26] ^ nt378a8[5];\r
+assign cb8de2[3] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[25] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ nt378a8[6];\r
+assign cb8de2[4] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[7];\r
+assign cb8de2[5] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25] ^ nt378a8[2] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[6] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[7] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[24] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[8] = tw30a51[24] ^ tw30a51[25] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ nt378a8[6] ^ nt378a8[7] ^ tw30a51[0];\r
+assign cb8de2[9] = tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29] ^ tw30a51[1];\r
+assign cb8de2[10] = tw30a51[24] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[29] ^ nt378a8[7] ^ tw30a51[2];\r
+assign cb8de2[11] = tw30a51[3] ^ tw30a51[24] ^ tw30a51[25] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ nt378a8[6] ^ nt378a8[7];\r
+assign cb8de2[12] = tw30a51[30] ^ tw30a51[4] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[13] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ tw30a51[5] ^ nt378a8[1] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[14] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[6] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[28];\r
+assign cb8de2[15] = tw30a51[31] ^ nt378a8[0] ^ nt378a8[2] ^ tw30a51[7] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ tw30a51[29];\r
+assign cb8de2[16] = tw30a51[24] ^ nt378a8[2] ^ nt378a8[3] ^ tw30a51[8] ^ tw30a51[28] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[17] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[9] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[18] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[26] ^ nt378a8[5] ^ tw30a51[10];\r
+assign cb8de2[19] = tw30a51[31] ^ nt378a8[0] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[11];\r
+assign cb8de2[20] = tw30a51[12] ^ nt378a8[3] ^ tw30a51[28];\r
+assign cb8de2[21] = tw30a51[13] ^ nt378a8[2] ^ tw30a51[29];\r
+assign cb8de2[22] = tw30a51[14] ^ tw30a51[24] ^ nt378a8[7];\r
+assign cb8de2[23] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[15] ^ tw30a51[25] ^ nt378a8[6] ^ nt378a8[7];\r
+assign cb8de2[24] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[25] ^ tw30a51[16] ^ tw30a51[26] ^ nt378a8[5] ^ nt378a8[6];\r
+assign cb8de2[25] = tw30a51[26] ^ tw30a51[17] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5];\r
+assign cb8de2[26] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[24] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[18] ^ tw30a51[28] ^ nt378a8[7];\r
+assign cb8de2[27] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[25] ^ nt378a8[2] ^ nt378a8[3] ^ tw30a51[28] ^ tw30a51[19] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[28] = tw30a51[30] ^ nt378a8[1] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[5] ^ tw30a51[29] ^ tw30a51[20];\r
+assign cb8de2[29] = tw30a51[30] ^ tw30a51[21] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ nt378a8[4] ^ tw30a51[27];\r
+assign cb8de2[30] = tw30a51[31] ^ tw30a51[22] ^ nt378a8[0] ^ nt378a8[3] ^ tw30a51[28];\r
+assign cb8de2[31] = tw30a51[23] ^ nt378a8[2] ^ tw30a51[29];\r
+always@* begin nt378a8<={ykc1859>>1,ea5c9d9[0]};ymbc546<=ea5c9d9[1];ofe2a30<=ea5c9d9[2];co15185<=ea5c9d9[3];doa8c29<=ea5c9d9[4];su4614a<={wjc9dd9>>1,ea5c9d9[5]};tw30a51<={jp50046>>1,ea5c9d9[6]};an8528b<={cb8de2>>1,ea5c9d9[7]};cb2945c<={yk46f15>>1,ea5c9d9[8]};end\r
+always@* begin ec2b93b[2047]<=rvc2c9;ec2b93b[2046]<=nr6164e;ec2b93b[2044]<=vkb277;ec2b93b[2041]<=ui593bb;ec2b93b[2034]<=wjc9dd9[0];ec2b93b[2021]<=jp50046[0];ec2b93b[1995]<=cb8de2[0];ec2b93b[1943]<=yk46f15[0];ec2b93b[1023]<=ykc1859[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ri351a3 (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ mtbb27f, \r
+ ou1a400, \r
+ xjd2000, \r
+ mt90006, \r
+ gd80035,\r
+\r
+ \r
+ nt32741,\r
+ qtedba5,\r
+ su6dd2c,\r
+ offdb74,\r
+\r
+ \r
+ lqe4402,\r
+ hd22013,\r
+ uk1009e,\r
+ sj804f1,\r
+\r
+ \r
+ vi7ceeb,\r
+ uve775b,\r
+ uk3badc,\r
+ jpdd6e0,\r
+ ofdc1d5,\r
+ yke0ead,\r
+ cb7568,\r
+ qg68a93,\r
+ lqeb707,\r
+ gb5b83a,\r
+ fpa0744,\r
+\r
+ \r
+ ignore_pkt,\r
+\r
+ \r
+ mt1d13e,\r
+ kde89f5,\r
+ yx44fac,\r
+ rv27d65,\r
+ aa3eb2f,\r
+ cmf5978,\r
+\r
+ \r
+ ou9830b,\r
+\r
+ \r
+ zx4ff6d,\r
+ uve83d9,\r
+\r
+ \r
+ qg78222,\r
+ ayc1110,\r
+ cb8880,\r
+\r
+ \r
+ shc9fed,\r
+ db2202c,\r
+\r
+ \r
+ ou10166,\r
+\r
+ \r
+ thec185,\r
+ nt617c,\r
+\r
+ \r
+ ie9dcf2,\r
+ pf6e773,\r
+ lq73b9e,\r
+\r
+ \r
+ uk8d311,\r
+ ukb3910,\r
+ vkb277,\r
+ me62210\r
+);\r
+parameter ld6e960 = 8;\r
+parameter vi52632 = 14;\r
+parameter xwfb4be = 20;\r
+parameter jcda5f3 = 32;\r
+parameter gd80619 = 32;\r
+parameter zz30cc = 9;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input mtbb27f;\r
+input ou1a400;\r
+input xjd2000;\r
+input mt90006;\r
+input gd80035;\r
+input su6dd2c;\r
+input offdb74;\r
+input qtedba5;\r
+input [ld6e960-1:0] nt32741;\r
+input lqe4402;\r
+input hd22013;\r
+input uk1009e;\r
+input sj804f1;\r
+input vi7ceeb;\r
+input uve775b;\r
+input uk3badc;\r
+input ofdc1d5;\r
+input fpa0744;\r
+input yke0ead;\r
+input cb7568;\r
+input qg68a93;\r
+input lqeb707;\r
+input [vi52632-1:0] gb5b83a;\r
+input [vi52632-1:0] jpdd6e0;\r
+input ignore_pkt;\r
+input mt1d13e;\r
+input kde89f5;\r
+input [vi52632-1:0] yx44fac;\r
+input rv27d65;\r
+input aa3eb2f;\r
+input cmf5978;\r
+input ou9830b;\r
+output zx4ff6d;\r
+output shc9fed;\r
+output db2202c;\r
+output thec185;\r
+output pf6e773;\r
+output lq73b9e;\r
+output uve83d9;\r
+output nt617c;\r
+output [xwfb4be-1:0] ie9dcf2;\r
+output qg78222;\r
+output [zz30cc-1:0] ayc1110;\r
+output cb8880;\r
+output ou10166;\r
+output [gd80619-1:0] uk8d311;\r
+output ukb3910;\r
+output vkb277;\r
+output me62210;\r
+wire zx4ff6d;\r
+wire thec185;\r
+wire pf6e773;\r
+wire lq73b9e;\r
+wire [xwfb4be-1:0] ie9dcf2;\r
+reg qg78222;\r
+wire [gd80619-1:0] uk8d311;\r
+wire ukb3910;\r
+wire me62210;\r
+reg ou10166;\r
+reg [zz30cc-1:0] ayc1110;\r
+reg cb8880;\r
+localparam cme53cb = 8;\r
+localparam mt29e59 = 8'b00000001;\r
+localparam pf4f2cc = 8'b00000010;\r
+localparam zk79667 = 8'b00000100;\r
+localparam uicb33a = 8'b00001000;\r
+localparam me599d1 = 8'b00010000;\r
+localparam gbcce88 = 8'b00100000;\r
+localparam ea67445 = 8'b01000000;\r
+localparam xy3a228 = 8'b10000000;\r
+localparam icd1143 = 1'b0;\r
+localparam ym88a19 = 1'b1;\r
+reg [cme53cb-1:0] ph2864e;\r
+reg shc9fed;\r
+reg ph193b9;\r
+reg ipc9dcf;\r
+reg wj4ee7d;\r
+reg yk773ec;\r
+reg uve83d9;\r
+wire zkcfb09;\r
+wire nt617c;\r
+wire dzec251;\r
+wire zx6128f;\r
+wire ph947f;\r
+wire xj4a3fe;\r
+wire [vi52632-1:0] cb8ffbc;\r
+wire wj7fde4;\r
+wire xwfef24;\r
+reg [cme53cb-1:0] ukbc914;\r
+reg lqe48a1;\r
+reg aa2450a;\r
+reg [2:0] xl22851;\r
+reg xy1428f;\r
+reg qva147b;\r
+reg [vi52632-1:0] yx51ee9;\r
+reg [vi52632-1:0] pf7ba65;\r
+reg vvdd32e;\r
+reg fne9977;\r
+reg ic4cbbe;\r
+reg wj65df1;\r
+reg [vi52632-1:0] ay77c61;\r
+reg anbe308;\r
+reg hof1845;\r
+reg hq8c22b;\r
+reg ld6115a;\r
+reg gd8ad7;\r
+reg [vi52632-1:0] ec2b5ee;\r
+reg [vi52632-1:0] rtd7b87;\r
+wire twbdc3f;\r
+wire dmee1fb;\r
+wire uv70fda;\r
+wire gq87ed5;\r
+wire xy3f6a8;\r
+wire jcfb543;\r
+wire bydaa1b;\r
+reg [xwfb4be-1:0] fca86db;\r
+reg me436db;\r
+wire xy1b6d9;\r
+wire osdb6ca;\r
+wire [jcda5f3-1:0] qgdb280;\r
+wire db2202c;\r
+reg jcca005;\r
+reg fn5002b;\r
+reg cb8015f;\r
+reg aa3bc5d;\r
+reg co57e1;\r
+reg qi2bf08;\r
+reg [ld6e960-1:0] ldfc20f;\r
+reg nee107e ;\r
+reg wl83f3;\r
+reg al41f9c;\r
+reg ecfce5;\r
+reg [4:0] nr7e728;\r
+reg jpf3947;\r
+reg mgb9e84;\r
+wire ice51f2;\r
+reg jr28f91;\r
+wire vkb277;\r
+reg qi3e472;\r
+wire gbf2391;\r
+wire bn91c8d;\r
+wire tj8e46e;\r
+wire ea72377;\r
+wire lf91bb9;\r
+reg sw8ddce;\r
+reg zx6ee71;\r
+reg qg7738f;\r
+reg aab9c79;\r
+reg goce3c9;\r
+reg hb71e49;\r
+reg ir8f24f;\r
+reg vi7927d;\r
+wire [zz30cc-1:0] cm49f54;\r
+reg [3:0] bl4faa1;\r
+reg me7d50e;\r
+reg uiea870;\r
+reg en54381;\r
+reg ks109ed;\r
+reg vke06c;\r
+reg wj70363;\r
+reg vx81b1c;\r
+reg sjd8e7;\r
+reg [ld6e960 - 1 : 0] nt842ea;\r
+reg aa21756;\r
+reg cze7ade;\r
+reg nt3d6f5;\r
+reg dmeb7ae;\r
+reg uv5bd72;\r
+reg uideb95;\r
+reg uif5ca9;\r
+reg irae549;\r
+reg go72a4c;\r
+reg wl95260;\r
+reg [vi52632 - 1 : 0] ui49829;\r
+reg me4c149;\r
+reg yx60a48;\r
+reg aa5244;\r
+reg cb29220;\r
+reg os49105;\r
+reg [vi52632 - 1 : 0] kd44143;\r
+reg do20a1c;\r
+reg ou50e2;\r
+reg lf28710;\r
+reg ic43887;\r
+reg [vi52632 - 1 : 0] ipe21c7;\r
+reg co10e3c;\r
+reg ym871e0;\r
+reg rv38f01;\r
+reg pfc780f;\r
+reg [cme53cb - 1 : 0] qge03f4;\r
+reg tw1fa1;\r
+reg aafd0b;\r
+reg hb7e858;\r
+reg hof42c6;\r
+reg epa1632;\r
+reg bab195;\r
+reg rg58cab;\r
+reg uvc655a;\r
+reg lf32ad5;\r
+reg [vi52632 - 1 : 0] qvab575;\r
+reg vv5abac;\r
+reg dmd5d67;\r
+reg [cme53cb - 1 : 0] mr759d5;\r
+reg ukacead;\r
+reg yk67568;\r
+reg [2 : 0] ba3ab40;\r
+reg lqd5a02;\r
+reg twad012;\r
+reg [vi52632 - 1 : 0] fn40499;\r
+reg [vi52632 - 1 : 0] ep12675;\r
+reg pu933ac;\r
+reg zm99d62;\r
+reg eaceb12;\r
+reg mr75890;\r
+reg [vi52632 - 1 : 0] cm62429;\r
+reg ir12148;\r
+reg wl90a44;\r
+reg ep85224;\r
+reg ir29124;\r
+reg lq48925;\r
+reg [vi52632 - 1 : 0] ie2495d;\r
+reg [vi52632 - 1 : 0] hq25740;\r
+reg ym2ba03;\r
+reg jc5d019;\r
+reg mre80cb;\r
+reg th4065d;\r
+reg zz32ee;\r
+reg zz19777;\r
+reg iccbbbf;\r
+reg [xwfb4be - 1 : 0] tueefc2;\r
+reg ui77e13;\r
+reg fpbf098;\r
+reg wjf84c7;\r
+reg [jcda5f3 - 1 : 0] zz131e7;\r
+reg aa98f3e;\r
+reg pfc79f0;\r
+reg sj3cf86;\r
+reg mr7090a;\r
+reg ou3e1ad;\r
+reg osf0d69;\r
+reg [ld6e960 - 1 : 0] ls35a4a;\r
+reg ngad253;\r
+reg zk6929b;\r
+reg qg494db;\r
+reg fn4a6d8;\r
+reg [4 : 0] ww536c7;\r
+reg fp9b638;\r
+reg xweb89d;\r
+reg pfd8e30;\r
+reg fnc7186;\r
+reg vx38c37;\r
+reg uvc61bf;\r
+reg je30dfd;\r
+reg xy86feb;\r
+reg fp37f5a;\r
+reg phbfad6;\r
+reg uvfd6b6;\r
+reg hoeb5b4;\r
+reg bl5ada2;\r
+reg ald6d17;\r
+reg mgb68bd;\r
+reg sjb45e8;\r
+reg nga2f44;\r
+reg wl17a23;\r
+reg [zz30cc - 1 : 0] ipe88ea;\r
+reg [3 : 0] ip44754;\r
+reg oh23aa4;\r
+reg ep1d525;\r
+reg wwea92d;\r
+reg [2047:0] ec2b93b;\r
+wire [111:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 112,ph27642 = 32'hfdffca8b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+\r
+\r
+ \r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin bl4faa1 <= 4'h0; me7d50e <= icd1143; uiea870 <= 1'b0; en54381 <= 1'b0; end else if (rxmac_clk_en) begin\r
+ en54381 <= ep1d525;\r
+ case (oh23aa4) icd1143 : begin uiea870 <= 1'b0; if (aa21756 && fp9b638) begin bl4faa1 <= ip44754 + 1; me7d50e <= ym88a19; end else begin bl4faa1 <= 4'h0; me7d50e <= icd1143; end end ym88a19 : begin if (fp9b638) begin if (ip44754 <= 4'd12 ) begin bl4faa1 <= ip44754 + 1; me7d50e <= ym88a19; end else begin me7d50e <= icd1143; end end else begin if (~ww536c7[3] & ww536c7[4]) begin me7d50e <= icd1143; end if (ip44754 <= 4'd12) begin uiea870 <= 1'b1; end else begin uiea870 <= 1'b0; end end end default : begin bl4faa1 <= 4'h0; uiea870 <= 1'b0; me7d50e <= icd1143; end\r
+ endcase end \r
+\r
+end \r
+\r
+\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin hof1845 <= 1'b0; hq8c22b <= 1'b0; ukbc914 <= mt29e59; xl22851 <= 3'b000; xy1428f <= 1'b0; jpf3947 <= 1'b0; nee107e <= 1'b0; wl83f3 <= 1'b0; fne9977 <= 1'b0; ic4cbbe <= 1'b0; wj65df1 <= 1'b0; anbe308 <= 1'b0; ir8f24f <= 1'b0; vi7927d <= 1'b0; qva147b <= 1'b0; end else if (rxmac_clk_en) begin ir8f24f <= ym871e0; vi7927d <= nga2f44; if (ir12148) wj65df1 <= ir12148; else if (zx4ff6d) wj65df1 <= 1'b0; else if (uv5bd72) wj65df1 <= 1'b0; else wj65df1 <= mr75890; anbe308 <= dmeb7ae; fne9977 <= ou50e2; ic4cbbe <= zm99d62; hof1845 <= uv5bd72; hq8c22b <= wl90a44; jpf3947 <= ks109ed; nee107e <= fp9b638; wl83f3 <= ngad253; xy1428f <= dmeb7ae | (lqd5a02 & ~epa1632); qva147b <= tw1fa1; if(bab195) xl22851 <= ba3ab40 + 2'd1; else if (zx4ff6d) xl22851 <= 3'b000; ukbc914 <= qge03f4; end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign thec185 = twad012;\r
+\r
+assign dzec251 = lqd5a02 | dmeb7ae;\r
+assign zkcfb09 = ba3ab40[2] & ~ba3ab40[1] & ba3ab40[0];\r
+\r
+always @ (mr759d5 or wl17a23 or ks109ed or fp9b638 or aa21756 or cze7ade or dmeb7ae or uv5bd72 or epa1632 or irae549 or go72a4c or eaceb12) begin case (mr759d5) mt29e59 : begin if (!(wl17a23 && ks109ed)) begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b1; end else begin if(!eaceb12) yk773ec = 1'b0; else yk773ec = 1'b1; ph2864e = pf4f2cc; shc9fed = 1'b1; ph193b9 = 1'b0; wj4ee7d = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end pf4f2cc : begin if (cze7ade) begin ph2864e = uicb33a; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b1; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else if (aa21756) begin ph2864e = zk79667; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else if (!ks109ed) begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else begin ph2864e = pf4f2cc; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end zk79667 : begin if (dmeb7ae) begin ph2864e = me599d1; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else if (uv5bd72) begin ph2864e = uicb33a; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else if (!fp9b638) begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b1; ipc9dcf = 1'b0; end else begin ph2864e = zk79667; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end uicb33a : begin if (!ks109ed) begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else begin ph2864e = uicb33a; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end me599d1 : begin if (epa1632) begin ph2864e = gbcce88; shc9fed = 1'b0; ph193b9 = 1'b1; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else if (!fp9b638) begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b1; ipc9dcf = 1'b0; end else begin ph2864e = me599d1; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end gbcce88 : begin if(irae549 || go72a4c) begin ph2864e = ea67445; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else if (!ks109ed) begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b1; ipc9dcf = 1'b0; end else begin ph2864e = gbcce88; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end ea67445 : begin if (!fp9b638) begin ph2864e = xy3a228; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end else begin ph2864e = ea67445; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end end xy3a228 : begin ph2864e = mt29e59; shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end default : begin ph2864e = mt29e59;\r
+ shc9fed = 1'b0; ph193b9 = 1'b0; wj4ee7d = 1'b0; yk773ec = 1'b0; uve83d9 = 1'b0; ipc9dcf = 1'b0; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign suef5cd = ks109ed;\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin jcca005 <= 0; fn5002b <= 0; lqe48a1 <= 1'b0; aa2450a <= 1'b0; ldfc20f <= 8'h00; cb8015f <= 1'b0; aa3bc5d <= 1'b0; co57e1 <= 1'b0; qi2bf08 <= 1'b0; ecfce5 <= 1'b0; qg78222 <= 1'b0; nr7e728[0] <= 1'b0; nr7e728[1] <= 1'b0; nr7e728[2] <= 1'b0; nr7e728[3] <= 1'b0; nr7e728[4] <= 1'b0; end else if (rxmac_clk_en) begin nr7e728[0] <= ngad253; nr7e728[1] <= ww536c7[0]; nr7e728[2] <= ww536c7[1]; nr7e728[3] <= ww536c7[2]; nr7e728[4] <= ww536c7[3]; if (fpbf098) ecfce5 <= fpbf098; else if (zx4ff6d) ecfce5 <= 1'b0; else ecfce5 <= fn4a6d8; co57e1 <= zz32ee; qi2bf08 <= ou3e1ad; qg78222 <= aafd0b & ~ou3e1ad; cb8015f <= zx4ff6d; aa3bc5d <= lq73b9e; ldfc20f <= nt842ea; jcca005 <= suef5cd; fn5002b <= ui77e13; if (yx60a48) lqe48a1 <= os49105 | (ukacead & ui77e13); else lqe48a1 <= os49105 | (ukacead & aa98f3e); aa2450a <= aa21756 | (yk67568 & ym2ba03 & ~(uv5bd72 & ~wl90a44)); end\r
+end\r
+\r
+\r
+\r
+assign nt617c = ukacead | os49105;\r
+assign dmee1fb = (kd44143 == 14'd1);\r
+\r
+always @ (suef5cd or nt617c or jc5d019) begin casex ({nt617c, (jc5d019 & co10e3c)}) 2'b0x : me436db = suef5cd; 2'b11 : me436db = 0; default : me436db = suef5cd; endcase\r
+end\r
+\r
+assign twbdc3f = (yx60a48) ? pfc79f0 : vke06c;\r
+assign uv70fda = ~uvfd6b6 & yk67568;\r
+assign xy3f6a8 = yk67568;\r
+assign gq87ed5 = (zx4ff6d & ~lq73b9e) | (sj3cf86 & ~mr7090a);\r
+assign pf6e773 = mre80cb | th4065d;\r
+assign bydaa1b = zx4ff6d | sj3cf86;\r
+always @ (zx4ff6d or sj3cf86 or zz131e7 or mgb68bd) begin case({zx4ff6d, sj3cf86}) 2'b10 : fca86db = {2'b11,1'b0,1'b0,zz131e7[31:16]}; 2'b01 : fca86db = {2'b10,1'b1,mgb68bd,zz131e7[15:0]}; default : fca86db = 20'bxxxxxxxxxxxxxxxxxxxx; endcase\r
+end\r
+assign ie9dcf2[19:0] = iccbbbf ? tueefc2[19:0] : {12'h000, ls35a4a};\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign xy1b6d9 = (~ngad253 & zk6929b) & ou3e1ad;\r
+assign osdb6ca = fpbf098 | fn4a6d8;\r
+assign zx4ff6d = wjf84c7 ? osf0d69 & ~ou3e1ad : mr75890 & ~cze7ade & ~wl90a44 & ~ww536c7[3] & ww536c7[4];\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign jcfb543 = (ep12675 >= 14'd64);\r
+\r
+\r
+\r
+\r
+assign lq73b9e = ((~lf28710) ? (zx4ff6d & ~qg494db) : 1'b0) | (wl90a44 & ~ep85224) | (ep1d525 & ~wwea92d) | (rv38f01 & go72a4c & zx4ff6d) | (do20a1c & zx4ff6d);\r
+\r
+assign zx6128f = aa21756 | (pu933ac & aa98f3e);\r
+\r
+\r
+assign ph947f = nt617c;\r
+assign xj4a3fe = nt617c & zz32ee;\r
+\r
+\r
+assign cb8ffbc = (~yx60a48) ? cm62429 -14'd4 : hq25740;\r
+\r
+assign uk8d311 = 32'hffffffff;\r
+\r
+assign me62210 = shc9fed;\r
+assign vkb277 = ~fp9b638 & ngad253;\r
+\r
+assign ukb3910 = aa21756 | vx38c37;\r
+\r
+\r
+\r
+assign ice51f2 = ic43887 & me4c149 & fnc7186 & qg494db & ~(ald6d17 | pfc780f);\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin gd8ad7 <= 1'b0; ld6115a <= 1'b0; ec2b5ee <= 14'b0; yx51ee9 <= 14'b0; pf7ba65 <= 14'b0; vvdd32e <= 1'b0; al41f9c <= 1'b0; qi3e472 <= 1'b0; zx6ee71 <= 1'b0; qg7738f <= 1'b0; aab9c79 <= 1'b0; goce3c9 <= 1'b0; jr28f91 <= 1'b0; ou10166 <= 1'b0; sw8ddce <= 1'b0; ay77c61 <= 14'd0; rtd7b87 <= 14'd0; ayc1110 <= 9'd0; cb8880 <= 1'b0; hb71e49 <= 1'b0; mgb9e84 <= 1'b0; end else if (rxmac_clk_en) begin cb8880 <= sj3cf86 & ~mr7090a; if (sj3cf86 && !mr7090a) ayc1110 <= ipe88ea; jr28f91 <= vkb277; ou10166 <= pfd8e30; if (hof42c6) sw8ddce <= hof42c6; else if (shc9fed && !hof42c6) sw8ddce <= 1'b0; else if (wl90a44) sw8ddce <= 1'b0; else sw8ddce <= uvfd6b6; goce3c9 <= ~phbfad6; if (wj70363 & ks109ed) aab9c79 <= wj70363; else if (sj3cf86) aab9c79 <= 1'b0; else aab9c79 <= ald6d17; if (xy86feb) qg7738f <= xy86feb; else if (sj3cf86) qg7738f <= 1'b0; else qg7738f <= bl5ada2; mgb9e84 <= aa21756; if (xweb89d) zx6ee71 <= sjd8e7; if (db2202c) hb71e49 <= nt3d6f5; qi3e472 <= aa21756 | (vx38c37 & ks109ed); ec2b5ee <= qvab575; ld6115a <= uvc61bf; gd8ad7 <= dmd5d67; if (pu933ac && !rg58cab) al41f9c <= zz19777; vvdd32e <= rg58cab; if (pu933ac && !rg58cab) yx51ee9 <= ep12675; if (rg58cab) begin pf7ba65 <= ep12675 + 14'd1; end else pf7ba65 <= 14'd0; if (lf32ad5 && yx60a48 && ui77e13) rtd7b87 <= hq25740 + 14'd1; if (uvc655a && !yx60a48 && aa98f3e) ay77c61 <= cm62429 + 14'd1; else if (ir12148) ay77c61 <= 14'd0; end\r
+end\r
+\r
+\r
+\r
+assign db2202c = ir12148;\r
+\r
+\r
+assign gbf2391 = wl95260 ? (fn40499 > (ipe21c7 + 14'd4)) : fn40499 > ipe21c7;\r
+assign bn91c8d = (fn40499 < 14'd64);\r
+assign tj8e46e = hb7e858;\r
+\r
+assign wj7fde4 = (fn40499[6:0] != 7'd64);\r
+assign xwfef24 = (ui49829 != ie2495d);\r
+assign ea72377 = je30dfd ? 1'b0 : go72a4c | yx60a48 ? vv5abac : aa5244 ? 1'b0 : lq48925;\r
+\r
+assign lf91bb9 = ~(ald6d17 | pfc780f | fp37f5a);\r
+assign qgdb280[31] = ir29124; \r
+assign qgdb280[30] = je30dfd; \r
+assign qgdb280[29] = hoeb5b4; \r
+assign qgdb280[28] = sjb45e8;\r
+assign qgdb280[27] = bl5ada2; \r
+assign qgdb280[26] = uvfd6b6; \r
+assign qgdb280[25] = pfc780f; \r
+assign qgdb280[24] = fp37f5a; \r
+assign qgdb280[23] = phbfad6; \r
+assign qgdb280[22] = uideb95; \r
+assign qgdb280[21] = uif5ca9; \r
+assign qgdb280[20] = vx81b1c; \r
+assign qgdb280[19] = cb29220; \r
+assign qgdb280[18] = go72a4c; \r
+assign qgdb280[17] = me4c149; \r
+assign qgdb280[16] = wl95260; \r
+assign qgdb280[15:14] = 2'b00;\r
+assign qgdb280[13:0] = fn40499; \r
+\r
+assign cm49f54[0] = me4c149;\r
+assign cm49f54[1] = pfc780f;\r
+assign cm49f54[2] = ald6d17;\r
+assign cm49f54[3] = ir29124;\r
+assign cm49f54[4] = je30dfd;\r
+assign cm49f54[5] = hoeb5b4;\r
+assign cm49f54[6] = uideb95;\r
+assign cm49f54[7] = uif5ca9;\r
+assign cm49f54[8] = wl95260;\r
+\r
+always@* begin ks109ed<=ea5c9d9[0];vke06c<=ea5c9d9[1];wj70363<=ea5c9d9[2];vx81b1c<=ea5c9d9[3];sjd8e7<=ea5c9d9[4];nt842ea<={nt32741>>1,ea5c9d9[5]};aa21756<=ea5c9d9[6];cze7ade<=ea5c9d9[7];nt3d6f5<=ea5c9d9[8];dmeb7ae<=ea5c9d9[9];uv5bd72<=ea5c9d9[10];uideb95<=ea5c9d9[11];uif5ca9<=ea5c9d9[12];irae549<=ea5c9d9[13];go72a4c<=ea5c9d9[14];wl95260<=ea5c9d9[15];ui49829<={jpdd6e0>>1,ea5c9d9[16]};me4c149<=ea5c9d9[17];yx60a48<=ea5c9d9[18];aa5244<=ea5c9d9[19];cb29220<=ea5c9d9[20];os49105<=ea5c9d9[21];kd44143<={gb5b83a>>1,ea5c9d9[22]};do20a1c<=ea5c9d9[23];ou50e2<=ea5c9d9[24];lf28710<=ea5c9d9[25];ic43887<=ea5c9d9[26];ipe21c7<={yx44fac>>1,ea5c9d9[27]};co10e3c<=ea5c9d9[28];ym871e0<=ea5c9d9[29];rv38f01<=ea5c9d9[30];pfc780f<=ea5c9d9[31];qge03f4<={ph2864e>>1,ea5c9d9[32]};tw1fa1<=ea5c9d9[33];aafd0b<=ea5c9d9[34];hb7e858<=ea5c9d9[35];hof42c6<=ea5c9d9[36];epa1632<=ea5c9d9[37];bab195<=ea5c9d9[38];rg58cab<=ea5c9d9[39];uvc655a<=ea5c9d9[40];lf32ad5<=ea5c9d9[41];qvab575<={cb8ffbc>>1,ea5c9d9[42]};vv5abac<=ea5c9d9[43];dmd5d67<=ea5c9d9[44];mr759d5<={ukbc914>>1,ea5c9d9[45]};ukacead<=ea5c9d9[46];yk67568<=ea5c9d9[47];ba3ab40<={xl22851>>1,ea5c9d9[48]};lqd5a02<=ea5c9d9[49];twad012<=ea5c9d9[50];fn40499<={yx51ee9>>1,ea5c9d9[51]};ep12675<={pf7ba65>>1,ea5c9d9[52]};pu933ac<=ea5c9d9[53];zm99d62<=ea5c9d9[54];eaceb12<=ea5c9d9[55];mr75890<=ea5c9d9[56];cm62429<={ay77c61>>1,ea5c9d9[57]};ir12148<=ea5c9d9[58];wl90a44<=ea5c9d9[59];ep85224<=ea5c9d9[60];ir29124<=ea5c9d9[61];lq48925<=ea5c9d9[62];ie2495d<={ec2b5ee>>1,ea5c9d9[63]};hq25740<={rtd7b87>>1,ea5c9d9[64]};ym2ba03<=ea5c9d9[65];jc5d019<=ea5c9d9[66];mre80cb<=ea5c9d9[67];th4065d<=ea5c9d9[68];zz32ee<=ea5c9d9[69];zz19777<=ea5c9d9[70];iccbbbf<=ea5c9d9[71];tueefc2<={fca86db>>1,ea5c9d9[72]};ui77e13<=ea5c9d9[73];fpbf098<=ea5c9d9[74];wjf84c7<=ea5c9d9[75];zz131e7<={qgdb280>>1,ea5c9d9[76]};aa98f3e<=ea5c9d9[77];pfc79f0<=ea5c9d9[78];sj3cf86<=ea5c9d9[79];mr7090a<=ea5c9d9[80];ou3e1ad<=ea5c9d9[81];osf0d69<=ea5c9d9[82];ls35a4a<={ldfc20f>>1,ea5c9d9[83]};ngad253<=ea5c9d9[84];zk6929b<=ea5c9d9[85];qg494db<=ea5c9d9[86];fn4a6d8<=ea5c9d9[87];ww536c7<={nr7e728>>1,ea5c9d9[88]};fp9b638<=ea5c9d9[89];xweb89d<=ea5c9d9[90];pfd8e30<=ea5c9d9[91];fnc7186<=ea5c9d9[92];vx38c37<=ea5c9d9[93];uvc61bf<=ea5c9d9[94];je30dfd<=ea5c9d9[95];xy86feb<=ea5c9d9[96];fp37f5a<=ea5c9d9[97];phbfad6<=ea5c9d9[98];uvfd6b6<=ea5c9d9[99];hoeb5b4<=ea5c9d9[100];bl5ada2<=ea5c9d9[101];ald6d17<=ea5c9d9[102];mgb68bd<=ea5c9d9[103];sjb45e8<=ea5c9d9[104];nga2f44<=ea5c9d9[105];wl17a23<=ea5c9d9[106];ipe88ea<={cm49f54>>1,ea5c9d9[107]};ip44754<={bl4faa1>>1,ea5c9d9[108]};oh23aa4<=ea5c9d9[109];ep1d525<=ea5c9d9[110];wwea92d<=ea5c9d9[111];end\r
+always@* begin ec2b93b[2047]<=ou1a400;ec2b93b[2046]<=xjd2000;ec2b93b[2044]<=mt90006;ec2b93b[2040]<=gd80035;ec2b93b[2032]<=nt32741[0];ec2b93b[2028]<=jr28f91;ec2b93b[2017]<=qtedba5;ec2b93b[2008]<=qi3e472;ec2b93b[1988]<=osdb6ca;ec2b93b[1987]<=su6dd2c;ec2b93b[1969]<=gbf2391;ec2b93b[1928]<=qgdb280[0];ec2b93b[1926]<=offdb74;ec2b93b[1891]<=bn91c8d;ec2b93b[1809]<=jcca005;ec2b93b[1805]<=wj4ee7d;ec2b93b[1804]<=lqe4402;ec2b93b[1803]<=fpa0744;ec2b93b[1761]<=ph2864e[0];ec2b93b[1748]<=ld6115a;ec2b93b[1734]<=tj8e46e;ec2b93b[1727]<=ecfce5;ec2b93b[1696]<=rtd7b87[0];ec2b93b[1668]<=cb8ffbc[0];ec2b93b[1633]<=hb71e49;ec2b93b[1587]<=sw8ddce;ec2b93b[1571]<=fn5002b;ec2b93b[1562]<=yk773ec;ec2b93b[1560]<=hd22013;ec2b93b[1558]<=ignore_pkt;ec2b93b[1555]<=bl4faa1[0];ec2b93b[1550]<=jpdd6e0[0];ec2b93b[1531]<=mgb9e84;ec2b93b[1475]<=ph193b9;ec2b93b[1464]<=cmf5978;ec2b93b[1448]<=gd8ad7;ec2b93b[1420]<=ea72377;ec2b93b[1406]<=nr7e728[0];ec2b93b[1345]<=twbdc3f;ec2b93b[1288]<=wj7fde4;ec2b93b[1287]<=uv70fda;ec2b93b[1242]<=anbe308;ec2b93b[1218]<=ir8f24f;ec2b93b[1131]<=ldfc20f[0];ec2b93b[1126]<=zx6ee71;ec2b93b[1094]<=cb8015f;ec2b93b[1076]<=zkcfb09;ec2b93b[1072]<=uk1009e;ec2b93b[1069]<=mt1d13e;ec2b93b[1063]<=me7d50e;ec2b93b[1056]<=ukbc914[0];ec2b93b[1055]<=xy3f6a8;ec2b93b[1052]<=ofdc1d5;ec2b93b[1028]<=qva147b;ec2b93b[1023]<=mtbb27f;ec2b93b[1014]<=ice51f2;ec2b93b[994]<=xy1b6d9;ec2b93b[902]<=ipc9dcf;ec2b93b[901]<=gb5b83a[0];ec2b93b[880]<=ou9830b;ec2b93b[874]<=hq8c22b;ec2b93b[863]<=al41f9c;ec2b93b[848]<=ec2b5ee[0];ec2b93b[834]<=xj4a3fe;ec2b93b[816]<=goce3c9;ec2b93b[793]<=lf91bb9;ec2b93b[777]<=cm49f54[0];ec2b93b[775]<=uk3badc;ec2b93b[765]<=jpf3947;ec2b93b[732]<=aa3eb2f;ec2b93b[643]<=dmee1fb;ec2b93b[621]<=ay77c61[0];ec2b93b[565]<=qi2bf08;ec2b93b[528]<=xwfef24;ec2b93b[527]<=gq87ed5;ec2b93b[514]<=xy1428f;ec2b93b[497]<=me436db;ec2b93b[450]<=lqeb707;ec2b93b[437]<=hof1845;ec2b93b[431]<=wl83f3;ec2b93b[417]<=ph947f;ec2b93b[408]<=aab9c79;ec2b93b[388]<=vi7927d;ec2b93b[387]<=uve775b;ec2b93b[366]<=rv27d65;ec2b93b[310]<=wj65df1;ec2b93b[282]<=co57e1;ec2b93b[257]<=xl22851[0];ec2b93b[248]<=fca86db[0];ec2b93b[225]<=qg68a93;ec2b93b[215]<=nee107e;ec2b93b[208]<=zx6128f;ec2b93b[204]<=qg7738f;ec2b93b[193]<=vi7ceeb;ec2b93b[183]<=yx44fac[0];ec2b93b[157]<=en54381;ec2b93b[155]<=ic4cbbe;ec2b93b[141]<=aa3bc5d;ec2b93b[128]<=aa2450a;ec2b93b[124]<=bydaa1b;ec2b93b[112]<=cb7568;ec2b93b[104]<=dzec251;ec2b93b[96]<=sj804f1;ec2b93b[91]<=kde89f5;ec2b93b[78]<=uiea870;ec2b93b[77]<=fne9977;ec2b93b[64]<=lqe48a1;ec2b93b[62]<=jcfb543;ec2b93b[56]<=yke0ead;ec2b93b[38]<=vvdd32e;ec2b93b[19]<=pf7ba65[0];ec2b93b[9]<=yx51ee9[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module xlbf10b (\r
+ \r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ mtbb27f, \r
+ ou1a400, \r
+ ald93fd, \r
+ xjd2000,\r
+ mt90006,\r
+ gd80035,\r
+\r
+ \r
+ en41ecd, \r
+ ksf66d, \r
+ nr7b369, \r
+ ipd9b4f, \r
+ blcda7c, \r
+ qg6d3e7, \r
+ xw69f39, \r
+ zx4f9ca, \r
+ xw7ce56, \r
+ kde72b3, \r
+ ux3959c, \r
+ hocace4, \r
+ fc30be7, \r
+ mt1d13e, \r
+ kde89f5, \r
+ yx44fac, \r
+ rv27d65, \r
+ aa3eb2f, \r
+ cmf5978, \r
+\r
+ \r
+ rx_fifo_full, \r
+ ignore_pkt, \r
+\r
+ \r
+ qg78222, \r
+ lq4d15b, \r
+ os68ada, \r
+ ayc1110, \r
+ cb8880, \r
+\r
+ \r
+ zmad152, \r
+ ou10166, \r
+\r
+ \r
+ rx_fifo_error, \r
+ rx_stat_vector, \r
+ rx_dbout, \r
+ rx_write, \r
+ rx_stat_en, \r
+ rx_eof, \r
+ rx_error \r
+);\r
+parameter ld6e960 = 8;\r
+parameter vi52632 = 14;\r
+parameter vk93192 = 16;\r
+parameter uk98c92 = 16;\r
+parameter xwfb4be = 20;\r
+parameter jcda5f3 = 32;\r
+parameter gd80619 = 32;\r
+parameter jpd2f9a = 8;\r
+parameter gq97cd7 = 4;\r
+parameter gqa3846 = 8;\r
+parameter db9e32f = 48;\r
+parameter nee118f = 2;\r
+parameter lqf197e = 6;\r
+parameter ng8cbf3 = 8;\r
+parameter zz30cc = 9;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input mtbb27f;\r
+input ou1a400;\r
+input xjd2000;\r
+input mt90006;\r
+input gd80035;\r
+input [ld6e960-1:0] ald93fd;\r
+input [gqa3846-1:0] en41ecd;\r
+input [gqa3846-1:0] ksf66d;\r
+input [gqa3846-1:0] nr7b369;\r
+input [gqa3846-1:0] ipd9b4f;\r
+input [gqa3846-1:0] blcda7c;\r
+input [gqa3846-1:0] qg6d3e7;\r
+input [gqa3846-1:0] xw69f39;\r
+input [gqa3846-1:0] zx4f9ca;\r
+input [db9e32f-1:0] xw7ce56;\r
+input [vk93192-1:0] fc30be7;\r
+input [vi52632-1:0] yx44fac;\r
+input kde72b3;\r
+input ux3959c;\r
+input hocace4;\r
+input mt1d13e;\r
+input kde89f5;\r
+input rv27d65;\r
+input aa3eb2f;\r
+input cmf5978;\r
+input ignore_pkt;\r
+input rx_fifo_full;\r
+output qg78222;\r
+output [zz30cc-1:0] ayc1110;\r
+output cb8880;\r
+output os68ada;\r
+output [uk98c92-1:0] lq4d15b;\r
+output [vk93192-1:0] zmad152;\r
+output ou10166;\r
+output rx_fifo_error;\r
+output [jcda5f3-1:0] rx_stat_vector;\r
+output [ld6e960-1:0] rx_dbout;\r
+output rx_write;\r
+output rx_stat_en;\r
+output rx_eof;\r
+output rx_error;\r
+parameter pdevice_family = "XP2";\r
+wire [ld6e960-1:0] pfcd085;\r
+wire [ld6e960-1:0] dm42171;\r
+wire co10b8b;\r
+wire an85c58;\r
+wire ec2e2c5;\r
+wire dz71628;\r
+wire zz8b146;\r
+wire [vi52632-1:0] vic51ba;\r
+wire ph28dd2;\r
+wire kq46e96;\r
+wire fp374b0;\r
+wire tjba587;\r
+wire fnd2c3f;\r
+wire [vk93192-1:0] tjb0ffa;\r
+wire [uk98c92-1:0] ls3feb8;\r
+wire [vi52632-1:0] ykfae11;\r
+wire pfd7088;\r
+wire zmb8446;\r
+wire xjc2232;\r
+wire hq11197;\r
+wire qi88cbb;\r
+wire go465d9;\r
+wire db32ec9;\r
+wire wy9764e;\r
+wire epbb270;\r
+wire ofd9385;\r
+wire alc9c2d;\r
+wire kd4e16d;\r
+wire mr70b6d;\r
+wire wl85b6d;\r
+wire [gd80619-1:0] cm6db6e;\r
+wire kq6db70;\r
+wire ww6db80;\r
+wire [xwfb4be-1:0] fn6e000;\r
+wire kd70006;\r
+wire cb80034;\r
+wire qg78222;\r
+wire cb8880;\r
+wire [zz30cc-1:0] ayc1110;\r
+wire [nee118f-1:0] vk2038d;\r
+wire [lqf197e-1:0] jee35b;\r
+wire [jpd2f9a-1:0] qi8d6df;\r
+wire [jpd2f9a-1:0] kd5b7c6;\r
+wire osdbe34;\r
+wire zkdf1a1;\r
+wire [xwfb4be-1:0] enc6855;\r
+reg gq342ac;\r
+reg ks109ed;\r
+reg vke06c;\r
+reg [ld6e960 - 1 : 0] hd27b47;\r
+reg wj70363;\r
+reg vx81b1c;\r
+reg sjd8e7;\r
+reg [gqa3846 - 1 : 0] su56299;\r
+reg [gqa3846 - 1 : 0] kf8a644;\r
+reg [gqa3846 - 1 : 0] ls99128;\r
+reg [gqa3846 - 1 : 0] ip44a1f;\r
+reg [gqa3846 - 1 : 0] db287eb;\r
+reg [gqa3846 - 1 : 0] uk1faf8;\r
+reg [gqa3846 - 1 : 0] xwebe0c;\r
+reg [gqa3846 - 1 : 0] mrf831e;\r
+reg [db9e32f - 1 : 0] lfc7a1;\r
+reg ps63d0e;\r
+reg ls1e873;\r
+reg byf439b;\r
+reg [vk93192 - 1 : 0] bl4ad57;\r
+reg lf28710;\r
+reg ic43887;\r
+reg [vi52632 - 1 : 0] ipe21c7;\r
+reg co10e3c;\r
+reg ym871e0;\r
+reg rv38f01;\r
+reg xw5d016;\r
+reg ou50e2;\r
+reg [ld6e960 - 1 : 0] rg76258;\r
+reg [ld6e960 - 1 : 0] ba8961c;\r
+reg of4b0e2;\r
+reg rg58715;\r
+reg yxc38ab;\r
+reg nt1c55c;\r
+reg she2ae7;\r
+reg [vi52632 - 1 : 0] anab9e9;\r
+reg yk5cf4d;\r
+reg yxe7a68;\r
+reg hd3d345;\r
+reg jpe9a2e;\r
+reg ps4d176;\r
+reg [vk93192 - 1 : 0] bl45d90;\r
+reg [uk98c92 - 1 : 0] rg76439;\r
+reg [vi52632 - 1 : 0] yz90e5b;\r
+reg sw872dc;\r
+reg ux396e1;\r
+reg gocb70c;\r
+reg gb5b861;\r
+reg ofdc30d;\r
+reg zke186d;\r
+reg lfc36a;\r
+reg rt61b56;\r
+reg yzdab6;\r
+reg su6d5b5;\r
+reg of6ada9;\r
+reg go56d4d;\r
+reg ieb6a69;\r
+reg ntb5348;\r
+reg [gd80619 - 1 : 0] fa4d230;\r
+reg tu69182;\r
+reg vi48c13;\r
+reg [xwfb4be - 1 : 0] je304d9;\r
+reg sw826ca;\r
+reg vx13651;\r
+reg [nee118f - 1 : 0] qtd9476;\r
+reg [lqf197e - 1 : 0] al51dab;\r
+reg [jpd2f9a - 1 : 0] ps76ae6;\r
+reg [jpd2f9a - 1 : 0] gdab996;\r
+reg ui5ccb5;\r
+reg the65a8;\r
+reg [xwfb4be - 1 : 0] pu96a36;\r
+reg [2047:0] ec2b93b;\r
+wire [70:0] ea5c9d9;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam tue4ec8 = 71,ph27642 = 32'hfdffd42b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign dm42171 = rg76258;\r
+\r
+\r
+assign os68ada = ps4d176;\r
+assign lq4d15b = rg76439;\r
+assign zmad152 = bl45d90;\r
+\r
+\r
+\r
+\r
+assign vk2038d = je304d9[19:18];\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+uk979bb #( .ld6e960(ld6e960) ) qv3cf17 ( .rxmac_clk(rxmac_clk), .rxmac_clk_en(rxmac_clk_en), .reset_n(gq342ac), .mtbb27f(ks109ed), .ald93fd(hd27b47), .shc9fed(yzdab6), .zx4ff6d(of6ada9), .yx7fb6e(pfcd085), .offdb74(co10b8b), .qtedba5(an85c58), .su6dd2c(ec2e2c5) );\r
+\r
+shc9dff #( .ld6e960(ld6e960), .vi52632(vi52632), .vk93192(vk93192), .uk98c92(uk98c92) ) dz4aef1 ( .rxmac_clk(rxmac_clk), .reset_n(gq342ac), .rxmac_clk_en(rxmac_clk_en), .nt32741(rg76258), .thec185(go56d4d), .zx4ff6d(su6d5b5), .uve83d9(ieb6a69), .nt617c(ntb5348), .fc30be7(bl4ad57), .qv278c(gocb70c), .do9c880(rt61b56), .vi7ceeb(dz71628), .uve775b(hq9630b), .uk3badc(zz8b146), .jpdd6e0(vic51ba), .lqeb707(pfd7088), .gb5b83a(ykfae11), .ofdc1d5(ph28dd2), .yke0ead(fp374b0), .cb7568(tjba587), .vk3ab45(ls3feb8), .psd5a2a(fnd2c3f), .zmad152(tjb0ffa), .qg68a93(zmb8446), .vv45498(kq46e96) );\r
+\r
+zmbda32 #( .ld6e960(ld6e960), .db9e32f(db9e32f), .lqf197e(lqf197e), .ng8cbf3(ng8cbf3) ) aa31fc1 ( .rxmac_clk(rxmac_clk), .reset_n(gq342ac), .rxmac_clk_en(rxmac_clk_en), .nt32741(rg76258), .qtedba5(rg58715), .zx4ff6d(su6d5b5), .uve83d9(ieb6a69), .en41ecd(su56299), .ksf66d(kf8a644), .nr7b369(ls99128), .ipd9b4f(ip44a1f), .blcda7c(db287eb), .qg6d3e7(uk1faf8), .xw69f39(xwebe0c), .zx4f9ca(mrf831e), .xw7ce56(lfc7a1), .kde72b3(ps63d0e), .ux3959c(ls1e873), .hocace4(byf439b), .ic56722(al51dab), .ukb3910(faeb246), .lqe4402(hq11197), .hd22013(qi88cbb), .uk1009e(go465d9), .sj804f1(db32ec9), .qv278c(xjc2232), .do9c880(wy9764e) );\r
+\r
+\r
+ri351a3 #( .ld6e960(ld6e960), .vi52632(vi52632), .xwfb4be(xwfb4be), .jcda5f3(jcda5f3), .gd80619(gd80619), .zz30cc(zz30cc) ) xjd78f8 ( .rxmac_clk(rxmac_clk), .reset_n(gq342ac), .rxmac_clk_en(rxmac_clk_en), .mtbb27f(ks109ed), .ou1a400(vke06c), .xjd2000(wj70363), .mt90006(vx81b1c), .gd80035(sjd8e7), .nt32741(rg76258), .qtedba5(rg58715), .su6dd2c(yxc38ab), .offdb74(of4b0e2), .lqe4402(gb5b861), .hd22013(ofdc30d), .uk1009e(zke186d), .sj804f1(lfc36a), .vi7ceeb(nt1c55c), .uve775b(hq9630b), .uk3badc(she2ae7), .jpdd6e0(anab9e9), .ofdc1d5(yk5cf4d), .fpa0744(yxe7a68), .yke0ead(hd3d345), .cb7568(jpe9a2e), .qg68a93(ux396e1), .lqeb707(sw872dc), .gb5b83a(yz90e5b), .ignore_pkt(ou50e2), .mt1d13e(lf28710), .kde89f5(ic43887), .yx44fac(ipe21c7), .rv27d65(co10e3c), .aa3eb2f(ym871e0), .cmf5978(rv38f01), .ou9830b(cob3f9f), .zx4ff6d(ofd9385), .uve83d9(mr70b6d),\r
+ .qg78222(qg78222), .ayc1110(ayc1110), .cb8880(cb8880), .ou10166(ou10166), .shc9fed(epbb270), .db2202c(alc9c2d), .thec185(kd4e16d), .nt617c(wl85b6d), .ie9dcf2(fn6e000), .pf6e773(kd70006), .lq73b9e(cb80034), .uk8d311(cm6db6e), .ukb3910(kq6db70), .vkb277(pf6b57b), .me62210(ww6db80) );\r
+\r
+icce60c dz7bdd6 ( .vi73061(jee35b), .ou9830b(cob3f9f), .ykc1859(rg76258), .rvc2c9(tu69182), .nr6164e(faeb246), .vkb277(pf6b57b), .ui593bb(vi48c13), .wjc9dd9(fa4d230), .en4eecd(rxmac_clk), .gdbb361(gq342ac), .rxmac_clk_en(rxmac_clk_en) );\r
+\r
+fa63a6e #( .ld6e960(ld6e960), .xwfb4be(xwfb4be), .jcda5f3(jcda5f3), .jpd2f9a(jpd2f9a), .gq97cd7(gq97cd7) ) qtc6650 ( .rxmac_clk(rxmac_clk), .reset_n(gq342ac), .rxmac_clk_en(rxmac_clk_en), .pf6e773(sw826ca), .lq73b9e(vx13651), .ie9dcf2(qtd9476), .rx_fifo_full(xw5d016), .ne73c82(pu96a36), .bn9e412(qi8d6df), .wjf2096(kd5b7c6), .yz904b5(osdbe34), .wy825ad(zkdf1a1), .rx_fifo_error(rx_fifo_error), .rx_stat_vector(rx_stat_vector), .rx_dbout(rx_dbout), .rx_write(rx_write), .rx_stat_en(rx_stat_en), .rx_eof(rx_eof), .rx_error(rx_error) );\r
+\r
+pmi_ram_dp #(.pmi_wr_addr_depth(256), .pmi_wr_addr_width(8), .pmi_wr_data_width(20), .pmi_rd_addr_depth(256), .pmi_rd_addr_width(8), .pmi_rd_data_width(20), .pmi_regmode("noreg"), .pmi_gsr("disable"), .pmi_resetmode("sync"), .pmi_init_file("none"), .pmi_init_file_format("binary"), .pmi_family(pdevice_family), .module_type("pmi_ram_dp") )\r
+lf13e8f (.Data(fn6e000), .WrAddress(qi8d6df), .RdAddress(kd5b7c6), .WrClock(rxmac_clk), .RdClock(rxmac_clk), .WrClockEn(1'b1), .RdClockEn(osdbe34 & rxmac_clk_en), .WE(zkdf1a1), .Reset(1'b0), .Q(enc6855) );\r
+always@* begin gq342ac<=ea5c9d9[0];ks109ed<=ea5c9d9[1];vke06c<=ea5c9d9[2];hd27b47<={ald93fd>>1,ea5c9d9[3]};wj70363<=ea5c9d9[4];vx81b1c<=ea5c9d9[5];sjd8e7<=ea5c9d9[6];su56299<={en41ecd>>1,ea5c9d9[7]};kf8a644<={ksf66d>>1,ea5c9d9[8]};ls99128<={nr7b369>>1,ea5c9d9[9]};ip44a1f<={ipd9b4f>>1,ea5c9d9[10]};db287eb<={blcda7c>>1,ea5c9d9[11]};uk1faf8<={qg6d3e7>>1,ea5c9d9[12]};xwebe0c<={xw69f39>>1,ea5c9d9[13]};mrf831e<={zx4f9ca>>1,ea5c9d9[14]};lfc7a1<={xw7ce56>>1,ea5c9d9[15]};ps63d0e<=ea5c9d9[16];ls1e873<=ea5c9d9[17];byf439b<=ea5c9d9[18];bl4ad57<={fc30be7>>1,ea5c9d9[19]};lf28710<=ea5c9d9[20];ic43887<=ea5c9d9[21];ipe21c7<={yx44fac>>1,ea5c9d9[22]};co10e3c<=ea5c9d9[23];ym871e0<=ea5c9d9[24];rv38f01<=ea5c9d9[25];xw5d016<=ea5c9d9[26];ou50e2<=ea5c9d9[27];rg76258<={pfcd085>>1,ea5c9d9[28]};ba8961c<={dm42171>>1,ea5c9d9[29]};of4b0e2<=ea5c9d9[30];rg58715<=ea5c9d9[31];yxc38ab<=ea5c9d9[32];nt1c55c<=ea5c9d9[33];she2ae7<=ea5c9d9[34];anab9e9<={vic51ba>>1,ea5c9d9[35]};yk5cf4d<=ea5c9d9[36];yxe7a68<=ea5c9d9[37];hd3d345<=ea5c9d9[38];jpe9a2e<=ea5c9d9[39];ps4d176<=ea5c9d9[40];bl45d90<={tjb0ffa>>1,ea5c9d9[41]};rg76439<={ls3feb8>>1,ea5c9d9[42]};yz90e5b<={ykfae11>>1,ea5c9d9[43]};sw872dc<=ea5c9d9[44];ux396e1<=ea5c9d9[45];gocb70c<=ea5c9d9[46];gb5b861<=ea5c9d9[47];ofdc30d<=ea5c9d9[48];zke186d<=ea5c9d9[49];lfc36a<=ea5c9d9[50];rt61b56<=ea5c9d9[51];yzdab6<=ea5c9d9[52];su6d5b5<=ea5c9d9[53];of6ada9<=ea5c9d9[54];go56d4d<=ea5c9d9[55];ieb6a69<=ea5c9d9[56];ntb5348<=ea5c9d9[57];fa4d230<={cm6db6e>>1,ea5c9d9[58]};tu69182<=ea5c9d9[59];vi48c13<=ea5c9d9[60];je304d9<={fn6e000>>1,ea5c9d9[61]};sw826ca<=ea5c9d9[62];vx13651<=ea5c9d9[63];qtd9476<={vk2038d>>1,ea5c9d9[64]};al51dab<={jee35b>>1,ea5c9d9[65]};ps76ae6<={qi8d6df>>1,ea5c9d9[66]};gdab996<={kd5b7c6>>1,ea5c9d9[67]};ui5ccb5<=ea5c9d9[68];the65a8<=ea5c9d9[69];pu96a36<={enc6855>>1,ea5c9d9[70]};end\r
+always@* begin ec2b93b[2047]<=mtbb27f;ec2b93b[2046]<=ou1a400;ec2b93b[2045]<=enc6855[0];ec2b93b[2044]<=ald93fd[0];ec2b93b[2041]<=xjd2000;ec2b93b[2035]<=mt90006;ec2b93b[2029]<=wl85b6d;ec2b93b[2022]<=gd80035;ec2b93b[2011]<=cm6db6e[0];ec2b93b[1996]<=en41ecd[0];ec2b93b[1974]<=kq6db70;ec2b93b[1945]<=ksf66d[0];ec2b93b[1943]<=go465d9;ec2b93b[1922]<=cmf5978;ec2b93b[1901]<=ww6db80;ec2b93b[1842]<=nr7b369[0];ec2b93b[1839]<=db32ec9;ec2b93b[1797]<=rx_fifo_full;ec2b93b[1791]<=kd5b7c6[0];ec2b93b[1778]<=xjc2232;ec2b93b[1776]<=yx44fac[0];ec2b93b[1759]<=vk2038d[0];ec2b93b[1755]<=fn6e000[0];ec2b93b[1637]<=ipd9b4f[0];ec2b93b[1631]<=wy9764e;ec2b93b[1622]<=zx4f9ca[0];ec2b93b[1546]<=ignore_pkt;ec2b93b[1535]<=osdbe34;ec2b93b[1531]<=kd4e16d;ec2b93b[1509]<=hq11197;ec2b93b[1504]<=rv27d65;ec2b93b[1471]<=jee35b[0];ec2b93b[1468]<=mt1d13e;ec2b93b[1463]<=kd70006;ec2b93b[1391]<=hocace4;ec2b93b[1312]<=zz8b146;ec2b93b[1226]<=blcda7c[0];ec2b93b[1215]<=epbb270;ec2b93b[1197]<=xw7ce56[0];ec2b93b[1153]<=ph28dd2;ec2b93b[1044]<=pfcd085[0];ec2b93b[1037]<=tjba587;ec2b93b[1023]<=reset_n;ec2b93b[1022]<=zkdf1a1;ec2b93b[1014]<=mr70b6d;ec2b93b[971]<=qi88cbb;ec2b93b[961]<=aa3eb2f;ec2b93b[895]<=qi8d6df[0];ec2b93b[889]<=zmb8446;ec2b93b[888]<=kde89f5;ec2b93b[879]<=cb80034;ec2b93b[811]<=xw69f39[0];ec2b93b[765]<=alc9c2d;ec2b93b[734]<=fc30be7[0];ec2b93b[695]<=ux3959c;ec2b93b[656]<=dz71628;ec2b93b[576]<=vic51ba[0];ec2b93b[518]<=fp374b0;ec2b93b[444]<=pfd7088;ec2b93b[405]<=qg6d3e7[0];ec2b93b[382]<=ofd9385;ec2b93b[347]<=kde72b3;ec2b93b[328]<=ec2e2c5;ec2b93b[259]<=kq46e96;ec2b93b[222]<=ykfae11[0];ec2b93b[164]<=an85c58;ec2b93b[111]<=ls3feb8[0];ec2b93b[82]<=co10b8b;ec2b93b[55]<=tjb0ffa[0];ec2b93b[41]<=dm42171[0];ec2b93b[27]<=fnd2c3f;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module kdd69ed ( qvb4f6d,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+\r
+ wjedb5f, \r
+ os6dafc, \r
+\r
+ cm6d7e0 \r
+ );\r
+parameter rt6bf01 = 4;\r
+input qvb4f6d;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input wjedb5f;\r
+input [rt6bf01-1:0] os6dafc;\r
+output cm6d7e0;\r
+reg hof1623;\r
+reg fp8b11a;\r
+reg [15:0] xw588d7;\r
+reg [31:0] osc46ba;\r
+wire kf235d0 = (~hof1623 & wjedb5f);\r
+wire cm6d7e0 = fp8b11a & (xw588d7 == 0);\r
+wire [31:0] aa21dd6;\r
+reg rieeb0;\r
+reg [rt6bf01 - 1 : 0] hdbac11;\r
+reg ipd608e;\r
+reg xlb0474;\r
+reg [15 : 0] aa823a6;\r
+reg [31 : 0] fc11d31;\r
+reg zz8e98a;\r
+reg [31 : 0] zx74c56;\r
+reg [2047:0] ec2b93b;\r
+wire [7:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 8,ph27642 = 32'hfdffd84b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) xw588d7 <= 0; else if (txmac_clk_en) begin if (zz8e98a) begin casex(hdbac11) 4'h1: begin xw588d7[15:0] <= {9'b00000000, fc11d31[0], {6{1'b0}}}; end 4'h2: begin xw588d7[15:0] <= {8'b00000000, fc11d31[1:0], {6{1'b0}}}; end 4'h3: begin xw588d7[15:0] <= {7'b0000000, fc11d31[2:0], {6{1'b0}}}; end 4'h4: begin xw588d7[15:0] <= {6'b000000, fc11d31[3:0], {6{1'b0}}}; end 4'h5: begin xw588d7[15:0] <= {5'b00000, fc11d31[4:0], {6{1'b0}}}; end 4'h6: begin xw588d7[15:0] <= {4'b0000, fc11d31[5:0], {6{1'b0}}}; end 4'h7: begin xw588d7[15:0] <= {3'b000, fc11d31[6:0], {6{1'b0}}}; end 4'h8: begin xw588d7[15:0] <= {2'b00, fc11d31[7:0], {6{1'b0}}}; end 4'h9: begin xw588d7[15:0] <= {1'b0, fc11d31[8:0], {6{1'b0}}}; end default: begin xw588d7[15:0] <= {fc11d31[9:0], {6{1'b0}}}; end endcase end else if (xlb0474) begin xw588d7 <= aa823a6 - {{15{1'b0}}, 1'b1}; end end end\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin hof1623 <= 1'b0; fp8b11a <= 1'b0; end else if (txmac_clk_en) begin hof1623 <= rieeb0; fp8b11a <= ipd608e; end end assign aa21dd6[31:1] = fc11d31[30:0]; assign aa21dd6[0] = fc11d31[1]^fc11d31[5]^fc11d31[6]^fc11d31[31];\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin osc46ba <= {32{1'b1}}; end else if (txmac_clk_en) begin osc46ba <= zx74c56; end end \r
+\r
+always@* begin rieeb0<=ea5c9d9[0];hdbac11<={os6dafc>>1,ea5c9d9[1]};ipd608e<=ea5c9d9[2];xlb0474<=ea5c9d9[3];aa823a6<={xw588d7>>1,ea5c9d9[4]};fc11d31<={osc46ba>>1,ea5c9d9[5]};zz8e98a<=ea5c9d9[6];zx74c56<={aa21dd6>>1,ea5c9d9[7]};end\r
+always@* begin ec2b93b[2047]<=os6dafc[0];ec2b93b[2046]<=hof1623;ec2b93b[2044]<=fp8b11a;ec2b93b[2040]<=xw588d7[0];ec2b93b[2033]<=osc46ba[0];ec2b93b[2019]<=kf235d0;ec2b93b[1991]<=aa21dd6[0];ec2b93b[1023]<=wjedb5f;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ip41424 (\r
+ yk46f15, \r
+ ykc1859,\r
+ rvc2c9,\r
+ ui593bb,\r
+ wjc9dd9,\r
+ en4eecd,\r
+ txmac_clk_en,\r
+ gdbb361\r
+ );\r
+output [31:0] yk46f15;\r
+input [7:0] ykc1859;\r
+input [31:0] wjc9dd9;\r
+input rvc2c9;\r
+input ui593bb;\r
+input en4eecd;\r
+input txmac_clk_en;\r
+input gdbb361;\r
+reg [31:0] jp50046;\r
+wire [31:0] cb8de2;\r
+wire [31:0] yk46f15;\r
+reg [7 : 0] nt378a8;\r
+reg ymbc546;\r
+reg doa8c29;\r
+reg [31 : 0] su4614a;\r
+reg [31 : 0] tw30a51;\r
+reg [31 : 0] an8528b;\r
+reg [2047:0] ec2b93b;\r
+wire [5:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 6,ph27642 = 32'hfdffc70b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+assign yk46f15[31] = ~tw30a51[0];\r
+assign yk46f15[30] = ~tw30a51[1];\r
+assign yk46f15[29] = ~tw30a51[2];\r
+assign yk46f15[28] = ~tw30a51[3];\r
+assign yk46f15[27] = ~tw30a51[4];\r
+assign yk46f15[26] = ~tw30a51[5];\r
+assign yk46f15[25] = ~tw30a51[6];\r
+assign yk46f15[24] = ~tw30a51[7];\r
+assign yk46f15[23] = ~tw30a51[8];\r
+assign yk46f15[22] = ~tw30a51[9];\r
+assign yk46f15[21] = ~tw30a51[10];\r
+assign yk46f15[20] = ~tw30a51[11];\r
+assign yk46f15[19] = ~tw30a51[12];\r
+assign yk46f15[18] = ~tw30a51[13];\r
+assign yk46f15[17] = ~tw30a51[14];\r
+assign yk46f15[16] = ~tw30a51[15];\r
+assign yk46f15[15] = ~tw30a51[16];\r
+assign yk46f15[14] = ~tw30a51[17];\r
+assign yk46f15[13] = ~tw30a51[18];\r
+assign yk46f15[12] = ~tw30a51[19];\r
+assign yk46f15[11] = ~tw30a51[20];\r
+assign yk46f15[10] = ~tw30a51[21];\r
+assign yk46f15[9] = ~tw30a51[22];\r
+assign yk46f15[8] = ~tw30a51[23];\r
+assign yk46f15[7] = ~tw30a51[24];\r
+assign yk46f15[6] = ~tw30a51[25];\r
+assign yk46f15[5] = ~tw30a51[26];\r
+assign yk46f15[4] = ~tw30a51[27];\r
+assign yk46f15[3] = ~tw30a51[28];\r
+assign yk46f15[2] = ~tw30a51[29];\r
+assign yk46f15[1] = ~tw30a51[30];\r
+assign yk46f15[0] = ~tw30a51[31];\r
+\r
+always @ (posedge en4eecd or negedge gdbb361)\r
+begin if (!gdbb361) begin jp50046 <= 32'hffffffff; end else if (txmac_clk_en) begin if (ymbc546) begin jp50046 <= an8528b; end else if (doa8c29) begin jp50046 <= su4614a; end end\r
+end\r
+\r
+assign cb8de2[0] = tw30a51[30] ^ nt378a8[7] ^ nt378a8[1] ^ tw30a51[24];\r
+assign cb8de2[1] = tw30a51[30] ^ nt378a8[6] ^ nt378a8[7] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25];\r
+assign cb8de2[2] = tw30a51[30] ^ nt378a8[6] ^ nt378a8[7] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25] ^ tw30a51[26] ^ nt378a8[5];\r
+assign cb8de2[3] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[25] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ nt378a8[6];\r
+assign cb8de2[4] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[7];\r
+assign cb8de2[5] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25] ^ nt378a8[2] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[6] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[7] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[24] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[8] = tw30a51[24] ^ tw30a51[25] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ nt378a8[6] ^ nt378a8[7] ^ tw30a51[0];\r
+assign cb8de2[9] = tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29] ^ tw30a51[1];\r
+assign cb8de2[10] = tw30a51[24] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[29] ^ nt378a8[7] ^ tw30a51[2];\r
+assign cb8de2[11] = tw30a51[3] ^ tw30a51[24] ^ tw30a51[25] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ nt378a8[6] ^ nt378a8[7];\r
+assign cb8de2[12] = tw30a51[30] ^ tw30a51[4] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[5] ^ tw30a51[28] ^ nt378a8[6] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[13] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ tw30a51[5] ^ nt378a8[1] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[14] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[6] ^ tw30a51[26] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5] ^ tw30a51[28];\r
+assign cb8de2[15] = tw30a51[31] ^ nt378a8[0] ^ nt378a8[2] ^ tw30a51[7] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[28] ^ tw30a51[29];\r
+assign cb8de2[16] = tw30a51[24] ^ nt378a8[2] ^ nt378a8[3] ^ tw30a51[8] ^ tw30a51[28] ^ tw30a51[29] ^ nt378a8[7];\r
+assign cb8de2[17] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[25] ^ nt378a8[2] ^ tw30a51[9] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[18] = tw30a51[30] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ tw30a51[26] ^ nt378a8[5] ^ tw30a51[10];\r
+assign cb8de2[19] = tw30a51[31] ^ nt378a8[0] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[11];\r
+assign cb8de2[20] = tw30a51[12] ^ nt378a8[3] ^ tw30a51[28];\r
+assign cb8de2[21] = tw30a51[13] ^ nt378a8[2] ^ tw30a51[29];\r
+assign cb8de2[22] = tw30a51[14] ^ tw30a51[24] ^ nt378a8[7];\r
+assign cb8de2[23] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[24] ^ tw30a51[15] ^ tw30a51[25] ^ nt378a8[6] ^ nt378a8[7];\r
+assign cb8de2[24] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[25] ^ tw30a51[16] ^ tw30a51[26] ^ nt378a8[5] ^ nt378a8[6];\r
+assign cb8de2[25] = tw30a51[26] ^ tw30a51[17] ^ nt378a8[4] ^ tw30a51[27] ^ nt378a8[5];\r
+assign cb8de2[26] = tw30a51[30] ^ nt378a8[1] ^ tw30a51[24] ^ nt378a8[3] ^ nt378a8[4] ^ tw30a51[27] ^ tw30a51[18] ^ tw30a51[28] ^ nt378a8[7];\r
+assign cb8de2[27] = tw30a51[31] ^ nt378a8[0] ^ tw30a51[25] ^ nt378a8[2] ^ nt378a8[3] ^ tw30a51[28] ^ tw30a51[19] ^ nt378a8[6] ^ tw30a51[29];\r
+assign cb8de2[28] = tw30a51[30] ^ nt378a8[1] ^ nt378a8[2] ^ tw30a51[26] ^ nt378a8[5] ^ tw30a51[29] ^ tw30a51[20];\r
+assign cb8de2[29] = tw30a51[30] ^ tw30a51[21] ^ tw30a51[31] ^ nt378a8[0] ^ nt378a8[1] ^ nt378a8[4] ^ tw30a51[27];\r
+assign cb8de2[30] = tw30a51[31] ^ tw30a51[22] ^ nt378a8[0] ^ nt378a8[3] ^ tw30a51[28];\r
+assign cb8de2[31] = tw30a51[23] ^ nt378a8[2] ^ tw30a51[29];\r
+always@* begin nt378a8<={ykc1859>>1,ea5c9d9[0]};ymbc546<=ea5c9d9[1];doa8c29<=ea5c9d9[2];su4614a<={wjc9dd9>>1,ea5c9d9[3]};tw30a51<={jp50046>>1,ea5c9d9[4]};an8528b<={cb8de2>>1,ea5c9d9[5]};end\r
+always@* begin ec2b93b[2047]<=rvc2c9;ec2b93b[2046]<=ui593bb;ec2b93b[2044]<=wjc9dd9[0];ec2b93b[2040]<=jp50046[0];ec2b93b[2032]<=cb8de2[0];ec2b93b[1023]<=ykc1859[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module hq8ca24 ( qvb4f6d,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+\r
+ gq24775, \r
+ sj23ba9, \r
+ kf1dd4d, \r
+ pseea6c, \r
+ qt75363, \r
+ wya9b1c \r
+ );\r
+parameter ps4d8e0 = 5;\r
+input qvb4f6d;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input gq24775;\r
+input kf1dd4d;\r
+input pseea6c;\r
+input [ps4d8e0-1:0] sj23ba9;\r
+output wya9b1c;\r
+output qt75363;\r
+reg [ps4d8e0-1:0] osd15dd;\r
+reg [ps4d8e0-1:0] zk5774c;\r
+reg cbbba62;\r
+reg qt75363;\r
+reg fne98a7;\r
+reg ic4c53e;\r
+reg wya9b1c;\r
+parameter tw14f81 = 1'b0;\r
+parameter fca7c0a = 1'b1;\r
+wire [ps4d8e0-1:0] suf0299 = sj23ba9 - 5'd1;\r
+reg [ps4d8e0-1:0] go53231;\r
+wire fc99188 = gq24775 & ~cbbba62;\r
+reg wy310f9;\r
+reg [ps4d8e0 - 1 : 0] su43e40;\r
+reg ou1f200;\r
+reg xjf9000;\r
+reg [ps4d8e0 - 1 : 0] ld40036;\r
+reg [ps4d8e0 - 1 : 0] codb0;\r
+reg xl6d81;\r
+reg ep36c0e;\r
+reg ecb6074;\r
+reg [ps4d8e0 - 1 : 0] lf81d0e;\r
+reg [ps4d8e0 - 1 : 0] xj743ba;\r
+reg cba1dd6;\r
+reg [2047:0] ec2b93b;\r
+wire [11:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 12,ph27642 = 32'hfdffe0cb;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+always @ (ld40036 or xj743ba) begin if(ld40036 == xj743ba) wya9b1c = 1'b1; else wya9b1c = 1'b0; end\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin fne98a7 <= tw14f81; go53231 <= 6'b0; end else if (txmac_clk_en) begin fne98a7 <= ecb6074; go53231 <= lf81d0e; end end always @ (ep36c0e or wy310f9 or wya9b1c) begin case(ep36c0e) tw14f81: begin if(!wy310f9) begin ic4c53e = tw14f81; end else begin ic4c53e = fca7c0a; end end fca7c0a: begin if(wya9b1c) begin ic4c53e = tw14f81; end else begin ic4c53e = fca7c0a; end end endcase end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin osd15dd <= 5'd0; end else if (txmac_clk_en) begin if(ou1f200) begin osd15dd <= 5'd0; end else if(ecb6074) begin osd15dd <= ld40036 + 5'd1; end else begin osd15dd <= 5'd0; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin cbbba62 <= 1'b0; end else if (txmac_clk_en) begin cbbba62 <= wy310f9; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) zk5774c <= 5'd0; else if (txmac_clk_en) begin if( (cba1dd6 || ((codb0 != xj743ba) && (codb0 != 5'd0)) ) && (qt75363 || !xjf9000) ) zk5774c <= codb0 + 5'd1; else if(!cba1dd6) begin zk5774c <= 5'd0; end end end\r
+ always @ (su43e40 or codb0) begin case(su43e40) 5'd24: qt75363 = codb0[4]; 5'd27: qt75363 = codb0[4] & (|codb0[3:1]); 5'd30: qt75363 = codb0[4] & (|codb0[3:2]); default: qt75363 = 1'b0; endcase end\r
+always@* begin wy310f9<=ea5c9d9[0];su43e40<={sj23ba9>>1,ea5c9d9[1]};ou1f200<=ea5c9d9[2];xjf9000<=ea5c9d9[3];ld40036<={osd15dd>>1,ea5c9d9[4]};codb0<={zk5774c>>1,ea5c9d9[5]};xl6d81<=ea5c9d9[6];ep36c0e<=ea5c9d9[7];ecb6074<=ea5c9d9[8];lf81d0e<={suf0299>>1,ea5c9d9[9]};xj743ba<={go53231>>1,ea5c9d9[10]};cba1dd6<=ea5c9d9[11];end\r
+always@* begin ec2b93b[2047]<=sj23ba9[0];ec2b93b[2046]<=kf1dd4d;ec2b93b[2044]<=pseea6c;ec2b93b[2040]<=osd15dd[0];ec2b93b[2033]<=zk5774c[0];ec2b93b[2018]<=cbbba62;ec2b93b[1988]<=fne98a7;ec2b93b[1929]<=ic4c53e;ec2b93b[1811]<=suf0299[0];ec2b93b[1574]<=go53231[0];ec2b93b[1101]<=fc99188;ec2b93b[1023]<=gq24775;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module uved7f1 (txmac_clk,\r
+ baa7b6d,\r
+ txmac_clk_en, \r
+ lqf1bfb, \r
+ mg8dfdb, \r
+ cz6fed9, \r
+ qt7f6cd, \r
+ fafb66d, \r
+ uidb36b, \r
+ shd9b58, \r
+ dzcdac4, \r
+ go6d627, \r
+ xj6b139, \r
+ xw589ce, \r
+ tx_fifoavail, \r
+ ph27391, \r
+ ir39c8e, \r
+ suce475, \r
+ ww723a9, \r
+ zz91d49, \r
+ db8ea4e, \r
+ wya9b1c, \r
+ eca93b4, \r
+ ea49da1, \r
+ qt4ed09, \r
+ dm7684c, \r
+ cm6d7e0, \r
+ qt75363, \r
+ zz98a5, \r
+ ay4c52f, \r
+ ea62978, \r
+ tx_discfrm, \r
+ tja5e0a, \r
+ ng2f056, \r
+ ui782b2, \r
+ goc1590, \r
+ wyac80, \r
+ yk56400, \r
+ twb2000, \r
+ gq24775, \r
+ oh8001d, \r
+ wjedb5f, \r
+ mg760, \r
+ vx3b00, \r
+ oh1d806, \r
+ xjec034, \r
+ cz601a7, \r
+ ksd3d, \r
+ jr69e9, \r
+ uk34f4e, \r
+ hqa7a71, \r
+ bn3d38b, \r
+ nre9c5e, \r
+ wj4e2f6, \r
+ fn717b5, \r
+ ph8bdae, \r
+ wj5ed76, \r
+ os6dafc, \r
+ bnb5dae, \r
+ mtaed77, \r
+ rg76bbd, \r
+ hdb5dea, \r
+ iraef57, \r
+ kf1dd4d, \r
+ pseea6c, \r
+ kqeaf42 \r
+ );\r
+parameter by57a11 = 48;\r
+parameter vkbd08b = 16;\r
+parameter qte845a = 8;\r
+parameter xw422d5 = 16;\r
+parameter hq116ab = 32;\r
+parameter tj8b55b = 14;\r
+parameter rt6bf01 = 4;\r
+parameter vid56ff = 22;\r
+parameter anab7fb = 13;\r
+parameter wj5bfdc = 13'd6072;\r
+parameter lqdfee5 = 8'h55;\r
+parameter ayff72b = 8'hd5;\r
+parameter hofb959 = 8'h00;\r
+parameter ipdcaca = 8'h01;\r
+parameter yke5654 = 8'h80;\r
+parameter ec2b2a1 = 8'hc2;\r
+parameter ay59508 = 8'h00;\r
+parameter rgca841 = 8'h00;\r
+parameter mr5420a = 8'h01;\r
+parameter gda1055 = 8'h88;\r
+parameter sj82ad = 8'h08;\r
+parameter uv4156c = 8'h00;\r
+input txmac_clk;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input lqf1bfb;\r
+input mg8dfdb;\r
+input cz6fed9;\r
+input qt7f6cd;\r
+input fafb66d;\r
+input uidb36b;\r
+input [by57a11-1:0] shd9b58;\r
+input [vkbd08b-1:0] dzcdac4;\r
+input [qte845a-1:0] go6d627;\r
+input xj6b139;\r
+input xw589ce;\r
+input tx_fifoavail;\r
+input ph27391;\r
+input suce475;\r
+input ww723a9;\r
+input [xw422d5-1:0] zz91d49;\r
+input db8ea4e;\r
+input wya9b1c;\r
+input [hq116ab-1:0] eca93b4;\r
+input ea49da1;\r
+input qt4ed09;\r
+input [qte845a:0] ir39c8e;\r
+input dm7684c;\r
+input cm6d7e0;\r
+input qt75363;\r
+input zz98a5;\r
+input ay4c52f;\r
+output ea62978;\r
+output tx_discfrm;\r
+output tja5e0a;\r
+output ng2f056;\r
+output ui782b2;\r
+output [qte845a-1:0] goc1590;\r
+output wyac80;\r
+output [qte845a-1:0] yk56400;\r
+output twb2000;\r
+output gq24775;\r
+output oh8001d;\r
+output wjedb5f;\r
+output mg760;\r
+output vx3b00;\r
+output oh1d806;\r
+output xjec034;\r
+output cz601a7;\r
+output ksd3d;\r
+output jr69e9;\r
+output uk34f4e;\r
+output hqa7a71;\r
+output bn3d38b;\r
+output nre9c5e;\r
+output wj4e2f6;\r
+output fn717b5;\r
+output ph8bdae;\r
+output wj5ed76;\r
+output [rt6bf01-1:0] os6dafc;\r
+output bnb5dae;\r
+output mtaed77;\r
+output rg76bbd;\r
+output hdb5dea;\r
+output iraef57;\r
+output kf1dd4d;\r
+output pseea6c;\r
+output kqeaf42;\r
+reg [4:0] fne98a7 ;\r
+reg [4:0] ic4c53e;\r
+reg gq24775;\r
+reg xwe447a;\r
+reg zz223d3;\r
+reg [qte845a-1:0] ep8f4f2;\r
+reg [qte845a-1:0] gbd3cad;\r
+reg [qte845a-1:0] yxf2b6e;\r
+reg [qte845a-1:0] goc1590;\r
+reg ea62978;\r
+reg dz6e910;\r
+reg zx74887;\r
+reg wla443f;\r
+reg ui782b2;\r
+reg wy10fee;\r
+reg co87f70;\r
+reg ri3fb80;\r
+reg [1:0] kdfdc02;\r
+reg [1:0] jcee015;\r
+reg qt700aa;\r
+reg yz80554;\r
+reg tja5e0a;\r
+reg ng2f056;\r
+reg tx_discfrm;\r
+reg yx5490f;\r
+reg uxa487c;\r
+reg uk243e4;\r
+reg wyac80;\r
+reg oh8001d;\r
+reg rg76bbd;\r
+reg bnb5dae;\r
+reg mtaed77;\r
+reg kqeaf42;\r
+reg [rt6bf01-1:0] os6dafc;\r
+reg ph8bdae;\r
+reg fn717b5;\r
+reg wj5ed76;\r
+reg wjedb5f;\r
+reg db3752b;\r
+reg [anab7fb-1:0] ayd4afe;\r
+reg hdb5dea;\r
+reg iraef57;\r
+reg kf1dd4d;\r
+reg vife1cf;\r
+reg suf0e7b;\r
+reg qi873d9;\r
+reg pseea6c;\r
+reg [3:0] necf64d;\r
+reg ld7b26b;\r
+reg shd935f;\r
+reg [1:0] gbc9afd;\r
+reg fa4d7ed;\r
+reg vv6bf6a;\r
+reg nr5fb57;\r
+reg shfdabf;\r
+parameter wjed5fd = 5'd0;\r
+parameter uv6afed = 5'd1;\r
+parameter dm57f6d = 5'd2;\r
+parameter ntbfb6b = 5'd3;\r
+parameter enfdb5e = 5'd4;\r
+parameter ofedaf5 = 5'd5;\r
+parameter cm6d7ac = 5'd6;\r
+parameter rt6bd61 = 5'd7;\r
+parameter gb5eb0f = 5'd8;\r
+parameter mef587d = 5'd9;\r
+parameter ukac3e9 = 5'd10;\r
+parameter fn61f49 = 5'd11;\r
+parameter ecfa4e = 5'd12;\r
+parameter kq7d270 = 5'd13;\r
+parameter hbe9380 = 5'd14;\r
+parameter cm49c07 = 5'd15;\r
+parameter al4e039 = 5'd16;\r
+parameter kd701cd = 5'd17;\r
+parameter cb80e6c = 5'd18;\r
+parameter wl7365 = 5'd19;\r
+parameter an39b2d = 5'd20;\r
+parameter jpcd96d = 5'd21;\r
+wire mg760 = (fne98a7 == wjed5fd) ? 1 : 0;\r
+wire vx3b00 = (fne98a7 == uv6afed) ? 1 : 0;\r
+wire oh1d806 = (fne98a7 == dm57f6d) ? 1 : 0;\r
+wire xjec034 = (fne98a7 == ntbfb6b) ? 1 : 0;\r
+wire cz601a7 = (fne98a7 == enfdb5e) ? 1 : 0;\r
+wire jr69e9 = (fne98a7 == ofedaf5) ? 1 : 0;\r
+wire ksd3d = (fne98a7 == cm6d7ac) ? 1 : 0;\r
+wire uk34f4e = (fne98a7 == rt6bd61) ? 1 : 0;\r
+wire hqa7a71 = (fne98a7 == gb5eb0f) ? 1 : 0;\r
+wire bn3d38b = (fne98a7 == mef587d) ? 1 : 0;\r
+wire lqe4c7d = (fne98a7 == ukac3e9) ? 1 : 0;\r
+wire nre9c5e = (fne98a7 == fn61f49) ? 1 : 0;\r
+wire mr54301 = (fne98a7 == ecfa4e) ? 1 : 0;\r
+wire wj60366 = (fne98a7 == kq7d270) ? 1 : 0;\r
+wire wj4e2f6 = (fne98a7 == hbe9380) ? 1 : 0;\r
+wire gdaba9a = (fne98a7 == cm49c07) ? 1 : 0;\r
+wire kq53558 = (fne98a7 == al4e039) ? 1 : 0;\r
+wire irab02b = (fne98a7 == kd701cd) ? 1 : 0;\r
+wire qi571b = (ayd4afe == wj5bfdc);\r
+wire [qte845a-1:0] yk56400 = gbd3cad[7:0];\r
+wire twb2000 = yz80554;\r
+reg [2:0] uv4e5c7;\r
+reg [5:0] me72e3d;\r
+reg [7:0] qi971e8;\r
+reg hqb8f40;\r
+reg nrc7a03;\r
+reg db3d01e;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg cze80f6;\r
+reg rt407b5;\r
+reg jr3dae;\r
+reg vk1ed76;\r
+reg fnf6bb3;\r
+reg phb5d9e;\r
+reg [by57a11 - 1 : 0] dm767bd;\r
+reg [vkbd08b - 1 : 0] bn9ef58;\r
+reg [qte845a - 1 : 0] zmbd63c;\r
+reg vieb1e2;\r
+reg ho58f12;\r
+reg thc7892;\r
+reg yz3c496;\r
+reg [qte845a : 0] an125a4;\r
+reg ie92d24;\r
+reg zm96921;\r
+reg [xw422d5 - 1 : 0] ana485d;\r
+reg qi242ec;\r
+reg sw21761;\r
+reg [hq116ab - 1 : 0] zk5d84a;\r
+reg hbec252;\r
+reg fn61297;\r
+reg lf94bf;\r
+reg rt4a5fe;\r
+reg ps52ff7;\r
+reg co97fbb;\r
+reg fpbfdd9;\r
+reg [4 : 0] ep36c0e;\r
+reg [4 : 0] ecb6074;\r
+reg gdbb395;\r
+reg end9cad;\r
+reg [qte845a - 1 : 0] ww72b61;\r
+reg [qte845a - 1 : 0] ngad844;\r
+reg [qte845a - 1 : 0] nr61113;\r
+reg wl889f;\r
+reg en444fd;\r
+reg jr227ec;\r
+reg nt13f66;\r
+reg oh9fb37;\r
+reg alfd9bd;\r
+reg [1 : 0] jpecded;\r
+reg [1 : 0] ps66f6e;\r
+reg nt37b73;\r
+reg zmbdb9e;\r
+reg aledcf3;\r
+reg xj6e79c;\r
+reg xw73ce6;\r
+reg db9e732;\r
+reg [anab7fb - 1 : 0] zm9ccb6;\r
+reg hbe65b2;\r
+reg xl32d96;\r
+reg ba96cb6;\r
+reg [3 : 0] ukb65b3;\r
+reg hqb2d99;\r
+reg do96cca;\r
+reg [1 : 0] ksb6653;\r
+reg ecb3299;\r
+reg je994c9;\r
+reg lqca64b;\r
+reg su5325b;\r
+reg xy992d8;\r
+reg uvc96c3;\r
+reg kd4b61c;\r
+reg mr5b0e5;\r
+reg hbd872c;\r
+reg [2 : 0] wjc3967;\r
+reg [5 : 0] xl1cb3c;\r
+reg [7 : 0] ose59e6;\r
+reg an2cf30;\r
+reg ui67984;\r
+reg an3cc21;\r
+reg [2047:0] ec2b93b;\r
+wire [70:0] ea5c9d9;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam tue4ec8 = 71,ph27642 = 32'hfdffd48b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin fne98a7 <= wjed5fd; db3d01e <= 0; gbd3cad <= 0; zx74887 <= 0; uxa487c <= 0; yz80554 <= 0; ri3fb80 <= 0; jcee015 <= 0; yxf2b6e <= 0; wla443f <= 0; uk243e4 <= 0; goc1590 <= 0; ui782b2 <= 0; wyac80 <= 0; oh8001d <= 0; end else if (txmac_clk_en) begin oh8001d <= zm96921 & (mg760 | vx3b00) ? 1'b1 : ~zm96921 ? 1'b0 : oh8001d; fne98a7 <= ecb6074; db3d01e <= ie92d24; gbd3cad <= ww72b61; zx74887 <= wl889f; uxa487c <= aledcf3; yz80554 <= nt37b73; ri3fb80 <= oh9fb37; jcee015 <= jpecded; wla443f <= en444fd | nt13f66; uk243e4 <= xj6e79c; if (alfd9bd == 1) begin case (ps66f6e) 3: begin yxf2b6e <= zk5d84a[7:0]; end 2: begin yxf2b6e <= zk5d84a[15:8]; end 1: begin yxf2b6e <= zk5d84a[23:16]; end 0: begin yxf2b6e <= zk5d84a[31:24]; end endcase end else begin yxf2b6e <= ngad844; end goc1590 <= nr61113; ui782b2 <= jr227ec; wyac80 <= xw73ce6; end end always @ (ep36c0e or thc7892 or zmbd63c or vieb1e2 or an3cc21 or cze80f6 or vk1ed76 or rt407b5 or sw21761 or zm96921 or fn61297 or lf94bf or qi242ec or pseea6c or jr3dae or gdbb395 or yz3c496 or hbec252 or ba96cb6 or an125a4 or os6dafc or ps52ff7 or co97fbb or ecb3299 or end9cad or shfdabf or nr5fb57 or do96cca or mtaed77 or bnb5dae or fpbfdd9 or wjc3967 or ui67984 or ose59e6) begin gq24775 = 0; ep8f4f2 = 0; ea62978 = 0; dz6e910 = 0; wy10fee = 0; ph8bdae = 0; qt700aa = 0; kdfdc02 = 0; co87f70 = 0; ng2f056 = 0; tx_discfrm = 0; yx5490f = 0; fn717b5 = 0; wj5ed76 = 0; db3752b = 0; wjedb5f = 0; tja5e0a = 0; iraef57 = 0; kf1dd4d = 0; ld7b26b = 0; vv6bf6a = 0;\r
+ case (ep36c0e) wjed5fd: begin if(sw21761) begin ic4c53e = uv6afed; gq24775 = 1'b0; end else begin\r
+\r
+\r
+ if(pseea6c && !ps52ff7 && jr3dae) begin if(thc7892) begin ic4c53e = cm49c07; end else begin ic4c53e = wjed5fd; end gq24775 = 1'b0; kf1dd4d = 1'b1; end else begin ic4c53e = wjed5fd; gq24775 = 1'b1; end\r
+\r
+\r
+ end end uv6afed: begin\r
+\r
+\r
+ if(cze80f6) begin if(jr3dae) begin if (thc7892) begin if(!pseea6c || ps52ff7) begin ic4c53e = dm57f6d; end else begin ic4c53e = cm49c07; end end else begin ic4c53e = uv6afed; end end else begin if( (thc7892 && (!zm96921 || an3cc21)) || (rt407b5 && qi242ec) ) begin ic4c53e = dm57f6d; end else begin ic4c53e = uv6afed; end end end else begin ic4c53e = uv6afed; end \r
+\r
+\r
+\r
+ end \r
+\r
+\r
+ cm49c07: begin if(pseea6c) begin ic4c53e = cm49c07; db3752b = 1'b1; kf1dd4d = 1'b1; end else begin ic4c53e = al4e039; end end\r
+\r
+\r
+\r
+ al4e039: begin if(sw21761) begin ic4c53e = dm57f6d; gq24775 = 1'b0; end else begin\r
+\r
+\r
+ if(!pseea6c || ps52ff7) begin ic4c53e = al4e039; gq24775 = 1'b1; end else begin ic4c53e = cm49c07; gq24775 = 1'b0; kf1dd4d = 1'b1; end\r
+\r
+\r
+ end end\r
+ dm57f6d: begin ep8f4f2 = lqdfee5; dz6e910 = 1; if(wjc3967 == 0) begin ic4c53e = ntbfb6b; end else begin ic4c53e = dm57f6d; end end ntbfb6b: begin ep8f4f2 = ayff72b; dz6e910 = 1;\r
+\r
+\r
+ if(jr3dae) begin if(ba96cb6 || end9cad) begin if(os6dafc == 4'hf) ic4c53e = kd701cd; else begin ic4c53e = ukac3e9; end end else begin if(yz3c496) begin ic4c53e = enfdb5e; end else begin fn717b5 = 1; ic4c53e = hbe9380; end end end else begin if(qi242ec && rt407b5) ic4c53e = ofedaf5; else begin ic4c53e = enfdb5e; end end \r
+\r
+\r
+ end enfdb5e: begin ea62978 = 1; dz6e910 = 1'b1; ep8f4f2 = zmbd63c[7:0]; qt700aa = 1;\r
+\r
+\r
+ if(co97fbb) begin if(fn61297) begin ic4c53e = cm6d7ac; end else begin ic4c53e = gb5eb0f; end end else if(jr3dae) begin if(hbec252) begin ph8bdae = 1'b1; end if(ba96cb6 || gdbb395) begin if(hbec252 && (os6dafc == 4'hf) ) begin ic4c53e = kd701cd; end else if(hbec252) begin iraef57 = 1'b1; ic4c53e = ukac3e9; end else begin ic4c53e = mef587d; end end else if (vieb1e2 && !vk1ed76) begin if(fn61297 && !lf94bf) begin ic4c53e = cm6d7ac; end else begin ic4c53e = rt6bd61; end end else if (vieb1e2 && vk1ed76) begin ic4c53e = cb80e6c; end else begin ic4c53e = enfdb5e; end end else begin if(vieb1e2 && !vk1ed76) begin if(fn61297 && !lf94bf) begin ic4c53e = cm6d7ac; end else begin ic4c53e = rt6bd61; end end else if(vieb1e2 && vk1ed76) begin ic4c53e = cb80e6c; end else begin ic4c53e = enfdb5e; end end \r
+\r
+\r
+ end \r
+ ofedaf5: begin ep8f4f2 = ose59e6; dz6e910 = 1; qt700aa = 1; if(ui67984) begin ic4c53e = rt6bd61; end else begin ic4c53e = ofedaf5; end end \r
+ cm6d7ac: begin dz6e910 = 1; qt700aa = 1; ep8f4f2 = hofb959; \r
+\r
+\r
+ if(jr3dae && (ba96cb6 || gdbb395)) begin if(os6dafc == 4'hf) begin ic4c53e = kd701cd; end else begin if(!co97fbb) begin iraef57 = 1'b1; ic4c53e = ukac3e9; end else begin ic4c53e = gb5eb0f; end end end else if(vk1ed76 && co97fbb && fpbfdd9) begin ic4c53e = mef587d; end else if(lf94bf) begin if(co97fbb) begin ic4c53e = gb5eb0f; end else begin ic4c53e = rt6bd61; end end else begin ic4c53e = cm6d7ac; end\r
+\r
+\r
+ end rt6bd61: begin dz6e910 = 1; co87f70 = 1; case (wjc3967[1:0]) 3: begin kdfdc02 = 2'h3; end 2: begin kdfdc02 = 2'h2; end 1: begin kdfdc02 = 2'h1; end 0: begin kdfdc02 = 2'h0; end endcase\r
+\r
+\r
+ if(jr3dae && (ba96cb6 || gdbb395)) begin ic4c53e = mef587d; end else if (wjc3967[1:0] == 2'b00) begin ic4c53e = cb80e6c; end else begin ic4c53e = rt6bd61; end\r
+\r
+\r
+ end\r
+ cb80e6c: begin wy10fee = 1;\r
+\r
+\r
+ if(jr3dae && (ba96cb6 || gdbb395)) begin ic4c53e = wl7365; vv6bf6a = 1; end else begin ic4c53e = jpcd96d; end \r
+\r
+\r
+ end \r
+ jpcd96d: begin\r
+\r
+\r
+ if(jr3dae && (ba96cb6 || gdbb395)) begin ic4c53e = wl7365; vv6bf6a = 1; wy10fee = 1; end else begin ng2f056 = 1; wj5ed76 = 1; tja5e0a = 1; ic4c53e = wjed5fd; end \r
+\r
+\r
+ end \r
+\r
+\r
+\r
+ wl7365: begin wy10fee = 1; vv6bf6a = 1; if(ecb3299) begin ic4c53e = an39b2d; end else begin ic4c53e = wl7365; end end an39b2d: begin wy10fee = 1; ic4c53e = wjed5fd; tx_discfrm = 1; tja5e0a = 1; wj5ed76 = 1; end \r
+\r
+\r
+\r
+ gb5eb0f: begin dz6e910 = 1; co87f70 = 1; kdfdc02 = 2'h3; yx5490f = 1; if (wjc3967[1:0] == 2'b01) begin ic4c53e = mef587d; end else begin ic4c53e = gb5eb0f; end end\r
+\r
+\r
+\r
+ kd701cd: begin dz6e910 = 1; co87f70 = 1; kdfdc02 = 2; yx5490f = 0; ic4c53e = mef587d; end\r
+\r
+\r
+\r
+ mef587d: begin dz6e910 = 1;\r
+\r
+\r
+ if(mtaed77 || bnb5dae) begin ld7b26b = 1; if(do96cca) begin yx5490f = 0; tx_discfrm = 1; wj5ed76 = 1; tja5e0a = 1; ic4c53e = wjed5fd; end else begin ic4c53e = mef587d; end end else begin co87f70 = 1; kdfdc02 = 1; yx5490f = 1; tx_discfrm = 1; wj5ed76 = 1; tja5e0a = 1; ic4c53e = wjed5fd; end\r
+\r
+\r
+ end\r
+\r
+\r
+ ukac3e9: begin dz6e910 = 1; ld7b26b = 1; if(do96cca) begin ic4c53e = fn61f49; end else begin ic4c53e = ukac3e9; end end\r
+ fn61f49: begin case ({shfdabf, nr5fb57}) 2'b00: begin gq24775 = 1; wjedb5f = 1; ic4c53e = fn61f49; end 2'b01: begin gq24775 = 1; wjedb5f = 0; ic4c53e = fn61f49; end 2'b10: begin gq24775 = 0; wjedb5f = 1; ic4c53e = fn61f49; end 2'b11: begin gq24775 = 0; wjedb5f = 0; if(pseea6c) begin ic4c53e = ecfa4e; db3752b = 1; end else begin ic4c53e = dm57f6d; end end endcase end ecfa4e: begin if(pseea6c) begin ic4c53e = ecfa4e; db3752b = 1; end else begin ic4c53e = kq7d270; gq24775 = 1; end end\r
+\r
+\r
+\r
+ kq7d270: begin if(sw21761) begin ic4c53e = dm57f6d; gq24775 = 0; end else begin\r
+\r
+\r
+ if(pseea6c && !ps52ff7 && jr3dae) begin ic4c53e = ecfa4e; gq24775 = 0; kf1dd4d = 1; end else begin ic4c53e = kq7d270; gq24775 = 1; end\r
+\r
+\r
+ end end hbe9380: begin fn717b5 = 1; dz6e910 = 1; ep8f4f2 = an125a4[7:0];\r
+\r
+\r
+ if(gdbb395) begin if(os6dafc == 4'hf) ic4c53e = kd701cd; else begin ic4c53e = ukac3e9; iraef57 = 1'b1; end end else if(yz3c496) begin fn717b5 = 0; if(an125a4[8]) ic4c53e = cm6d7ac; else begin ic4c53e = enfdb5e; end end else begin ic4c53e = hbe9380; end\r
+\r
+\r
+ end default: ic4c53e = wjed5fd;\r
+ endcase end \r
+\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin xwe447a <= 0; end else if (txmac_clk_en) begin if(ba96cb6 && (oh1d806 || xjec034 || cz601a7 || ksd3d || uk34f4e || wj4e2f6)) begin xwe447a <= 1; end else if(mg760 || nre9c5e) begin xwe447a <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin zz223d3 <= 0; end else if (txmac_clk_en) begin if(ba96cb6 && oh1d806) begin zz223d3 <= 1; end else if(mg760 || nre9c5e) begin zz223d3 <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin os6dafc <= 4'h0; end else if (txmac_clk_en) begin if( do96cca ) begin os6dafc <= os6dafc + 4'h1; end else if(mg760) begin os6dafc <= 4'h0; end end end\r
+\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin bnb5dae <= 0; end else if (txmac_clk_en) begin if(ba96cb6 && !hbec252 && jr3dae) begin bnb5dae <= 1; end else if(mg760) begin bnb5dae <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin rg76bbd <= 0; end else if (txmac_clk_en) begin if(uvc96c3 || su5325b) begin rg76bbd <= 1; end else if(mg760) begin rg76bbd <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin mtaed77 <= 0; end else if (txmac_clk_en) begin if(mr5b0e5) begin mtaed77 <= 1; end else if(mg760) begin mtaed77 <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin hdb5dea <= 0; end else if (txmac_clk_en) begin if(hbd872c) begin hdb5dea <= 1; end else if(mg760) begin hdb5dea <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin ayd4afe <= 13'd0; end else if (txmac_clk_en) begin if(db9e732) begin ayd4afe <= zm9ccb6 + 13'd1; end else begin ayd4afe <= 13'd0; end end end\r
+\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin kqeaf42 <= 0; end else if (txmac_clk_en) begin if(hqa7a71) begin kqeaf42 <= 1; end else if(mg760) begin kqeaf42 <= 0; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin uv4e5c7 <= 0; end else if (txmac_clk_en) begin if ((vx3b00) || (kd4b61c) || (nre9c5e) || (xy992d8)) begin uv4e5c7 <= 6; end else if ((cz601a7) || (jr69e9) || (ksd3d)) begin uv4e5c7 <= 3; end else if (wjc3967 != 0) begin uv4e5c7 <= wjc3967 - 1; end end end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin qi971e8 <= 0; me72e3d <= 0; hqb8f40 <= 0; nrc7a03 <= 0; end else if (txmac_clk_en) begin if (oh1d806) begin me72e3d <= 0; hqb8f40 <= 0; nrc7a03 <= 0; end else begin me72e3d <= xl1cb3c + 1; if (xl1cb3c == 18) begin hqb8f40 <= 1; end if (xl1cb3c == 59) begin nrc7a03 <= 1; end end case ({an2cf30,xl1cb3c}) 0: begin qi971e8 <= ipdcaca; end 1: begin qi971e8 <= yke5654; end 2: begin qi971e8 <= ec2b2a1; end 3: begin qi971e8 <= ay59508; end 4: begin qi971e8 <= rgca841; end 5: begin qi971e8 <= mr5420a; end 6: begin qi971e8 <= dm767bd[47:40]; end 7: begin qi971e8 <= dm767bd[39:32]; end 8: begin qi971e8 <= dm767bd[31:24]; end 9: begin qi971e8 <= dm767bd[23:16]; end 10: begin qi971e8 <= dm767bd[15:8]; end 11: begin qi971e8 <= dm767bd[7:0]; end 12: begin qi971e8 <= gda1055; end 13: begin qi971e8 <= sj82ad; end 14: begin qi971e8 <= bn9ef58[15:8]; end 15: begin qi971e8 <= bn9ef58[7:0]; end 16: begin qi971e8 <= ana485d[15:8]; end 17: begin qi971e8 <= ana485d[7:0]; end default: begin qi971e8 <= uv4156c; end endcase end end\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin gbc9afd <= 0; end else if (txmac_clk_en) begin if(je994c9) begin gbc9afd <= ksb6653 + 1; end else begin gbc9afd <= 0; end end end always @ (ksb6653) begin if(ksb6653 == 2'b11) begin fa4d7ed = 1; end else begin fa4d7ed = 0; end end\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin necf64d <= 4'h0; end else if (txmac_clk_en) begin if(hqb2d99) begin necf64d <= ukb65b3 + 4'h1; end else begin necf64d <= 4'h0; end end end always @ (ukb65b3) begin shd935f = (ukb65b3 == 4'h3) ? 1'b1 : 1'b0; end\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin vife1cf <= 0; suf0e7b <= 0; qi873d9 <= 0; pseea6c <= 0; end else if (txmac_clk_en) begin vife1cf <= phb5d9e; suf0e7b <= fnf6bb3; qi873d9 <= hbe65b2; pseea6c <= xl32d96; end end \r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin shfdabf = 0; end else if (txmac_clk_en) begin if(nre9c5e && sw21761) begin shfdabf = 1; end else if(oh1d806 || su5325b) begin shfdabf = 0; end end end\r
+ always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin nr5fb57 = 0; end else if (txmac_clk_en) begin if(nre9c5e && rt4a5fe) begin nr5fb57 = 1; end else if(oh1d806 || su5325b) begin nr5fb57 = 0; end end end\r
+\r
+\r
+\r
+\r
+always@* begin cze80f6<=ea5c9d9[0];rt407b5<=ea5c9d9[1];jr3dae<=ea5c9d9[2];vk1ed76<=ea5c9d9[3];fnf6bb3<=ea5c9d9[4];phb5d9e<=ea5c9d9[5];dm767bd<={shd9b58>>1,ea5c9d9[6]};bn9ef58<={dzcdac4>>1,ea5c9d9[7]};zmbd63c<={go6d627>>1,ea5c9d9[8]};vieb1e2<=ea5c9d9[9];ho58f12<=ea5c9d9[10];thc7892<=ea5c9d9[11];yz3c496<=ea5c9d9[12];an125a4<={ir39c8e>>1,ea5c9d9[13]};ie92d24<=ea5c9d9[14];zm96921<=ea5c9d9[15];ana485d<={zz91d49>>1,ea5c9d9[16]};qi242ec<=ea5c9d9[17];sw21761<=ea5c9d9[18];zk5d84a<={eca93b4>>1,ea5c9d9[19]};hbec252<=ea5c9d9[20];fn61297<=ea5c9d9[21];lf94bf<=ea5c9d9[22];rt4a5fe<=ea5c9d9[23];ps52ff7<=ea5c9d9[24];co97fbb<=ea5c9d9[25];fpbfdd9<=ea5c9d9[26];ep36c0e<={fne98a7>>1,ea5c9d9[27]};ecb6074<={ic4c53e>>1,ea5c9d9[28]};gdbb395<=ea5c9d9[29];end9cad<=ea5c9d9[30];ww72b61<={ep8f4f2>>1,ea5c9d9[31]};ngad844<={gbd3cad>>1,ea5c9d9[32]};nr61113<={yxf2b6e>>1,ea5c9d9[33]};wl889f<=ea5c9d9[34];en444fd<=ea5c9d9[35];jr227ec<=ea5c9d9[36];nt13f66<=ea5c9d9[37];oh9fb37<=ea5c9d9[38];alfd9bd<=ea5c9d9[39];jpecded<={kdfdc02>>1,ea5c9d9[40]};ps66f6e<={jcee015>>1,ea5c9d9[41]};nt37b73<=ea5c9d9[42];zmbdb9e<=ea5c9d9[43];aledcf3<=ea5c9d9[44];xj6e79c<=ea5c9d9[45];xw73ce6<=ea5c9d9[46];db9e732<=ea5c9d9[47];zm9ccb6<={ayd4afe>>1,ea5c9d9[48]};hbe65b2<=ea5c9d9[49];xl32d96<=ea5c9d9[50];ba96cb6<=ea5c9d9[51];ukb65b3<={necf64d>>1,ea5c9d9[52]};hqb2d99<=ea5c9d9[53];do96cca<=ea5c9d9[54];ksb6653<={gbc9afd>>1,ea5c9d9[55]};ecb3299<=ea5c9d9[56];je994c9<=ea5c9d9[57];lqca64b<=ea5c9d9[58];su5325b<=ea5c9d9[59];xy992d8<=ea5c9d9[60];uvc96c3<=ea5c9d9[61];kd4b61c<=ea5c9d9[62];mr5b0e5<=ea5c9d9[63];hbd872c<=ea5c9d9[64];wjc3967<={uv4e5c7>>1,ea5c9d9[65]};xl1cb3c<={me72e3d>>1,ea5c9d9[66]};ose59e6<={qi971e8>>1,ea5c9d9[67]};an2cf30<=ea5c9d9[68];ui67984<=ea5c9d9[69];an3cc21<=ea5c9d9[70];end\r
+always@* begin ec2b93b[2047]<=mg8dfdb;ec2b93b[2046]<=cz6fed9;ec2b93b[2044]<=qt7f6cd;ec2b93b[2040]<=fafb66d;ec2b93b[2032]<=uidb36b;ec2b93b[2018]<=qt700aa;ec2b93b[2017]<=shd9b58[0];ec2b93b[1989]<=yz80554;ec2b93b[1987]<=dzcdac4[0];ec2b93b[1931]<=yx5490f;ec2b93b[1927]<=go6d627[0];ec2b93b[1865]<=zz91d49[0];ec2b93b[1859]<=yxf2b6e[0];ec2b93b[1844]<=ld7b26b;ec2b93b[1815]<=uxa487c;ec2b93b[1806]<=xj6b139;ec2b93b[1682]<=db8ea4e;ec2b93b[1674]<=lqe4c7d;ec2b93b[1671]<=dz6e910;ec2b93b[1640]<=shd935f;ec2b93b[1582]<=uk243e4;ec2b93b[1565]<=xw589ce;ec2b93b[1488]<=ep8f4f2[0];ec2b93b[1485]<=qi873d9;ec2b93b[1326]<=ay4c52f;ec2b93b[1317]<=wya9b1c;ec2b93b[1300]<=mr54301;ec2b93b[1297]<=uv4e5c7[0];ec2b93b[1295]<=zx74887;ec2b93b[1233]<=gbc9afd[0];ec2b93b[1210]<=ic4c53e[0];ec2b93b[1189]<=cm6d7e0;ec2b93b[1172]<=ea49da1;ec2b93b[1116]<=db3752b;ec2b93b[1105]<=gdaba9a;ec2b93b[1092]<=qi971e8[0];ec2b93b[1087]<=wy10fee;ec2b93b[1082]<=tx_fifoavail;ec2b93b[1023]<=lqf1bfb;ec2b93b[1009]<=jcee015[0];ec2b93b[932]<=ww723a9;ec2b93b[929]<=gbd3cad[0];ec2b93b[922]<=necf64d[0];ec2b93b[837]<=vv6bf6a;ec2b93b[744]<=zz223d3;ec2b93b[742]<=suf0e7b;ec2b93b[663]<=zz98a5;ec2b93b[648]<=qi571b;ec2b93b[605]<=fne98a7[0];ec2b93b[594]<=dm7684c;ec2b93b[586]<=eca93b4[0];ec2b93b[552]<=wj60366;ec2b93b[547]<=db3d01e;ec2b93b[546]<=me72e3d[0];ec2b93b[543]<=wla443f;ec2b93b[504]<=kdfdc02[0];ec2b93b[466]<=suce475;ec2b93b[418]<=fa4d7ed;ec2b93b[372]<=xwe447a;ec2b93b[371]<=vife1cf;ec2b93b[331]<=qt75363;ec2b93b[324]<=irab02b;ec2b93b[297]<=qt4ed09;ec2b93b[273]<=nrc7a03;ec2b93b[252]<=ri3fb80;ec2b93b[233]<=ir39c8e[0];ec2b93b[185]<=ayd4afe[0];ec2b93b[162]<=kq53558;ec2b93b[136]<=hqb8f40;ec2b93b[126]<=co87f70;ec2b93b[116]<=ph27391;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ww53ba7 ( qvb4f6d,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+\r
+ lqf1bfb, \r
+ mg8dfdb, \r
+ tx_sndpausreq, \r
+ tx_sndpaustim, \r
+ co1f530, \r
+ cmfa980, \r
+ yk56400, \r
+ xj6b139, \r
+ ls30000, \r
+ mg760, \r
+ cz601a7, \r
+ ksd3d,\r
+ hqa7a71,\r
+ uk34f4e,\r
+ nre9c5e,\r
+ wj4e2f6, \r
+ jr69e9,\r
+ kqeaf42,\r
+ ea62978,\r
+ ng2f056,\r
+ tx_discfrm,\r
+ tja5e0a,\r
+ os6dafc,\r
+ bnb5dae,\r
+ mtaed77,\r
+ jea8807,\r
+ rg76bbd,\r
+ zm201d4, \r
+ mgea3, \r
+ db8ea4e, \r
+ zz91d49, \r
+ ww723a9, \r
+ ea49da1, \r
+ qt4ed09, \r
+ dm7684c, \r
+ tx_statvec, \r
+ tx_staten, \r
+ tx_done, \r
+ go46d29, \r
+ ay4c52f, \r
+ zz98a5 \r
+ );\r
+parameter xw422d5 = 16;\r
+parameter qte845a = 8;\r
+parameter rt6bf01 = 4;\r
+parameter tj8b55b = 14;\r
+parameter vk25f5d = 31;\r
+input qvb4f6d;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input lqf1bfb;\r
+input mg8dfdb;\r
+input tx_sndpausreq;\r
+input [xw422d5-1:0] tx_sndpaustim;\r
+input co1f530;\r
+input cmfa980;\r
+input [qte845a-1:0] yk56400;\r
+input xj6b139;\r
+input ls30000;\r
+input mg760;\r
+input cz601a7;\r
+input ksd3d;\r
+input hqa7a71;\r
+input uk34f4e;\r
+input nre9c5e;\r
+input wj4e2f6;\r
+input jr69e9;\r
+input kqeaf42;\r
+input ea62978;\r
+input ng2f056;\r
+input tx_discfrm;\r
+input tja5e0a;\r
+input [rt6bf01-1:0] os6dafc;\r
+input bnb5dae;\r
+input mtaed77;\r
+input jea8807;\r
+input rg76bbd;\r
+output zm201d4;\r
+output mgea3;\r
+output db8ea4e;\r
+output [xw422d5-1:0] zz91d49;\r
+output ww723a9;\r
+output ea49da1;\r
+output qt4ed09;\r
+output dm7684c;\r
+output [vk25f5d-1:0] tx_statvec;\r
+output tx_staten;\r
+output tx_done;\r
+output go46d29;\r
+output ay4c52f;\r
+output zz98a5;\r
+reg [vk25f5d-1:0] tx_statvec;\r
+reg db8ea4e;\r
+reg [xw422d5-1:0] zz91d49;\r
+reg ww723a9;\r
+reg os49028;\r
+reg [tj8b55b-1:0] hb40a02;\r
+reg ie5017;\r
+reg [qte845a-1:0] rt405c7;\r
+reg zm201d4;\r
+reg mgea3;\r
+reg rib8fa4 ;\r
+reg pfc7d23 ;\r
+reg tx_done ;\r
+reg go46d29;\r
+reg mta4783 ;\r
+reg oh23c1d;\r
+reg tx_staten ;\r
+reg kqf076a;\r
+reg ba83b50;\r
+reg kf1da82;\r
+reg zz98a5;\r
+reg yx6a0a5;\r
+reg hb5052c;\r
+reg qi82967;\r
+reg zm14b38;\r
+reg dba59c2;\r
+reg qv2ce16;\r
+reg su670b4;\r
+reg hd385a0;\r
+reg jpc2d06;\r
+reg dm7684c;\r
+reg ay4c52f;\r
+reg qt4ed09;\r
+wire ea49da1 = qt4ed09;\r
+wire rib79b4;\r
+wire ecbcda2 = &yk56400;\r
+wire mt3688f = qi82967 & zm14b38 & dba59c2 & qv2ce16 & su670b4 & hd385a0;\r
+wire lf2251b = ~(|hb40a02[13:6]);\r
+wire fp946f5 = zz98a5 | kqeaf42;\r
+wire [vk25f5d-1:0] kqf5e19 = {lf2251b, os6dafc[3:0], mtaed77, bnb5dae, jea8807, rg76bbd, hb40a02[13:0], kf1da82, yx6a0a5 | jpc2d06, zz98a5, rib79b4, fp946f5, mt3688f, ba83b50 | hb5052c, kqf076a};\r
+wire ng1c25 = cz601a7 | ksd3d | jr69e9;\r
+wire vk256ef = ng1c25 & (~(|hb40a02[13:4])) & ~hb40a02[3] & ~hb40a02[2] & ~hb40a02[1] & hb40a02[0];\r
+wire zkc5c3e = ng1c25 & (~(|hb40a02[13:4])) & ~hb40a02[3] & ~hb40a02[2] & hb40a02[1] & ~hb40a02[0];\r
+wire hoc5078 = ng1c25 & (~(|hb40a02[13:4])) & ~hb40a02[3] & ~hb40a02[2] & hb40a02[1] & hb40a02[0];\r
+wire jebd235 = ng1c25 & (~(|hb40a02[13:4])) & ~hb40a02[3] & hb40a02[2] & ~hb40a02[1] & ~hb40a02[0];\r
+wire uxbb0ba = ng1c25 & (~(|hb40a02[13:4])) & ~hb40a02[3] & hb40a02[2] & ~hb40a02[1] & hb40a02[0];\r
+wire jp7e997 = ng1c25 & (~(|hb40a02[13:4])) & ~hb40a02[3] & hb40a02[2] & hb40a02[1] & ~hb40a02[0];\r
+wire ks98c6f = ng1c25 & (~(|hb40a02[13:4])) & hb40a02[3] & hb40a02[2] & hb40a02[1] & ~hb40a02[0];\r
+wire cb2930a = ~kf1da82 & ((|hb40a02[13:11]) | (&hb40a02[10:9]) | (hb40a02[10] & hb40a02[8] & (&hb40a02[7:5]) & (hb40a02[4] | (&hb40a02[3:0]))));\r
+wire db38e5b = (|hb40a02[13:11]) | (&hb40a02[10:9]) | (hb40a02[10] & hb40a02[8] & (&hb40a02[7:4]) & ((|hb40a02[3:2]) | (&hb40a02[1:0])));\r
+reg cze80f6;\r
+reg rt407b5;\r
+reg xj6b194;\r
+reg [xw422d5 - 1 : 0] qtc6532;\r
+reg fp32995;\r
+reg ph94cae;\r
+reg [qte845a - 1 : 0] db32bb0;\r
+reg vieb1e2;\r
+reg uxaec34;\r
+reg dm761a5;\r
+reg dbb0d2b;\r
+reg ba8695f;\r
+reg ie34afa;\r
+reg rva57d1;\r
+reg aa2be8f;\r
+reg bl5f479;\r
+reg uifa3ce;\r
+reg kqd1e71;\r
+reg mt8f388;\r
+reg by79c45;\r
+reg osce22b;\r
+reg th71159;\r
+reg [rt6bf01 - 1 : 0] hdbac11;\r
+reg qi2b38e;\r
+reg su59c70;\r
+reg ayce380;\r
+reg ld71c01;\r
+reg ph8e00f;\r
+reg [tj8b55b - 1 : 0] an803fc;\r
+reg ba1fe4;\r
+reg [qte845a - 1 : 0] yx7f918;\r
+reg pffc8c4;\r
+reg xwe4627;\r
+reg yz2313b;\r
+reg cb189dc;\r
+reg cmc4ee4;\r
+reg xl27721;\r
+reg sw3b90e;\r
+reg shdc870;\r
+reg bye4384;\r
+reg co21c23;\r
+reg hqe118;\r
+reg of708c3;\r
+reg nt8461d;\r
+reg cb230ee;\r
+reg ir18774;\r
+reg enc3ba5;\r
+reg ir1dd2c;\r
+reg zkee964;\r
+reg th74b23;\r
+reg bna591f;\r
+reg an2c8fe;\r
+reg [vk25f5d - 1 : 0] yz23fa7;\r
+reg ec1fd3d;\r
+reg xwfe9e9;\r
+reg faf4f4a;\r
+reg ria7a53;\r
+reg db3d29b;\r
+reg dze94de;\r
+reg zx4a6f7;\r
+reg ay537bf;\r
+reg lf9bdf8;\r
+reg aydefc7;\r
+reg [2047:0] ec2b93b;\r
+wire [62:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 63,ph27642 = 32'hfdffe44b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+ \r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin rib8fa4 <= 1'b0; pfc7d23 <= 1'b0; tx_done <= 1'b0; go46d29 <= 1'b0; mta4783 <= 1'b0; oh23c1d <= 1'b0; tx_staten <= 1'b0; end else if (txmac_clk_en) begin tx_done <= xwe4627; pfc7d23 <= pffc8c4; rib8fa4 <= by79c45; go46d29 <= osce22b; tx_staten <= cb189dc; oh23c1d <= yz2313b; mta4783 <= th71159; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin ww723a9 <= 1'b0; end else if (txmac_clk_en) begin if(ph94cae) begin ww723a9 <= 1'b0; end else if(ph8e00f) begin ww723a9 <= ph8e00f; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin hb40a02 <= 14'd0; dm7684c <= 0; ay4c52f <= 0; qt4ed09 <= 1; end else if (txmac_clk_en) begin if (an803fc[13:0] == 14'd58) begin dm7684c <= 1; end else begin dm7684c <= 0; end if (an803fc[13:0] == 14'd63) begin ay4c52f <= 1; end else begin ay4c52f <= 0; end if (dm7684c == 1) begin qt4ed09 <= 0; end if(ie34afa) begin hb40a02 <= an803fc + 14'd4; end else if(dbb0d2b || bl5f479 || rva57d1 || uifa3ce || ba8695f) begin hb40a02 <= an803fc + 14'd1; end else if(dm761a5 || aa2be8f) begin hb40a02 <= 14'd0; qt4ed09 <= 1; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin kqf076a <= 1'b0; ba83b50 <= 1'b0; end else if (txmac_clk_en) begin if (xwfe9e9) begin if(db32bb0[0]) begin kqf076a <= 1'b0; ba83b50 <= 1'b1; end else begin kqf076a <= 1'b1; ba83b50 <= 1'b0; end end else if(dm761a5) begin kqf076a <= 1'b0; ba83b50 <= 1'b0; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) qi82967 <= 1'b0; else if (txmac_clk_en) begin if (xwfe9e9) begin if(zkee964) qi82967 <= 1'b1; else qi82967 <= 1'b0; end else if(dm761a5) qi82967 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) zm14b38 <= 1'b0; else if (txmac_clk_en) begin if (faf4f4a) begin if(zkee964) zm14b38 <= 1'b1; else zm14b38 <= 1'b0; end else if(dm761a5) zm14b38 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) dba59c2 <= 1'b0; else if (txmac_clk_en) begin if (ria7a53) begin if(zkee964) dba59c2 <= 1'b1; else dba59c2 <= 1'b0; end else if(dm761a5) dba59c2 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) qv2ce16 <= 1'b0; else if (txmac_clk_en) begin if (db3d29b) begin if(zkee964) qv2ce16 <= 1'b1; else qv2ce16 <= 1'b0; end else if(dm761a5) qv2ce16 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) su670b4 <= 1'b0; else if (txmac_clk_en) begin if (dze94de) begin if(zkee964) su670b4 <= 1'b1; else su670b4 <= 1'b0; end else if(dm761a5) su670b4 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) hd385a0 <= 1'b0; else if (txmac_clk_en) begin if (zx4a6f7) begin if(zkee964) hd385a0 <= 1'b1; else hd385a0 <= 1'b0; end else if(dm761a5) hd385a0 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin kf1da82 <= 1'b0; jpc2d06 <= 1'b0; end else if (txmac_clk_en) begin if(ay537bf) begin if ((yx7f918 == 8'h81) && (db32bb0 == 8'h00)) begin kf1da82 <= 1'b1; jpc2d06 <= 1'b0; end else if ((yx7f918 == 8'h88) && (db32bb0 == 8'h08)) begin kf1da82 <= 1'b0; jpc2d06 <= 1'b1; end else begin kf1da82 <= 1'b0; jpc2d06 <= 1'b0; end end else if(dm761a5) begin kf1da82 <= 1'b0; jpc2d06 <= 1'b0; end end end \r
+ assign rib79b4 = lf9bdf8 | aydefc7;\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) zz98a5 <= 1'b0; else if (txmac_clk_en) begin if(dbb0d2b && uxaec34 && !(ba1fe4 || vieb1e2)) begin zz98a5 <= 1'b1; end else if(dm761a5) zz98a5 <= 1'b0; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) yx6a0a5 <= 1'b0; else if (txmac_clk_en) begin if(uifa3ce) yx6a0a5 <= 1'b1; else if(dm761a5) yx6a0a5 <= 1'b0 ; end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) hb5052c <= 1'b0; else if (txmac_clk_en) begin if (rva57d1 || ie34afa) begin hb5052c <= shdc870; end end end \r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin zm201d4 <= 1'b0; mgea3 <= 1'b0; os49028 <= 1'b0; tx_statvec <= 31'd0; end else if (txmac_clk_en) begin zm201d4 <= cze80f6; mgea3 <= rt407b5; os49028 <= fp32995; if (th71159 == 1) begin tx_statvec <= yz23fa7; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin ie5017 <= 0; rt405c7 <= 0; end else if (txmac_clk_en) begin rt405c7 <= db32bb0; if(mt8f388) begin ie5017 <= vieb1e2; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin db8ea4e <= 1'b0; zz91d49 <= 16'h0000; end else if (txmac_clk_en) begin if(uifa3ce) db8ea4e <= 1'b0; else if(xj6b194) begin db8ea4e <= xj6b194; zz91d49 <= qtc6532; end end end\r
+always@* begin cze80f6<=ea5c9d9[0];rt407b5<=ea5c9d9[1];xj6b194<=ea5c9d9[2];qtc6532<={tx_sndpaustim>>1,ea5c9d9[3]};fp32995<=ea5c9d9[4];ph94cae<=ea5c9d9[5];db32bb0<={yk56400>>1,ea5c9d9[6]};vieb1e2<=ea5c9d9[7];uxaec34<=ea5c9d9[8];dm761a5<=ea5c9d9[9];dbb0d2b<=ea5c9d9[10];ba8695f<=ea5c9d9[11];ie34afa<=ea5c9d9[12];rva57d1<=ea5c9d9[13];aa2be8f<=ea5c9d9[14];bl5f479<=ea5c9d9[15];uifa3ce<=ea5c9d9[16];kqd1e71<=ea5c9d9[17];mt8f388<=ea5c9d9[18];by79c45<=ea5c9d9[19];osce22b<=ea5c9d9[20];th71159<=ea5c9d9[21];hdbac11<={os6dafc>>1,ea5c9d9[22]};qi2b38e<=ea5c9d9[23];su59c70<=ea5c9d9[24];ayce380<=ea5c9d9[25];ld71c01<=ea5c9d9[26];ph8e00f<=ea5c9d9[27];an803fc<={hb40a02>>1,ea5c9d9[28]};ba1fe4<=ea5c9d9[29];yx7f918<={rt405c7>>1,ea5c9d9[30]};pffc8c4<=ea5c9d9[31];xwe4627<=ea5c9d9[32];yz2313b<=ea5c9d9[33];cb189dc<=ea5c9d9[34];cmc4ee4<=ea5c9d9[35];xl27721<=ea5c9d9[36];sw3b90e<=ea5c9d9[37];shdc870<=ea5c9d9[38];bye4384<=ea5c9d9[39];co21c23<=ea5c9d9[40];hqe118<=ea5c9d9[41];of708c3<=ea5c9d9[42];nt8461d<=ea5c9d9[43];cb230ee<=ea5c9d9[44];ir18774<=ea5c9d9[45];enc3ba5<=ea5c9d9[46];ir1dd2c<=ea5c9d9[47];zkee964<=ea5c9d9[48];th74b23<=ea5c9d9[49];bna591f<=ea5c9d9[50];an2c8fe<=ea5c9d9[51];yz23fa7<={kqf5e19>>1,ea5c9d9[52]};ec1fd3d<=ea5c9d9[53];xwfe9e9<=ea5c9d9[54];faf4f4a<=ea5c9d9[55];ria7a53<=ea5c9d9[56];db3d29b<=ea5c9d9[57];dze94de<=ea5c9d9[58];zx4a6f7<=ea5c9d9[59];ay537bf<=ea5c9d9[60];lf9bdf8<=ea5c9d9[61];aydefc7<=ea5c9d9[62];end\r
+always@* begin ec2b93b[2047]<=mg8dfdb;ec2b93b[2046]<=tx_sndpausreq;ec2b93b[2044]<=tx_sndpaustim[0];ec2b93b[2040]<=co1f530;ec2b93b[2033]<=cmfa980;ec2b93b[2019]<=yk56400[0];ec2b93b[1991]<=xj6b139;ec2b93b[1934]<=ls30000;ec2b93b[1929]<=rib79b4;ec2b93b[1898]<=wj4e2f6;ec2b93b[1821]<=mg760;ec2b93b[1810]<=ecbcda2;ec2b93b[1749]<=jr69e9;ec2b93b[1707]<=ng2f056;ec2b93b[1666]<=hb40a02[0];ec2b93b[1630]<=zm14b38;ec2b93b[1595]<=cz601a7;ec2b93b[1572]<=mt3688f;ec2b93b[1506]<=hd385a0;ec2b93b[1450]<=kqeaf42;ec2b93b[1440]<=rg76bbd;ec2b93b[1384]<=mtaed77;ec2b93b[1370]<=os6dafc[0];ec2b93b[1366]<=tx_discfrm;ec2b93b[1284]<=ie5017;ec2b93b[1212]<=dba59c2;ec2b93b[1202]<=ks98c6f;ec2b93b[1174]<=jebd235;ec2b93b[1170]<=vk256ef;ec2b93b[1142]<=ksd3d;ec2b93b[1125]<=kf1da82;ec2b93b[1097]<=lf2251b;ec2b93b[1041]<=rib8fa4;ec2b93b[1023]<=lqf1bfb;ec2b93b[964]<=jpc2d06;ec2b93b[949]<=nre9c5e;ec2b93b[853]<=ea62978;ec2b93b[833]<=os49028;ec2b93b[815]<=qi82967;ec2b93b[753]<=su670b4;ec2b93b[720]<=jea8807;ec2b93b[714]<=db38e5b;ec2b93b[692]<=bnb5dae;ec2b93b[685]<=tja5e0a;ec2b93b[601]<=jp7e997;ec2b93b[587]<=hoc5078;ec2b93b[585]<=ng1c25;ec2b93b[562]<=ba83b50;ec2b93b[520]<=rt405c7[0];ec2b93b[474]<=uk34f4e;ec2b93b[407]<=hb5052c;ec2b93b[376]<=qv2ce16;ec2b93b[357]<=cb2930a;ec2b93b[300]<=uxbb0ba;ec2b93b[293]<=zkc5c3e;ec2b93b[292]<=kqf5e19[0];ec2b93b[281]<=kqf076a;ec2b93b[237]<=hqa7a71;ec2b93b[203]<=yx6a0a5;ec2b93b[146]<=fp946f5;ec2b93b[140]<=oh23c1d;ec2b93b[70]<=mta4783;ec2b93b[35]<=pfc7d23;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module uk13d ( qvb4f6d,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+ db3d05f, \r
+ cze82fe, \r
+ qt417f5, \r
+ cmfa980 \r
+ );\r
+parameter xw422d5 = 16;\r
+input qvb4f6d;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input db3d05f;\r
+input cze82fe;\r
+input [xw422d5-1:0] qt417f5;\r
+output cmfa980;\r
+reg [xw422d5-1:0] su4ce51;\r
+reg [5:0] ww67289;\r
+reg fne98a7;\r
+reg ic4c53e;\r
+reg cmfa980;\r
+reg hq8911d;\r
+parameter tw14f81 = 1'b0;\r
+parameter fca7c0a = 1'b1;\r
+reg ir23a9c;\r
+reg ir1d4e5;\r
+reg [xw422d5 - 1 : 0] kq53968;\r
+reg [xw422d5 - 1 : 0] cme5a0a;\r
+reg [5 : 0] jr2d050;\r
+reg ep36c0e;\r
+reg ecb6074;\r
+reg iea1cc;\r
+reg [2047:0] ec2b93b;\r
+wire [7:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 8,ph27642 = 32'hfdffd14b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin fne98a7 <= tw14f81; end else if (txmac_clk_en) begin fne98a7 <= ecb6074; end end always @ (ep36c0e or ir23a9c or cmfa980) begin case(ep36c0e) tw14f81: begin if(ir23a9c) begin ic4c53e = fca7c0a; end else begin ic4c53e = tw14f81; end end fca7c0a: begin if(cmfa980) begin ic4c53e = tw14f81; end else begin ic4c53e = fca7c0a; end end endcase end always @ (posedge qvb4f6d or negedge baa7b6d) begin if (!baa7b6d) begin su4ce51 <= 0; cmfa980 <= 0; end else if (txmac_clk_en) begin if (ir1d4e5 == 1) begin su4ce51 <= kq53968; end else if(ep36c0e == fca7c0a) begin if (iea1cc == 1) begin su4ce51 <= cme5a0a - 1; end end else begin su4ce51 <= kq53968; end if (cme5a0a == 0) begin cmfa980 <= 1; end else begin cmfa980 <= 0; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if (!baa7b6d) begin ww67289 <= 0; hq8911d <= 0; end else if (txmac_clk_en) begin if (ir23a9c && !ir1d4e5) begin ww67289 <= jr2d050 + 1; end else begin ww67289 <= 0; end if ((jr2d050 == 6'h3e) && (!ir1d4e5)) begin hq8911d <= 1; end else begin hq8911d <= 0; end end end\r
+always@* begin ir23a9c<=ea5c9d9[0];ir1d4e5<=ea5c9d9[1];kq53968<={qt417f5>>1,ea5c9d9[2]};cme5a0a<={su4ce51>>1,ea5c9d9[3]};jr2d050<={ww67289>>1,ea5c9d9[4]};ep36c0e<=ea5c9d9[5];ecb6074<=ea5c9d9[6];iea1cc<=ea5c9d9[7];end\r
+always@* begin ec2b93b[2047]<=cze82fe;ec2b93b[2046]<=qt417f5[0];ec2b93b[2044]<=su4ce51[0];ec2b93b[2040]<=ww67289[0];ec2b93b[2033]<=fne98a7;ec2b93b[2019]<=ic4c53e;ec2b93b[1990]<=hq8911d;ec2b93b[1023]<=db3d05f;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module yz8a39d (txmac_clk,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+ tx_discfrm,\r
+ tx_fifodata, \r
+ tx_fifoeof, \r
+ tx_fifoempty, \r
+ tx_fifoctrl, \r
+ tx_macread, \r
+ go6d627, \r
+ xj6b139, \r
+ ls30000, \r
+ suce475, \r
+ xw589ce, \r
+ ea62978 \r
+ );\r
+parameter qte845a = 8;\r
+input txmac_clk;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input tx_discfrm;\r
+input [qte845a-1:0] tx_fifodata;\r
+input tx_fifoeof;\r
+input tx_fifoempty;\r
+input tx_fifoctrl;\r
+output tx_macread;\r
+output [qte845a-1:0] go6d627;\r
+output xj6b139;\r
+output ls30000;\r
+output suce475;\r
+output xw589ce;\r
+input ea62978;\r
+reg [qte845a+2:0] ho57372;\r
+reg [qte845a+2:0] blcdc91;\r
+reg [qte845a+2:0] qg7247e;\r
+reg [qte845a+2:0] lf91f88;\r
+reg [qte845a+2:0] ld7e234;\r
+reg [qte845a+2:0] ec88d3a;\r
+reg [qte845a+2:0] ie34e88;\r
+reg [qte845a+2:0] hq3a20a;\r
+reg [qte845a+2:0] ou88299;\r
+reg [qte845a+2:0] iea644;\r
+reg [qte845a+2:0] ng99108;\r
+reg [qte845a+2:0] gb4421f;\r
+reg [qte845a+2:0] qv87e1;\r
+reg [qte845a+2:0] qi1f860;\r
+reg [qte845a+2:0] jce180e;\r
+reg ntc072;\r
+reg gb60396;\r
+wire [qte845a-1:0] go6d627;\r
+wire tx_macread;\r
+wire xj6b139;\r
+wire ls30000;\r
+wire suce475;\r
+wire xw589ce;\r
+reg osce22b;\r
+reg [qte845a - 1 : 0] je1e93c;\r
+reg lqf49e3;\r
+reg oha4f1b;\r
+reg bn278dc;\r
+reg mt8f388;\r
+reg [qte845a + 2 : 0] ba1b9e6;\r
+reg [qte845a + 2 : 0] ofe799c;\r
+reg [qte845a + 2 : 0] pfe672d;\r
+reg [qte845a + 2 : 0] ls9cb74;\r
+reg [qte845a + 2 : 0] nt2dd10;\r
+reg [qte845a + 2 : 0] dz74437;\r
+reg [qte845a + 2 : 0] sw10df2;\r
+reg [qte845a + 2 : 0] fp37c82;\r
+reg [qte845a + 2 : 0] qtf20b5;\r
+reg [qte845a + 2 : 0] co82d6d;\r
+reg [qte845a + 2 : 0] lfb5b47;\r
+reg [qte845a + 2 : 0] ui6d1fa;\r
+reg [qte845a + 2 : 0] lq47e88;\r
+reg [qte845a + 2 : 0] qgfa213;\r
+reg [qte845a + 2 : 0] sw884c9;\r
+reg tu42648;\r
+reg db13243;\r
+reg [2047:0] ec2b93b;\r
+wire [22:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 23,ph27642 = 32'hfdffd48b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+assign tx_macread = tu42648 & ~oha4f1b;\r
+assign go6d627 = ba1b9e6[qte845a-1:0];\r
+assign xj6b139 = ba1b9e6[qte845a];\r
+assign ls30000 = ~(ofe799c[qte845a+2] | sw884c9[qte845a+2]);\r
+assign suce475 = ba1b9e6[qte845a+1];\r
+assign xw589ce = ba1b9e6[qte845a+2];\r
+always @ (ba1b9e6 or ofe799c or pfe672d or ls9cb74 or nt2dd10 or dz74437 or sw10df2 or sw884c9 or mt8f388) begin casex ({sw10df2[qte845a+2],dz74437[qte845a+2], nt2dd10[qte845a+2],ls9cb74[qte845a+2], pfe672d[qte845a+2],ofe799c[qte845a+2], ba1b9e6[qte845a+2],mt8f388}) 8'bxxxxxx0x, 8'bxxxxx011: begin hq3a20a = sw884c9; ou88299 = pfe672d; iea644 = ls9cb74; ng99108 = nt2dd10; gb4421f = dz74437; qv87e1 = sw10df2; qi1f860 = 11'h000; end 8'bxxxxx010, 8'bxxxx0111: begin hq3a20a = ofe799c; ou88299 = sw884c9; iea644 = ls9cb74; ng99108 = nt2dd10; gb4421f = dz74437; qv87e1 = sw10df2; qi1f860 = 11'h000; end 8'bxxxx0110, 8'bxxx01111: begin hq3a20a = ofe799c; ou88299 = pfe672d; iea644 = sw884c9; ng99108 = nt2dd10; gb4421f = dz74437; qv87e1 = sw10df2; qi1f860 = 11'h000; end 8'bxxx01110, 8'bxx011111: begin hq3a20a = ofe799c; ou88299 = pfe672d; iea644 = ls9cb74; ng99108 = sw884c9; gb4421f = dz74437; qv87e1 = sw10df2; qi1f860 = 11'h000; end 8'bxx011110, 8'bx0111111: begin hq3a20a = ofe799c; ou88299 = pfe672d; iea644 = ls9cb74; ng99108 = nt2dd10; gb4421f = sw884c9; qv87e1 = sw10df2; qi1f860 = 11'h000; end 8'bx0111110, 8'b01111111: begin hq3a20a = ofe799c; ou88299 = pfe672d; iea644 = ls9cb74; ng99108 = nt2dd10; gb4421f = dz74437; qv87e1 = sw884c9; qi1f860 = 11'h000; end 8'bx1111110, 8'b11111111: begin hq3a20a = ofe799c; ou88299 = pfe672d; iea644 = ls9cb74; ng99108 = nt2dd10; gb4421f = dz74437; qv87e1 = sw10df2; qi1f860 = sw884c9; end endcase\r
+end always @ (posedge txmac_clk or negedge baa7b6d) begin if(!baa7b6d) begin ho57372 <= 11'h000; blcdc91 <= 11'h000; qg7247e <= 11'h000; lf91f88 <= 11'h000; ld7e234 <= 11'h000; ec88d3a <= 11'h000; ie34e88 <= 11'h000; jce180e <= 11'h000; ntc072 <= 0; gb60396 <= 0; end else if (txmac_clk_en) begin jce180e <= {db13243, bn278dc, lqf49e3, je1e93c}; if ((ba1b9e6[qte845a+2] == 0) || (mt8f388 == 1)) begin ho57372 <= fp37c82; end if ((ofe799c[qte845a+2] == 0) || (mt8f388 == 1)) begin blcdc91 <= qtf20b5; end if ((pfe672d[qte845a+2] == 0) || (mt8f388 == 1)) begin qg7247e <= co82d6d; end if ((ls9cb74[qte845a+2] == 0) || (mt8f388 == 1)) begin lf91f88 <= lfb5b47; end if ((nt2dd10[qte845a+2] == 0) || (mt8f388 == 1)) begin ld7e234 <= ui6d1fa; end if ((dz74437[qte845a+2] == 0) || (mt8f388 == 1)) begin ec88d3a <= lq47e88; end if ((sw10df2[qte845a+2] == 0) || (mt8f388 == 1)) begin ie34e88 <= qgfa213; end case (tu42648) 1: begin if ((ls9cb74[qte845a+2] == 1) || (nt2dd10[qte845a+2] == 1) || (dz74437[qte845a+2] == 1) || (sw10df2[qte845a+2] == 1)) begin ntc072 <= 0; end end 0: begin if ((nt2dd10[qte845a+2] == 0) && (dz74437[qte845a+2] == 0) && (sw10df2[qte845a+2] == 0)) begin ntc072 <= 1; end end endcase gb60396 <= tx_macread; if (osce22b == 1) begin jce180e[qte845a+2] <= 0; ie34e88[qte845a+2] <= 0; ec88d3a[qte845a+2] <= 0; ld7e234[qte845a+2] <= 0; lf91f88[qte845a+2] <= 0; qg7247e[qte845a+2] <= 0; blcdc91[qte845a+2] <= 0; ho57372[qte845a+2] <= 0; gb60396 <= 0; ntc072 <= 0; end end end\r
+always@* begin osce22b<=ea5c9d9[0];je1e93c<={tx_fifodata>>1,ea5c9d9[1]};lqf49e3<=ea5c9d9[2];oha4f1b<=ea5c9d9[3];bn278dc<=ea5c9d9[4];mt8f388<=ea5c9d9[5];ba1b9e6<={ho57372>>1,ea5c9d9[6]};ofe799c<={blcdc91>>1,ea5c9d9[7]};pfe672d<={qg7247e>>1,ea5c9d9[8]};ls9cb74<={lf91f88>>1,ea5c9d9[9]};nt2dd10<={ld7e234>>1,ea5c9d9[10]};dz74437<={ec88d3a>>1,ea5c9d9[11]};sw10df2<={ie34e88>>1,ea5c9d9[12]};fp37c82<={hq3a20a>>1,ea5c9d9[13]};qtf20b5<={ou88299>>1,ea5c9d9[14]};co82d6d<={iea644>>1,ea5c9d9[15]};lfb5b47<={ng99108>>1,ea5c9d9[16]};ui6d1fa<={gb4421f>>1,ea5c9d9[17]};lq47e88<={qv87e1>>1,ea5c9d9[18]};qgfa213<={qi1f860>>1,ea5c9d9[19]};sw884c9<={jce180e>>1,ea5c9d9[20]};tu42648<=ea5c9d9[21];db13243<=ea5c9d9[22];end\r
+always@* begin ec2b93b[2047]<=tx_fifodata[0];ec2b93b[2046]<=tx_fifoeof;ec2b93b[2044]<=tx_fifoempty;ec2b93b[2040]<=tx_fifoctrl;ec2b93b[2032]<=ea62978;ec2b93b[2017]<=ho57372[0];ec2b93b[1987]<=blcdc91[0];ec2b93b[1927]<=qg7247e[0];ec2b93b[1865]<=ng99108[0];ec2b93b[1806]<=lf91f88[0];ec2b93b[1682]<=gb4421f[0];ec2b93b[1565]<=ld7e234[0];ec2b93b[1317]<=qv87e1[0];ec2b93b[1172]<=jce180e[0];ec2b93b[1082]<=ec88d3a[0];ec2b93b[1023]<=tx_discfrm;ec2b93b[932]<=iea644[0];ec2b93b[594]<=gb60396;ec2b93b[586]<=qi1f860[0];ec2b93b[466]<=ou88299[0];ec2b93b[297]<=ntc072;ec2b93b[233]<=hq3a20a[0];ec2b93b[116]<=ie34e88[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module uxbbc00 ( qvb4f6d,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+\r
+ sw804, \r
+ tw4021, \r
+ xy2010a, \r
+ wy853, \r
+\r
+ zm4299, \r
+ sw214ca, \r
+ iea651 \r
+ );\r
+parameter ui53289 = 6;\r
+input qvb4f6d;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input sw804;\r
+input tw4021;\r
+input xy2010a;\r
+input wy853;\r
+output [ui53289-1:0] zm4299;\r
+output [ui53289-1:0] sw214ca;\r
+output iea651;\r
+reg [ui53289-1:0] zm4299;\r
+reg [ui53289-1:0] sw214ca;\r
+wire iea651 = (zm4299 == sw214ca);\r
+reg gqa6b35;\r
+reg ri359a9;\r
+reg ieacd4b;\r
+reg ho66a58;\r
+reg [2047:0] ec2b93b;\r
+wire [3:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 4,ph27642 = 32'hfdffd30b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+ always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin zm4299 <= 5'd0; end else if (txmac_clk_en) begin if(gqa6b35 || ri359a9) begin zm4299 <= 5'd0; end else if(ieacd4b) begin zm4299 <= zm4299 + 5'd1; end end end always @ (posedge qvb4f6d or negedge baa7b6d) begin if(!baa7b6d) begin sw214ca <= 5'd0; end else if (txmac_clk_en) begin if(gqa6b35) begin sw214ca <= 5'd0; end else if(ho66a58) begin sw214ca <= sw214ca + 5'd1; end end end\r
+always@* begin gqa6b35<=ea5c9d9[0];ri359a9<=ea5c9d9[1];ieacd4b<=ea5c9d9[2];ho66a58<=ea5c9d9[3];end\r
+always@* begin ec2b93b[2047]<=tw4021;ec2b93b[2046]<=xy2010a;ec2b93b[2044]<=wy853;ec2b93b[1023]<=sw804;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module icfab90 ( txmac_clk,\r
+ baa7b6d,\r
+ txmac_clk_en,\r
+\r
+ \r
+ lqf1bfb, \r
+ mg8dfdb, \r
+ qt7f6cd, \r
+ cz6fed9, \r
+ uxa1b23, \r
+ wld91c, \r
+ dzcdac4, \r
+\r
+ \r
+ tx_fifodata, \r
+ tx_fifoeof, \r
+ tx_fifoempty, \r
+ tx_fifoavail, \r
+ tx_sndpaustim, \r
+ tx_sndpausreq, \r
+ tx_fifoctrl, \r
+\r
+ \r
+ psee2db, \r
+ nr716da, \r
+\r
+ \r
+ uidb36b, \r
+ fafb66d, \r
+\r
+ \r
+ aydb5bc, \r
+ \r
+ \r
+ tx_macread, \r
+ tx_discfrm, \r
+ tx_staten, \r
+ tx_statvec, \r
+ tx_done, \r
+\r
+ \r
+ ui782b2, \r
+ goc1590, \r
+ wyac80 \r
+ );\r
+parameter pdevice_family = "XP2";\r
+parameter ps4d8e0 = 5;\r
+parameter by57a11 = 48;\r
+parameter vkbd08b = 16;\r
+parameter qte845a = 8;\r
+parameter xw422d5 = 16;\r
+parameter vk25f5d = 31;\r
+parameter hq116ab = 32;\r
+parameter tj8b55b = 14;\r
+parameter rt6bf01 = 4;\r
+parameter ui53289 = 6;\r
+parameter vid56ff = 22;\r
+parameter anab7fb = 13;\r
+parameter wj5bfdc = 13'd6072;\r
+parameter lqdfee5 = 8'h55;\r
+parameter ayff72b = 8'hd5;\r
+parameter hofb959 = 8'h00;\r
+parameter ipdcaca = 8'h01;\r
+parameter yke5654 = 8'h80;\r
+parameter ec2b2a1 = 8'hc2;\r
+parameter ay59508 = 8'h00;\r
+parameter rgca841 = 8'h00;\r
+parameter mr5420a = 8'h01;\r
+parameter gda1055 = 8'h88;\r
+parameter sj82ad = 8'h08;\r
+parameter uv4156c = 8'h00;\r
+input txmac_clk;\r
+input baa7b6d;\r
+input txmac_clk_en;\r
+input lqf1bfb;\r
+input mg8dfdb;\r
+input qt7f6cd;\r
+input cz6fed9;\r
+input [ps4d8e0-1:0] uxa1b23;\r
+input [by57a11-1:0] wld91c;\r
+input [vkbd08b-1:0] dzcdac4;\r
+input [qte845a-1:0] tx_fifodata;\r
+input tx_fifoeof;\r
+input tx_fifoempty;\r
+input tx_fifoavail;\r
+input [xw422d5-1:0] tx_sndpaustim;\r
+input tx_sndpausreq;\r
+input tx_fifoctrl;\r
+input psee2db;\r
+input [xw422d5-1:0] nr716da;\r
+input uidb36b;\r
+input fafb66d;\r
+output aydb5bc;\r
+output tx_macread;\r
+output tx_discfrm;\r
+output tx_staten;\r
+output [vk25f5d-1:0] tx_statvec;\r
+output tx_done;\r
+output ui782b2;\r
+output [qte845a-1:0] goc1590;\r
+output wyac80;\r
+wire [qte845a-1:0] go6d627;\r
+wire [xw422d5-1:0] zz91d49;\r
+wire [hq116ab-1:0] eca93b4;\r
+wire ea49da1;\r
+wire [qte845a-1:0] yk56400;\r
+wire [qte845a-1:0] goc1590;\r
+wire [qte845a:0] ir39c8e;\r
+wire [rt6bf01-1:0] os6dafc;\r
+wire mg760;\r
+wire vx3b00;\r
+wire [ui53289-1:0] aye0014;\r
+wire [ui53289-1:0] ec508;\r
+wire aydb5bc = mg760 | vx3b00;\r
+reg ep811d;\r
+reg cze80f6;\r
+reg rt407b5;\r
+reg vk1ed76;\r
+reg jr3dae;\r
+reg [ps4d8e0 - 1 : 0] qg67566;\r
+reg [by57a11 - 1 : 0] tud5982;\r
+reg [vkbd08b - 1 : 0] bn9ef58;\r
+reg [qte845a - 1 : 0] je1e93c;\r
+reg lqf49e3;\r
+reg oha4f1b;\r
+reg thc7892;\r
+reg [xw422d5 - 1 : 0] qtc6532;\r
+reg xj6b194;\r
+reg bn278dc;\r
+reg an2999d;\r
+reg [xw422d5 - 1 : 0] ne66761;\r
+reg phb5d9e;\r
+reg fnf6bb3;\r
+reg [qte845a - 1 : 0] zmbd63c;\r
+reg [xw422d5 - 1 : 0] ana485d;\r
+reg [hq116ab - 1 : 0] zk5d84a;\r
+reg hbec252;\r
+reg [qte845a - 1 : 0] db32bb0;\r
+reg [qte845a : 0] an125a4;\r
+reg [rt6bf01 - 1 : 0] hdbac11;\r
+reg dm761a5;\r
+reg ui59c6a;\r
+reg [ui53289 - 1 : 0] jp71a81;\r
+reg [ui53289 - 1 : 0] al6a078;\r
+reg [2047:0] ec2b93b;\r
+wire [29:0] ea5c9d9;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam tue4ec8 = 30,ph27642 = 32'hfdffca8b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+yz8a39d #( .qte845a(qte845a) ) med4baa ( .txmac_clk (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en), .tx_discfrm (go46d29), .tx_fifodata (je1e93c), .tx_fifoeof (lqf49e3), .tx_fifoempty (oha4f1b), .tx_fifoctrl (bn278dc), .tx_macread (tx_macread), .go6d627 (go6d627), .xj6b139 (xj6b139), .ls30000 (ls30000), .suce475 (suce475), .xw589ce (xw589ce), .ea62978 (ea62978) );\r
+uved7f1 #( .by57a11( by57a11), .vkbd08b(vkbd08b), .qte845a(qte845a), .xw422d5(xw422d5), .hq116ab(hq116ab), .tj8b55b(tj8b55b), .rt6bf01(rt6bf01), .vid56ff(vid56ff), .anab7fb(anab7fb), .wj5bfdc(wj5bfdc), .lqdfee5(lqdfee5), .ayff72b(ayff72b), .hofb959(hofb959), .ipdcaca(ipdcaca), .yke5654(yke5654), .ec2b2a1(ec2b2a1), .ay59508(ay59508), .rgca841(rgca841), .mr5420a(mr5420a), .gda1055(gda1055), .sj82ad(sj82ad), .uv4156c(uv4156c) ) co1aaff ( .txmac_clk (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en), .lqf1bfb (zm201d4), .mg8dfdb (mgea3), .cz6fed9 (jr3dae), .qt7f6cd (vk1ed76), .fafb66d (fnf6bb3), .uidb36b (phb5d9e), .shd9b58 (tud5982), .dzcdac4 (bn9ef58), .go6d627 (zmbd63c), .xj6b139 (xj6b139), .xw589ce (xw589ce), .tx_fifoavail (thc7892), .ph27391 (ph27391), .ir39c8e (an125a4), .suce475 (suce475), .ww723a9 (ww723a9), .zz91d49 (ana485d), .db8ea4e (db8ea4e), .wya9b1c (wya9b1c), .eca93b4 (zk5d84a), .ea49da1 (hbec252), .qt4ed09 (qt4ed09), .dm7684c (dm7684c), .cm6d7e0 (cm6d7e0), .qt75363 (qt75363), .ay4c52f (ay4c52f), .zz98a5 (zz98a5), .ea62978 (ea62978), .tx_discfrm (tx_discfrm), .tja5e0a (tja5e0a), .ng2f056 (ng2f056), .ui782b2 (ui782b2), .goc1590 (goc1590), .wyac80 (wyac80), .yk56400 (yk56400), .twb2000 (twb2000), .gq24775 (gq24775), .oh8001d (oh8001d), .wjedb5f (wjedb5f), .mg760 (mg760), .vx3b00 (vx3b00), .oh1d806 (oh1d806), .xjec034 (xjec034), .cz601a7 (cz601a7), .ksd3d (ksd3d), .jr69e9 (jr69e9), .uk34f4e (uk34f4e), .hqa7a71 (hqa7a71), .bn3d38b (bn3d38b), .nre9c5e (nre9c5e), .wj4e2f6 (wj4e2f6), .fn717b5 (fn717b5), .ph8bdae (ph8bdae), .wj5ed76 (wj5ed76), .os6dafc (os6dafc), .bnb5dae (bnb5dae), .mtaed77 (mtaed77), .rg76bbd (rg76bbd), .hdb5dea (hdb5dea), .iraef57 (iraef57), .kf1dd4d (kf1dd4d), .pseea6c (pseea6c), .kqeaf42 (kqeaf42) );\r
+\r
+ww53ba7 #( .xw422d5(xw422d5), .qte845a(qte845a), .rt6bf01(rt6bf01), .tj8b55b(tj8b55b), .vk25f5d(vk25f5d) ) shf87f9 ( .qvb4f6d (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en),\r
+ .lqf1bfb (cze80f6), .mg8dfdb (rt407b5), .tx_sndpausreq (xj6b194), .tx_sndpaustim (qtc6532), .co1f530 (an2999d), .cmfa980 (cmfa980), .nre9c5e (nre9c5e), .os6dafc (hdbac11), .bnb5dae (bnb5dae), .mtaed77 (mtaed77), .jea8807 (hdb5dea), .rg76bbd (rg76bbd), .kqeaf42 (kqeaf42), .mg760 (dm761a5), .cz601a7 (cz601a7), .wj4e2f6 (wj4e2f6), .ksd3d (ksd3d), .hqa7a71 (hqa7a71), .uk34f4e (uk34f4e), .jr69e9 (jr69e9), .ea62978 (ea62978), .ng2f056 (ng2f056), .tx_discfrm (tx_discfrm), .tja5e0a (tja5e0a), .yk56400 (db32bb0), .xj6b139 (xj6b139), .ls30000 (ls30000), .zm201d4 (zm201d4), .mgea3 (mgea3), .db8ea4e (db8ea4e), .zz91d49 (zz91d49), .ww723a9 (ww723a9), .ea49da1 (ea49da1), .qt4ed09 (qt4ed09), .dm7684c (dm7684c), .tx_statvec (tx_statvec), .tx_staten (tx_staten), .tx_done (tx_done), .go46d29 (go46d29), .ay4c52f (ay4c52f), .zz98a5 (zz98a5) );\r
+kdd69ed #( .rt6bf01(rt6bf01) ) wlae7d0 ( .qvb4f6d (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en), .wjedb5f (wjedb5f), .os6dafc (hdbac11), .cm6d7e0 (cm6d7e0) );\r
+\r
+hq8ca24 #( .ps4d8e0(ps4d8e0) ) ngb9ec ( .qvb4f6d (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en), .sj23ba9 (qg67566), .gq24775 (gq24775), .kf1dd4d (kf1dd4d), .pseea6c (pseea6c), .qt75363 (qt75363), .wya9b1c (wya9b1c) );\r
+uk13d #( .xw422d5(xw422d5) ) rgd5016 ( .qvb4f6d (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en), .db3d05f (oh8001d), .cze82fe (an2999d), .qt417f5 (ne66761), .cmfa980 (cmfa980) );\r
+ip41424 ird459 ( .yk46f15(eca93b4), .ykc1859 (db32bb0), .rvc2c9 (twb2000), .ui593bb (dm761a5), .wjc9dd9 (32'hffffffff), .en4eecd (txmac_clk), .gdbb361 (ep811d), .txmac_clk_en (txmac_clk_en) ); uxbbc00 #( .ui53289(ui53289) ) vi47ec5 ( .qvb4f6d (txmac_clk), .baa7b6d (ep811d), .txmac_clk_en (txmac_clk_en), .sw804 (wj5ed76), .tw4021 (iraef57), .xy2010a (fn717b5), .wy853 (ph8bdae), .zm4299 (ec508), .sw214ca (aye0014), .iea651 (ph27391) );\r
+pmi_ram_dp #(.pmi_wr_addr_depth(64), .pmi_wr_addr_width(6), .pmi_wr_data_width(9), .pmi_rd_addr_depth(64), .pmi_rd_addr_width(6), .pmi_rd_data_width(9), .pmi_regmode("noreg"), .pmi_gsr("disable"), .pmi_resetmode("sync"), .pmi_init_file("none"), .pmi_init_file_format("binary"), .pmi_family(pdevice_family), .module_type("pmi_ram_dp") )\r
+lf13e8f (.Data({xj6b139, go6d627}), .WrAddress(aye0014), .RdAddress(ec508), .WrClock(txmac_clk), .RdClock(txmac_clk), .WrClockEn(1'b1), .RdClockEn(txmac_clk_en), .WE(ph8bdae), .Reset(1'b0), .Q(ir39c8e) );\r
+\r
+ always@* begin ep811d<=ea5c9d9[0];cze80f6<=ea5c9d9[1];rt407b5<=ea5c9d9[2];vk1ed76<=ea5c9d9[3];jr3dae<=ea5c9d9[4];qg67566<={uxa1b23>>1,ea5c9d9[5]};tud5982<={wld91c>>1,ea5c9d9[6]};bn9ef58<={dzcdac4>>1,ea5c9d9[7]};je1e93c<={tx_fifodata>>1,ea5c9d9[8]};lqf49e3<=ea5c9d9[9];oha4f1b<=ea5c9d9[10];thc7892<=ea5c9d9[11];qtc6532<={tx_sndpaustim>>1,ea5c9d9[12]};xj6b194<=ea5c9d9[13];bn278dc<=ea5c9d9[14];an2999d<=ea5c9d9[15];ne66761<={nr716da>>1,ea5c9d9[16]};phb5d9e<=ea5c9d9[17];fnf6bb3<=ea5c9d9[18];zmbd63c<={go6d627>>1,ea5c9d9[19]};ana485d<={zz91d49>>1,ea5c9d9[20]};zk5d84a<={eca93b4>>1,ea5c9d9[21]};hbec252<=ea5c9d9[22];db32bb0<={yk56400>>1,ea5c9d9[23]};an125a4<={ir39c8e>>1,ea5c9d9[24]};hdbac11<={os6dafc>>1,ea5c9d9[25]};dm761a5<=ea5c9d9[26];ui59c6a<=ea5c9d9[27];jp71a81<={aye0014>>1,ea5c9d9[28]};al6a078<={ec508>>1,ea5c9d9[29]};end\r
+always@* begin ec2b93b[2047]<=lqf1bfb;ec2b93b[2046]<=mg8dfdb;ec2b93b[2044]<=qt7f6cd;ec2b93b[2040]<=cz6fed9;ec2b93b[2032]<=uxa1b23[0];ec2b93b[2017]<=wld91c[0];ec2b93b[1987]<=dzcdac4[0];ec2b93b[1926]<=tx_fifodata[0];ec2b93b[1804]<=tx_fifoeof;ec2b93b[1803]<=yk56400[0];ec2b93b[1560]<=tx_fifoempty;ec2b93b[1558]<=ir39c8e[0];ec2b93b[1550]<=nr716da[0];ec2b93b[1072]<=tx_fifoavail;ec2b93b[1069]<=os6dafc[0];ec2b93b[1052]<=uidb36b;ec2b93b[1023]<=baa7b6d;ec2b93b[901]<=ea49da1;ec2b93b[775]<=psee2db;ec2b93b[732]<=ec508[0];ec2b93b[450]<=eca93b4[0];ec2b93b[387]<=tx_fifoctrl;ec2b93b[366]<=aye0014[0];ec2b93b[225]<=zz91d49[0];ec2b93b[193]<=tx_sndpausreq;ec2b93b[183]<=vx3b00;ec2b93b[112]<=go6d627[0];ec2b93b[96]<=tx_sndpaustim[0];ec2b93b[91]<=mg760;ec2b93b[56]<=fafb66d;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module pf4a32c(\r
+ \r
+ hclk, \r
+ reset_n, \r
+\r
+ \r
+ haddr, \r
+ hdatain, \r
+ hread_n, \r
+ hwrite_n, \r
+ hcs_n, \r
+\r
+ \r
+ hdataout, \r
+ hready_n, \r
+ hdataout_en_n, \r
+\r
+ \r
+ tx_en, \r
+ go67cc7, \r
+ qi3e639, \r
+ uxa1b23, \r
+\r
+ \r
+ cmf5978, \r
+ aa3eb2f, \r
+ qv393c7, \r
+ kde89f5, \r
+ hocace4, \r
+ qg78f2f, \r
+ hbc7979,\r
+ gd3cbce,\r
+ cme5e75,\r
+ hq2f3a8,\r
+ zk79d42,\r
+ ykcea13,\r
+ qt75098, \r
+ ux3959c, \r
+ mt1d13e, \r
+ yx44fac, \r
+ rv27d65, \r
+\r
+ \r
+ fc30be7, \r
+ wl23780, \r
+ ls1bc04, \r
+ cz6fed9, \r
+\r
+ \r
+ ie552b, \r
+\r
+ \r
+ lq4d15b, \r
+ os68ada, \r
+ ayc1110, \r
+ cb8880, \r
+ qg78222 \r
+);\r
+\r
+parameter alc39c3 = 8;\r
+\r
+parameter mre70e6 = 8;\r
+parameter lf38734 = 15;\r
+parameter ofc39a6 = 15;\r
+parameter lf1cd34 = 15;\r
+parameter zxe69a6 = 8;\r
+parameter mg34d37 = 3;\r
+parameter uka69b9 = 7;\r
+parameter ym34dc9 = 7;\r
+parameter mga6e49 = 15;\r
+parameter ph37249 = 10;\r
+parameter swb924f = 4;\r
+parameter shc9278 = 10;\r
+parameter su493c4 = 15;\r
+parameter zz30cc = 8;\r
+parameter zx4f101 = 47;\r
+input hclk;\r
+input reset_n;\r
+input [mre70e6 - 1:0] haddr;\r
+input [alc39c3 - 1:0] hdatain;\r
+input hread_n;\r
+input hwrite_n;\r
+input hcs_n;\r
+output [alc39c3 - 1:0] hdataout;\r
+output hready_n;\r
+output hdataout_en_n;\r
+output cz6fed9;\r
+output ux3959c;\r
+output mt1d13e;\r
+output [ofc39a6-2:0] yx44fac;\r
+output rv27d65;\r
+output tx_en;\r
+output go67cc7;\r
+output qi3e639;\r
+output [swb924f:0] uxa1b23;\r
+input ie552b;\r
+input qg78222;\r
+input [lf38734:0] lq4d15b;\r
+input os68ada;\r
+input [zz30cc:0] ayc1110;\r
+input cb8880;\r
+output cmf5978;\r
+output aa3eb2f;\r
+output [zx4f101:0] wl23780;\r
+output qv393c7;\r
+output kde89f5;\r
+output hocace4;\r
+output ls1bc04;\r
+output [ym34dc9:0] qg78f2f;\r
+output [ym34dc9:0] hbc7979;\r
+output [ym34dc9:0] gd3cbce;\r
+output [ym34dc9:0] cme5e75;\r
+output [ym34dc9:0] hq2f3a8;\r
+output [ym34dc9:0] zk79d42;\r
+output [ym34dc9:0] ykcea13;\r
+output [ym34dc9:0] qt75098;\r
+output [lf1cd34:0] fc30be7;\r
+\r
+reg of7a6e5;\r
+reg qtd372d;\r
+reg jr9b96c;\r
+reg mrdcb67;\r
+reg [mre70e6 - 1:0] zz2d9e0;\r
+reg [mre70e6 - 1:0] ui67832;\r
+reg [mre70e6 - 1:0] cme0c8c;\r
+reg [mre70e6 - 1:0] bn32307;\r
+reg [lf1cd34:0] fc30be7;\r
+reg hready_n;\r
+reg [alc39c3 - 1:0] hdataout;\r
+reg hdataout_en_n;\r
+reg enf2913;\r
+reg [alc39c3 - 1:0] oha44e6;\r
+reg [alc39c3 - 1:0] hd139ae;\r
+reg [alc39c3 - 1:0] vve6bba;\r
+reg [alc39c3 - 1:0] iraeebb;\r
+reg [alc39c3 - 1:0] hdbaee9;\r
+reg [1:0] jpd774e;\r
+reg epbba76;\r
+reg [uka69b9:0] dze9da7;\r
+reg [uka69b9:0] jc769f4;\r
+reg [uka69b9:0] hqa7d15;\r
+reg [uka69b9:0] nef4558;\r
+reg [uka69b9:0] mg15613;\r
+reg [uka69b9:0] rg584cc;\r
+reg [uka69b9:0] zz1331f;\r
+reg [uka69b9:0] wjcc7f2;\r
+reg zk63f94;\r
+reg mg1fca3;\r
+reg [zz30cc:0] czf28cb;\r
+reg [swb924f:0] swa32e7;\r
+reg [mg34d37:0] uicb9c7;\r
+reg [zxe69a6:0] ale71c0;\r
+reg [ofc39a6:0] fnc7027;\r
+reg [mga6e49:0] psc09fe;\r
+reg [mga6e49:0] tj27f9b;\r
+reg [mga6e49:0] jcfe6fa;\r
+wire [15:0] dzf37d3;\r
+reg [lf38734:0] psdf4ff;\r
+reg [lf38734:0] yxd3fd1;\r
+reg yz9fe8d;\r
+reg ayff46d;\r
+reg gofa369;\r
+reg [alc39c3 - 1:0] ym8da48;\r
+reg su6d241;\r
+reg ne69209;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg [mre70e6 - 1 : 0] cb8ab2f;\r
+reg [alc39c3 - 1 : 0] ksacbe6;\r
+reg yx65f30;\r
+reg ba2f984;\r
+reg ho7cc23;\r
+reg nre611b;\r
+reg [lf38734 : 0] lf846f3;\r
+reg mt2379e;\r
+reg [zz30cc : 0] kqde7a3;\r
+reg rtf3d18;\r
+reg hd9e8c6;\r
+reg vif4637;\r
+reg ksa31ba;\r
+reg ux18dd0;\r
+reg shc6e84;\r
+reg [mre70e6 - 1 : 0] lfba127;\r
+reg [mre70e6 - 1 : 0] rv849fa;\r
+reg [mre70e6 - 1 : 0] vx27eb8;\r
+reg [mre70e6 - 1 : 0] kqfae3b;\r
+reg hbd71d8;\r
+reg [alc39c3 - 1 : 0] jpc7633;\r
+reg [alc39c3 - 1 : 0] thd8cf9;\r
+reg [alc39c3 - 1 : 0] ir33e75;\r
+reg [alc39c3 - 1 : 0] hbf9d64;\r
+reg [alc39c3 - 1 : 0] ip75938;\r
+reg [1 : 0] ouac9c5;\r
+reg fn64e28;\r
+reg [uka69b9 : 0] xl38a36;\r
+reg [uka69b9 : 0] xl28dba;\r
+reg [uka69b9 : 0] wl36eb0;\r
+reg [uka69b9 : 0] bnbac23;\r
+reg [uka69b9 : 0] dbb08f4;\r
+reg [uka69b9 : 0] an23d3e;\r
+reg [uka69b9 : 0] dmf4fab;\r
+reg [uka69b9 : 0] co3eadb;\r
+reg suf56d8;\r
+reg ohab6c0;\r
+reg [zz30cc : 0] sudb024;\r
+reg [swb924f : 0] psc0907;\r
+reg [mg34d37 : 0] qi241db;\r
+reg [zxe69a6 : 0] oh76ca;\r
+reg [ofc39a6 : 0] ykdb2a9;\r
+reg [mga6e49 : 0] bycaa45;\r
+reg [mga6e49 : 0] coa9157;\r
+reg [mga6e49 : 0] bl455cb;\r
+reg [15 : 0] je2ae5e;\r
+reg [lf38734 : 0] ecb979a;\r
+reg [lf38734 : 0] sh5e680;\r
+reg dzf3404;\r
+reg cb9a027;\r
+reg dmd013c;\r
+reg [alc39c3 - 1 : 0] xy4f1d;\r
+reg jr278eb;\r
+reg qv3c75f;\r
+reg [2047:0] ec2b93b;\r
+wire [53:0] ea5c9d9;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam tue4ec8 = 54,ph27642 = 32'hfdffe30b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign qg78f2f = xl38a36[7:0];\r
+assign hbc7979 = xl28dba[7:0];\r
+assign gd3cbce = wl36eb0[7:0];\r
+assign cme5e75 = bnbac23[7:0];\r
+assign hq2f3a8 = dbb08f4[7:0];\r
+assign zk79d42 = an23d3e[7:0];\r
+assign ykcea13 = dmf4fab[7:0];\r
+assign qt75098 = co3eadb[7:0];\r
+assign tx_en = qi241db[3];\r
+assign go67cc7 = qi241db[1];\r
+assign aa3eb2f = qi241db[2];\r
+assign wl23780 = {bycaa45,coa9157,bl455cb};\r
+assign hocace4 = oh76ca[0];\r
+assign rv27d65 = oh76ca[1];\r
+assign qi3e639 = oh76ca[2];\r
+assign kde89f5 = oh76ca[3];\r
+assign qv393c7 = oh76ca[4];\r
+assign cmf5978 = oh76ca[6];\r
+assign ux3959c = oh76ca[7];\r
+assign mt1d13e = oh76ca[8];\r
+assign yx44fac = ykdb2a9[13:0];\r
+assign uxa1b23 = (psc0907 < 4) ? 1 : psc0907 - 3;\r
+assign dzf37d3 = {5'b00000,ksa31ba,sudb024[8:0],shc6e84};\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ assign cz6fed9 = qi241db[0] ? 1'b0 : oh76ca[5]; assign ls1bc04 = qi241db[0];\r
+\r
+\r
+\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin zz2d9e0 <= 8'h0; ui67832 <= 8'h0; cme0c8c <= 8'h0; bn32307 <= 8'h0; yz9fe8d <= 1'b1; ayff46d <= 1'b1; gofa369 <= 1'b1; ym8da48 <= 0; end else begin zz2d9e0 <= cb8ab2f; ui67832 <= lfba127; cme0c8c <= rv849fa; bn32307 <= vx27eb8; yz9fe8d <= ho7cc23; ayff46d <= yx65f30; gofa369 <= ba2f984; ym8da48 <= ksacbe6; end\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) of7a6e5 <= 1'b0; else of7a6e5 <= hd9e8c6;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) qtd372d <= 1'b0; else qtd372d <= vif4637;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) jr9b96c <= 1'b0; else jr9b96c <= nre611b;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) mrdcb67 <= 1'b0; else mrdcb67 <= ux18dd0;\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) zk63f94 <= 1'b0; else zk63f94 <= rtf3d18;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) mg1fca3 <= 1'b0; else mg1fca3 <= suf56d8;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) czf28cb <= 9'b000000000; else if (ohab6c0) czf28cb <= kqde7a3;\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin psdf4ff <= 16'h00; end else if (mt2379e) begin psdf4ff <= lf846f3; end\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin yxd3fd1 <= 16'h00; su6d241 <= 1'b1; ne69209 <= 1'b1; end else begin yxd3fd1 <= ecb979a; su6d241 <= hbd71d8; ne69209 <= jr278eb; end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin\r
+ jpd774e <= 2'b00; dze9da7 <= 8'h00; jc769f4 <= 8'h00; hqa7d15 <= 8'h00; nef4558 <= 8'h00; mg15613 <= 8'h00; rg584cc <= 8'h00; zz1331f <= 8'h00; wjcc7f2 <= 8'h00; swa32e7 <= 5'b01100; uicb9c7 <= 4'h0; ale71c0 <= 8'h0; fnc7027 <= 16'd1518; psc09fe <= 16'h00; tj27f9b <= 16'h00; jcfe6fa <= 16'h00; hready_n <= 1'b1; fc30be7 <= 16'h0001; hdataout <= 0; hdataout_en_n <= 1; epbba76 <= 1; oha44e6 <= 0; hd139ae <= 0; vve6bba <= 0; iraeebb <= 0; hdbaee9 <= 0; enf2913 <= 1'b1; end else begin hdataout <= jpc7633; hdataout_en_n <= fn64e28; hready_n <= hbd71d8; if (!hbd71d8 || !jr278eb) begin enf2913 <= 1'b1; epbba76 <= 1'b1; end else if (!ho7cc23 && !dzf3404 && !ba2f984 && !dmd013c && hbd71d8 && qv3c75f && jr278eb) begin enf2913 <= 1'b0; if (lfba127 == 8'h00) begin uicb9c7 <= xy4f1d; end else if (!qi241db[3] && !qi241db[2]) begin case(lfba127) 8'h02 : ale71c0[7:0] <= xy4f1d[7:0]; 8'h04 : fnc7027[7:0] <= xy4f1d; 8'h08 : swa32e7[4:0] <= xy4f1d; 8'h0A : psc09fe[7:0] <= xy4f1d; 8'h0C : tj27f9b[7:0] <= xy4f1d; 8'h0E : jcfe6fa[7:0] <= xy4f1d; 8'h22 : dze9da7[7:0] <= xy4f1d; 8'h24 : jc769f4[7:0] <= xy4f1d; 8'h26 : hqa7d15[7:0] <= xy4f1d; 8'h28 : nef4558[7:0] <= xy4f1d; 8'h2A : mg15613[7:0] <= xy4f1d; 8'h2C : rg584cc[7:0] <= xy4f1d; 8'h2E : zz1331f[7:0] <= xy4f1d; 8'h30 : wjcc7f2[7:0] <= xy4f1d; 8'h34 : fc30be7[7:0] <= xy4f1d; 8'h03 : ale71c0[8] <= xy4f1d[0]; 8'h05 : fnc7027[15:8] <= xy4f1d; 8'h0B : psc09fe[15:8] <= xy4f1d; 8'h0D : tj27f9b[15:8] <= xy4f1d; 8'h0F : jcfe6fa[15:8] <= xy4f1d; 8'h35 : fc30be7[15:8] <= xy4f1d; default : uicb9c7 <= qi241db; endcase end end else if (!ho7cc23 && !dzf3404 && !yx65f30 && !cb9a027 && hbd71d8 && lfba127[0] && qv3c75f) begin epbba76 <= 1'b0; enf2913 <= 1'b0; case(lfba127) 8'h03 : oha44e6 <= {7'h0,oh76ca[8]}; 8'h05 : oha44e6 <= ykdb2a9[15:8]; 8'h0B : oha44e6 <= bycaa45[15:8]; 8'h0D : oha44e6 <= coa9157[15:8]; 8'h0F : oha44e6 <= bl455cb[15:8]; 8'h13 : oha44e6 <= je2ae5e[15:8]; default : oha44e6 <= 8'h0; endcase end else if (!ho7cc23 && !dzf3404 && !yx65f30 && !cb9a027 && hbd71d8 && !lfba127[0] && qv3c75f) begin epbba76 <= 1'b0; enf2913 <= 1'b0; case(lfba127) 8'h00 : oha44e6 <= {4'b0000,qi241db};\r
+\r
+\r
+ 8'h02 : oha44e6 <= oh76ca[7:0];\r
+\r
+\r
+ 8'h04 : oha44e6 <= ykdb2a9[7:0]; 8'h08 : oha44e6 <= {3'b000,psc0907[4:0]}; 8'h0A : oha44e6 <= bycaa45[7:0]; 8'h0C : oha44e6 <= coa9157[7:0]; 8'h0E : oha44e6 <= bl455cb[7:0]; 8'h12 : oha44e6 <= je2ae5e[7:0]; 8'h22 : oha44e6 <= xl38a36[7:0]; 8'h24 : oha44e6 <= xl28dba[7:0]; 8'h26 : oha44e6 <= wl36eb0[7:0]; 8'h28 : oha44e6 <= bnbac23[7:0]; 8'h2A : oha44e6 <= dbb08f4[7:0]; 8'h2C : oha44e6 <= an23d3e[7:0]; 8'h2E : oha44e6 <= dmf4fab[7:0]; 8'h30 : oha44e6 <= co3eadb[7:0]; 8'h32 : oha44e6 <= sh5e680[7:0]; 8'h34 : oha44e6 <= fc30be7[7:0]; default : oha44e6 <= 8'h0; endcase end end\r
+\r
+\r
+\r
+\r
+\r
+always@* begin cb8ab2f<={haddr>>1,ea5c9d9[0]};ksacbe6<={hdatain>>1,ea5c9d9[1]};yx65f30<=ea5c9d9[2];ba2f984<=ea5c9d9[3];ho7cc23<=ea5c9d9[4];nre611b<=ea5c9d9[5];lf846f3<={lq4d15b>>1,ea5c9d9[6]};mt2379e<=ea5c9d9[7];kqde7a3<={ayc1110>>1,ea5c9d9[8]};rtf3d18<=ea5c9d9[9];hd9e8c6<=ea5c9d9[10];vif4637<=ea5c9d9[11];ksa31ba<=ea5c9d9[12];ux18dd0<=ea5c9d9[13];shc6e84<=ea5c9d9[14];lfba127<={zz2d9e0>>1,ea5c9d9[15]};rv849fa<={ui67832>>1,ea5c9d9[16]};vx27eb8<={cme0c8c>>1,ea5c9d9[17]};kqfae3b<={bn32307>>1,ea5c9d9[18]};hbd71d8<=ea5c9d9[19];jpc7633<={oha44e6>>1,ea5c9d9[20]};thd8cf9<={hd139ae>>1,ea5c9d9[21]};ir33e75<={vve6bba>>1,ea5c9d9[22]};hbf9d64<={iraeebb>>1,ea5c9d9[23]};ip75938<={hdbaee9>>1,ea5c9d9[24]};ouac9c5<={jpd774e>>1,ea5c9d9[25]};fn64e28<=ea5c9d9[26];xl38a36<={dze9da7>>1,ea5c9d9[27]};xl28dba<={jc769f4>>1,ea5c9d9[28]};wl36eb0<={hqa7d15>>1,ea5c9d9[29]};bnbac23<={nef4558>>1,ea5c9d9[30]};dbb08f4<={mg15613>>1,ea5c9d9[31]};an23d3e<={rg584cc>>1,ea5c9d9[32]};dmf4fab<={zz1331f>>1,ea5c9d9[33]};co3eadb<={wjcc7f2>>1,ea5c9d9[34]};suf56d8<=ea5c9d9[35];ohab6c0<=ea5c9d9[36];sudb024<={czf28cb>>1,ea5c9d9[37]};psc0907<={swa32e7>>1,ea5c9d9[38]};qi241db<={uicb9c7>>1,ea5c9d9[39]};oh76ca<={ale71c0>>1,ea5c9d9[40]};ykdb2a9<={fnc7027>>1,ea5c9d9[41]};bycaa45<={psc09fe>>1,ea5c9d9[42]};coa9157<={tj27f9b>>1,ea5c9d9[43]};bl455cb<={jcfe6fa>>1,ea5c9d9[44]};je2ae5e<={dzf37d3>>1,ea5c9d9[45]};ecb979a<={psdf4ff>>1,ea5c9d9[46]};sh5e680<={yxd3fd1>>1,ea5c9d9[47]};dzf3404<=ea5c9d9[48];cb9a027<=ea5c9d9[49];dmd013c<=ea5c9d9[50];xy4f1d<={ym8da48>>1,ea5c9d9[51]};jr278eb<=ea5c9d9[52];qv3c75f<=ea5c9d9[53];end\r
+always@* begin ec2b93b[2047]<=hdatain[0];ec2b93b[2046]<=hread_n;ec2b93b[2044]<=hwrite_n;ec2b93b[2040]<=hcs_n;ec2b93b[2032]<=ie552b;ec2b93b[2016]<=lq4d15b[0];ec2b93b[1985]<=os68ada;ec2b93b[1925]<=fnc7027[0];ec2b93b[1922]<=ayc1110[0];ec2b93b[1802]<=psc09fe[0];ec2b93b[1796]<=cb8880;ec2b93b[1556]<=tj27f9b[0];ec2b93b[1544]<=qg78222;ec2b93b[1505]<=uicb9c7[0];ec2b93b[1324]<=ayff46d;ec2b93b[1316]<=dze9da7[0];ec2b93b[1200]<=ym8da48[0];ec2b93b[1169]<=hqa7d15[0];ec2b93b[1163]<=rg584cc[0];ec2b93b[1118]<=zk63f94;ec2b93b[1106]<=iraeebb[0];ec2b93b[1065]<=jcfe6fa[0];ec2b93b[1041]<=cme0c8c[0];ec2b93b[1040]<=of7a6e5;ec2b93b[1023]<=haddr[0];ec2b93b[962]<=ale71c0[0];ec2b93b[752]<=swa32e7[0];ec2b93b[707]<=ne69209;ec2b93b[662]<=yz9fe8d;ec2b93b[658]<=epbba76;ec2b93b[600]<=gofa369;ec2b93b[584]<=jc769f4[0];ec2b93b[581]<=mg15613[0];ec2b93b[559]<=wjcc7f2[0];ec2b93b[553]<=vve6bba[0];ec2b93b[520]<=ui67832[0];ec2b93b[376]<=czf28cb[0];ec2b93b[353]<=su6d241;ec2b93b[331]<=yxd3fd1[0];ec2b93b[329]<=jpd774e[0];ec2b93b[290]<=nef4558[0];ec2b93b[279]<=zz1331f[0];ec2b93b[276]<=hd139ae[0];ec2b93b[260]<=zz2d9e0[0];ec2b93b[188]<=mg1fca3;ec2b93b[165]<=psdf4ff[0];ec2b93b[164]<=hdbaee9[0];ec2b93b[138]<=oha44e6[0];ec2b93b[130]<=mrdcb67;ec2b93b[82]<=dzf37d3[0];ec2b93b[69]<=enf2913;ec2b93b[65]<=jr9b96c;ec2b93b[34]<=bn32307[0];ec2b93b[32]<=qtd372d;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module rib863f(\r
+mef0118, \r
+sj808c0, \r
+tw4605, \r
+yz2302a, \r
+cb18154, \r
+hoc0aa5, \r
+reset_n, \r
+mdc, \r
+mdi, \r
+mdo, \r
+mdio_en \r
+);\r
+localparam ou1054f = 14'b00000000000001;\r
+localparam uk82a79 = 14'b00000000000010;\r
+localparam ec153c8 = 14'b00000000000100;\r
+localparam swa9e41 = 14'b00000000001000;\r
+localparam ld4f208 = 14'b00000000010000;\r
+localparam tu79047 = 14'b00000000100000;\r
+localparam blc823a = 14'b00000001000000;\r
+localparam of411d6 = 14'b00000010000000;\r
+localparam kf8eb4 = 14'b00000100000000;\r
+localparam lq475a5 = 14'b00001000000000;\r
+localparam pu3ad2b = 14'b00010000000000;\r
+localparam uvd695a = 14'b00100000000000;\r
+localparam sjb4ad5 = 14'b01000000000000;\r
+localparam tja56ad = 14'b10000000000000;\r
+parameter qi2b56f = 10;\r
+parameter vv5ab78 = 15;\r
+parameter dmd5bc7 = 4;\r
+parameter hqade3a = 2;\r
+parameter al6f1d2 = 3;\r
+parameter ui78e94 = 13;\r
+input reset_n;\r
+input mdc;\r
+input [qi2b56f:0] mef0118;\r
+input [vv5ab78:0] sj808c0;\r
+input yz2302a;\r
+input mdi;\r
+output mdo;\r
+output mdio_en;\r
+output hoc0aa5;\r
+output cb18154;\r
+output [vv5ab78:0] tw4605;\r
+reg [vv5ab78:0] tw4605;\r
+reg cb18154;\r
+reg mdio_en;\r
+reg zxd8bd6;\r
+reg mdo;\r
+reg [vv5ab78:0] sh7ad9a;\r
+reg [vv5ab78:0] aab66a5;\r
+reg [vv5ab78:0] ux9a949;\r
+reg [dmd5bc7:0] pha5268;\r
+reg [hqade3a:0] qg49a3d;\r
+reg [hqade3a:0] ic68f4a;\r
+reg [al6f1d2:0] hd3d282;\r
+reg [al6f1d2:0] vv4a0b7;\r
+reg [ui78e94:0] ou82dff;\r
+reg [ui78e94:0] xyb7fc7;\r
+reg dbbfe3a;\r
+reg ayff1d6;\r
+reg ipf8eb1;\r
+reg vvc758d;\r
+reg ri3ac6f;\r
+reg hoc0aa5;\r
+reg [qi2b56f : 0] uk8dea5;\r
+reg [vv5ab78 : 0] en7a94c;\r
+reg icd4a64;\r
+reg xla5320;\r
+reg yz29907;\r
+reg [vv5ab78 : 0] rt641e1;\r
+reg [vv5ab78 : 0] an787a;\r
+reg [vv5ab78 : 0] tue1ea4;\r
+reg [dmd5bc7 : 0] cz7a911;\r
+reg [hqade3a : 0] yza4460;\r
+reg [hqade3a : 0] vk1182e;\r
+reg [al6f1d2 : 0] sh60b92;\r
+reg [al6f1d2 : 0] ks2e4a1;\r
+reg [ui78e94 : 0] wy92841;\r
+reg [ui78e94 : 0] ira104f;\r
+reg ir827d;\r
+reg sh413ec;\r
+reg rv9f64;\r
+reg rt4fb27;\r
+reg kq7d93e;\r
+reg [2047:0] ec2b93b;\r
+wire [19:0] ea5c9d9;\r
+\r
+localparam tue4ec8 = 20,ph27642 = 32'hfdffd28b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin vvc758d <= 1'b0; end else begin vvc758d <= icd4a64; end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin ri3ac6f <= 1'b0; end else begin ri3ac6f <= rt4fb27; end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin ipf8eb1 <= 1'b0; dbbfe3a <= 1'b0; ayff1d6 <= 1'b0; ux9a949 <= 16'h0; aab66a5 <= 16'h0; end else if (cb18154) begin ipf8eb1 <= 1'b0; dbbfe3a <= 1'b0; ayff1d6 <= 1'b0; end else if (kq7d93e && !rv9f64) begin ipf8eb1 <= 1'b1; ux9a949 <= {3'b000,uk8dea5,2'b00}; aab66a5 <= en7a94c; if (uk8dea5[10]) dbbfe3a <= 1'b1; else ayff1d6 <= 1'b1; end\r
+\r
+\r
+always @(negedge mdc or negedge reset_n) begin if (!reset_n) begin mdo <= 1'b0; end else begin mdo <= yz29907; end\r
+end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin pha5268 <= 5'b00000; zxd8bd6 <= 1'b0; mdio_en <= 1'b0; cb18154 <= 1'b0; tw4605 <= 16'h0; sh7ad9a <= 16'h0; qg49a3d <= 3'b000; ic68f4a <= 3'b110; hd3d282 <= 4'b1011; vv4a0b7 <= 4'b1111; end else if (wy92841 == ou1054f) begin cb18154 <= 1'b0; pha5268 <= 5'b00000; zxd8bd6 <= 1'b0; mdio_en <= 1'b0; qg49a3d <= 3'b000; ic68f4a <= 3'b110; hd3d282 <= 4'b1011; vv4a0b7 <= 4'b1111; end else if (wy92841 == uk82a79) begin pha5268 <= cz7a911 + 5'b00001; zxd8bd6 <= 1'b1; mdio_en <= 1'b1; end else if (wy92841 == swa9e41) begin pha5268 <= 5'b00000; zxd8bd6 <= 1'b1; mdio_en <= 1'b1; end else if (wy92841 == ec153c8) begin pha5268 <= 5'b00000; zxd8bd6 <= 1'b0; mdio_en <= 1'b1; end else if (wy92841 == lq475a5) begin if (ir827d) begin mdio_en <= 1'b1; zxd8bd6 <= 1'b1; end else begin mdio_en <= 1'b0; zxd8bd6 <= 1'b0; end end else if (wy92841 == ld4f208) begin zxd8bd6 <= 1'b0; mdio_en <= 1'b1; end else if (wy92841 == tu79047) begin zxd8bd6 <= 1'b1; mdio_en <= 1'b1; end else if (wy92841 == kf8eb4) begin if (ir827d) begin zxd8bd6 <= 1'b0; mdio_en <= 1'b1; end else zxd8bd6 <= 1'b0; end else if (wy92841 == uvd695a) begin if (ir827d) begin zxd8bd6 <= an787a[ks2e4a1]; vv4a0b7 <= ks2e4a1 - 4'b0001; mdio_en <= 1'b1; end else begin zxd8bd6 <= 1'b0; mdio_en <= 1'b0; sh7ad9a[ks2e4a1] <= xla5320; vv4a0b7 <= ks2e4a1 - 4'b0001; end pha5268 <= cz7a911 + 5'b00001; end else if (wy92841 == blc823a) begin mdio_en <= 1'b1; zxd8bd6 <= tue1ea4[sh60b92]; hd3d282 <= sh60b92 - 4'b0001; pha5268 <= cz7a911 + 5'b00001; end else if (wy92841 == of411d6) begin mdio_en <= 1'b1; zxd8bd6 <= tue1ea4[vk1182e]; ic68f4a <= vk1182e - 3'b001; qg49a3d <= yza4460 + 3'b001; pha5268 <= 5'b00000; end else if (wy92841 == sjb4ad5) begin mdio_en <= 1'b0; zxd8bd6 <= 1'b0; pha5268 <= 5'b00000; cb18154 <= 1'b1; tw4605 <= rt641e1; end else if (wy92841 == tja56ad) begin mdio_en <= 1'b0; zxd8bd6 <= 1'b0; pha5268 <= 5'b00000; cb18154 <= 1'b1; tw4605 <= rt641e1; end\r
+\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin hoc0aa5 <= 1'b1; end else if (wy92841 == ou1054f && !kq7d93e) begin hoc0aa5 <= 1'b1; end else begin hoc0aa5 <= 1'b0; end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin ou82dff <= ou1054f; end else begin ou82dff <= ira104f; end\r
+always @(wy92841 or ir827d or sh413ec or cz7a911 or yza4460) begin case(wy92841) ou1054f : begin if (ir827d || sh413ec) begin xyb7fc7 = uk82a79; end else begin xyb7fc7 = ou1054f; end end uk82a79 : begin if (cz7a911 < 5'b11111) begin xyb7fc7 = uk82a79; end else begin xyb7fc7 = ec153c8; end end ec153c8 : begin xyb7fc7 = swa9e41; end swa9e41 : begin if (ir827d) begin xyb7fc7 = ld4f208; end else begin xyb7fc7 = tu79047; end end ld4f208 : begin if (ir827d) begin xyb7fc7 = tu79047; end else begin xyb7fc7 = blc823a; end end tu79047 : begin if (sh413ec) begin xyb7fc7 = ld4f208; end else begin xyb7fc7 = blc823a; end end blc823a : begin if (cz7a911 < 5'b00100) begin xyb7fc7 = blc823a; end else begin xyb7fc7 = of411d6; end end of411d6 : begin if (yza4460 < 3'b100) begin xyb7fc7 = of411d6; end else begin xyb7fc7 = lq475a5; end end\r
+ lq475a5 : begin xyb7fc7 = kf8eb4; end kf8eb4 : begin if (ir827d) xyb7fc7 = uvd695a; else xyb7fc7 = pu3ad2b; end pu3ad2b : begin xyb7fc7 = uvd695a; end\r
+ uvd695a : begin if (cz7a911 < 5'b01111) begin xyb7fc7 = uvd695a; end else begin xyb7fc7 = sjb4ad5; end end sjb4ad5 : begin xyb7fc7 = tja56ad; end\r
+ tja56ad : begin xyb7fc7 = ou1054f; end default : begin xyb7fc7 = ou1054f; end endcase end\r
+always@* begin uk8dea5<={mef0118>>1,ea5c9d9[0]};en7a94c<={sj808c0>>1,ea5c9d9[1]};icd4a64<=ea5c9d9[2];xla5320<=ea5c9d9[3];yz29907<=ea5c9d9[4];rt641e1<={sh7ad9a>>1,ea5c9d9[5]};an787a<={aab66a5>>1,ea5c9d9[6]};tue1ea4<={ux9a949>>1,ea5c9d9[7]};cz7a911<={pha5268>>1,ea5c9d9[8]};yza4460<={qg49a3d>>1,ea5c9d9[9]};vk1182e<={ic68f4a>>1,ea5c9d9[10]};sh60b92<={hd3d282>>1,ea5c9d9[11]};ks2e4a1<={vv4a0b7>>1,ea5c9d9[12]};wy92841<={ou82dff>>1,ea5c9d9[13]};ira104f<={xyb7fc7>>1,ea5c9d9[14]};ir827d<=ea5c9d9[15];sh413ec<=ea5c9d9[16];rv9f64<=ea5c9d9[17];rt4fb27<=ea5c9d9[18];kq7d93e<=ea5c9d9[19];end\r
+always@* begin ec2b93b[2047]<=sj808c0[0];ec2b93b[2046]<=yz2302a;ec2b93b[2044]<=mdi;ec2b93b[2040]<=zxd8bd6;ec2b93b[2032]<=sh7ad9a[0];ec2b93b[2017]<=aab66a5[0];ec2b93b[1987]<=ux9a949[0];ec2b93b[1926]<=pha5268[0];ec2b93b[1804]<=qg49a3d[0];ec2b93b[1614]<=ayff1d6;ec2b93b[1561]<=ic68f4a[0];ec2b93b[1180]<=ipf8eb1;ec2b93b[1074]<=hd3d282[0];ec2b93b[1023]<=mef0118[0];ec2b93b[807]<=dbbfe3a;ec2b93b[625]<=ri3ac6f;ec2b93b[403]<=xyb7fc7[0];ec2b93b[312]<=vvc758d;ec2b93b[201]<=ou82dff[0];ec2b93b[100]<=vv4a0b7[0];end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module pu96d5c(\r
+\r
+ \r
+txmac_clk, \r
+rxmac_clk, \r
+reset_n, \r
+rxmac_clk_en, \r
+txmac_clk_en, \r
+\r
+ \r
+ux18d4e, \r
+shc6a74, \r
+pu353a4, \r
+\r
+ \r
+mt90006, \r
+xjd2000, \r
+mtbb27f, \r
+ou1a400, \r
+ald93fd, \r
+gd80035, \r
+\r
+ \r
+tj84f70, \r
+db27b87, \r
+\r
+ crs, \r
+ col, \r
+\r
+\r
+ \r
+txd_pos, \r
+ \r
+ \r
+txd_neg, \r
+ \r
+tx_en, \r
+tx_er, \r
+\r
+\r
+ls1bc04, \r
+rv27d65, \r
+\r
+ \r
+rx_dv_pos, \r
+rx_dv_neg, \r
+rx_er_pos, \r
+rx_er_neg, \r
+rxd_pos, \r
+rxd_neg \r
+);\r
+parameter aydb60a = 8;\r
+parameter medb050 = 8;\r
+parameter hbd8280 = 4;\r
+input txmac_clk;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input txmac_clk_en;\r
+input [aydb60a-1:0] ux18d4e;\r
+input shc6a74;\r
+input pu353a4;\r
+output mt90006;\r
+output xjd2000;\r
+output mtbb27f;\r
+output ou1a400;\r
+output [aydb60a-1:0] ald93fd;\r
+output tj84f70;\r
+output db27b87;\r
+input ls1bc04;\r
+input rv27d65;\r
+\r
+input col;\r
+input crs;\r
+\r
+output [7:0] txd_pos;\r
+output [3:0] txd_neg;\r
+output tx_en;\r
+output tx_er;\r
+output gd80035;\r
+input rx_dv_pos;\r
+input rx_dv_neg;\r
+input rx_er_pos;\r
+input rx_er_neg;\r
+input [7:0] rxd_pos;\r
+input [3:0] rxd_neg;\r
+reg ui4c131;\r
+reg kd60988;\r
+reg tw4c44;\r
+reg mt90006 ;\r
+reg gq3111c;\r
+reg uk888e0;\r
+reg of44701 ;\r
+reg kf2380c ;\r
+reg zz1c065 ;\r
+reg xjd2000 ;\r
+reg hq1979;\r
+reg lfcbcb;\r
+reg [aydb60a-1:0] vk2f2fc;\r
+reg [aydb60a-1:0] gocbf12;\r
+reg [aydb60a-1:0] rtfc487;\r
+reg [aydb60a-1:0] ald93fd ;\r
+reg [aydb60a-1:0] qi87d24;\r
+reg [aydb60a-1:0] nef4900;\r
+reg ou1a400 ;\r
+reg wy2401c ;\r
+reg pu200e1 ;\r
+reg ks70b ;\r
+reg mtbb27f ;\r
+reg rv1c2c6;\r
+reg xwe1637;\r
+reg [7:0] txd_pos;\r
+reg [3:0] txd_neg;\r
+reg tx_en;\r
+reg tx_er;\r
+reg epbb6a7;\r
+reg medb53d;\r
+reg fada9e8;\r
+reg gd80035 ;\r
+reg pua7a18;\r
+reg nt3d0c3;\r
+reg [aydb60a-1:0] ea430f5;\r
+reg mt187a9;\r
+reg kdc3d4d;\r
+reg do1ea6c;\r
+reg osf5363;\r
+reg gqa9b18;\r
+reg rg4d8c0;\r
+reg ps6c603;\r
+reg ps6301b;\r
+reg [hbd8280-1:0] dmc06d5;\r
+reg bn36aa;\r
+reg [medb050-1:0] nedaaba;\r
+reg [3:0] rgd55d3;\r
+reg [3:0] vxaae9b;\r
+reg lq574df;\r
+reg vxba6fa;\r
+reg uvd37d7;\r
+reg ph9bebc;\r
+reg hodf5e7;\r
+reg qgfaf3a;\r
+reg dzd79d0;\r
+reg ksbce87;\r
+reg kde7439;\r
+wire tj84f70;\r
+wire db27b87;\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg [aydb60a - 1 : 0] cb398d1;\r
+reg yxcc68d;\r
+reg ps6346c;\r
+reg gq1a362;\r
+reg co10e3c;\r
+reg uk8d880;\r
+reg ne6c401;\r
+reg yk6200b;\r
+reg aa10058;\r
+reg [7 : 0] oh802c1;\r
+reg [3 : 0] je160c;\r
+reg vkb062;\r
+reg vi58313;\r
+reg cmc189b;\r
+reg xlc4d9;\r
+reg go626ce;\r
+reg ph13670;\r
+reg bn9b386;\r
+reg wjd9c36;\r
+reg goce1b4;\r
+reg gb70da1;\r
+reg [aydb60a - 1 : 0] ux3686a;\r
+reg [aydb60a - 1 : 0] gda1aa4;\r
+reg [aydb60a - 1 : 0] of6a924;\r
+reg [aydb60a - 1 : 0] wla492c;\r
+reg [aydb60a - 1 : 0] sw24b20;\r
+reg fc25900;\r
+reg gd2c802;\r
+reg th64014;\r
+reg ri200a7;\r
+reg aa53b;\r
+reg cb29d8;\r
+reg ri14ec4;\r
+reg pua7622;\r
+reg gq3b112;\r
+reg fnd8891;\r
+reg [aydb60a - 1 : 0] db22470;\r
+reg qv12386;\r
+reg jr91c34;\r
+reg fp8e1a6;\r
+reg yx70d37;\r
+reg ng869bd;\r
+reg aa34dea;\r
+reg coa6f51;\r
+reg nt37a89;\r
+reg [hbd8280 - 1 : 0] uiea272;\r
+reg al51390;\r
+reg [medb050 - 1 : 0] kd4e42b;\r
+reg [3 : 0] kq7215d;\r
+reg [3 : 0] gd90ae9;\r
+reg gd8574c;\r
+reg wy2ba64;\r
+reg tu5d321;\r
+reg pfe990b;\r
+reg kq4c85e;\r
+reg nr642f1;\r
+reg uk2178f;\r
+reg zmbc79;\r
+reg yx5e3ca;\r
+reg [2047:0] ec2b93b;\r
+wire [58:0] ea5c9d9;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam tue4ec8 = 59,ph27642 = 32'hfdffc70b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+ assign db27b87 = col; assign tj84f70 = crs;\r
+\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin dmc06d5 <= 4'b0000; bn36aa <= 1'b1; end else if (rxmac_clk_en) begin if (!al51390 && !gd8574c) begin if (uiea272 < 4'b1011) begin dmc06d5 <= uiea272 + 4'b0001; end else begin dmc06d5 <= 4'b0000; bn36aa <= 1'b1; end end else if (wy2ba64) begin dmc06d5 <= 4'b0000; bn36aa <= 1'b0; end end\r
+end\r
+\r
+\r
+ always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin gqa9b18 <= 1'b0; rg4d8c0 <= 1'b0; end else if (rxmac_clk_en) begin gqa9b18 <= 1'b1; rg4d8c0 <= ng869bd; end end\r
+\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin ps6c603 <= 1'b0; ps6301b <= 1'b0; end else if (rxmac_clk_en) begin ps6c603 <= co10e3c; ps6301b <= coa6f51; end\r
+end\r
+\r
+\r
+ always @(posedge txmac_clk or negedge reset_n) begin if (!reset_n) begin do1ea6c <= 1'b0; osf5363 <= 1'b0; end else if (txmac_clk_en) begin do1ea6c <= 1'b1; osf5363 <= fp8e1a6; end end\r
+\r
+\r
+\r
+\r
+always @(negedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin uvd37d7 <= 0; ph9bebc <= 0; qgfaf3a <= 0; dzd79d0 <= 0; rgd55d3 <= 0; vxaae9b <= 0; end else if (rxmac_clk_en) begin uvd37d7 <= ne6c401; ph9bebc <= tu5d321; qgfaf3a <= aa10058; dzd79d0 <= nr642f1; rgd55d3 <= je160c; vxaae9b <= kq7215d; end\r
+end\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin lq574df <= 0; vxba6fa <= 0; hodf5e7 <= 0; nedaaba <= 0; end else if (rxmac_clk_en) begin lq574df <= uk8d880; vxba6fa <= gd8574c; hodf5e7 <= yk6200b; nedaaba <= oh802c1; end\r
+end\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin ksbce87 <= 0; kde7439 <= 0; vk2f2fc <= 0; gocbf12 <= 0; rtfc487 <= 0; ald93fd <= 0; qi87d24 <= 0; nef4900 <= 0; ou1a400 <= 0; wy2401c <= 0; pu200e1 <= 0; ks70b <= 0; mtbb27f <= 0; rv1c2c6 <= 0; xwe1637 <= 0; of44701 <= 0; kf2380c <= 0; zz1c065 <= 0; xjd2000 <= 0; hq1979 <= 0; lfcbcb <= 0; ui4c131 <= 0; kd60988 <= 0; tw4c44 <= 0; mt90006 <= 0; gq3111c <= 0; uk888e0 <= 0; epbb6a7 <= 0; medb53d <= 0; fada9e8 <= 0; gd80035 <= 0; nt3d0c3 <= 0; pua7a18 <= 0; end else if (rxmac_clk_en) begin ald93fd <= of6a924; mtbb27f <= th64014; xjd2000 <= wjd9c36; mt90006 <= cmc189b; gd80035 <= pua7622; rtfc487 <= gda1aa4; ks70b <= gd2c802; zz1c065 <= bn9b386; tw4c44 <= vi58313; fada9e8 <= ri14ec4; gocbf12 <= ux3686a; pu200e1 <= fc25900; kf2380c <= ph13670; kd60988 <= vkb062; medb53d <= cb29d8; if (aa34dea) begin vk2f2fc <= wla492c; wy2401c <= ri200a7; of44701 <= goce1b4; ui4c131 <= xlc4d9; epbb6a7 <= gq3b112; if (nt37a89) begin ou1a400 <= ri200a7 & mtbb27f; end else begin ou1a400 <= mtbb27f; end end else begin vk2f2fc <= sw24b20; wy2401c <= aa53b; of44701 <= gb70da1; ui4c131 <= go626ce; epbb6a7 <= fnd8891; if (nt37a89) begin ou1a400 <= aa53b & mtbb27f; end else begin ou1a400 <= mtbb27f; end end rv1c2c6 <= gd8574c; qi87d24 <= kd4e42b; hq1979 <= kq4c85e; gq3111c <= 0; if ((wy2ba64 == 0) && (gd8574c == 1)) begin pua7a18 <= ~al51390; end if ((wy2ba64 == 0) && (pfe990b == 1)) begin ksbce87 <= 0; xwe1637 <= gd8574c & pfe990b; nef4900 <= {kd4e42b[3:0], gd90ae9}; lfcbcb <= kq4c85e | uk2178f; nt3d0c3 <= ~al51390; if ({kd4e42b[3:0], gd90ae9} == 8'hd5) begin kde7439 <= 0; end else begin kde7439 <= 1; end end else if ((pfe990b == 0) && (gd8574c == 1)) begin ksbce87 <= 1; xwe1637 <= tu5d321 & gd8574c; nef4900 <= {kq7215d, kd4e42b[3:0]}; lfcbcb <= nr642f1 | kq4c85e; nt3d0c3 <= ~al51390; if ({kq7215d, kd4e42b[3:0]} == 8'hd5) begin kde7439 <= 0; end else begin kde7439 <= 1; end end else if ((yx5e3ca == 1) && ({kd4e42b[3:0], gd90ae9} == 8'hd5)) begin ksbce87 <= 0; nef4900 <= {kd4e42b[3:0], gd90ae9}; kde7439 <= 0; end else if ((yx5e3ca == 1) && ({kq7215d, kd4e42b[3:0]} == 8'hd5)) begin ksbce87 <= 1; nef4900 <= {kq7215d, kd4e42b[3:0]}; kde7439 <= 0; end else if (zmbc79 == 0) begin xwe1637 <= gd8574c & pfe990b; nef4900 <= {kd4e42b[3:0], gd90ae9}; lfcbcb <= kq4c85e | uk2178f; if (aa53b == 1) begin uk888e0 <= gd8574c != pfe990b; end end else if (zmbc79 == 1) begin xwe1637 <= tu5d321 & gd8574c; nef4900 <= {kq7215d, kd4e42b[3:0]}; lfcbcb <= nr642f1 | kq4c85e; if (aa53b == 1) begin uk888e0 <= tu5d321 != gd8574c; end end end\r
+end\r
+\r
+\r
+always @(posedge txmac_clk or negedge reset_n) begin if (!reset_n) begin ea430f5 <= 0; mt187a9 <= 0; end else if (txmac_clk_en) begin if (ps6346c) begin ea430f5 <= cb398d1; mt187a9 <= yxcc68d; end else begin ea430f5 <= 0; mt187a9 <= 0; end end\r
+end\r
+\r
+\r
+always @(posedge txmac_clk or negedge reset_n) begin if (!reset_n) begin kdc3d4d <= 1'b0; end else if (txmac_clk_en) begin kdc3d4d <= ps6346c; end\r
+end\r
+\r
+\r
+always @(yx70d37 or jr91c34 or db22470 or qv12386) begin casex({yx70d37, jr91c34}) 2'bx0 : begin txd_pos[7:0] = 0; txd_neg[3:0] = 0; tx_er = 0; tx_en = 0; end 2'b11 : begin txd_pos[7:0] = db22470[7:0]; txd_neg[3:0] = db22470[3:0]; tx_er = qv12386; tx_en = jr91c34; end 2'b01 : begin txd_pos[7:0] = {4'h0, db22470[3:0]}; txd_neg[3:0] = db22470[7:4]; tx_er = qv12386; tx_en = jr91c34; end default : begin txd_pos[7:0] = 0; txd_neg[3:0] = 0; tx_er = 0; tx_en = 0; end endcase\r
+end\r
+always@* begin cb398d1<={ux18d4e>>1,ea5c9d9[0]};yxcc68d<=ea5c9d9[1];ps6346c<=ea5c9d9[2];gq1a362<=ea5c9d9[3];co10e3c<=ea5c9d9[4];uk8d880<=ea5c9d9[5];ne6c401<=ea5c9d9[6];yk6200b<=ea5c9d9[7];aa10058<=ea5c9d9[8];oh802c1<={rxd_pos>>1,ea5c9d9[9]};je160c<={rxd_neg>>1,ea5c9d9[10]};vkb062<=ea5c9d9[11];vi58313<=ea5c9d9[12];cmc189b<=ea5c9d9[13];xlc4d9<=ea5c9d9[14];go626ce<=ea5c9d9[15];ph13670<=ea5c9d9[16];bn9b386<=ea5c9d9[17];wjd9c36<=ea5c9d9[18];goce1b4<=ea5c9d9[19];gb70da1<=ea5c9d9[20];ux3686a<={vk2f2fc>>1,ea5c9d9[21]};gda1aa4<={gocbf12>>1,ea5c9d9[22]};of6a924<={rtfc487>>1,ea5c9d9[23]};wla492c<={qi87d24>>1,ea5c9d9[24]};sw24b20<={nef4900>>1,ea5c9d9[25]};fc25900<=ea5c9d9[26];gd2c802<=ea5c9d9[27];th64014<=ea5c9d9[28];ri200a7<=ea5c9d9[29];aa53b<=ea5c9d9[30];cb29d8<=ea5c9d9[31];ri14ec4<=ea5c9d9[32];pua7622<=ea5c9d9[33];gq3b112<=ea5c9d9[34];fnd8891<=ea5c9d9[35];db22470<={ea430f5>>1,ea5c9d9[36]};qv12386<=ea5c9d9[37];jr91c34<=ea5c9d9[38];fp8e1a6<=ea5c9d9[39];yx70d37<=ea5c9d9[40];ng869bd<=ea5c9d9[41];aa34dea<=ea5c9d9[42];coa6f51<=ea5c9d9[43];nt37a89<=ea5c9d9[44];uiea272<={dmc06d5>>1,ea5c9d9[45]};al51390<=ea5c9d9[46];kd4e42b<={nedaaba>>1,ea5c9d9[47]};kq7215d<={rgd55d3>>1,ea5c9d9[48]};gd90ae9<={vxaae9b>>1,ea5c9d9[49]};gd8574c<=ea5c9d9[50];wy2ba64<=ea5c9d9[51];tu5d321<=ea5c9d9[52];pfe990b<=ea5c9d9[53];kq4c85e<=ea5c9d9[54];nr642f1<=ea5c9d9[55];uk2178f<=ea5c9d9[56];zmbc79<=ea5c9d9[57];yx5e3ca<=ea5c9d9[58];end\r
+always@* begin ec2b93b[2047]<=shc6a74;ec2b93b[2046]<=pu353a4;ec2b93b[2044]<=ls1bc04;ec2b93b[2040]<=rv27d65;ec2b93b[2032]<=rx_dv_pos;ec2b93b[2016]<=rx_dv_neg;ec2b93b[1985]<=rx_er_pos;ec2b93b[1922]<=rx_er_neg;ec2b93b[1867]<=hq1979;ec2b93b[1804]<=nedaaba[0];ec2b93b[1797]<=rxd_pos[0];ec2b93b[1761]<=ps6301b;ec2b93b[1686]<=lfcbcb;ec2b93b[1623]<=hodf5e7;ec2b93b[1561]<=rgd55d3[0];ec2b93b[1558]<=ks70b;ec2b93b[1547]<=rxd_neg[0];ec2b93b[1542]<=ea430f5[0];ec2b93b[1490]<=kf2380c;ec2b93b[1475]<=dmc06d5[0];ec2b93b[1413]<=wy2401c;ec2b93b[1409]<=pua7a18;ec2b93b[1400]<=kde7439;ec2b93b[1324]<=vk2f2fc[0];ec2b93b[1200]<=rtfc487[0];ec2b93b[1199]<=qgfaf3a;ec2b93b[1074]<=vxaae9b[0];ec2b93b[1068]<=rv1c2c6;ec2b93b[1047]<=ui4c131;ec2b93b[1037]<=mt187a9;ec2b93b[1023]<=ux18d4e[0];ec2b93b[933]<=zz1c065;ec2b93b[902]<=bn36aa;ec2b93b[880]<=ps6c603;ec2b93b[811]<=ph9bebc;ec2b93b[779]<=pu200e1;ec2b93b[771]<=nt3d0c3;ec2b93b[745]<=of44701;ec2b93b[706]<=nef4900[0];ec2b93b[704]<=fada9e8;ec2b93b[700]<=ksbce87;ec2b93b[600]<=gocbf12[0];ec2b93b[440]<=rg4d8c0;ec2b93b[405]<=uvd37d7;ec2b93b[372]<=uk888e0;ec2b93b[353]<=qi87d24[0];ec2b93b[352]<=medb53d;ec2b93b[350]<=dzd79d0;ec2b93b[220]<=gqa9b18;ec2b93b[202]<=vxba6fa;ec2b93b[186]<=gq3111c;ec2b93b[176]<=epbb6a7;ec2b93b[110]<=osf5363;ec2b93b[101]<=lq574df;ec2b93b[93]<=tw4c44;ec2b93b[88]<=xwe1637;ec2b93b[55]<=do1ea6c;ec2b93b[46]<=kd60988;ec2b93b[27]<=kdc3d4d;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module tsmac35 (\r
+\r
+ \r
+ hclk,\r
+ txmac_clk,\r
+ rxmac_clk,\r
+ reset_n,\r
+\r
+ txmac_clk_en,\r
+ rxmac_clk_en,\r
+\r
+\r
+ \r
+ rxd,\r
+ rx_dv,\r
+ rx_er,\r
+\r
+ col,\r
+ crs,\r
+\r
+ \r
+ haddr,\r
+ hdatain,\r
+ hcs_n,\r
+ hwrite_n,\r
+ hread_n,\r
+\r
+ \r
+\r
+ \r
+ tx_fifodata,\r
+ tx_fifoavail,\r
+ tx_fifoeof,\r
+ tx_fifoempty,\r
+ tx_sndpaustim,\r
+ tx_sndpausreq,\r
+ tx_fifoctrl,\r
+\r
+ \r
+ rx_fifo_full,\r
+ ignore_pkt,\r
+\r
+ \r
+ tx_en,\r
+ tx_er,\r
+\r
+ txd,\r
+\r
+ \r
+ hdataout,\r
+ hdataout_en_n,\r
+ hready_n,\r
+ cpu_if_gbit_en,\r
+\r
+ \r
+\r
+ \r
+ tx_macread,\r
+ tx_discfrm,\r
+ tx_staten,\r
+ tx_statvec,\r
+ tx_done,\r
+\r
+ \r
+ rx_fifo_error,\r
+ rx_stat_vector,\r
+ rx_dbout,\r
+ rx_write,\r
+ rx_stat_en,\r
+ rx_eof,\r
+ rx_error\r
+)\r
+ \r
+ \r
+;\r
+input hclk;\r
+input txmac_clk;\r
+input rxmac_clk;\r
+input reset_n;\r
+\r
+input txmac_clk_en;\r
+input rxmac_clk_en;\r
+\r
+\r
+\r
+input [7:0] rxd;\r
+input rx_dv;\r
+input rx_er;\r
+\r
+\r
+input col;\r
+input crs;\r
+\r
+input [7:0] haddr;\r
+input [7:0] hdatain;\r
+input hcs_n;\r
+input hwrite_n;\r
+input hread_n;\r
+\r
+input [7:0] tx_fifodata;\r
+input tx_fifoavail;\r
+input tx_fifoeof;\r
+input tx_fifoempty;\r
+input [15:0] tx_sndpaustim;\r
+input tx_sndpausreq;\r
+input tx_fifoctrl;\r
+input rx_fifo_full;\r
+input ignore_pkt;\r
+\r
+output [7:0] txd;\r
+\r
+output tx_en;\r
+output tx_er;\r
+output [7:0] hdataout;\r
+output hdataout_en_n;\r
+output hready_n;\r
+output cpu_if_gbit_en;\r
+\r
+output tx_macread;\r
+output tx_discfrm;\r
+output tx_staten;\r
+output tx_done;\r
+output [30:0] tx_statvec;\r
+output rx_fifo_error;\r
+output [31:0] rx_stat_vector;\r
+output [7:0] rx_dbout;\r
+output rx_write;\r
+output rx_stat_en;\r
+output rx_eof;\r
+output rx_error;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+parameter pdevice_family = "ECP3";\r
+\r
+wire [15:0] ls1f5e;\r
+wire oufaf3;\r
+wire me7d798;\r
+wire [8:0] ayc1110;\r
+wire cb8880;\r
+wire [15:0] hbf301c;\r
+wire gq980e7;\r
+wire nec0739;\r
+wire [7:0] db39ca;\r
+wire bn1ce56;\r
+wire wje72b6;\r
+wire mt395b4;\r
+wire vicada4;\r
+wire qg56d22;\r
+wire [4:0] ksb6911;\r
+wire cbb4888;\r
+wire uxa4445;\r
+wire bn2222d;\r
+wire zm1116e;\r
+wire ec88b73;\r
+wire rt45b99;\r
+wire nt2dccf;\r
+wire xj6e67e;\r
+wire xw733f6;\r
+wire [13:0] fc99fb3;\r
+wire [7:0] bycfd9a;\r
+wire [7:0] pf7ecd2;\r
+wire [7:0] vvf6696;\r
+wire [7:0] oub34b7;\r
+wire [7:0] mt9a5b9;\r
+wire [7:0] hbd2dcc;\r
+wire [7:0] ri96e67;\r
+wire [7:0] twb733b;\r
+wire [15:0] ymb99db;\r
+wire [47:0] shcced9;\r
+wire [10:0] ww676cd;\r
+wire [15:0] ks3b668;\r
+wire icdb341;\r
+wire [7:0] ald9a08;\r
+wire pfcd044;\r
+wire ui68226;\r
+wire en41131;\r
+wire ir898c;\r
+wire wj44c65;\r
+wire an2632d;\r
+\r
+wire hd2d85c;\r
+wire zk6c2e6;\r
+wire [7:0] th61733;\r
+wire [3:0] rib99f;\r
+wire kq5ccfa;\r
+wire rte67d0;\r
+wire yz33e86;\r
+wire kf9f434;\r
+wire [7:0] osfa1a4;\r
+wire [3:0] psd0d21;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg gq342ac;\r
+reg [7 : 0] cb8ab2f;\r
+reg [7 : 0] ksacbe6;\r
+reg ho7cc23;\r
+reg ba2f984;\r
+reg yx65f30;\r
+reg [7 : 0] je1e93c;\r
+reg thc7892;\r
+reg lqf49e3;\r
+reg oha4f1b;\r
+reg [15 : 0] qtc6532;\r
+reg xj6b194;\r
+reg bn278dc;\r
+reg xw5d016;\r
+reg ou50e2;\r
+reg [15 : 0] hq8672b;\r
+reg kf3395b;\r
+reg ba9cadc;\r
+reg [8 : 0] kqde7a3;\r
+reg rtf3d18;\r
+reg [15 : 0] gb5b888;\r
+reg ofdc445;\r
+reg ale222d;\r
+reg [7 : 0] ri1116c;\r
+reg sw88b63;\r
+reg zx45b19;\r
+reg zz2d8cf;\r
+reg ne6c67e;\r
+reg zk633f3;\r
+reg [4 : 0] lf19f9a;\r
+reg xwcfcd6;\r
+reg jp7e6b2;\r
+reg dzf3597;\r
+reg cb9acbf;\r
+reg ipd65fd;\r
+reg vkb2fec;\r
+reg ks97f62;\r
+reg rvbfb11;\r
+reg kdfd88e;\r
+reg [13 : 0] ldec475;\r
+reg [7 : 0] ic623af;\r
+reg [7 : 0] do11d78;\r
+reg [7 : 0] jr8ebc2;\r
+reg [7 : 0] al75e16;\r
+reg [7 : 0] fpaf0b5;\r
+reg [7 : 0] ic785ae;\r
+reg [7 : 0] rtc2d70;\r
+reg [7 : 0] jr16b86;\r
+reg [15 : 0] jrb5c37;\r
+reg [47 : 0] yzae1bf;\r
+reg [10 : 0] gb70dfc;\r
+reg [15 : 0] zm86fe5;\r
+reg vx37f2a;\r
+reg [7 : 0] ntbf956;\r
+reg fnfcab6;\r
+reg uie55b7;\r
+reg zm2adb9;\r
+reg qg56dcb;\r
+reg ksb6e59;\r
+reg bab72c8;\r
+reg ksb9643;\r
+reg eacb218;\r
+reg [7 : 0] os590c1;\r
+reg [3 : 0] blc8609;\r
+reg me4304e;\r
+reg yz18271;\r
+reg ayc138d;\r
+reg db9c68;\r
+reg [7 : 0] ip4e347;\r
+reg [3 : 0] xj71a3d;\r
+reg [2047:0] ec2b93b;\r
+wire [69:0] ea5c9d9;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam tue4ec8 = 70,ph27642 = 32'hfdffd14b;\r
+localparam [31:0] gq3b216 = ph27642;\r
+localparam zxc858d = ph27642 & 4'hf;\r
+localparam [11:0] fp16372 = 'h7ff;\r
+wire [(1 << zxc858d) -1:0] mg8dcb5;\r
+reg [tue4ec8-1:0] su72d4e;\r
+reg [zxc858d-1:0] phb5383 [0:1];\r
+reg [zxc858d-1:0] en4e0f0;\r
+reg qt70785;\r
+integer xy83c2c;\r
+integer do1e162;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ assign hd2d85c = txmac_clk_en; assign zk6c2e6 = rxmac_clk_en;\r
+\r
+\r
+\r
+\r
+\r
+ assign th61733 = rxd; assign rib99f = 4'h0; assign kq5ccfa = rx_dv; assign rte67d0 = 1'b0; assign yz33e86 = rx_er; assign kf9f434 = 1'b0; assign txd = ip4e347;\r
+\r
+\r
+\r
+\r
+\r
+xlbf10b #(.pdevice_family(pdevice_family))\r
+psf12d8 ( .rxmac_clk(rxmac_clk), .reset_n(gq342ac), .rxmac_clk_en(eacb218), .mtbb27f(zm2adb9), .ou1a400(do3fb01), .ald93fd(ntbf956), .xjd2000(uie55b7), .mt90006(fnfcab6), .gd80035(qg56dcb), .en41ecd(ic623af), .ksf66d(do11d78), .nr7b369(jr8ebc2), .ipd9b4f(al75e16), .blcda7c(fpaf0b5), .qg6d3e7(ic785ae), .xw69f39(rtc2d70), .zx4f9ca(jr16b86), .xw7ce56(yzae1bf), .kde72b3(cb9acbf), .ux3959c(ipd65fd), .hocace4(ks97f62), .fc30be7(jrb5c37), .mt1d13e(rvbfb11), .kde89f5(vkb2fec), .yx44fac(ldec475), .rv27d65(kdfd88e), .aa3eb2f(dzf3597), .cmf5978(jp7e6b2), .rx_fifo_full(xw5d016), .ignore_pkt(ou50e2), .qg78222(me7d798), .lq4d15b(ls1f5e), .os68ada(oufaf3), .ayc1110(ayc1110), .cb8880(cb8880), .zmad152(hbf301c), .ou10166(gq980e7), .rx_fifo_error(rx_fifo_error), .rx_stat_vector(rx_stat_vector), .rx_dbout(rx_dbout), .rx_write(rx_write), .rx_stat_en(rx_stat_en), .rx_eof(rx_eof), .rx_error(rx_error)\r
+);\r
+icfab90 #(.pdevice_family(pdevice_family))\r
+vv5fd6e ( .txmac_clk(txmac_clk), .baa7b6d(gq342ac), .txmac_clk_en(ksb9643), .lqf1bfb(zz2d8cf), .mg8dfdb(ne6c67e), .qt7f6cd(zk633f3), .cz6fed9(xwcfcd6), .uxa1b23(lf19f9a), .wld91c(yzae1bf), .dzcdac4(jrb5c37), .tx_fifodata(je1e93c), .tx_fifoeof(lqf49e3), .tx_fifoempty(oha4f1b), .tx_fifoavail(thc7892), .tx_sndpaustim(qtc6532), .tx_sndpausreq(xj6b194), .tx_fifoctrl(bn278dc), .psee2db(ofdc445), .nr716da(gb5b888), .uidb36b(bab72c8), .fafb66d(ksb6e59), .aydb5bc(wje72b6), .tx_macread(tx_macread), .tx_discfrm(tx_discfrm), .tx_staten(tx_staten), .tx_statvec(tx_statvec), .tx_done(tx_done), .ui782b2(nec0739), .goc1590(db39ca), .wyac80(bn1ce56) \r
+);\r
+ pu96d5c pfd21ab ( .txmac_clk(txmac_clk), .rxmac_clk(rxmac_clk), .reset_n(gq342ac), .rxmac_clk_en(eacb218), .txmac_clk_en(ksb9643), .ux18d4e(ri1116c), .shc6a74(sw88b63), .pu353a4(ale222d), .rx_dv_pos(me4304e), .rx_dv_neg(yz18271), .rx_er_pos(ayc138d), .rx_er_neg(db9c68), .rxd_pos(os590c1), .rxd_neg(blc8609),\r
+\r
+\r
+ .col(col), .crs(crs),\r
+\r
+\r
+\r
+ .ls1bc04(cpu_if_gbit_en), .rv27d65(kdfd88e), .mt90006(pfcd044), .xjd2000(ui68226), .mtbb27f(en41131), .ou1a400(do3fb01), .ald93fd(ald9a08), .gd80035(ir898c), .tj84f70(wj44c65), .db27b87(an2632d), .txd_pos(osfa1a4), .txd_neg(psd0d21), .tx_en(tx_en), .tx_er(tx_er) );\r
+\r
+pf4a32c ohb1f76 ( .hclk(hclk), .reset_n(gq342ac), .haddr(cb8ab2f), .hdatain(ksacbe6), .hread_n(yx65f30), .hwrite_n(ba2f984), .hcs_n(ho7cc23), .lq4d15b(hq8672b), .os68ada(kf3395b), .qg78222(ba9cadc), .ayc1110(kqde7a3), .cb8880(rtf3d18), .ie552b(zx45b19), \r
+\r
+\r
+\r
+ .hdataout(hdataout), .hready_n(hready_n), .hdataout_en_n(hdataout_en_n), .tx_en(mt395b4), .go67cc7(vicada4), .qi3e639(qg56d22), .uxa1b23(ksb6911), .ls1bc04(cpu_if_gbit_en), .cz6fed9(cbb4888),\r
+ \r
+\r
+\r
+\r
+ .cmf5978(uxa4445), .aa3eb2f(bn2222d), .wl23780(shcced9), .qv393c7(zm1116e), .ux3959c(ec88b73), .kde89f5(rt45b99), .hocace4(nt2dccf), .mt1d13e(xj6e67e), .yx44fac(fc99fb3), .rv27d65(xw733f6), .fc30be7(ymb99db), .qg78f2f(bycfd9a), .hbc7979(pf7ecd2), .gd3cbce(vvf6696), .cme5e75(oub34b7), .hq2f3a8(mt9a5b9), .zk79d42(hbd2dcc), .ykcea13(ri96e67), .qt75098(twb733b)\r
+);\r
+\r
+\r
+\r
+always@* begin gq342ac<=ea5c9d9[0];cb8ab2f<={haddr>>1,ea5c9d9[1]};ksacbe6<={hdatain>>1,ea5c9d9[2]};ho7cc23<=ea5c9d9[3];ba2f984<=ea5c9d9[4];yx65f30<=ea5c9d9[5];je1e93c<={tx_fifodata>>1,ea5c9d9[6]};thc7892<=ea5c9d9[7];lqf49e3<=ea5c9d9[8];oha4f1b<=ea5c9d9[9];qtc6532<={tx_sndpaustim>>1,ea5c9d9[10]};xj6b194<=ea5c9d9[11];bn278dc<=ea5c9d9[12];xw5d016<=ea5c9d9[13];ou50e2<=ea5c9d9[14];hq8672b<={ls1f5e>>1,ea5c9d9[15]};kf3395b<=ea5c9d9[16];ba9cadc<=ea5c9d9[17];kqde7a3<={ayc1110>>1,ea5c9d9[18]};rtf3d18<=ea5c9d9[19];gb5b888<={hbf301c>>1,ea5c9d9[20]};ofdc445<=ea5c9d9[21];ale222d<=ea5c9d9[22];ri1116c<={db39ca>>1,ea5c9d9[23]};sw88b63<=ea5c9d9[24];zx45b19<=ea5c9d9[25];zz2d8cf<=ea5c9d9[26];ne6c67e<=ea5c9d9[27];zk633f3<=ea5c9d9[28];lf19f9a<={ksb6911>>1,ea5c9d9[29]};xwcfcd6<=ea5c9d9[30];jp7e6b2<=ea5c9d9[31];dzf3597<=ea5c9d9[32];cb9acbf<=ea5c9d9[33];ipd65fd<=ea5c9d9[34];vkb2fec<=ea5c9d9[35];ks97f62<=ea5c9d9[36];rvbfb11<=ea5c9d9[37];kdfd88e<=ea5c9d9[38];ldec475<={fc99fb3>>1,ea5c9d9[39]};ic623af<={bycfd9a>>1,ea5c9d9[40]};do11d78<={pf7ecd2>>1,ea5c9d9[41]};jr8ebc2<={vvf6696>>1,ea5c9d9[42]};al75e16<={oub34b7>>1,ea5c9d9[43]};fpaf0b5<={mt9a5b9>>1,ea5c9d9[44]};ic785ae<={hbd2dcc>>1,ea5c9d9[45]};rtc2d70<={ri96e67>>1,ea5c9d9[46]};jr16b86<={twb733b>>1,ea5c9d9[47]};jrb5c37<={ymb99db>>1,ea5c9d9[48]};yzae1bf<={shcced9>>1,ea5c9d9[49]};gb70dfc<={ww676cd>>1,ea5c9d9[50]};zm86fe5<={ks3b668>>1,ea5c9d9[51]};vx37f2a<=ea5c9d9[52];ntbf956<={ald9a08>>1,ea5c9d9[53]};fnfcab6<=ea5c9d9[54];uie55b7<=ea5c9d9[55];zm2adb9<=ea5c9d9[56];qg56dcb<=ea5c9d9[57];ksb6e59<=ea5c9d9[58];bab72c8<=ea5c9d9[59];ksb9643<=ea5c9d9[60];eacb218<=ea5c9d9[61];os590c1<={th61733>>1,ea5c9d9[62]};blc8609<={rib99f>>1,ea5c9d9[63]};me4304e<=ea5c9d9[64];yz18271<=ea5c9d9[65];ayc138d<=ea5c9d9[66];db9c68<=ea5c9d9[67];ip4e347<={osfa1a4>>1,ea5c9d9[68]};xj71a3d<={psd0d21>>1,ea5c9d9[69]};end\r
+always@* begin ec2b93b[2047]<=haddr[0];ec2b93b[2046]<=hdatain[0];ec2b93b[2044]<=hcs_n;ec2b93b[2040]<=hwrite_n;ec2b93b[2033]<=hread_n;ec2b93b[2019]<=tx_fifodata[0];ec2b93b[1994]<=vvf6696[0];ec2b93b[1990]<=tx_fifoavail;ec2b93b[1981]<=vicada4;ec2b93b[1965]<=bn2222d;ec2b93b[1940]<=oub34b7[0];ec2b93b[1939]<=ayc1110[0];ec2b93b[1933]<=tx_fifoeof;ec2b93b[1914]<=qg56d22;ec2b93b[1883]<=zm1116e;ec2b93b[1832]<=mt9a5b9[0];ec2b93b[1831]<=cb8880;ec2b93b[1819]<=tx_fifoempty;ec2b93b[1785]<=fc99fb3[0];ec2b93b[1781]<=ksb6911[0];ec2b93b[1778]<=ls1f5e[0];ec2b93b[1740]<=ir898c;ec2b93b[1719]<=ec88b73;ec2b93b[1634]<=hd2d85c;ec2b93b[1616]<=hbd2dcc[0];ec2b93b[1615]<=hbf301c[0];ec2b93b[1591]<=tx_sndpaustim[0];ec2b93b[1579]<=kq5ccfa;ec2b93b[1522]<=bycfd9a[0];ec2b93b[1515]<=cbb4888;ec2b93b[1508]<=oufaf3;ec2b93b[1470]<=xj6e67e;ec2b93b[1432]<=wj44c65;ec2b93b[1406]<=psd0d21[0];ec2b93b[1391]<=rt45b99;ec2b93b[1286]<=shcced9[0];ec2b93b[1271]<=bn1ce56;ec2b93b[1221]<=zk6c2e6;ec2b93b[1184]<=ri96e67[0];ec2b93b[1182]<=gq980e7;ec2b93b[1135]<=tx_sndpausreq;ec2b93b[1111]<=rte67d0;ec2b93b[1051]<=ks3b668[0];ec2b93b[1023]<=reset_n;ec2b93b[997]<=pf7ecd2[0];ec2b93b[990]<=mt395b4;ec2b93b[982]<=uxa4445;ec2b93b[969]<=me7d798;ec2b93b[892]<=xw733f6;ec2b93b[889]<=ignore_pkt;ec2b93b[870]<=en41131;ec2b93b[817]<=an2632d;ec2b93b[789]<=rib99f[0];ec2b93b[735]<=nt2dccf;ec2b93b[703]<=osfa1a4[0];ec2b93b[643]<=ymb99db[0];ec2b93b[635]<=db39ca[0];ec2b93b[525]<=ww676cd[0];ec2b93b[495]<=wje72b6;ec2b93b[444]<=rx_fifo_full;ec2b93b[435]<=ui68226;ec2b93b[394]<=th61733[0];ec2b93b[351]<=kf9f434;ec2b93b[321]<=twb733b[0];ec2b93b[317]<=nec0739;ec2b93b[222]<=tx_fifoctrl;ec2b93b[217]<=pfcd044;ec2b93b[175]<=yz33e86;ec2b93b[108]<=ald9a08[0];ec2b93b[54]<=icdb341;end assign mg8dcb5 = ec2b93b,ea5c9d9 = su72d4e; initial begin xy83c2c = $fopen(".fred"); $fdisplay( xy83c2c, "%3h\n%3h", (gq3b216 >> 4) & fp16372, (gq3b216 >> (zxc858d+4)) & fp16372 ); $fclose(xy83c2c); $readmemh(".fred", phb5383); end always @ (mg8dcb5) begin en4e0f0 = phb5383[1]; for (do1e162=0; do1e162<tue4ec8; do1e162=do1e162+1) begin su72d4e[do1e162] = mg8dcb5[en4e0f0]; qt70785 = ^(en4e0f0 & phb5383[0]); en4e0f0 = {en4e0f0, qt70785}; end end \r
+endmodule\r
+\r