type data_t is array (0 to 1023) of std_logic_vector(7 downto 0);
- constant dataram : data_t := (
+ constant dataram_pack : data_t := (
x"36",x"48",x"3A",x"55",x"29",x"2A",x"00",x"00",
x"00",x"EF",x"2B",x"00",x"00",x"01",x"3F",x"2C",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
---------------------------------------------------------------------------
THE_UART : entity work.uart_sctrl
port map(
- CLK => clk_i,
+ CLK => clk_osc,
RESET => '0',
UART_RX => SPARE_LINE(0),
UART_TX => SPARE_LINE(2),
PROC_REGISTERS : process begin
- wait until rising_edge(clk_i);
+ wait until rising_edge(clk_osc);
if bus_write= '1' then
if uart_addr = x"01" then
lcd_data_i(31 downto 0) <= uart_rx_data;
type initdc_t is array (0 to 15) of std_logic;\r
constant initdc : initdc_t := ('0','1','0','1','0','0','1','1','1','1','0','1','1','1','1','0');\r
\r
+ constant dataram: data_t := dataram_pack;\r
+\r
signal timer : unsigned(27 downto 0) := (others => '0');\r
--2**16: 2.5ms\r
--2**20: 40ms\r