use work.config.all;
entity clock_switch is
+ generic(
+ DEFAULT_INTERNAL_TRIGGER : integer := c_NO
+ );
port (
INT_CLK_IN : in std_logic; -- dont care which clock
SYS_CLK_IN : in std_logic;
architecture clock_switch_arch of clock_switch is
constant USE_EXTERNAL_CLOCK_std : std_logic := std_logic_vector(to_unsigned(USE_EXTERNAL_CLOCK,1))(0);
+ constant DEFAULT_INTERNAL_TRIGGER_std : std_logic := std_logic_vector(to_unsigned(DEFAULT_INTERNAL_TRIGGER,1))(0);
type INT_FSM_STATES_T is (WAIT_FOR_LOCK, WAIT_PLL_STABLE, OPERATING);
signal int_fsm_i : INT_FSM_STATES_T := WAIT_FOR_LOCK;
signal int_fsm_code_i : std_logic_vector(3 downto 0);
signal select_tc : std_logic_vector(7 downto 0);
- signal select_trg : std_logic;
+ signal select_trg : std_logic := DEFAULT_INTERNAL_TRIGGER_std;
signal select_clk : std_logic := USE_EXTERNAL_CLOCK_std;
-- signal select_clk_sys : std_logic := USE_EXTERNAL_CLOCK_std;
signal select_clk_qsys : std_logic;
esb_data_ready <= '0';
fwb_data_ready <= '0';
hitreg_data_ready <= '0';
-
-
process begin
wait until rising_edge(clk_100_i);
srb_invalid <= srb_read_en or srb_write_en;
-- CLK_MNGR2_USER <= select_tc_i(27 downto 24);
THE_CLOCK_SWITCH: entity work.clock_switch
+ generic map(
+ DEFAULT_INTERNAL_TRIGGER => c_YES
+ )
port map(
INT_CLK_IN => CLK_GPLL_RIGHT,
SYS_CLK_IN => clk_100_i,
UGROUP "THE_RESET_HANDLER_GRP" BLKNAME THE_RESET_HANDLER;
MULTICYCLE TO CELL "THE_RESET_HANDLER/final_reset[*]" 30.000000 ns ;
+MULTICYCLE FROM CELL "THE_RESET_HANDLER/final_reset*" 30.000000 ns ;
+
#MULTICYCLE TO CELL "THE_HUB/THE_HUB/local_network_reset*" 30.000000 ns ;
#REGION "MEDIA_UPLINK" "R100C115D" 20 60 DEVSIZE;