end component;
signal full_i : std_logic;
+ signal din_sort : std_logic_vector(33 downto 0);
begin
fifo : fifo_2kx34x17_wcnt_xcku
port map (
rst => Reset,
wr_clk => WrClock,
rd_clk => RdClock,
- din => Data,
+ din => din_sort,
wr_en => WrEn,
rd_en => RdEn,
dout => Q,
WCNT(11) <= full_i;
Full <= full_i;
+ din_sort <= Data(16 downto 0) & Data(33 downto 17);
end architecture structural;
begin
fifo : fifo_4kx16x32_wcnt_xcku
port map (
- rst => Reset,
- wr_clk => WrClock,
- rd_clk => RdClock,
- din => Data,
- wr_en => WrEn,
- rd_en => RdEn,
- dout => Q,
- full => full_i,
- empty => Empty,
- wr_data_count => WCNT(10 downto 0),
- wr_rst_busy => open,
- rd_rst_busy => open
+ rst => Reset,
+ wr_clk => WrClock,
+ rd_clk => RdClock,
+ din => Data,
+ wr_en => WrEn,
+ rd_en => RdEn,
+ dout(15 downto 0) => Q(31 downto 16),
+ dout(31 downto 16) => Q(15 downto 0),
+ full => full_i,
+ empty => Empty,
+ wr_data_count => WCNT(10 downto 0),
+ wr_rst_busy => open,
+ rd_rst_busy => open
);
WCNT(11) <= full_i;
begin
fifo : fifo_64kx16x32_wcnt_xcku
port map (
- rst => Reset,
- wr_clk => WrClock,
- rd_clk => RdClock,
- din => Data,
- wr_en => WrEn,
- rd_en => RdEn,
- dout => Q,
- full => full_i,
- empty => Empty,
- wr_data_count => WCNT(14 downto 0),
- wr_rst_busy => open,
- rd_rst_busy => open
+ rst => Reset,
+ wr_clk => WrClock,
+ rd_clk => RdClock,
+ din => Data,
+ wr_en => WrEn,
+ rd_en => RdEn,
+ dout(15 downto 0) => Q(31 downto 16),
+ dout(31 downto 16) => Q(15 downto 0),
+ full => full_i,
+ empty => Empty,
+ wr_data_count => WCNT(14 downto 0),
+ wr_rst_busy => open,
+ rd_rst_busy => open
);
WCNT(15) <= full_i;
HUB_STAT_GEN => buf_HUB_STAT_GEN,
MPLEX_CTRL => (others => '0'),
- CTRL_DEBUG => (others => '0'),
+ CTRL_DEBUG( 2 downto 0) => "111",
+ CTRL_DEBUG(31 downto 3) => (others => '0'),
STAT_DEBUG => open,
BUS_HUB_DBG_RX => bus_hub_dbg_0_rx,