--data from mupix interface
mupixdata_in : in std_logic_vector(31 downto 0);
mupixdatawr_in : in std_logic;
- mupixendofevent_in : in std_logic;
--response from fee (to trb fifo)
fee_data_out : out std_logic_vector(31 downto 0);
Reset => reset,
MuPixData_in => memdata,
MuPixDataWr_in => memwren,
- MuPixEndOfEvent_in => ro_mupix_busy,
FEE_DATA_OUT => buffer_data,
FEE_DATA_WRITE_OUT => buffer_data_valid,
FEE_DATA_FINISHED_OUT => open,
Reset : in std_logic;
MuPixData_in : in std_logic_vector(31 downto 0);
MuPixDataWr_in : in std_logic;
- MuPixEndOfEvent_in : in std_logic;
FEE_DATA_OUT : out std_logic_vector(31 downto 0);
FEE_DATA_WRITE_OUT : out std_logic;
FEE_DATA_FINISHED_OUT : out std_logic;