ADC_CS : out std_logic;
--LED
- LED : out std_logic_vector(7 downto 0)
+ LED : out std_logic_vector(7 downto 0);
--Other Connectors
+ TEST : out std_logic_vector(8 downto 1)
);
signal med_stat_op : std_logic_vector (INTERFACE_NUM*16-1 downto 0);
signal med_ctrl_op : std_logic_vector (INTERFACE_NUM*16-1 downto 0);
signal rdack, wrack : std_logic;
-
- signal readout_rx : READOUT_RX;
- signal readout_tx : readout_tx_array_t(0 to 0);
signal ctrlbus_tx, bustdc_tx, bussci_tx, bussci2_tx, bustools_tx, bustc_tx, bus_master_in : CTRLBUS_TX;
signal ctrlbus_rx, bustdc_rx, bussci_rx, bussci2_rx, bustools_rx, bustc_rx, bus_master_out : CTRLBUS_RX;
THE_MEDIA_INTERFACE : entity work.med_ecp5_sfp_sync_2
generic map(
-
IS_SYNC_SLAVE => (c_YES,c_NO)
)
port map(
STAT_DEBUG => open, --med_stat_debug(63 downto 0),
CTRL_DEBUG => open
);
-
----------------------------------------------------------------------------
--- Endpoint
----------------------------------------------------------------------------
--- THE_ENDPOINT : entity work.trb_net16_endpoint_hades_full_handler_record
--- generic map (
--- ADDRESS_MASK => x"FFFF",
--- BROADCAST_BITMASK => x"FF",
--- REGIO_INIT_ENDPOINT_ID => x"0001",
--- REGIO_USE_1WIRE_INTERFACE => c_I2C,
--- TIMING_TRIGGER_RAW => c_YES,
--- --Configure data handler
--- DATA_INTERFACE_NUMBER => 1,
--- DATA_BUFFER_DEPTH => EVENT_BUFFER_SIZE,
--- DATA_BUFFER_WIDTH => 32,
--- DATA_BUFFER_FULL_THRESH => 2**EVENT_BUFFER_SIZE-EVENT_MAX_SIZE,
--- TRG_RELEASE_AFTER_DATA => c_YES,
--- HEADER_BUFFER_DEPTH => 9,
--- HEADER_BUFFER_FULL_THRESH => 2**9-16
--- )
---
--- port map(
--- -- Misc
--- CLK => clk_sys,
--- RESET => reset_i,
--- CLK_EN => '1',
---
--- -- Media direction port
--- MEDIA_MED2INT => med2int(0),
--- MEDIA_INT2MED => int2med(0),
---
--- --Timing trigger in
--- TRG_TIMING_TRG_RECEIVED_IN => TRG,
---
--- READOUT_RX => readout_rx,
--- READOUT_TX => readout_tx,
---
--- --Slow Control Port
--- REGIO_COMMON_STAT_REG_IN => common_stat_reg, --0x00
--- REGIO_COMMON_CTRL_REG_OUT => common_ctrl_reg, --0x20
--- BUS_RX => ctrlbus_rx,
--- BUS_TX => ctrlbus_tx,
--- BUS_MASTER_IN => bus_master_in,
--- BUS_MASTER_OUT => bus_master_out,
--- BUS_MASTER_ACTIVE => bus_master_active,
---
--- ONEWIRE_INOUT => open,
--- I2C_SCL => I2C_SCL,
--- I2C_SDA => I2C_SDA,
--- --Timing registers
--- TIMERS_OUT => timer
--- );
---
---
+---------------------------------------------------------------------------
+-- The Hub
+---------------------------------------------------------------------------
THE_HUB : entity work.trb_net16_hub_base
generic map(
HUB_USED_CHANNELS => (1,1,0,1),
HARDWARE_VERSION => HARDWARE_INFO,
INCLUDED_FEATURES => INCLUDED_FEATURES,
INIT_ENDPOINT_ID => x"0001",
+ INIT_CTRL_REGS => x"00000000_00000000_00000000_00000000" &
+ x"00000000_00000000_00000000_00000000" &
+ x"00000000_00000000_800a4000_00000000" &
+ x"FFFFFFFF_FFFFFFFF_FFFFFFFF_FFFFFFFF",
CLOCK_FREQUENCY => CLOCK_FREQUENCY,
BROADCAST_SPECIAL_ADDR => BROADCAST_SPECIAL_ADDR,
COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32))
---------------------------------------------------------------------------
-- Bus Handler
---------------------------------------------------------------------------
-
-
THE_BUS_HANDLER : entity work.trb_net16_regio_bus_handler_record
generic map(
PORT_NUMBER => 4,
--Trigger & Monitor
MONITOR_INPUTS => monitor_inputs_i,
TRIG_GEN_INPUTS => trigger_inputs_i,
- TRIG_GEN_OUTPUTS(1 downto 0) => open, --GPIO(3 downto 2),
+ TRIG_GEN_OUTPUTS(1 downto 0) => open,
--SED
SED_ERROR_OUT => sed_error_i,
--Slowcontrol
---------------------------------------------------------------------------
-- I/O
---------------------------------------------------------------------------
+monitor_inputs_i <= (others => '0');
+trigger_inputs_i <= (others => '0');
+
+
+TEST(1) <= ADC_CS;
+TEST(2) <= ADC_MOSI;
+TEST(3) <= ADC_MISO;
+TEST(4) <= ADC_SCK;
-
---------------------------------------------------------------------------
-- LED
---------------------------------------------------------------------------
LED(3) <= (med2int(1).stat_op(10) or med2int(1).stat_op(11)) and not led_off;
LED(4) <= med2int(1).stat_op(9) and not led_off;
--------------------------------------------------------------------------------
--- No trigger/data endpoint included
--------------------------------------------------------------------------------
--- readout_tx(0).data_finished <= '1';
--- readout_tx(0).data_write <= '0';
--- readout_tx(0).busy_release <= '1';
+
end architecture;