]> jspc29.x-matter.uni-frankfurt.de Git - trbv2.git/commitdiff
trb in cts
authorpalka <palka>
Tue, 8 Jan 2008 12:40:48 +0000 (12:40 +0000)
committerpalka <palka>
Tue, 8 Jan 2008 12:40:48 +0000 (12:40 +0000)
17 files changed:
trb_cts/compile_cts.pl [new file with mode: 0755]
trb_cts/cts.stapl [new file with mode: 0644]
trb_cts/cts.ucf [new file with mode: 0644]
trb_cts/cts.vhd [new file with mode: 0644]
trb_cts/cts_etrax_interface.vhd [new file with mode: 0644]
trb_cts/cts_syn.prj [new file with mode: 0644]
trb_cts/cts_tb.vhd [new file with mode: 0644]
trb_cts/etrax_interface.vhd [new file with mode: 0755]
trb_cts/f_divider.vhd [new file with mode: 0644]
trb_cts/fpga_to_etrax_data_flow.vhd [new file with mode: 0644]
trb_cts/impact_batch_cts.txt [new file with mode: 0644]
trb_cts/lvl1_fifo.ngc [new file with mode: 0644]
trb_cts/tlk_interface.vhd [new file with mode: 0644]
trb_cts/trbv2_tlk_api.vhd [new file with mode: 0644]
trb_cts/trbv2_tlk_api_fifo.ngc [new file with mode: 0644]
trb_cts/trbv2_tlk_api_fifo.vhd [new file with mode: 0644]
trb_cts/vulom_interface.vhd [new file with mode: 0644]

diff --git a/trb_cts/compile_cts.pl b/trb_cts/compile_cts.pl
new file mode 100755 (executable)
index 0000000..fd53741
--- /dev/null
@@ -0,0 +1,141 @@
+#!/usr/bin/perl
+###########################################
+# Script file to run the flow
+#
+###########################################
+#
+# Command line for synplify_pro
+#
+
+
+use FileHandle;
+
+
+$ENV{LM_LICENSE_FILE}="1709\@hadeb05";
+
+
+
+$PLD_DEVICE="xc4vlx40-10-ff1148";
+$TOPNAME="cts";
+
+
+
+#set -e
+#set -o errexit
+
+system("env| grep LM_");
+
+#$c="/opt/Synplicity/fpga_861/bin/synplify_pro -batch $TOPNAME"."_syn.prj";
+$c="/opt/Synplicity/fpga_89/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj";
+#$c="/opt/Synplicity/fpga_8804/bin/synplify_pro -batch $TOPNAME"."_syn.prj";
+$r=execute($c, "do_not_exit" );
+
+
+chdir "workdir";
+my $fh = new FileHandle("<cts.srr");
+my @a = <$fh>;
+$fh -> close;
+
+#if ($r) { 
+#$c="cat  $TOPNAME.srr";
+#system($c);
+#exit 129; 
+#}
+
+foreach (@a) 
+{
+    if(/\@E:/) 
+    {
+       $c="cat  $TOPNAME.srr";
+       system($c);
+        print "bdabdhsadbhjasdhasldhbas";
+       exit 129;       
+    }
+}
+#
+# Command line to synthesize
+#
+
+#chdir "..";
+#$c="xst -intstyle xflow -ifn $TOPNAME.xst -ofn $TOPNAME.syr";
+#execute($c);
+#chdir "workdir";
+
+#
+# Command line for ngdbuild
+#
+#$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf ../$TOPNAME.ngc $TOPNAME.ngd";
+$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf -sd ../ $TOPNAME.edf $TOPNAME.ngd";
+execute($c);
+#
+# Command line for fpgafit
+#
+$c="map -detail -u -p $PLD_DEVICE -cm speed -pr b -k 4 -c 100 -tx off -intstyle xflow -o $TOPNAME"."_map.ncd $TOPNAME.ngd $TOPNAME.pcf";
+execute($c);
+
+#
+# Command line for Place & Route
+#
+
+$c="par -w -intstyle xflow -ol std -t 1 $TOPNAME"."_map.ncd $TOPNAME.ncd $TOPNAME.pcf";
+execute($c);
+
+#
+# Command line for genarate programming file (.bit)
+#
+
+
+foreach (<$TOPNAME"."_pad.txt>) {
+    @a=split (/\s*\|\s*/,$_);
+    if( ($a[2] ne "" &&
+         $a[2] ne "Signal Name") && 
+        $a[13] ne "LOCATED"
+        ) 
+    {
+        print "error, pins were assigned automatically:\n$_\n";
+        exit;
+    }
+}
+
+print "_pad.txt tested for automatically assigned pins\n";
+
+#$c="bitgen -w -intstyle ise -g DebugBitstream:No -g Binary:no -g Gclkdel0:11111 -g Gclkdel1:11111 -g Gclkdel2:11111 -g Gclkdel3:11111 -g ConfigRate:4 -g CclkPin:PullUp -g M0Pin:PullUp -g M1Pin:PullUp -g M2Pin:PullUp -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GSR_cycle:6 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No $TOPNAME"; 
+$c="bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g ConfigRate:4 -g CclkPin:PullUp -g M0Pin:PullUp -g M1Pin:PullUp -g M2Pin:PullUp -g ProgPin:PullUp -g DonePin:PullUp -g InitPin:Pullup -g CsPin:Pullup -g DinPin:Pullup -g BusyPin:Pullup -g RdWrPin:Pullup -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g DCMShutdown:Disable -g DisableBandgap:No -g DCIUpdateMode:AsRequired -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g Encrypt:No $TOPNAME.ncd";
+
+execute($c);
+#
+# Command line for generate .stapl file
+#
+
+$c="XIL_IMPACT_ENV_LPT_COMPATIBILITY_MODE=true impact -batch ../impact_batch_cts.txt";
+
+execute($c);
+
+
+#ssh depc152 'cd ~/files/vhdl/xilinx; . ~/bin/xilinx_setup; XIL_IMPACT_ENV_LPT_COMPATIBILITY_MODE=true impact -batch conf_xilinx_impact.txt '
+
+#
+#to download file on ETRAX chip
+#
+
+#$c="lftp root:pass@hades18;put RPCBoardContrller;exit";
+#execute($c)
+
+chdir "..";
+
+sub execute {
+    my ($c, $op) = @_;
+    #print "option: $op \n";
+
+    print "\n\ncommand to execute: $c \n";
+    $r=system($c);
+    if($r) { 
+       print "$!";
+       if($op ne "do_not_exit") {
+           exit; 
+       }
+    }
+    
+    return $r;
+
+}
diff --git a/trb_cts/cts.stapl b/trb_cts/cts.stapl
new file mode 100644 (file)
index 0000000..0a204e7
--- /dev/null
@@ -0,0 +1,3240 @@
+NOTE "CREATOR" "Xilinx iMPACT Software";
+NOTE "DATE" "2007/12/06";
+NOTE "STAPL_VERSION" "JESD71";
+NOTE "ALG_VERSION" "1";
+NOTE "DEVICE" "UNSPECIFIED";
+NOTE "CHECKSUM" "UNSPECIFIED";
+NOTE "IDCODE" "UNSPECIFIED";
+NOTE "USERCODE" "UNSPECIFIED";
+NOTE "TARGET" "UNSPECIFIED";
+NOTE "STACK_DEPTH" "4";
+NOTE "MAX_FREQ" "1000000";
+ACTION RUN_XILINX_PROC "Run Xilinx Procedure" = XILINX_PROC;
+DATA MAINDATA;
+INTEGER I = 0;
+INTEGER D = 0;
+ENDDATA;
+PROCEDURE XILINX_PROC USES ADJUST_DELAY, MAINDATA;
+BOOLEAN X = 0;
+IRSTOP IDLE;
+DRSTOP IDLE;
+STATE RESET IDLE;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+' //Loading device with 'idcode' instruction.
+IRSCAN 10, $03c9
+ ;
+DRSCAN 32, $00000000
+, COMPARE $f16a4093
+, $0fffffff
+, X;
+IF (!X) THEN GOTO F;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+' //Loading device with 'idcode' instruction.
+IRSCAN 10, $03c9
+ ;
+DRSCAN 32, $00000000
+, COMPARE $f16a4093
+, $0fffffff
+, X;
+IF (!X) THEN GOTO F;
+' //Loading device with 'bypass' instruction.
+IRSCAN 10, $03ff
+ ;
+' // Loading device with a `jprogram` instruction. 
+IRSCAN 10, $03cb
+ ;
+D = 1;
+WAIT D CYCLES;
+' // Loading device with a `bypass` instruction. 
+IRSCAN 10, $03ff
+ ;
+D = 21000;
+WAIT D CYCLES;
+' // Loading device with a `cfg_in` instruction. 
+IRSCAN 10, $03c5
+ ;
+D = 100000;
+WAIT D CYCLES;
+' // Check init_complete in ircapture.
+' //Loading device with 'Bypass' instruction.
+IRSCAN 10, $03ff
+, COMPARE $0010
+, $0010
+, X;
+IF (!X) THEN GOTO F;
+' STATE RESET;
+' // Loading device with a `cfg_in` instruction. 
+IRSCAN 10, $03c5
+, COMPARE $0000
+, $0000
+, X;
+IF (!X) THEN GOTO F;
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GPrfC0e004GM9Go
+1D00GI00000C0m00400060C0W2040006080WqC82421YW09G05G03m@3W@dV@F@x@yp@pV@F
+__@uV@7Vy@ud@dUz@ql@dU_@qt@7U@@m@_Fym@3VCX88P0000GYa6a3G280G0YbC10081000
+0m0020050ro600000W4088204210YW0O0010mN0X0000Mn00Gh0jSGW7G00025OfD1Qgc0DB
+OG80G00PF87100Wk7Y20mPGo5U0001uOY01ZI0CnH20008MveYO0U0800GD6i1000ta00GN8
+RyMW1l4mGj2o10O@Cq460400i7oa0JN0EtWdi7CB6cMIrGhbBs000bzC0Oi@m3NPymS6Fi4H
+NWjR02zOZi7EKl000002YiHa0G40mY6gijXGD9i1002p61ifIb4wIferLy0CC1mcII0028OL
+792fOZAG4QffD000G1C00uk1FQJnWhdUO_fAwLnoke_@ph4000WAO00uUazULY400S2T3msP
+9s0w60OqibQ9264Y4000000H404fWP1KPW884fsr_VTk01000sj76W7m0w2eYo0I8F06I1W1
+000W20m0Y2eYp_c10082209m01h00GgZ09044100z2o0008G402H09G00084H420001ImbP9
+a2G22a0HG08165W400WSG00u04E1000W3E0000a31u00Gm900qNO5r0O00u0000000GG08I2
+0iSO5WyP8H4015Wu300IY0GGY8100001980000GI47H3OGZ06a2W18IG08I2G65G5P5_@LZ@
+NLv@JT_VKf@@qw@Dr_@Il@dKy@7D@VHr@Fqz@1b@@Fx@tJ@@xy@@@@0320Xu@V3080022008
+4030040cYl1W008g0mWQwb00G0Oz@647W1WgR8Y38XK0C000010X0m_hz89W4I3uXS1IOwU3
+01G04rl100GW0000080120000W0400200000Wg08Xstb0G02mz@647W1w480Y38XK0C0001O
+I06K5@60080tnEX02C0W01Hk09aWyyWu30Uz738000R0qGHq@dzm@1X@@Fw@t3@@xu@@@@@t
+d_@xn@VU_@@@@@Dm@@2_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@y000
+0@@d7GA00D2yJ01aaK0f@@@@@@@Va_@5n@@G_@@@@@dv@@@@@@@@@@@@@@@@@@@@@@J2001W
+A1K20000000UF000@@7580400000W000MXB100040000J1W100040020J1mWtuCOFE3o3G20
+0Z912m00G0W01Q9VWA0000r0W1prn000200080f0m000200180f0OGI19a7G5Wn4024W1100
+012m0001Wa3O0802Gc3Ca2W10000J1uX@@VERX4_@V300xY928Kw0FaIe4ZJmGXXXaQe4Ws0
+0AFaXq08f8HFYzeYiFCe60DY1n30R40jXmGQ0aqCoIHbGIs3HbZ2I@@@@@@@@@@@@@@@@@@@
+@@@@@h2400WA1KAYhh_@@@@@@@@@@@@@@@@@@@@@7c@@Wz@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@yd@@_w@h@_@vp@F_z@Vl@@s@@@@@@@@@@@@@@@@@@@@@oy@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dV00
+wB@@p00G0W@@d4el3m@@C8000u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fk@@Y
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@M00ely@F30010_@VIW_E0@@p
+W000W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@u_@B_@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@h0000u@@C000Gv@@S000GvC00u@VdC00000000003m@@C00O0u@V6000844m3
+@@l100_c@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@D0000n@@j000W3l00m@@9000
+4u@@n0080y@VB00E__@d10W00Vs@Vd@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vnz@Fy
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FL0010_@t01
+000f0yGD0O45G50e00_@FA2000@@d0200W60C850AY2W40000W0P0EUWV00W0FFO0088Wb5O
+0010GD09CiG2f0aGK0F000000Gqy@@D2000y@V90370K6G8l18n@@lHG00v@V30040y@FXD4
+810WnpU5I8h03A3GYz2Ey@VE100Gck09DGOG74LK4nO006I1400y@@3020WYPPZ0BI0020GU
+0IG800u@@e0sM0y@@9VL8nZ6fnQ008b462oOI00hBhfa0W00W1IIev33o_m000O8rVmGK0FS
+5X1v1aGcAK18y1ed03kUXXjNa0020GA0Ki2Z4X2nm@@81EJ1u@@GUBwXK0e8A0C_@l8m520H
+Gfo@@@@@FmW1P0wyPZeXE9a8900W1q0W1f0GHK0ey@lM0G00YgXXE0C850AY2u40004T5459
+21H8G8I0004X04H000aG8G412HIYK0e8AWJ_@@@@@X7006G07Cq1W1f0GHK0dqnHK00081GW
+404Y0HW000G8I440002a0HG081c205H1SY0pX00W38u40000ES0000GE4m100XpK0e8AWJm9
+000Y0W4H044HI0000GG440000a4HG04H2GIKA0K45094910_@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@Fyw@@__@kpl500200W0Wfvn0000HZ_9020X8403sMsWNon
+000uGZ0OyPVEW000A7NY@@J0001OCv90GW000004010myyDekS3MgNYa2aeH0322VlaHv420
+00004WElb00W8_Gq9000Gu1u400W82000G080OWq6y8j1PI@0020WO0a0280m@@BzGl10jS0
+I2sZkzJ0800mFylDzl1000mbS00y@V22800MIuX6kJ00008000Z85aG000me26qKlGG00000
+40qJl400Edcxl200140000002G00400800mPugazWJHb@@Jx@tK@@Bz@@@@@V__@bt@@u@@@
+@@@Fp@Vp_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NCEjDDx1300Wa28z@@
+@@@l8WP20@@xHAq@dzm@vx@V8w@@1@@Tu@@@@@@@@@@@@@@@@@@@@9grIeQ6qgs3f0GHK0R0
+08Gu@@e01000800C203UVBXC0Cu@@4w08XM0OeB03Y2G2G400@@xqC16008000W0mDTay@F9
+vrH5W04WvyIO7X4MqBXE0COP13krBXK0I8A09000Fy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@Pr@@L@@Ne@@@@@Vg_@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@nv@Ny_@3t@Vm@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@xH0G0u@VL0300y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@Z1200u@@J000GxQ00u@@@@@@@@@@@@@@@@@@@@@@@@@@@dMu200@@p000WS4800@@@@@@p
+0C40u@@700W0y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V4@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@ht@Vw@@@@@@@@@@@@@@@@@@@@@@@@Nb@@@@@@m@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@30000800m@@60800e303000Wq1W1f0GHR0
+F0k50u@@@@@@Xc4OeLX4I1WY@@P0000E200W@@13000H70Ea205H1W10000G_00XKm600000
+009D0OGA0KqOH50J10_@VC1000@@d0008e60C850AQ3eYa2Cx@@@@@@@@@@@@@@@@@@@@F10
+00uaB90m000006G306a205j1KHGJc9G000849220001IW880a0581WW8G2C50A000000H8e1
+030IW0008Du@Vd000vW00m18S200007S0000872m10WWpS800u8Y400004aW000009H220HI
+0a41WW49XK0e00000S44000GE8GG08I2000068LW400704DW7v3KH81FK9W1@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@x@Vbw@
+F9@@Hw@@@@@@@@@@@@@@@@@@@@Dl@@oz@dy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@p6Hj3W@@L4800m@@I00002G00m@@
+a0001u@Vm000Gy@V500A024Wd@@@@N16e200azWA0010_@@@@@n0Ol0m@@g4fGT000uKC00a
+cn@@@@@@@@@@@@@@l7007i@@R1H00GGHOy@lMW7S0_@7600G0@@@@@@t2kN1u@VL0004y@FL
+3Qv1000KP000@@J200uSW00O010080eiGyGmW0002DNk4WW780p0HGaGw1GAqYee881AHG7U
+20@@d3002W@@h00mMPtOg000010490m@J000W0004161m000200GWKzW00uF102e200GF0W@
+@j10G412H2W@@h0ms3m@@d00oYPDW4YGeb@@@@@@@@@FM00100042a31800GuKE40000XJWP
+00@@p000OW@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@fu@FA@@
+dj@@O@@Vy_@3@@@@@@@@@@@@@@0x@@l@@@C@@Et@Vp_@py@@@@@Vu_@3_@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@tL000E00000GE4W3001dvG00m14S200007E00008720u@VC000Dz@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@y000G00001000e1030080a205H1Wo@@60Y00u@VxZK8XK
+0e8A0K_@FX85Y2000H70Ea205H1Wo@@N1008f307I1WYe0Gv@@h0001r1W3f0GHK0ey@@@@@
+@@@@@@@@@@@@@@@_1m000006G70C0m00C50AY2ua@@fIW402Y09G00088I420001Y0H80816
+OA0K45m9vCH5892019I200004aW0000W4H220HI0oK0e8AWJ000G2WS88hK62CjYKJh8A0C_
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@35@@Fr@lJ_@tq@@@@@@@@@@@@@@@@@@@@BU@@Xx@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lQ0200v1m3m00W@@1
+3000HU0yaKWP@@R9020We0G9AYG000GeO0000000400Wy1MAxXb000000O08Q2gIFm9mUC0H
+AeIu2gy@F3000G2tW7000a4600_@Fdy1uv@@@@@@@@@@@@@R1004bEo9H1G200jWK1Yg4deI
+AmWcTYw@@@@@790G00H1WIOFau0008L0dSE800CG00020AM4F4O8000HpbL28u14mCW8494z
+0a2Q9AA4KWI8uXd45m9E10000W1aAGK01000204H0m@Z000010042008f0O000G0f_W00uF1
+04e200GF8AWJS0000m008L0dS00a9600u@V30C00y@l4000W_@tWe0E1000l20WiK1Sw@@@@
+@@@@@@@@@@@@@@@@h3000k@10W35bx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@Fww@V__@cp@Vvz@Jk@@Z@@@@@@@@@@@@@@n_@Ry@@Mp@Vrz@Jj@@J@@@@@@@@@@@@@@@@@Z
+m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tI_QF0@@@@@@@@@lG80000
+00200000008G706a205H1S2030WK1Yw@@LZK8XK0e8A0K000000e38LWe00000040u@V6w0m
+WK0e8A0I00K0aAGK00000090y@F3T0OGA0K45m9f2G5044W@@Pe303I1WYe0E9L0g_@@@@@@
+@@@@@@@@@@@@@@G0O00KLa1T0a0030mK0e8AWJI5WA1I0882a0100WW8H80004824X0W4OWf
+0GHK0daA0LWa804a890000GG220000I49804918J1WYe0E100000WaeK1Yw@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7Z_ZPu@@V0q90y@lM0800oQs0
+00G0G80400000X008603w0m00WG0L0OGX0R000Wd20WGJ0647W1T0O00G80040000W0wWsWK
+4g880628WXbhPG000mRu60044G020000GWa2IW000004002400GG00QEm300QR0GW0G00047
+G2P2aGI160010uJx7IAu120000G04288XEy@@@@@@@@@tl@lzy@NV@@qx@@y@@@@@@@@@@@@
+@@@@@Yt@Vu_@3_@@@@@@@@@@@@@@@f2004BD10W@@H2G04m@@K10mtx@VaEwSZ@@@1yT2GX2
+5z@lM0008m200y@FI0202_@dA00Xv@@B40004000015Gr@@600C0u@VX00082000W02000Y0
+W@@f2s00m@@21W2000002G000W10K@@750WFli7G20080022WpZNw@@40UE0aSCI010000QW
+sz0Li100_@N8008000W8G000040Su@@e00y5_@lG00W@00008ZggIaFyWep4W@@Z24E0m@@2
+10G6000C0P050o0A0tKOm@@@@@lPHYRm@@g0s50eH0XIAWq@@@@9z@RY@@by@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@lgypV0080_@VEvEYnui680000200W00808002JmbGA06K7W1f0m
+000001W00hCQmOj6a6W1G00440000gR0uin424eYfvI8LW70021yVx3H1m0008000W000W00
+G0241W1bqcGD06CO73T0OGA09010000080000100W00G04000WgO00O513240ZBCJ8Q06000
+0W048O9J6kaxVmpD0f1hoUmC49C34000Q5s0I000n2J5Q00WM4BwcP3cq0Z@@v10GCHi85Ts
+RN0ON0oUmfBfrw@@@@@@@@@@@@@@73_@ma@l@@J0100CvFF00QfZf_@Nk@@bR81Yu0Cb_@Fa
+fIr@R00O4BS_@100WKulG000Wn400Kt@@0200_@N80p80Lr@@K@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@S2000y@@b00GFa4s@@@N4000AF200@@@@eq@7gz@VY@Vdw@l9@@Pw@@@@@@@
+@@@@@@@@@@@@@@@@@4@@@@@@lt@Vx@@@@V50W002iN8a200@@@90Yr_az@@6@@@@V90002@@
+B4e91W@@r2008m@@2100W6R00m@@Q10G0u@VX00mCz@VN0WW0_@N80050@@@F04005000nPy
+30WmX@@@70300000YwC42600m@@@@@@@@@@@@@@@@@@pl@Vyz@@_@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vxz@l_@@@@@@xw@tE@@hx390W@o@@T2G
+00m@@H1yh1u@@b000GSs@@Lx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3t@Vm@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@J2800Y000000000100@@x1o10
+W@@@@@@@@@@@@@@@@@@@@F4WLD0@@J20W0W@@n30007r00W@@h0008m@@12008100000Wq60
+0000002M9WXE0Cu@VCsBm000W0H14IcFX30000W00WG000000qm700sT8aP5U8IX4_@NB020
+0P3b00WX900000004_@dXE0C0010mQ0Fy@@3j1ym@@E1008j43302000sQ08dY4UJeY@@P00
+00If5Iy@@L08000W0000040000hA10000004400MJmZiFgeD06_@V6100W@@J200mz@@n300
+0nB3Fy@F60mH0_@7CI000@@N1400WQOm00G1GYBCO500u@@Gsneh@@@@@@@@@@@@@r2y0600
+00muRIe203218X@@J0W10OK0Fq4W4@@V50WC71I0882a0100WW8H800048200000GW804Y_@
+d1YG082CG2Y2W11IW880a0@@tC0G8a82WW8I200002YW0000Wa8S008u4IY0GGWWJ00000mn
+LE600HI8AW7GaW0Ga40u@@@@@@@@@T23000000YVaEv@@w0WS0w10000mgMI1YI2E0u@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@sz@@@@@@@@@@@@@@@@JC_@I_r2470GMsRa103
+FI@Vs3@l8_@9g@@Xy@Ne@@@@@@@@@@@@@Fm@V3_@@@lq0004_@t6000WE100Q4Ge0281020m
+@@s008FhH0X28WdQw@Vc@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@70mlw@@810
+20u@@h0_w0y@FI2000_@@@@@@@@@@@@@@9y@@@@@ln@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@Eu@V3@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Zx@lu@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FP000mG1000000W
+000u@VF00G0y@FU00sM0000000Gu@VE1N10y@F90000JAOF3300@@JIs368000w@V98000y@
+V2000G_@FA00AqFF81008W75K40000eAWW@@bu6X7000000G0w9XJYK8A000ai200_@NbRDg
+Oq09_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VU00eh@@7IQSX4V6O0qD0_@tZvma8vkC@
+@VF0011dhvnZ2Lq@s3Nm5r@@F0sE0eFFC00W0_@FX000O9200qYt3j5cmLURKh83FB2600Ol
+8wyuPnF@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vo10yGdtrC@@@6uf2W@@j9GyA40204QcP@
+cJoc2L000G82vy1@_0y@@3W0282vsWu9IOWY700WWaIX105002gGYjAY2000C900W7CO0000
+unwI0G008UT3YkFXpxO0102m1_94qEL00wdwIN2m000Vmp0000uGrJ02000000G010820000
+0m0aVl1H0OG4w6i2W1Xyx4mD5W@@V0CG0mx_X4GYSfa@@9x@NI@@Zy@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@VZ000m5D00y@@Fn5260WTxQ4D000018002G0000008Y0m00014W0280040020
+087W4kKaXL5De703_LiBWk5G@@R000140028fgR0W0100000024W0280080020004G00aPwJ
+8Tz400800000fE03oUu@mo@@hz@xg@@j_@@@@@@@@@@@@@@@@@@@@@@B50mRu@@@47U5@@x4
+mD6WXh_@@@Y00y_y@F9G000QV7ZEufw@@70120ycV2pWR0804Y@@V00G0ui_C000WuPV3AbB
+XgmPOWVgq70046U20080000Wq2d1@@p0G2200420z@d0001W1vCudT3s6dXwnVeS@Y00uBLt
+FI1srLFv@lp_@vq@@D@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@39uU5WAmW1040mDOi100WLf0
+0mIu946E6lbdmFu648d1RcdGOu6y2d136Om@@E10OV_@V3040W46U2nXR00014W0289mPGIu
+900W000WGGWS6K7U2XXRGyu64k7L0h80QVx@jp@Fxz@lk@@g@@@@@@@@@@@@@@@@@@@@@mmH
+Iy00m@@@0W00u@@k00yny@lGNNFs@@V2000XS00mas9afE35Xd0010WTrIuSx4Uu_1000008
+G000000088u@@e00yq@@@F4000_EFXKuYw@V308g0aIl700G0QMuXt5O040Wmaz9000G0000
+8100WszT20004t00Whyhe3U92rtWLzb85G3gpu@iq@@A_@ho@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@s10y4y@lD7d9n@@@@@le00UY@@tc9vau@@@@K@@Ev@VJ@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@Ja@@3z@F2z@VW@@6y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+Vx@lt@@7l@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3400uBx10W@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@9400a32007Wm90000Sm1000WS807002EpXWK0e8AWD
+0070y@V200o1_@F1u000f2aJ819KCW1@@@@@@@@@@@@@@@@@@@@@@@@@V0f@@@@@@@NA0800
+00004000Q0m04000f0GHK0Ly@F3v1O000mxK1_9QWG_@dp85I850AY28a21O0Sn1Gg055Im3
+G000_@FXE0C850AY2eY@@V02000mU1WK1_9aWAG200y@V2T0OGA0K45G5@@@0I000G0E0f2y
+J81LGG00u@@4w0mWK0e8AWA_@@1220000C0I5ude12v@@@@@@@@@@@@@@@@7O0a0m@@x4000
+G10000C00w0W110O0f0GHK0IK906f2mJ81I0m0241WG4G240002H4X000GW4G420HW1IGA0K
+45W4@@R000044100f0a00Y5_K1u9a090IWGY802Y89000088220000IY880Y8189A50AY2GY
+@@JGG00GA0CaA0FH3in@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lF@@vx@@@@@@@@@@@
+@@@@@@@lD20000G00q10320W0I1GY@@fI004040000W00G8000021aCj70G00Q0mWK0eu@V3
+000Ge100u@Vd4008iFW148000W01aA_6G000G020CQW10W000004a2050008qC00y@@@3_@@
+@@@Vrz@Lb@@Kx@7L@@Fz@@@@@@@@@@@@@@@@toEs0@706dV98000z0unkzp0000Lq00GzTLS
+blY00_@YxVfeyIu@@A0010y@lDWDI0MP0fD2a8PG60400y@F6020W_@V30008A200_@NbD1y
+u@V300W0y@l1840408W40000004G000W0C08X8800W004008W000002WWWP0Cu@@G00Wv_@V
+Kb9O000040C0G0A00U8q0040302e02Ve20020HAu1GU7W85SQUY4M_W11000010040020000
+G0002W000040820004G00Cp09c600_@FAG000W800w1OZE0S8DZGeF00Sz0L000000480040
+00u0mO6CSeY1fLamhAa0W0oiMKg00140W008pZ40400qdW1TY_0880W@@91WK1m@@E101001
+00002004002@@R00G0X08CuV46EfnWxP81000g_10W@@T2G0W0C001KW020880100008e0G0
+824000qjSa0006m@@a0006x@Vd0008I210000400a000400m000_aD100200000400G00000
+404Gui600808KsG0_n0y@lJ0G0S0G4000040090W48402080Fpa00ao4000W00Ae00a0000W
+20G200010G100C300_@V3000O4100_@t9W80003000080000820002Y08aFIC00G10G020G1
+1000004200q0W13@un@@600GDFDZe040804G1000000O00000G0W100m00050908W2010000
+OG84000G000G00m10400321W@@31uD3m@@H1201XW00oEBF010400Y0100010140000040W0
+040000024W00Wtfy0000lx00W@@T2100001m10410040G000402000120W00000q2000H008
+G000010W01eG040820YhFOmxHU000V@@@e4jgg8wCpm@ggY7U000yFqV8b0NT1W@@j1081m@
+@E10G30e348G7G7WEWE05040GW0y@l1081WP000ZWi021C1a4J2892CGI4O2W8W0W900GG00
+m@@R000W3z00m@@E1I10002I0aIW08b0a0818hv912ggA0um3yF00OcP4KLL9e@cHG7U0WKL
+bP0000uV00u@@D00yFSLkzLa@GCvIKRc7hIz00002H100Vg7L8x2z@F300CAsKGhCymOyTF_
+WN2WL00@@V50W0GG000lRbmFW6CMl100W023CXJxIW010W00000008DOn00001000Kg300c9
+YXevgOw_S0G00000OOIV3_qt01G002000C021800800400084010G0BDPW02000GG080000G
+100W0A0010W0280100000C90001aol15eP0000mtBI0010W0W001000G0W000000002CcC9w
+9pWOoa00001014000000K106KWXxwDm00Gmt@6080800400W4000GW2RtRmK260080000Gm_
+_60Wz00020mXW6G0H0ehF3G400y@F300W1Y8aaR2nevV3o_t00G020W00AYp080G0zwdmOa9
+G040G00K000W0C00100020W01000W1700GzXO00040040Kq0CiD83r3QGQPCi076pMPmQS90
+G0004G408202H4000008000180800000orY6aDf1F9m000HWEAU0G00GCZ6qpe40001lTC40
+00XvFc0804409000020wam00821W0204G41000W0400G@160000044G4100200040820G000
+yYH2N2aWmJ0Wxxg8VY7QopZ@5z000G00W00000G00010G00KTG200H010C00G00080WmyK6S
+pd1tLc008000W80000ma800S8w3HXU2008X@@n0G04040004002ZZam9b6q6g1XGQ0201000
+20G0140G00y2e1008041G0ihf1000aZiC100uI00000W804Sf4@JIIXdOyuf10200050000W
+00300C80000AO1000000WGG0006e88gX116400K006000I80O00004m4G4104001@@R04H50
+00GXr4O0el600000Y0006uq0000n000E000W000qw@VF0200e200OInAoTq08800m8G0W10G
+0000mW002100080W84A028a801018000008A8O04G1200X9W8C00X0080810414GW002020c
+aZ1000qG400ASvXjJtOej4_GT32000G4000WK0820B20I950Y0H00WK040400042A00G2100
+eW20b2000GA10000000a200W081GAI1G004108500SpX100481W0I1WG04000000GY@@D00G
+1008000882000G10082I00eIK34H20yAw39ZQ0400mIQh0000200e00000010mG08004G80O
+50000Ha5xC000GKcD600300800mO6600331HW024mAZfRI0900We210W020083000000gG1u
+QL6GI000A9W0050mEi60000hAM90G80002200GWmvbO040Gv@V3m000G004W1HG000040W0W
+0W00W4000008011G020m8180W000840W02204000080Am9080C400CG000A0050e0025G0W8
+ND0Q0em7m9Smg1201W000000C20m00GnbF02800200GWm6000mu@@A400200G0aHG41000G2
+0100G2WG0W10W000e010004eqPD0G00000WKGQ01040e08108G000Ga80000Ie004xWQ0240
+1000Y1CQ0007miQP05000040GW610000000b40000u413UvzXTRD0Q0Gm@@I0008100080U1
+0HW8W04C041j00Gm0qBq21414HZ000000014000MGGW0862w30000uB00004300000eN0800
+IVXUJG000y50041X0000WGKV308WKE0004e9G00000fG00040006000HsC0u0ay2zIWWGOt8
+00qLF900000a00WG85WUWD000H690O08D20@@B10c9IggIqKLbPSu1pO60cnCHNbgY_Fm3zV
+080000yGGeG84I19eW3XG0JGL0OPQ08JpGrPcXbfH3pC30Mc60M6000000myC0000OsmY900
+0WIw00m@@90WM0u1W3YXZ700o0M0y383u7OFmFWVWhfz0V3W3q60@0D0S1k1e2o2m5W1018B
+020G000G900Wn0p0d1H1W2S300C60008000O700mCWTWVWn0O0_100a10002000Yt0000000
+0c000o0I2038300OF000u000mF000JWV00Wa_@F1000m800000JWO00001o000I2000C0@@N
+100GGPO60aMg70VOpWP17sm26kI5C3c1Ou2hKQHpm3x0000W0000mtI0uPO0OcPa600moqQf
+@D3qYP0eQL00_7O600GLL100LpbGCSKX001OGwAUa_XPSDuMwG004DNDBL@M@GmN60200Aqf
+4020000080800Hmq9aaz3jOp0uz5WeibOmq4s3FXtOJuIy4_BFXHrausy7cE7ZXxyOjy4000
+GJS00unyect_XkZD00020010mAiD80P30240aYj1tG8n@@C00ekAoFCwKWXFoJuSC3_BdXbs
+h000018048201WW0000800000X0G00X0Y0000020A0800042080040000X00G000W8W04100
+800X04000800WhkD0400G0y90a41uuvDoIVZPCOujU600808400H800W001WW2C0801G6k60
+0G2080020004a000@@pW001XMsJ00W80400040GWhUb0000CM0008W040000W02AOlz4G000
+C6M2dEb020GWZgDG41GGfr9CU03x8O080W2008X04G21300200100m010100G03004000810
+20O00WGWu@@C000H0W00000020080Ryb0046WFQD0000000ucwxI0224mRw94jV240046uxX
+dtD0008Ggs9aoF6400GlOd1141000W0w2pWJAC0W02080000GG0g000cNp00004GW28Ig@1G
+X200004EPZXvvPeoU3o5CXOxD002GmCz9SFl1vwRm4zXiYs90G40gld1000aS200UuAXHC3f
+oV60008204G1G21mCQ6ay@CR_B100204m00j@@00YRc9zLvgB6cVt0Y000000G02800WCI82
+VdcJW1mOE09Xnmp@UKLj1vkRGc_6000200aOIo9Xqzl4deWn9x600G0eG_4000m4vA3juRGX
+fU00W02000Pl@6KHl1H@RGI1ga1@600400W00G4000Y00I_@900W22001W800W2kCeMj72ae
+20080008001G00G002W0W0400H82800014xoOcAla0008mQh6ia13WwC0QfN500C0000G020
+0020G10040X0W14H8X0W4HC400G4H0OW@J0YK0W080e@@40500Cyc1plP00002000M000018
+00cIh1000mSD00Kpl1LDQ0e00W29s004004100oG80G4H119a0004130008000H000WXKGI1
+b604000GG1mq0Oaql108320010iql100crQRtW7TJuWS32ik2Y00004g2000020eAG124YG0
+0W5OD005000o8Zy7F10G00208G200Q40000400SY730002GW0402WW8X@40200080008w2mC
+_60W0GSu3FOG440m0024X9202WG2E000000ee000W000W20OwP9iso92080_E23G040h3RGD
+U6qFB3H4PmB@U4IJ20204000000K02a00040W4GW00fVpmGvIy@@340b20G00f5G08xD3EOr
+WNpD0i10WGOlbNMD8bV30G8000fHi203MbtWMHC0f00m@@I00OB00WWkM0G00420600WGV40
+00IV9Wk4cwV90W@10000ebM0i@V2Wm20_@lbRvC0D00000000IRQ0aMQ00_7mI00Wbf1mM@d
+u00000O0GSm6yuc1nkz0mDW900mQ0W00000110002000dA10000000Y000C11100Ow@@D00W
+400WF02WRWi0m0_100Y20006000K100e2G500Oi7yZIB0000qIB0q20HAO000e5j000Xnnm@
+@U00Q0000miP0OPM2Wd23WbHJQ04fWAd_F3mE5WgWd92Tgcs73000i42006KAaeoD8hQ3keL
+bNiXPxVC008Td3leWyB0YWEahtJO5PCYP6ZbmDe0R9oPlYOnDuNS30G00U@V2000e33FX3eE
+vc_4sABXUnPO2ROAFFXrpDOiyA00eB4FcA00W04001800WG0040008014G000W0E1mWznDuO
+S32kcXY@2POhAM2qW@@J08q0Ga2s000WfhT6M1FXuF89YV9G000W200exQ32Oy1000GjeRGI
+Oa0000W0200240009004000GW02G000W028004GWDZDuySOgOy4002k@@pJG_6yDTE@_B101
+GarvIO@@404B0Sa6CbfO0000100G62G00002Oy_l108W00002qdIK000ucsnZ4cPuzR3oyC1
+0081028G0040SMe1200m0081CkwFLbN100ohqvcPdJ3I1t00000001W0m842000001IGI1@0
+00YuwJ90m60C9u9Nuy000G0100GG184800XYHa000322W8X41A02doxHuyUqY63v9c0000IB
+200pCg20042000008080W800WW00WJ804W001100W0W9000H00048TeYIJz100zVT2620100
+410000G041000000K20O6000H0209W40GW800CUl108401028G40WyQOCAv@aCrD01000210
+Wz@J0yE3G6za000001006000A0G0H00000G140WW000ILm0v6800000P0W020aLvmeraSAVs
+0n300l6i1W00WoKDW2GO0220848700C8mX0000208020G0v8WWinDeMfDU9UcT@V00WEqt@R
+4xe100W06Lr0G208002001020180G2080401WpuJOztYgWBXU@VWSv0mysX04004G042OH0H
+00O8000410W01W0WL00064RXQ10mW8q10410020G0G445200X0E000jKx4000Ut000zW3300
+mC0000cXv9bHfJA3pcKuXLg3mR6C7McoSwPmiaX7dTH7Fp00WPy1p8HI0b0W1AH0JGY0c0c0
+C191e3O2G9E7GG4SW6GOE8mGDWVXQ0T0n0w0Y0b1asC42WPk10000pS70x@d0OZ3Wbr3100K
+bg4yF08OcoGm3Tm@PAZ@1m1@3011uV0U8X4ebM8842942GIG22WW44mun4jh5XUwk200Z0HZ
+vnGLaK80CtxIIV@C0iE18fWbYc7f8kUm700mk0NjfdD7dB10WtlnVuv7@DEqF1000G5cRmQq
+L0000gP@AQBd1WT70JDUrcp90001O0x4IstW85Q1000jy00WdY_9HHXspNY2yP0001xN88bZ
+IrP7mUi3@@Qn@jUy@g9@dwo@dsyVfF@FQq@zOPp@@600GEw3g@JIj8000CE000QDFmhVz00m
+OUywuj1l1bm@0801WCxb0iU0mF61MYl4jhp0000Mi100zTGu@@600WWuyV9008KaiFX9tdmi
+z9iQE3WL20_@FG0W008W000028ytV20004UuF100Gis500IKWG00W84000000O00001002Gi
+zC00OtBsK@oVsW@@D00P0000WWJ_b08P2GKg4Y42000000Y80002W01D@0000mCtZAQVO820
+0800W0008Y12800401402G00800WI08evJQUOC00bG0WG000KG9W00000050C308000W200M
+fd1GEF0Jxw70m0Ww@D0M000e00W_@D000Wrm@CeL00e3OyEmt0e0102G0G8G001028W00820
+00800801Dn000afmY3K02W0000A2G0Y49H000000W4WeE@708q0CPrU084000Y00G0000m20
+012GX0B0G402pRtWRpJ0000uW6CS0TT0080000_xV000p00000e851000GL0ootW@@D00GSL
+Erx1008400Gi2I285m5GICBWa8n09HYB004N0k00WADCu@V30nJ0CezU40000mX7uV0KnCp8
+e@1GGgM5W_300000L5nr3g3006kz@8gB2Ge1mBL@yCizjF@0002rlicvf_t0sJ0izmLXXpmY
+v60200Ov0FkEl2000yM200237CG00000400000DQXD00O8krMnpzn0KK0GS@HDA_@Xg@@dy@
+tf@@@@@@@@@@@@@@@@@@@@300000G080040001WhGd00X0WK0O0400HXqXiZi104000E4GKB
+j1zIRG7O8j8g1TBR00000010G0408I18Xa2y8vA9IcsZfhsxaR300G000GYk203wEtWhkV8s
+jYE3N8GUF0THwnCsCymLEpBNn8t6K1V22200I3h2000Gc3W026Nb9xo9Dl4oubXjhn0000Oa
+uC00G4Ax_Gs94c72Ue2_J0050y@F6Wt00gDWXX1yOeV62OJeCpbux@A000GvP00eP_YQ2dd@
+@z001Ap@@1200GCwVF0sV04dv@7N7orr9KrAm0WE0UOHbB5fY000mkDU0000q100mL@g0W60
+G00001W2WdcTwrKF00WdzeiA410008KWKExIRMRmqbX0kd18gOL00441om0Ob@eUrNYa2UmX
+00mDCHzCaAO000I_F404e@@@V20Wi0002eO001IvV9000WK1000m@Lz7@9NxN704HWAW3100
+0eX00W@@F100I000O08000@qsuCp_j@V20fC0_@FgonU000010010001aT0m080420004ZlP
+08W0000180010A2mWIeV0000iN10WSeL9yiJIx_XgwC8bk4MsAXljIOlP3s_7300uM@@R600
+0mPZP0002qNU9Cdd1000100e0KhT5WYM0IskbzZX9mx4sgtWJrP00W0IPpa000Wn500GUpI4
+ps31GRpgW9KnT2ZZ@G0R90420eaD60110aZ6300gJ1041qBd100G00800KJJ2Nxn0200WifD
+ery4k3a40100000W080000W0eDS3sb@X02D0000n4XCqTD3ftP0Gq4W@@J0800400008040H
+rRmMz9qMF9vs7IRT6azW7@wRGWUC00G000005r0082008z2w10G000W20bUpm9EEzyl700qi
+NDdmPyn8UJ304o04Ut3r6jKhTyCQO2000u8700KSe19@dGa3iTnV2zxZ10G00000C@neIrzf
+ztv3WRC0_JzX85UxKK3040WCje400080001000W7iG0GZK98000uCHgcsZ41000K000_@t00
+0G2N3PmWX6008X7404GaA60W80000010G0WF2bBvp700H000000G01W2000G10004MW00M00
+0e00G800m00000402000sFXjEbg0A0000K4H2o8WCY09000mG318W80GXWG6HY00W44HG820
+00C00u@@tcJrWcOCu@@40W08040009G20001T80000W0AQ9oW306000WGofjy@VH008Y0100
+0090OIJ600W00GW0K800WGX5G0W800C4000200GW00002000Wm@@P30uVmspXCeMW0H00000
+oKoy38000G0J09Xa0IY030001U0b8m88HWH0J0ZWa02041GOfUy@lP0GLL000gOcP4q3F88L
+100GmnC4CpC8OcPOc@0m@000LL5mEPORmQI000G4Lu9@@dsWp6yuC300kqMjEm@@vCoC6gy_
+XhfP0000dB00Wab7B7xA01H00000G011mjyIyXj19cR000VeClJu3C3G008CxT2rPRGWs9iz
+j10W04G0000028eJR6UV9dntC0K1000Ye2G400pYRG4068000G01000000G7M008a0000100
+020014G5068W02u8030001002A0044000800GG008W00G00W0080140020821K0000020200
+W000OWxJUTd10010000GAA@1080000010100ytk18100000mYF00G5400000000G8hkRGfzF
+0X20G0200080010004W000000qea14040IR_70G200002408000G9000G4M0IWX@JeQ036Gt
+000edTpR0100020004W0080100014W020W1000400180006fF120G0000200310W0200A002
+4O00000G0200G00K4H2TTJ5WIKWrcI00H00H00e3YU000WmywOG000000GmCxp0002000Gmk
+y9aPO2rn@04040040000WO532000000004n1@F000W00H0q@@98G00OLI3QhFX1xDOD@4spt
+00216@@330Y000840p_@0Y00WixJ000O000GaT_JuUU9_btWZyJ8o@400600W80G00000410
+80W0000804Y000300120mfbdyjV20200MYtWk@DuSU6cyt0mo10W0W8kECXQ7C0400G_7680
+00041000W000W1000X0Yqz10001200WU@s00W404G000220ym@900026mt0000YLuR0W0004
+001p_RG5x60X0100410000SVZ080G000044WW8000800024WMvD000800014000841000204
+030006W0G7860000000m200840CZ8080000W00400081I4000HGWI8pjhoHn600G00052G8t
+90011O4s700WRG0041G400W010000Y0O4000W00WO420080002Wv6CW001000W40100l7p00
+W0WtiC0eZ00M0e210G408W80W01aZi700G0A2F12000Y0000W00810Y0XI9048GA00YK0080
+W0110A00W60009W2WWQD0ui4m@@6G2000012Wea00020Od@RW40800000a2W8410IHA0Ie0K
+3aG00000K20H410Q0I00G4h7OW8000G2O2W82G0e20adP80040w0nWwqC080G40030W02002
+404580W0000WC10000GY00O00Wmous0f320000K000W10084C412m0G6b200@ia00004006O
+004GG00WyiV20W0006O00eG000W900000005O00WGa1001CXpu@VF00OG0000yDD30000188
+J10000mY004000K000q060m1O41000C26420C03088G0100W0W000WbE0q108W0000080W00
+0040060005W6WrnP000e0004110003VQ0004000G0Y000a0613Ge800O1150008W030412G3
+046sc7VpQ040008H00I108G080W8840GA08ZW01080W0eI0wJtWg@IOzS30FB0yIl1K00080
+W0G001W200IMA9CMV2tmR00010410080001000W01G40K002WW80W8G000W80W4SwY1HuJY0
+0040m0H20001W30820040W0W88B40000UXU1GG8aR40000X0W00G0mM208WqM000nO3o2000
+0WN00WoxD0zb0020yb01IGe0020YWU10m8O@5YK3002070W444208002H@D0u0sz2zJWWO8r
+B00KZu6WU00000Eo@@U000K1000W@18Ig2Gq_3Wez70@JLLcnCgCZPY6Um3jgX@N10WYVF00
+_E0WMq2yUm3pC30m@0000000mmcCymCP6cPuCCJcP6c7WVIF0@a27UfT0U0000Uu@@7000BZ
+602Z7ym30iCjzc91030000G6W208050GC00WO0P0n0o0g3a1K0C6000C000m700090N0J040
+u0C100c300060002A100W8000p0Y0c163W3O600OE000OW00G600XCWC2@0P0o1_1K0s3e04
+EG18430086G6mTWC0u0@000x10007000_100K1u7O6OC000L00WW00WcGd100q20000Qf50Q
+1002NW100WPC3Wg100Gh100OYF3CpCCR6Lu1F0e5j0ewf@Rnoq0WgLnIf5cbI3WqO90UcChG
+j@@000Hv5r550K3msS2bMQTnxdsjta00GyUcJXoVDdcpD8xS3o4FXIob0ac1Gi@60W80ezO9
+I@FXYkJe4V90000E6V5X1B1800W3CJemU30GWG0202140018WW0K011e028UYF1H0008801w
+mt0r300hpdmxsa000A0004W008W5cOG01000280W0G0RpPGHxCSPV2000W000WC4V2X38n1v
+CaD7300EGAsFXEhCeq@A0002Sx@3000AsxEXWGj1G002G0W0W040868002G011a012e04G02
+WsoD00W000G0WkrD0Sp3miMCqyF9K0008W00yBE30G010002G0010128mP@g0880eH1CY@d1
+000Ca700Yveetnp100WO7z6aEc1rqRGo568G00060000YW_dhB250040000048WVihofjF02
+00000W400W40016020002804JM2WcU0_Idd@@DOxV30W8000G0OD_J_st00W004100Q@F108
+G000008W02KTV200G0000GBA00uWbY00G1Kid1psV20WW900W0m0W8UWd1GG0A040O0340yi
+l12400000000GhDRu4QpV6m01004000002I000W808qVcjygI20828o@t0820B000K822C01
+000eX2000G400GD00000MA0CJUH00O0G0200GP08Pv4_@t300WKW000W400yKk1a200G8000
+0f4100W100HA00WIK20f850H014000007e00000G0000e_@F700G4W008190G02W0i8yP000
+Wilk1840002W00060W0W0008W0002800C31000000tQQ3C_@N5006W000005G01WC0emF6_@
+F44G000411002K0G05001X00084e100W10200002000285W0101001000GD0e008a0SE008O
+12800000G00WCG4400G004300khN540018020kZr00W41Y0HGW00000W40080000G015g0G0
+00000GW300e89IYA6ZegDG800K1Im00gb0100uJc6000408b0W3Gg5q70000mN000484108s
+D3m_B00WmI780000GAaFqIu@@M0I80iHc10002000GcGk19zp30PQ60iCD0000m3F0azV20V
+H0Y@@70OU6000000089183GG26yQxg00G600020T00080m10000ISp0WA000L0g0_1Z5x63u
+400M4t000GI@@R3mC000KWE0e0C080P1u40_@@J0mE0gpC00WPiJe@CmI0MoI0iCQI300OPQ
+H@d00WU@q@@100oaP0mTg00000000uCpGm@0ue@e0sD0S6jMV83JKzOOR00eyRllXpfa3n0O
+i0m2SmSlyInmBHAl64z@3_700g@lkUpD0WG0Gsv9022W8u@A00mhbiFU04000000LvT2tXdG
+wXI0W61u@@wU6@XLkCeWS32d@1000qo200gylE000A0050000828008aP38800KHh11X_000
+e_uCnJW201G0000B20t@R08410G812000000288000uBR64030ixvUJkRGC59020W0H41mN@
+IiILB1mlqcy6W0400000Gpu604108g@A00CCbaAUA0041eW30900001001W040W01022001Y
+021Km000004G4Wh@J0iq2mGAuH9GK20001bH400W84O10G08m8Wb00W088200OqfzVGv00Gt
+ir10f0100mW40G000W0a20f800GHA0JWK0000g0090500G95U_F100fLXuY7G02000108240
+008WKyk1010GG200e400OI@70BR0isxU0G4102080000001Gnbc602G100WYm_mFO100eMuw
+wht0020080800002ipl1NURGmyF000aE@OyGT00OG2T00H000504041P200HmmBq32004WZ0
+GRpF0as1Oevw0003Ro3mpqIBbX3FCPcfP6Uu9z0yJA3ubKuX1GutF0000nF00GZqr10G20O7
+4eG7mFXEWE0v0T0IWx1K023e04c30084O7012p00Wbwehn3X2Wl34a085244A18TG842G000
+0ud60m@00ALBi400YXZ10K30ZczVeR@@PNxHo9nZvFKOl1ZmbGG@L00OI@@jn_qt000029ZR
+Gj_6akE61v91Wp5WbzxYG00m3y90020G0000G00WIuP8BT36XhY_@D0000lr10WzwsBLII00
+4xyhlVrtRm0_6qjD6WGI0QAikJzDuKF30G200020060WG4_L000Y3t00GTcu108800000A00
+aGUz00Guy59o1WW0uH@40104isU217Z1mJ7WHFn300084G8O0080GX2W00100P00u@zA000W
+xC00OVht69n00W1060100001G100G0041004004I0HAG8002000W040000800G0G00004004
+0000G48K01W008qzF6WmM0Q7zD0028000200HW040q40805G0GJ006001420WX0qaF6i600Y
+7LE2GH0LWR0mW040000G02000G0W100Ay@A00CJasBRY0000010000400480100200G0H_O0
+0W8Wh@t0Cs2G3Il1WW8o40020OW810WW0m10YXp0HGK8W002u000aDR5ByYqL@jeggYqV04D
+LL9Q7Um@KLb@1m1@3WeP6pGVOu@L00O8EePs0o000W1a10083G104W60e68GGDWEWU0m06G7
+G58004Gx3h@d00E1W5fh3008810002I0I222Xa0a08181Su@Da300KrxRJocmoi6ydV8002X
+3PcjKmVG800HKuRC@V2WR90gg5Z9nF40007o10Wa26xNz4UMd10480hhRG65R000000GyUyw
+K10140002004Gm3hJW0W80G01002002140000011009k1L0@@0K8iP3udmQv64lj1VuJ2000
+2L300pb3syfC0060W0000I00W2tDuAw42mO300oA9xJ508W000100W02_AF1402001400100
+04G00000Gs3ISz@60EO0MClkK0eu@VC0@00afNTW100sz@400GOm300APQiAUUegU36@dXcc
+C8y@A00CN6CZP@w@008000410@_V2eX2WyC73040mg_C0100ulE3QC7ZKpV0V00m@@T9O00G
+K00G52C0000400G800GaeFP0010mi2O00eTw@Vj0004H0eOG0GW1040Y@@JW8W85e8G4G200
+a200__F1005000G0cp4ZWKkQ5y400080800OlA68080aHI5x7X1000Yqrw2100000W0i000N
+ZQ00010001e000080X464l1PhP0c05WiFt00mlTWdW10019xV30010Kzl100010090qhk1rp
+omjQO0yP1u@@h0G8000040ab0028b14911000100G08400002WhI0u0ip2raWWGG300yZh10
+0400m089400ey@A2a2ZRf9704J000O0C100a1008200Ga1000083Y9000S0J00Wz000Y3000
+t10000y3WIzD00910086Wn@h0ys3m6t@7oi1ToW100mWX@910mpq@@O020WOnVF_@NbSZD00
+00ngP9020We_V3W000aUS2DnbGo_F0uH1uofDwXZG000SS7002G8a@@5A8Q308G0Kyl102G0
+0e00Cxg1vHdGeq60000ikv700i5de46010GEbzd@@J080WGVTCiyV2G000pkE10200F89nku
+602w1O4kkkVxaqnzW600mJlZ549F00COAXNhy9J8x13AVCX6uJ0200HGy90200Oi7C0ct0CO
+@O002000Y0i7K8vrXHYqZ1G00W000G17v0Wuc_NTm0001qEP8H@x1en3WbmDx_FO2id1n300
+Dxtb00002000u000wrdam@h000iTt@W100Y1000200Oq0Mp10R0m@@Qj@c1002085400W000
+0G100W4WGYW1000H33CaqxLh6pWG00WZTW10GdVEuW100e00100K0WG00O01DnGk@g00s0em
+LmW414000000a0020000002G0000000zhjA0008iF00y@lM0G0GK2070BKed4442s3100100
+0O00800b00200200mwnXy@l1002zJkLeQvw5070000OF000u000oT004Z0@00091W1000083
+0Ppg20002D000rmzV@R9awLE00000Z_0CNrO080040000W008203M@tWK0C88dG000mO1020
+0100G0000420HXdGW0FqfE9xBnp_wU00GQCqW4Q0mWa2c9IXYsYmZkkO8_TUAUlYvtVO3W6_
+YtWXt3100OpQ_2bibAFX1p@@60uQ1O@Os0O00qBB9nP2vc@X00GglJMXMvOZvG@FEr@VZz@s
+S@VDu@JJ_@xjbR5r4wyt6000um600YXZ@cl@Vvy@JU@@Zx@luVZ100WAO00eMlSsjtC00RWd
+fz@Re@tcw@hn_VQk@V6y@b9@@Oq@7cz@VX@VVl2Tqj1WX608010SsT20G012pV308083Q@GS
+uCq1W35al4eB2W@@jvPV6EttWvzf20W00000Sb100tapG_ws4nk1Ti3JDxFivE6002AJsNKW
+kC0lJ72040WZyv9Ow@pU8pzJh0020m8u@NxV800qVBm@dRv73ep1mrBaa@_@HAOS85Y200WL
+J00mLFmy@@R00qs_ou@@@L700SUuuC41_60010MfLYy0Ev@@@@@@@@@@VM@w00SO30000006
+m@@90080e306__dG0O0000000IW00000W84000000YG082400_@FA002d0000W370000o11S
+00GuC7400Ef0y0E10W@@D00u0GK09000WGI00000G4900000711000872440YaWS800u000@
+@@@@@@@@@R000600000080y@VE97WF00000ig1GI1V200a0700m@@rLTg1XWI20WHcWz@@@V
+IU7C4000C4500_@@@uIB2mC1m@@Wj3i195mpoZTb2QH00O6@@Vi_I@1q22GQlYA900v@@F1G
+is@@@@@@@@@@G20A00G00mjnS2000LdG1GsaIy@@@@@F38y1WBwJ8MdVUFNwH_L10m0E8100
+W020vl@V852X0000m40mKi@t4NB@@N18W0W@@f2010G60Cy@@3xfdmCBa000W0R00m89Iy@V
+N36mmUCa00mJ9I1v_@76WN009f5r@@LiZl1rapGJv9CdV21ebG4QC0000IX00msSFqtJQ3BS
+20WHnE1LvUee6pNY0uD82_70Az0yXdALqnpD_6azG5lvx1000Ox100Zu5ox52TF@@rx@@@@@
+@e@@@@@@@@@t4tgW960MW@@4y@VGw@lLSZ@En0005z@@O0G00ScnDAicaoGRX000GI1m0000
+PIR3AzIYWxCRZm090804iD60001I2q00100HPR000C@qiD00W0GTs5jf7CZ6Q000800400Jy
+X1uF5WsvlAUlM0010G0008lS6ERtWusD0s00GYx9KvkAt3YnAXUW020u@V3G080ahF60002Y
+t@100c1LplKYUaKkNBp_P0Ww5W1QpieV3000HHE00e3Zh2UCXZz@100OLEyY2_h0807mEsNb
+_bb00800000My100@@lKPsIW800u@VL0m00S_F32400000000uMF5bkUzebDhJ87N38004q@
+l1WTP0M419G100v7zJUgCqfQ2000GAZva1Li100mm9DpS2E3G00000G@tDWA@@J20G1WOG@1
+10W00000004H5cd0WH6W@@l2100000084910@@h200e40000G0016yn00K2080040000Q400
+u@VI0004H0208UhG40GWSX@g00a10000G600OE0R8303y7W10100YKGbs1CecQCUzr080000
+600_@ds6f99u@A00G0G00000WVC00000801B6CPbv602000yw1Gku602009QyA0001afj7na
+@GIwLi8L8200GU_73000q1I4II1XKtD3HUlK9u60001000NmcU9q4k1TXJrbqIG000O5DL03
+D2Shf1G0042rmlpxDOcYAI5YX_nye4VI2xEal@DePV3k@tW9kJ85W4Q@@1008WpzpmryUCu8
+9noJ5G@JW7LDuILF_27F0W80L0eGQvJ200GCXM34Q300J00eLzC10G00000ba00GyxV20OJD
+lnbMkt90004pvR0O@7WAHp408000a20000i_70b000Yyy@a0GAe_@t000BCa200McRcFTP3i
+G1IScTz@VE0WW00001XGI00000h20000004W0G00002W900u@V514G00000090000WTfNMD0
+2A0mt3A2002100001Wj40S0VG1000nZKx6221D800X00W6Ioy@@91000Z000m1C100s3000E
+0002g000Wx000n0_1mqSpy@@@@@pPRuCq1k100A00000200W0W000004WmuIOU@@lGNbGkD0
+0005W10001000480Y0mWQu0x@@@tTv@SR_@sd@hDw@Pd_Fsg@Vzw@Mp_Vrj@Jjx@J@_lfUpk
+Et0WV40BQpJf_W10G00000l300Wzd9fLwSEay@E@L4000dl00WQ7AQoV3EZmcX@z00GIKH6E
+LBFLWVJ0AfUfaL@@Os@Bsz@XX@FOv@@b_@Uj@VNy@pL@@mck2m23m_@s0C00exUcpvw700jW
+v@@VMO8fV00u@VX4000y@@@Wl70_@N80W00jy@@c_@@@@@@@@@@@@@@@@@@@@@@l1SI0ued@
+@@@@@@JB7BI000WGV00u@@F10O87ije0310AMUi@@nW000m@@X000WXU00m@@V2000z@VF0G
+80y@VW07I0wq_a8uzu9U300W046ELi100YpVZxyOOuUF_st0W00Wjx7500pm7m@@@@@NU@@a
+x@@u@@@@@@@@@@@@@@@@@@mn3000KLOa0000000lm1vdSnM2diXHJpUauC30W00Iqg50a50L
+GB1W00WMeDuCTC0G00aX539IR0020WEftOBB6kIkYUsn00003X00WkgV0001Hvo9yHU2padm
+Gv9K_E395am@@K10uHTDQaESmWEfLfmx7UesWYsDuhi70aK0SUd4xab00000H0G0dWR004W0
+0020480040000000X00G0W00WypO080104G0aphn0W00ms_C4ll4FLK104000008e100oqc1
+020000G008000100400YGQvF8000G010000WG00002WW0Q0m00GO08000G0X008008zVCIit
+W9xP8r_700200040ewU36ld1800000MM23i2W00100400210igU200010000102G88S30400
+010000W804400X8G000W0408m00420881GpuH1Q51u@V600820000vlE3Mip0O0000002000
+800080020008G080000100G014G400uyECIfq9N200@@N101G0000H82101000004H4G00Om
+w600020004a00400CG0pGOW400mvJyu@@V0GKEz@l400W8I@p0H000tld00800GGW80200a0
+001208000W0O00040Ha800000009G4W00010008mkzf20d0mvdL0042PHJ3W00000m0u203A
+HXXF3D0410GvB60208e5K3MI9A000uc400_@d4910000000m8Y00W82004GjY6Shl1W004G0
+G000820001GP6gSxlA00W5@@l200W802000G4HG4Y000000Y8QG0G20000AKJ40G9c00GSGW
+W024H50G80KL140210gOWmG0aeGg00W2D8800_@FAGQ90@@B108AO0002420Y_@t00WC0008
+000G4Xe00010000010040408010G100008001Ia00201000400S0G00ycHK0008TE00yQJ5f
+hA1000H000040e80G4YGA100082e040WK0C00H0W82G02G00dLmm@@81085y@@J00800W204
+00022030W1080H00K00804A0G18250010W10OG04Ga206sa2L0tN0kza4080100000G88000
+0001HHp3681O10G00e0060000W0WG80W00DGZ1PS7b100Wjjt0010u@@6030200000I0LWsX
+D0G10000241600WW01410G02208aO3AwMbElL10W0w@@LW600e306000C10Y2000m4708200
+8001W8GM00040S1H10001mXK0C00HGa00240u00@@75m07W@@b00J1eKJ1Gx8oKKLbxG40Lf
+A0@700_7c3W804X8k30pKboAxEWdN4WMl841UHWdPYeW_mCqwHPbr3yC71HSF2G300Xu6bo0
+0WdinG0G0e0W001W10003000WW001300YE0T4T0w0o1q140C780GCG0We300H6G7YEWC0P0T
+020w0404z@@e00yuy@@60uF0000guV00KLL18Ld1GPc1WEyWP000FuX@00W0iFz1CG2000H2
+d0000G0C0000A0_@@dsvD89D60bf00000ONB3_@Fa2VL9uU30G01a0N2h8fIVoC00222000c
+K10Wkmh8H@7Irl20002PxZnps68W008Z@4MM@ablCO_@4W0Y000WCeByAImJYRlh0020mPKK
+b9U2bYR00C0000004001_@NkIin8nw4EFt0000WU7W0cQ91000GG00W8000C4l1W000QXoWl
+uJ00I0mmPC050000080G4800400280000018W009lT9YSpWRtV000GGnx6yMO20014ACq00W
+01vldW000000o9ZyN1000YauD00W04018W24D0012GU@90041030200W0G10008000W10800
+00010WGMI9ybz6VVRGsK9yzT2jfP000100408znp08z5W26P0810mNu60GW0eOU30140ykl1
+0800G00K0008uGS3G008080000080008000m00G1400018W1GGW00GwuFqn66Fj9H4a6yQV2
+@sR00004s302bAzGO19ijl10060kmtWo@U0028WW004W0W00HW0wMFXVue20Wxtr5yCQc100
+18gmq000GcvmJbe44W@@R100O0A0000130004W0Xn0o0X004WH004W810W004088200C_eAx
+zV20002_000L@F3400iKxD0284mpz68200ux0Owu@400nllcC3080110020040G400022H0Y
+W100W08090WBYkHz_y0Wz0806O8000W0240010086G454mW4634HAW80412H0I0Y086mimeI
+o00Gr@j0WO01000YO00OG04GW920HA8108IGG0Kn8a90G0104G0X0@@FA00VX@@3Z800000W
+Ka202X8000A0W040000aA001000GAe00X0avAL0lC0_@lb1xC0HW0200e000002HK0f00414
+4W88N300006DJ5H4@Zq10W@@nu@tAw3s01G00J3RW00a020A00000G0100G400WGGm@@H100
+BiKlDIG630G4G08000010000W020010W4WUVJ01H0m@@H1Gv1uJbM_@t0008zY1W40qBmA00
+W00e000002G00000s0400885008Epe0F00y@VB0u330002uXP0q6R08z10GHU5WSzlN100BO
+t_0000yEt1il@@Z200ayPsUyzi44C000G1s100q100OC0008500OCWAWGGK0G0Y000h10085
+W@@Z2473m@@j0004rP0000e@V020000W@1u_v1mg@pgu@dL@F3h_FW_I10fA00K3297F@mGD
+U87008iL3000G000HOzx4YYcXamVOAwDkcAX@@t004400200000G08002rE10GG0@@R0480W
+_pV00WzOquC00208fL3sK_110000204ovcX2pV00100W0000420DYRGtrUW4000000G8O9y@
+l1G210IisWDnPe2S30_P0Crk1O0000400X1000200mUx6a8N2000aY6sWAoIePT94000Mnz9
+0004oos000G0VpXHahF8I008fkGgfNbe2D8I_46btWOvDOe430100020Weq03_aF1000W@bO
+0004YXxD00W2Hty60G0100G_Prz60000501800000I0WejbR00KG00204841280W00G0W8DU
+30080W0805000ndz60W010m8A00142HW000CW001G0Cel10a20_qF10005@@R0204G000W0W
+0080W0SlV5800G_@t008G000m000000m0000000086Ww5I000K02000820208WA0G00yhc10
+G0I00140148er2300121W0002C0000H0GGa80e4000H0W02100GG0005GW84GD3Q0K00W3@D
+000000210G00000040C0000O0ukG3Yy@1020000u000W06fW1200W1C00C_l1000mi210KkU
+24GX000420O20W0A1010O000008am0CmW08W010W100400400082WH09C04WG0W048020300
+00QW40084JW80W0GGC030008udsCew@AU1m0G00CBxdGU8OG000W00000GH0G00000SuNAq0
+000CnwR010HWGBIOo4300W00028e_S6Eut000020435100O0G4000004010000SWfzI5mg0W
+_@D008m800O20200002800210HG0402100H600409CH00mO0810044G8O6J04011G6W01800
+0Ha9a108800m4Y00000H000000G2100xcx4008Qo000@@R0801000K0000G810iH600010C9
+861000W008009080W0100102090000I00000W0004H080W00O0K00400e000400WG10004K4
+0Wq@wH10OVBk@74600m4000O000Z00WTsJ0W011004C40000001040000200W0WGl@6auh11
+xR0m01WXuf2GW3mxzL00010020Knc9agl14040wyq00W200040WH00s3G2005200Y2qh7L00
+0uDE00y@l1OG100GC13000KC4h300W4WE00g0409100000000Gn1Wa0OAKI4WPcGK1GC2W22
+450AA2G61r0ue04G201208A00044m88080012XNx750W7kkeJ0e00018W0C300200cXCW40c
+Y1Y64124201AnCpG086501032WO0020411000IK0A2W80080000G02G400HG023O022W0WCm
+LKlEL0eA0sMdXRJOG200msr90002fax4820081f4020G800GA80GWG00080408X00WK2000I
+WWyHeoQ00mT@C0060uWx4k4DXHkDW200000G00050000Y0289200040W000O0000580200W0
+0W0400e5kYUjz100hSFSZnIIC4gV20040sy8100X0001GAqr0000G0030000GyHXGx_A1Ok2
+W@@V0820G2K6040000002100WK0I00882010Wz9D000W62e4L0400nmQ000W84200001YEsF
+A000ePXzGEu6K4k1f0y0Q00eK0I00a520G00iGzDeG02f002rRh18B60W00kaiVK006M2VZ1
+2y330yeZ0e_C0mp30WfcYVU157Ta8cv10T7H0Q760qv108Dru7c1mp68WTyF0re60pFzV300
+0xG400W0FC30Vj00gF0@@@JsRI0sf0u@V300W1000287S308000m000W1W1030ZK0e005e20
+0OE00WG000JD00WQ0@4K0w0W0q100C70BaIrkO6000000Qg10005FC3Wgl00zoK0w330aZEC
+bCLvP3eoKc2mVm0WPQ00@W10k3HU6JwLV1ERX50u_w3mtd1Wx820BiBFSbHU500yAZ0wPc18
+gmD4000q_z3lGJ2000GQ200HC0pTr2rcT2BYJ2004qy@VeUS9g_sZPxUuvk7_@d10G020002
+0018qHE3@vI2un4WnrKfsOgEvF4000qG6002MFavuzuPR9MkScJHt00mGPny6G0100W00Gjt
+IqE@3pXd0010002G056o0800020000W08UWeYpvD87W7YYG200108G00k1u10KA0@@d02W10
+0100FyR00004W0H0lup0W02400G0W100020G000A000010m00000G00080G4018G80K11001
+2e7_PuGz4sKtWJrPuhV3QGpWorP08040600eF@P00004M0GW5yJ0K00nN@9008W0G44GSz90
+0004WG000e0K000400800GW000G1W20000040002001G0114mG000fpG3Ejt900N7b6dGs3L
+KW@69AOm8@98000a000GGpK10D18C@b0008aDRK00WGjC00y@FF20086xt0003YIK0GwmDgi
+mOeqTRwotW2zD02000K00WRTIu8_bI1sWqvv1041Gg@901408ZRgC300aKWG20100GC805b0
+0055Go_H10udEkVU000210W0ez73G04K0W0h9idDstF7GW90pzBKo360Af4001GGdS94l_Is
+600gxN86600ZXR0402WfzZ20mtpvu210009f030001kf8LWF60gx@740G0080W00W00040uf
+M3UFYdo@b0000id10Wx_5210000008qB10000HIsFA00@onzp300mC00000WP00000uA0000
+Fm00Z4WczZ20@0mgW212nE00040x000H100Rp650002S000rzB4@y300anxS7muzFWPiNYDr
+yl10WAwXrQPv@bg6G5mMA0z1aJ2PRaF9CdWk1000CX200958KNST108hi@JFURmfZFj1yo3G
+TSIaHE39ndGZz6000Y8cS30040028YOfS300G5008WG10201W0YltCOyU3sVxXKpJe3zA004
+0ClV21eR00G000W02000eH001Kel10280gPp0GXGG000e040008G000010W000002802822t
+dX54JObk40010WW10030WGgS6a683R0a0G000W000d_K1W000W000tAc000140W008000G01
+000eXeSP300002G0000G001W06488004O8000G020104008G000G0140410AVt0830W00001
+G00200106000G1000200G2001130A00KiYO36vs9mR20ZrdpJz900W40004002GWvwYQpc4E
+ij80400zqQmgvH1WeEPAzY430000004008s6tK10g1OsxbcraA000uk500Edl80060zgaJwz
+U00uRT5@Y4C00CXj12200QsFAW770tKN400W20K8e00L0YNdgCkVuGxbss7900_dnzZ40010
+100HnPI58O7Wy_HQHS3QsFA0004e100oxN80410vcR0W80XazZ20W@GS@B940G8NU3Yst9Gm
+20pzN400u00000cQA0fA004GQKs200w93900WEXXJ500WmnvHYH00000W00U505i55Ow7Wyo
+mxWkJ000GRB80OtDUcRibAtQi_lPUYV3WRA4R1IoQyTzb_60002000GG9008KT3oat000080
+04K0G00M2F30G00_SF10W20L@R000aWp@JWWG0nJy6020Wu5V322d1GG000420G0000080S0
+@4G010000404G00200WNyD000G1800G00004422cBF10G0000CP00100008OZS3UvtWplD04
+008080mPzJ8EE3G0404MV2W000000108040400G8z60W20ONy4gHc1800G0104000004W0uA
+@440000W001G0Wmwu600W000e04002000GG011W63F1A000Wc20W00000K000804200XZwJe
+jE3kZrWrwJ000WG_S90000vPT30G0W0090OQV3m8W0CWVK000OZcH2002g0010Fwd1080000
+0C2@tWi7IeyV60050CyHun6WTO3@@Ln@TTy@M9@dro@NryVLF@NHsd000en101i4zOjbZHFv
+6aKU2TbN100ljcEneyQ6wlsW2ib0020Gc@dydD6ZhRGaw9CmU50uA02piejsr20003p1GWrs
+ne9U6C000q6l4Jo7Ins60000G4G00000m1_bu9x4ovt00014PsdmWs900OZ20808004mXmD0
+28200000GW100400e040GG0Wu@V3000A01000480GotF0W80ekEF000400I0eI_A0010W000
+ON@4wbt04000BFdGvy6W0000yx0G6zg0W00vW@4Q0dXszs00O0W8000001WHAmGx@60102Oj
+K30000200G4000000030204B@R00040040000G4000WgS000000u6wC8080CVz4IId100804
+0G0kZF1G0040000lqBXMFcPd@J00yF004W83_4W06046h1W000m000qzM20006W00049h4vH
+mG8S@@Xt@T0_@6Y@d1v@Ptw7007u6rtOYNIwM@gw@P0u00m9qOiSB9xN9qNf@@nyX0400_ed
+ae0EvWs@FscGW6601YCMsmX30O3Fv4vo5tWZntu2_4Q8t0GvF00000EFpW_0Uufy40002kME
+6VfRmfvCiRkGh@@0000o2pCuExSorUc5tX10G9nvxyahV27xtIQ0gKtl1WaU0cjpWo1aus@4
+000KCzF6tuBHOu@tb_@Rn@VM_@@@@@@@@@@@@@@@@@@@@@@K1_x1u@@bkLy@@@@4ut1m@@BT
+z9L000mb600yImafK@VsiN9L00eP@VU9daGn@@Jz@xa@@Dz@@@@@@@@@@@@@@@@@@@@0f_FG
+g@J0i5f000@@@pl_@@@@@dz@V7v@ln_@Pq@@5@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@pzF
+30VjVk@@NZ@@qy@@@@@Rq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@31GE400S01d0000mX3000
+0oX0E008Sc205H181Gu4W@@h0420m@@6000mfO03I5Gby1I00000E00W@@@l8@@dj@@O@@@@
+@@@@@@@@VuKc4_@F1000Cc300_@@@@@X1040m@@ImnY0u@@@@@@7W000000000i4z@leWDP0
+01004zndU000A@eY@@@@@VL000220000e910G0002200fPyVk7@4IX1DVam@@G6k63rhbm@@
+@@@@@@@7800e20100@@@@@@5n0W1u@@@@@l80qgT9x@Vi7@@@@@@@@@@@@@@@@@@@@@@eM65
+300M4@@VI000W@@p0GH0W@@@@@@@@@@@@@@ld_@tv@@@@@@@@@@@@@@@@@@@@Rt@@jy@@@@@
+Rf@@L_@@Nz@xb@@Tz@@@@@@@@@G@@@X@@xp@@@@91080m@@510eXv@@61080a_l40PA0_@@A
+00W0@@N4000Om100@@h8000Y@@b00mCTqr@@@@@fc@@@@@@@@@@v@@@@@@@@@@@@@@@@@@@@
+@@@@@@s0Uw1u@@P0001y@VQ000um600SwcM@@N4004pd4iPnW6@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n0000z@lG00OA33@@qyh8m_PG500Kl_@pp@Vy_@@
+@@@Ly@@@@@@@@@@@@@@@@@@@@@@F304004000@@p00W0v@@@@@@@tWZgDvv1ab1m@@QjSVH0
+00W1E00i1tLDupmA@y00WAUY_v105000008v43000GSnlG0008j100SwlJ80G0W000000G00
+O0004W00a00tPy300oqhCegnZ7opu70xF0@@75204G00900600004000100000OEby0000om
+00m@@EX10G06000i00300K002120G100W00uFp4ouvX@@910Gao@@E100W04200820080202
+0G0010102000400GEby02T1u@Vd00200W48000W00Y000202G00009000101G2000210W@@d
+1000eb10W@@TI0W00Y200040003WC0G010002P276U_uX@@910Guy@@H188000011001G0m0
+4Rkc0G04W@@p10U3m@@E1W0W0400000021G00VNO0K00000Q0pLPG706y@FCu100_@t94500
+04070G400G0010HGa0W044u0HW000xxXX@@tuc690Wm3z@VKYKLL4zX7u@@0mCpSWggAQpCJ
+qEKLez700000KD8b310e10q124e3ep1030q1q1e1A3G104000860002aA75000@@x4250008
+882A42WK842WW4CB3U_@t0001E@@@@@@@@@V_bSP0400W@@dnw00m0tKbmjG@Zzm@@E1000S
+oC9gDZ7GB10@@pM5Wp000WMx00m@@@lZ@@fs@@f@@@@@@@@@@@@@@@@@@@@@BOv@rRH@@R00
+WpukoMwXh4Q0mW@@H2WB0m@@V200WNp00Ga2Ez@@@Nx@@@@@l_v@fd_@vh@NUx@Z@_Vun@@z
+y@TN@@statql10G002LUfHyZ2yB3GbrEzukzHAKAmp2WTm5AOFmyD00ihkJ@@7500Fp@@@10
+01m@@W1yl0O5Ud_@@@_r@VV_@pt@@@@@@@@@@@7O00Rtdx_@@@@@@@@@@@@@@_1yV0u@@bW0
+00y@VK0000v300y@@9RlB1000nBuD0080uSz60002u@@e00yZ@@@FhhPGzQ6CK0L00000fu0
+Cf7F810000000008uQl4g0mW0xTIs00mTzV2WeUEA3BJx@@@@fAaYI1UE04Ind0008EbK800
+0K@@t5000i@@v100GqJ1Z1SR0u@VU0W000000DjNmOD00Crxd00SlZcz70WK8000000034ao
+@Q600_@@74J0000Wa_@@@@@BbS00m@@2jBi19NTr@@b22P08qybYKuAg200zRp3800000109
+Fq50Wdc@@dv8E3W0W000004W028800WquC014G8880W@@Z24l3G@sp080000080W000005G0
+2801020z2d1pSJ5000Un300rhZ4000180I0Lx7500li@@v1Y00m0IC000Yu@Vg4BC0y@@F22
+00000820800082O7064nLKA700Es7f00f2000000tu7@@9un4YV8XezZ20k0m@@v00049j_4
+00H8200240H0KI1G200000YGmU690H00uxHg00yvE2dG82W00G20001W2090u@@KbK0FTFO0
+00000W0HnQQmqpNPR00u@VUO200y_f19cO0GH8W@@Z20GSQGg@001000080Y001H0000004_
+cbAmd405ho30084a20000WG0000G08B104GA600WC5ZwPtV0000oT40000StOX6y@FLHAypA
+S680c861G0W1O200e300GK0_@FAGW30@@l4800WuZeYq00GaYS200000mNnLlyCV@37ENn@@
+21U91OikVouUCL200HAWKR1FyAj19iA400yt@@FP7Q6_as0G000800404G00000ekD302G2C
+Q6LWK80_5lbtmJ00028C00mWLC00K80WmW028008102EbEXakDe7xA_@l5000SHkUIPh6Soj
+17XR0W00008402G0000000XW1a08WW002cMpCu@Vd00OBy@NE0M40000W04G000002200eSu
+D0A01m@@H1U80u9SX_Wp000GazXJ5008sScu1004600WX@@J0048Io_K10mLBRjVkt@14G40
+Bx750k3WxZ@v7CgY@@1T000lrp300Gur2J00HWOczK100wEMFU00h00040uGn484008Y808T
+@e0EU0yRjD000Y000I1W0I00000f0a01aW8aI00G4a04lELm600ACVcdKD00W00I92000088
+8KiG40W04I08KQ3_@t900Wo@@3p2g6008000W0W0XW54030280G02080W1W080G00a0W@@Z2
+0P1G5tyW0002000404080W00000I000XyPFLe600_@V620G90W00m0ztWWGOtB084200070W
+G8x60S0Il47kz0OU0_@V630000G600W8000pF00cV0@4T0x1m1q100i700mS00uR@e0F00Su
+FI20G06YFVmR10L_pJNFZbtVf00ShoP6f@@V00WWG4x81sE1e0_MYDBXIcD0G002W00000GG
+200000G0000W0010X00000080dDRmSp60020OTuS000mnH00uOJL0100000G00G008W02028
+0W08001H0W20W0K001WWW20H158420_@t0W00820002jl800mrZMr200002800zhR0X00002
+08G6WG0000AW00408084000W2W00I00Atd1100000W02ud7GJ80dmh2G01WA0I080000010W
+0000100028W080028012aG100010lSR040000004010G_@N8w300@@h2A00WT_JeWB3000Iq
+1F3Dxp0024000G00W0CQy@704aX@@R3082008000m21s5E10000O1004002Kkl14108_ut00
+8015y@3uf4WqU@PLV6000W0002004G02O00G41080220000000u8UVU0000xA00ek@PUCpWc
+Ab00W0000G14GW00W4W8802W0280040Y001Wxzv100yMzDs0Wf0004000G210G000820sZE1
+13W44080008300201D002O010WK006000gyd70R40x9a3a1002200Y130k@t000me0002004
+0m40000W0181G90824W00bopy7000m6100_@l5G1P004008bK0824000200004A00WKI4200
+00IHA0G0W000W0480G0040eG001000W020400050W9@v100Kmb7j0000G8mH00004W00OC40
+00204f40000W0Xa8AE00280mmC00062Y88010040n102GW00n824004yu@F0kP0Yoe504W80
+000G0WE050001000S000400220401000010H00020K0e0G0400400_tt00G2GG000Zyd7000
+Km700Mwj50100000We0WW00400002W800K002G2010W0H200G1004000X0H00O8000410m01
+00048004431W9@v10n9rFgg000GI8000221030WGe@60S0xlWiR8008z204Y0X0m1f0O0sVX
+G03IG20004242942HI846iW44uvz@1mI2GT@E10WJ4m5a8WBWB0NGM0E0k0SYH1G41CoVU00
+0GJB00u7yM0Wv7890EWV00G2S6Gq10Wv7e3pFOlK0I002gAL4CJPy@XEuX7eoCpGG0_7Wg2m
+a@y00WrhkVUC0WfA50080FRo2qldkheVUUaE00yI0IhHPGRu6a7_I00m52QKKG860HAiqOtC
+0100eF_4_FtWJDj1000lG10W2zLX000000020020040024t0G00040W000mWq3c1008GI7sW
+UnD8B_Y00Cwq4r90008086G0280070010W020W040424G04C0G88400G520Y9K0140W28kFt
+000020800000mymN85@J2e70WG3KX020200G1020G00G0GW00142200O020K1014820MX00G
+G008W00G00mju9SwC6V_33i00WHuF18002G00800203nP0W0000008800G000004O00WW040
+00100I0dspGmzF4@jD00Ma@@@aLmJOcE300O8208G4Y0800Y008200zsRW0Y0WxZbOVM6Ypm
+6Xg80BS33Y00000K0ntQmz@98a000004mrvCizu3@hPGwQseL00ukVO000eKCS20G000100A
+2000W000001W3sJukN3o4dXyHo10G1NrDvW00000OY400W80O20DxPm91R0003uV@S0Y90St
+@900H0UsF12H09WH4101849600G04JaP000400000W2X800ERl1liR00W0YWFPG0G0Gtds0A
+00ek@M0W041000080W000A02000AH8800008202cG0W800200WI4@xR0HW01004001280WI0
+000W00c1000H4G000K200Mz7600PSTm33010000WKW2040W00000W400000a20Y2900GA100
+WG_KQ20oG0G00208W00100mSf6G000gXKR0su0K5UB0047000410G000I1vw@904e800410G
+010G4209gQ0002G2800000G800W0400Y04WMKE600809tpPuD004BRB000G1008A80H004I0
+0000O0A100WW0J000042wr_40000MiW1W0000009000G0000n6@60W40OrVO00qPD2FCzcp0
+002YDxD0G0W0G008a0022W0000000WG00082001200080048052W00H0GCbT32LnWP@RHiu2
+m@@d0WI0000m00400W0010W0OL3030zM0HD000aQ104G000Q0023NBL200rzt20sT200G3gj
+20ztB0wrX0qx90eNJPE00mVc300_@F10a0m800WHGI0X0c0IY91a416892C1G4O2m400Kw@C
+00CQgxl5YC008k0P0m1O000o200OE000G10WvE03HDGM046E34CpC0mX7uX7EGLL9eggIG7M
+bWEy00fgAL100WF@xP0Op0i59F0KJD0000eU000000GB66S3lz00GLUfRcbwOG000mJTB5fm
+@nrt50Wdq@@LPhC3W100Ckj1K000EwA1100W1xh5mW5W_WF1W8Y0e000O00002G018L4W0CW
+0049a0X08401Wm128W10IW0Ye0142n6p@aiV54500QW@4410e00020GW028O00C0002O0086
+X801Y000Go0L4424100CG000140hx750WpcOuE10000Y00amwD00WWW0G0exwDeCU3020008
+40081Wm6Xvy@@6WSN0sPM5WW0W4H0080010H1004000C440G000W40000Y400000Y0W10vm2
+M200jhw4008Av300NXT2H0000GW8WW000G4000002G1X0000fWrD0001000G4G0a8NfG500B
+WV_LX800Ic@90m0G8SV30W0000002G00041001808TfI50z7W30L1W00000080510tRRG3gC
+00041092GGAN9600uyeJ041a28W0010G4H0I4Y0IC4W8C84007lk1Oa400mC41n020G8YIyi
+H10uxvf@J0G4H040401p04H000A000800W0W80006000u000008820008GX8iCSG811O7te0
+XH0yq@9W2040000001I00W01Ga2A0KA1000f0WP82000WK00W4W40I108W4G02sbgaKL9qC3
+02H080W4080W0004000200m00000W0008I841I1IN108LzBOLK0042000W90002G01001080
+00G02018400G20mxR9iuJ2tKl40Q7Wx@LPY_40a000000G000e000000804000eGG8iqyL00
+0uy500KQu9g1WKGA30W002100002Wj60C0xQX_RGG8ix5042080W30W0WK380WfM2bEA00X3
+pmhYJA00kW2JqH4YHW8kY00W540ep@@6000ULA00WKUQ80_tS0yL0MlEAWx70RXhY20GK3m5
+nA030S0N043d10my30Wv7mF03mU0S0C000O000C700gvEA6000FzhoFu6O_10es@7yf300W1
+_oK0_dv0S@L3JOqTa00Kd5IUKfz45GJ6WPcEf2y4UiYgtrhhk@b00e94xfAVLRGdUo1_R0Of
+VL0110000W100e00W0G0428NrR0000aD0J8nbhozwaRwD000W4a0W001W0000Y04I0G41843
+42120A84G0GA480G014W02Ww@@e00SX@@@90W00004200154m10e120GG0Hme1W040eA00GG
+0mI4800K4O0G0G000YfaAW3C0@@RJ816010GvPz400000X0GOlEg2gz4000Y030OW0O80H80
+0YK000G4022G4C302W0040041000Y0004010mW00m8YSDA04qbbhf2X4G018cW0W0H400I00
+040401W00084GM008800001001921WGmknpiyV8WVJ0wZM50001000H020W0G400W010000m
+f@D00880001200449p4LGp6OM008f@JW001yvV2000K00104yl1W0000120101Y0081G19K1
+08FQd@J00H1250W0W4C080Y8W820827K8K8HG0S81GY02H0Y88249eP44XK10H400OMue0st
+0ip@9Y041f53b86O8Y0Hm80O100G10WG4W00040H20W00G220800AY4WX00mA0043NK8600I
+Dg5IH8084008500W08100G10H0009GaK00W00802y7k11D750WixZKL1000W08240000840G
+00001001000GuNy600iWugR3G800i8TKWCG0w@NbMwD0W0G0000000e08000e1H0X0000440
+2000080W4VFI50000Z1005pC3X0000W001wd0001080010W00@@@XOkB20GRrC@d00Gg100m
+aM0W0000800020ID0O0aQ1ir0WmOl50G00W0W10010e60000C0maqx120kY008g3qTNZGC16
+PcE0uVT1mTP0WVh00tT2tzQA_xf1yqG0wlT0CqC3cDt9@000tyVIEu60303060SGOu9Wu20W
+v7m5038B0C06000C000Y6lUK002nEkM5dK00S15TvE24Z00EoH02me@90006r800W6Ew80sH
+70iH0YX3fKZJ0yB1m4vTr4tCl4z0000CR000hzgIY@Ra5sIhGRGgn9000ti9EH14R0ixNHfh
+f5000Gv200rmh2800G00147nd080011G002020wrFXUuIuRV3Qtl800Ngnmr2W0090014000
+400W010A00001400024211K010G4Ha08Y81G41m@@H1oh1eQML08402GAW0m0000500W000G
+02041620WWY208W0000G040400X0MVaXy7B2000NoLdCWq3tSRmtv900A800148808GG0OW@
+@750W7d@@X1220Gez90W0800X1000W841008000MuYA00002r70MyV6001G00W0O1H08O040
+12204000802W000010044FwIHkc0z10W2@X10082100iwoC0GG0GZy90028gCZe0000000Oj
+Z2R4G2000000Y000000WZ7kQ@@40Uz0yfpC8I01ea84G0Im0284e1082H4H4043000052004
+0600Gp_H5yDC0G4W000004GW00WW500WK020C240000010041000Xui26yilJ00U5Zx76c10
+0000f05090010X000080000850Y0W8Ga02000GSYMg0HO04phD0W821G0WW004500G4G00K4
+16001400G10iiFLfc2p316000WG00o00000W0G000W0Uit0A000X_I50W0yJUj100044000e
+HG0L3d00011WA404004MsFAGn70pz3Zm00WmmD0xjGcR800Cz204Y000310G8Yk1040GktdK
+nVBK600gxl50yQ300W9yR50wxF0qk00GMpZ108aikVOGS1046U2000HD00cx0T4r0w0W1q10
+0e30nmH58K2Wx_p100C30CK50WQ6WQd80D47uEH0KLF0OQ@FiYjG000Gu900StlD52xHRuB1
+0eUwlVa4001C9_LWN902MdgsmN2000ad10W85SQ7U64020SklG00wnA0i50084BzQ00042G0
+800010014G020100020000mbhf200000g7WN2LPVP6002000800G00840a02W0100020020e
+0282J00mUXE100Wnm00GkiRqil4dWPmR26000000m81GZ0H40040000G8030048OXV6oOl80
+0UbNME300000015002GcuoWKNJ00GWGCSK1SA0u@VUW040z@l180040G000000400W0000aj
+hM2004gg10WO@p1000C40000Y800010_jtWYqFvZ@M004E@t@F00020G0004W0I008o5kN5V
+4CD@@010GWLzDOnJ6MSLeu@P0000gr10Ww_p108M40HGmw@D06310H000402000100GW8kls
+I00syYxd700820CW0W8Y00f800004mxw9yIXJ07A0kUW70000I81H05000I40eHnew@F1U30
+0rzd300v008200W8000008000PIbAMtN800rxrzppgr6KMd1W0010008C@f1zHj400000f60
+fHnJx@6082000000G00ejmD000mqe2B100Wq900mT@v00oa010maH0080008BNP0G00XazZ2
+0mVPS@8vB0000yFlA_2WqXC0200G1x81kF1ukVU0We300Y9WE00040O00000q1400wC0ApC0
+qX7C300u1F0009fMK4Ny0060q_30eNJvE00mzk100oE6fUvtxlWVxNu@l7D30mjHZwy02004
+0G008W000004W020km@AGC70H2DpEt9000005G010100008W000000144lELS500IUibCzJ0
+0100006000G402GW10000AOWe2@h00u6Se@F0040YwD10002Dk650000m380hcRpMBf1002b
+r00G3tjC9ZS00SGhwVcPCeQHd@RxTi@GCukVL0G4000008x@eY_t3mD90zHtICsmaKmRdZys
+0fCy@@908082i2F0GD0dZqIrVQbzF6000moFkbuySAMCc11M0SbSB80000GG0acXb@@R00F0
+00000dqv1W40WfhZgk@ek2tWnm9vZ@4ogDXn@h0q70mWmUi9i1LOwqthIG0048ryD61pZHsp
+v3mbAcmWAc89afz0Vm0CtlG5qq5000G91009ld380000010Y000M8r00100020WMiFA00d2v
+bbJs@604009I1m0WG0a8jGhwR04W000080r3Xtr8H5xVB00wuA3DjtaO8kjM0zU0yQw@xmK4
+00kkUOJ3210Gpqs0w@1umMp000a49eD000OeF00yXHHn@72044WLVaOuVI008wL4QHV2@Vdx
+KjnTK00Mq2uoflpVuo36syF70k00@@V208FS1HAG2YYYe5A1mBX41HWP02yW0aETM800WW1X
+7Xc20200G030W0d3W0TPXKyw9awz9000mL000f010Y@004uh48000170C000W00041008000
+G_x0W495038H882100000W8400000W421002t@700Ehl09400OW@@D00Hr8J00Wpm@lxhk40
+080m00e3W4Yzl500irTkF602a0WG48240002HYW000GW40mi@j00T0uSUd0GE400S00000G3
+06872u00Wm9892019I200004aW0000W4H00Gj@jWP0086@@@@@@@@F400m00000001W@@p9v
+0y10000WLD0IAuJ00W4u000w@_J00hnbywHJlCSAy300a0IYtCGv40XgBKKK5zb@6S600g6G
+ecNfA@@400yY_17OhyB48Y2WmeLfvw70080CeGNG000W000000WElE6M8pWYVPG002GEP680
+0G8sS30005iwx308008001Ck4CvVJo@t600Wzh4y7glDXdrD8RT30004CYR2bXRmIk6yNi18
+000wbsW@@l20L2GOlg00080W00Gho9q7U20800EzLB000y8500QzF7004042000W02100021
+20G@_N1WWyAs@PIMBXAsD0800GyF64boLHSP3000vleJ000G8000008207yh5F00W7hX1000
+404000a10000084082H0GOnbk000A7xFC0WA000J0WA000H00080GYK5C0041O@_Q1041OTo
+PEJn000G002505H54eG00G4ZGGPtN100Wtx00m@@j00C000000fW2000000GA00000200a20
+0Wu5yXCpVE00SiNz761H4000AGwPA1G10000400G12aUkMWm40MdV64540041008G0123200
+2004000G034LwQs@@a0088200020008400G000201G40HZ40000W4200000e3oJ50WLdUtne
+yz7wAE10e60HwR00017q30000mL6a2C0050d@F3H0000ypT0mWl000L1m30m600mZf600u18
+D3gGB00KyVB00O200020100mO000G10066008L0p000Z1W00OR@h00487fWD0006G40Y0O0Y
+NU0200W6W2VOezte0IE0KW6C7yxnc2Oqrl7dKl1000AS100Zph20W0XrlJugw4Ehc10004Dx
+ZHjrgGW00O_V600W2@@VB12yGw@c1_q1u3QLIAvXGcD8cv7UvEA000u4200YdN52000T6Mn7
+WaC7G8R@Z1004yho3fnz700G0qFl11lNHjrRKJIE09F0srsZGjb0W00G@yRW0208JneiD00K
+KlA8000U1dX25xA@@400Sky@F6G000lf@GXmA0@@B10Y0W8rJGW00mC0FqFoR000uC300iZ9
+X@@l100pecGW9On4ojHb@@@1WF1mRDOidN2Nq@02010Y000VdaJkdp4YR582000010G400uG
+e7g_hhj@n00WN@@@RGA00OqV60G20qx@Ivxh20V1W@@h0K00OYl64rL2Z_cG5S6SptCPpc3L
+00WCpg00102022W8VP0C00mil9G00WOy@q00uoUTB6N7RGrRF000K8x1v0Oj0aPC6O1006wT
+380000010wmPC0004W300_@l200uvE000Q5tW@@D0W8Y0000WqNIG150e300W_x730mHu@@O
+00nCetH3_@F1W800HZQ0040000W85faMCSO0000qdP0m@@_100G02000000YWE03400G3s@0
+0G@DUkGscqWp9D0400Hhu6ylz9DDQmdlvG0108boP2CFXhPI3000gb10W85Q9lbqo@F100XF
+@@h20X0W8ub82th2Ha1WF50Z8M100200010hVA1W00080005A_GWzKrWO2000mnA00qSO5fG
+Rm_wC000W0000800OW_4PekK3YGgB00GGzJUITdNLwMWf6GTK3@@Kn@DTy@I9@dqo@7ryVHF
+@FKaYW000kEtZCjbOQP6w@s05100rZNK@zLiYz6zXVY000000l8tzRpyu6q1@695OmuqOq7_
+9bKR0WP0W2@jf3U3cAdaoN@1000T6td4yFLzSV20WIsrB89e_DYOlhkzD0a71G0@L0400000
+0X000WqmhekS3_sdXtcjvTVI000GAL00uVV9syt00021zjQmcwC4zl1NzRmk_CS8_6btdpdw
+600euAD@VItNkUw_Vdl@p9y@R6@lco@dvy@aiGwsx7c_s0000ivQdm0g@70x@@tU80WZdqtc
+9xyt0Mh0i0dA9zXd810WP_2fog@FVktmmJ0000XS10WmmneQSC66lYfDYQBz4IAmWmmteQyA
+sMlYODyBVa0@liYLwD0800GMZ@4oVH08MxtK@@Cv@@IV817Y0qWY7fmUIgGTHC008KtM_@NE
+00du9nw1084W@@340u1mPnLi63@@@F30WZjNan00C0m@@722m1u@VCu700y@@XfOW100G420
+00FQln@@@F3zX@@h2000Sa100hrvqF4H10eM_@VH1xh04_5a9e@0000aCkJ8YV@_@V300tph
+ttIK_pq6_C1y@0WG0WrxR9A@Psw_@io@@gz@hg@@f_@@@@@@@@@@@@@@@@@@@@@@L40WkGPL
+@737IM200oxxg@@FfY_G008sy@lM1QBnoyg46E300K0237fenb8YS9wxlYvvh8Cy4000WmA0
+0O7pA6piYmmt8Bk@3_@@9o@FYz@Ve@@6_@@@@@@@@@mt@@xUQB0U600W01@R0800WhrDuBQ3
+00mmD_FO0024_6VcBcP0Oi3G9usabS2j9NnTp8bNd1u200YPscqfJOQTF0000UuW1@@V2004
+801000048o@F100pxvmhLZxFa6l4vQRmdPF000020004W80W9uJ0qn1Gjsy4cc4zrxHdsI00
+8WOJU60000cBl10082Yct0000qG200YusWmmD000Gm_wIynE67ZxnuRLqIE39bR0WG0a@@P0
+0W0q@@F00eh_kV300006ul10010oaJYUvg0000uA@La986n0WH5yFazW10I00500000W2000
+00WP3Wyvxw@VO000W5bY1t@d00006d200@@xKK@@000402G00004ny@J00n9VmxA200C3040
+0000H0100BGQGh_60qT0eS5dUtN80302PF060O0WxzvH104wWdF00OZuMpGs_FD00Wo0G500
+305eO0006J0mSh90G_0umLd0W04008000W8mieXCw9618P0292A00040002000WW70800G00
+00HA0004Z8Pm5E21G00GKW0000mmoIj1KW0m@j90001000x50000020KY240oAg800W0WW00
+01n0azJE41GG000001408Tq40Dp0022000W1WW00WCN24000Y4GY8024H80026eD1000m830
+00000G080u@VaGq14000S8Bc7wmw40400K00EEvo0000800W00KC0000R0850000g50800hl
+Yn@@f100U0Wd1003C000008wB0_@F10090@@7506000C04VrYn@@U0m000W1WHsm6000G000
+080006l00000000u10000mu@@010WTg300K61000K0X@@J00GUOCS1s1d1N7R000402040t7
+p0W000mmC0BSRpo6O0W008pu424nWbeP0200GHpIW00200100080W6aD0014002008000000
+0X00000001u000W04WoZtOzE380000002W008m@@XaKW102006Zs0G000XGnG7pIqQW19DRG
+3064sy300WUQucXdByes7XUkUZBfDO4S3W008CYj10X000000006100m0GhQX4TG2fKdGlud
+80001014G3X9y3E3XRb050000W00B5Q0000800G00001Y0m000G0000G4E00iXj12010Iwc1
+0108DUNnc09S@j1Lep0001000a000W0G0102G000100020000002040020B120000W02K002
+4NX1Ae04QDt01400G0W0W00G8WG0I800W00W411H0000G0G0000OC100504100G0G0@@d002
+8W4sP8qR60081000000X0GPwO00W0002WW001400G01QO0W00XE7P8QT6G00100200030020
+000G0100020002W60e020002W0K08020020e0000Go0044m200000KG0@md0180Y85O8BT6Y
+Xm0K000BIQGfyC000020002110W@@V0105m0y9CNW1Ge00W0040020004Km@@60W28ObT302
+00qiX1GG0Gs@F1Z0001DsY20GWcBP8CUX0O60002000WG20008e00G010018oG010G008200
+G10010000u2@@FAG410LkRmdx9008000G0G9z6aQl10810EkF1000YW0WmwVt08WG000b08m
+00H00WumU308008QV1eTaGcwl200Gax_p3W00000G4jsd0W20000008X20YuF11001401000
+0W000WJo00qz66KCZGlvZHEN6y@l1000H_@t0Y800JxB1088202I07xRW00420WO4001000y
+ic75C0W80EGWXh@b08Y00080020009ao0005W_JDOF130m0H00000Y000800100002CGeG22
+01G44m0ZE886IO53016GOH8584OG1WG4000G40010208040IGKbg@D8h03oJpZ@@J0m00m50
+Byig1zkQ00004C2G481a2008C00Om1G0020W800KCG0C00W01W04G00H00080400200DdwHa
+eFG4000008oFKO0010e3FC00K04bh4W2000000G0f2vHN3Mnr0G0000W0e0000000900004K
+0000094LYiHxNLSpw6zjOmoD9q4Q80002100W04G21Hp0GYd9a2N2008000yfE6R8NoAnliU
+iAS2b5oGNh6isA30G11WW2G0000100G02W00021037o0000K0G00P_O0Gt31GG00r@6IqeI0
+008ebqAs5mW4SbuBu701100080I800002000008080W0GH082108dA6Qer0000iw410sw8XL
+bnuRP6AzOZ@@D0HG0001GWDpO8qO3M_910000000cI1u1Kd0000G60000G08W000000m0000
+0801W0G4C000m150b0mDI6SAB640W0YHKYK0eu@V3mC4cfA0000m3m@@F0GTLfbAC0mV0000
+kH10UE1300W5C1GA8G4K2GIe4WKm909WX0000WP00m0_@760a1000WT10WTd0T50Ga10000G
+600u@@7GK100G0m000WGuR6ind1800I9GG080g000e0W004G12O024m0W1W1030B06068C04
+003000DH0000100G60400mh0ICHd10008MO_120000G5000e0i3OB00WCb100uWPc1WFy00F
+4000000eg20W16WPYW0680WG8K0G0fW8091H0I902X2YW0a0814WTkDWs00m@@veA00u2yMc
+DR30W000G02gtoW1kD8GD3000000WxExv7gws01010@@d3000Xh_I0200mdV9qMU2PIb0400
+00a0W@Cp0H20armD0020040Ga@@D0iY0W00WWO2CO0T60002iBk1RD@mDTC000000G4GWwLy
+ck1pfRm5tOGW04e4FF0m40y@l1c300MWh58002954ItmOqpj1dKp000W1W080nmd00O80020
+100000808SPT200qo0G00O00W8kR60008W0W0uWU3Yg4cLsDG000G3u680401014mux60002
+0800mIS6000mO5D30001080800000200XHuDW040KKyF0_UXe4R368DX7rJ0G0XmqxFyAd1@
+@R0400as9h0022W26400000400011000A204002000WmepCW0400010mzyD00580S0001001
+0G00000G90a010100CW14WW02WGX0504W0084AmU3WJ00008408140G0001004W8002KD100
+8W00W0_JHYgqJu7E3I@d11000Y1008400qYW1001804G188000G810000080402014APtWYs
+CeiL3C0000000WY000I0G00AW44G0WC08200808WE300SbZG001G001H40d4iD0100I9@900
+W00020If66apF3ZqR0G0WYp_VO@S30m01itd1008000A8y@l10050wYt0004002000008204
+602K0Gu09GO2004000002eO7C01002000e5xD0yW0mqyC08W0On@40201b1@6200029k20W0
+GW00010W000H000040014010W0FD6ohNCW8W8Akj40004100WcoH0G6yIW820eq_S8000000
+01820000WG01082G4QG400qKE30W00_Jb18200f@R08000000Y41000010010I0040000C08
+00008aA0GaW00000Y40000800040nsR004HaGsp1800nYd6000POlO3gY7ZQNO00H0GY49yD
+J2@@R0017WmzJe9_Y00a800824200eW00080I0d9nGM@Fqwl1@nm00G400040VjP00040220
+04048000WuD0000010800G40004900_@F1000Y@idmnzjO62W0WIXe0ZG8230KYH4I048G0W
+80ujV60010ie_34Y00000H0800G404X80008o82G402481H008g04O100WV1HCQ0G40i4150
+0W08010100008000YG8Y80G4HSqjDY20G000a000GGW3GW0YW08oq840000001kbA3vGQ000
+G000GCT0c00800000800P000WW0n00G4000408000000rH0004W0000022008Y0WD@y8wwJc
+IC1000K400000O0aGh100A0W8000014OV23000H0W00W01W80810285000I0I1m000W00W80
+0802G0000a400000MQA00001GY4tWO0Ce3vV020000W0ObE3000W800Iuek40005S0c100C0
+058000m0020024A0012080100420GL3W10040e00P0AG01O042000000Hj0140O80000G0Wc
+0aHM06y@FF00011410y5S2buQ000GXuyJ000440WZ04a08401G0O003010353OX04H4uCW12
+6G000C002I221W0W3G20400000100C000yIP04a000060400W00900W2810220W00GFlay@V
+5000XMpq0G000@pRGbm6080000001Y00041H000W01G002q0L0fHG49208W00G40H001Ga0o
+00Y008WW0I12000I0004000010000007E3002Gae00000120010W0IW20000G0002@wkHq@U
+W898eYU30X4000093400004148000G24000002W10G44b00010EGK000G0u00H000108041g
+300W0X38A20084Ua2W1082Y4W0W0270000I0Y200IIW308200e4W0W88I80028S0WH29v@@D
+0OXT1Wh5m0F4WPU0GyZA0@@dWCp0000m@08oPCJq@Pce@30H@70cvF0yF00OcP4z3F8w@U0q
+PcX8LL5FaP6_70Lym3HxV0Ycg000OK@1HdP6YMLLymX7uV00m@04j7U0Q@100Txp3G70006W
+E4C0C0G0w000YgEV30000Z000n091W1a1K083e004G108300G6WCWT0P0T0s1A0f0O0G780W
+EWE70C0G7G7W6eE0DGG100mGAG34e300G7G7WCWE0T0P0A0G0K00fWV3QcpWC@XHH0Mek0iG
+TL4YGOU04L010md@6Wv1m@@RGrN0000KW704WLzDG02018002252X2A819O2GIGG000mOE11
+4411G00880UoE100G400C0kut04G00xxBKpR6KIj4hvdm9@6Cp@30040cst0mD30XUdGq_RK
+WqCL2d0480WAqU8AV34G01apD3e000@at001000018UnE1000uQ500EymcDZJ8URF0022Kt@
+F00Ooo@tcfoJOYnGQCFXHqJOcT30m0188008vy40G404zc13fQGG@6i3g1WCD0_IqWJhifwV
+9004W0W0000L4080e00G04Y0G80W2G0202ONT304800W00G044mjy900402X1000A8004110
+000W014GW04040800K004000B9PGqO6CTl10W020a02002000210120WeyD8Ac400104sD30
+804_5FXZwP010G00P08G0W24200G418G02K100G0002001410cGWc1C10G00800210000OG0
+00001W0e01000GG0G03000002q004Gsa6000000mnW06G2X0G000084001aXj108W0028000
+82G1000G2001801A8W8K001qxU2rPR000e0100100026F8100G020041021H00O62I01500G
+Om1Y0G0608608Y0WG01W2a0080002G01040W0yel1000S00W800Wc02AW800W004180G0480
+D1G00600200500020000iL04G8K002800W8004G0203040418W0m020G08IG0000G40W068W
+100Hg0004OCb4m000010GG0aGmjzF0028O4N30800014000500008YhiC00008004W7wDeI0
+3000088000000SZ@600280004008aWyAOuDP3aW02y_V54000gc@XE@P8Qo4W000qhe13yd0
+802Wl@J00Y00001G1080BOcmd@60900e9@A0a00qNU2pLO0000000LEzxR0Y08O800H00GHC
+0006Yd101001021008G0G0O08100400O4010W0G0200W000HK2wR01010W000Y0208000G40
+0W0000G002800210081003foBHx_6qTU2W00GG00W0WH0084208040W0002p14H000001101
+400K2O0eW200000Vbt0W1W000aO80Y980000006HY@600010008040001808DyN108000240
+0W80WW0008410000HZZ6yre100200W00080021000000YBaV01000812WRRIOg33000Wm100
+G041GST6Ctk12mG410O000B8u2@40600qCf1Bad0020Y01C8ByAW001sLc100W000140020m
+W00mBs6a@o35CRmk19ifP2000WW100SGf1fsQ0W00Yu@Du6N30W8OMme1Y0G0001G02m0000
+G10YC00091W0X1EsFXEsh000402G510G8Y6500006WW4100K0X4W0WGO003A40W3Jq00005W
+081oiN200G440G40008W0CG0040i0f000300G0000mRHW04100O5129W00o8e4IK4102JX8C
+00Y2E20080Q0086W00120030SO2W0m8E6001650002608Y1IW82uyV90000008m00G080000
+aI0Y0W041003G084408H00W1404000e404W008m00uRU3EEsWBGD00I00C000W08GG02080W
+00r00G0YW0C00G0004y10000g010020M060068G8G4001W88Yo4048YIGY00k84GnKo46600
+800YOOW014g00100000I0000i00W1ilU50040180X81f2W80000WIA0000W00f0Ic00000WK
+H000200I151G40840K00H000000b88A200WKW204H400GA0GG10000400c5040G01000I1W4
+000GZOay6801000001040082000840020000G0WK0000000I108JUdmmR90021009aGC@LW0
+2800000G83000500040G40000G0004H00004WH4Hm20G0005000G000K0000A000O00C0I1m
+000582G0G10K4140008Y20G014002800000h1WW80q400m4G00008A01fc000081008LYpmm
+xCij@6flR0WW5404000C0GO0000220010048800001U00080402WY8258eS42H10444H24CW
+42W814G0K84WYW21HY1C4AWe2000WG180000106008000CK10002100P0800000401WXMOeL
+S30A00K@V2dCpmi@64sk4G00900100001m8000GO0G300286W04G40W000000G50G00WW000
+81Y080a00080401010089040I04W4O8G89G001405W0020e0IV0d00WnV10W0ZNP00001020
+07p@muyI0000PRP6cD@10008A10000B0G000044000e700C0I2X_U0008v5044Y00W30G0mM
+2W82mK0B082003100W80048040iI0Gm0OBKB1454XE0W80GG00201oP070000gCH2100HW30
+820000W0020e6000JG0aQ004C15080081200W0WK380WBK02D0G0c0vHU6m400apl40060W7
+00000WHcP000_R7@3mhO40y51WZQ40000T3000000ui2b_@000GbluCWgF4j@08Q@1m3KLb@
+1m1@3WednCHV8000EkyGqoC3efgAFu33_701yi10i3T0uvW2GDf1WEoXl6r8LDZ9_X10Uo90
+SIO0Oc70GtHmF00WkZ0W@wzG008c3m085C70AG500GM000Y1002600C@0s1S1s3W3m5G9OFW
+I4u0b8W98H0J0J0cWa0q1C1e4t3u92EmJ4S740e6mFGZXZ100FanmPW1q1G1W1e603000600
+0G10006000@0O0O0m0W3I1m0W3000C000S1O4J_3hzRG1_C0myY2WtTdNrzF@tC0W2A01000
+00w1mL60000uVgg2000WgKbWFu11@pC2cfC4KLgCJcouV00mCpGmPcXW@D30@302001c12Xa
+0a19425C6E30TCG2G842002W4EF0G0m4_X10zmC0QoP0agP0ekHu1lWoFOGZPy0Wre60rqC0
+U8L0SKZyWcqur24GDr0mVW1Wd3mIOaCIkDJrp0s10Wa1_vz_4Yxt0100WpDNX010W5@J0000
+88040120W3_p0014YkpVOuT300mOVXE6W020VbCXAlJ0A02G5u946@3Tr_Jd0LKxl10xT0_M
+WaHRb0200mznF4Wk13AbG8wF0WG0O1V602W0zPZ1FQd0000auzDeD_7000mpA008oyA00W02
+4808jx4YxtWpNDeMVF00614KF3402W004G0800G010mQs68000G81400200G0G0W8W0git00
+4G028000010SOV29id00WWWUsbevk4YJEXssDG000002OYb0Uu@@4kXm0000000gW0Z4KeWW
+0G1881I00002aGmW3205J018W84W0W40G4G00000600Z8m0G0040W00sft000104000104m0
+280OmK3wYt0WX00@@RW200YWzD8H_402GK00000001u1sCK8h1Ndp08W1esmP0004eG00480
+004410800G000W1e0G8I1122YH0048a0170WWW000X4440Q0008020G4sap000020804sSp0
+0110G00000Gmqjl1000OZys000031Id0W00G0000040808o000000WW0mmv94s33000800W0
+00001C020000000CWJqR00002K0882f0000308a00W000W01A0804200G04000y4l1Fed0W0
+21010000100101S3l1020004G0DxF300QItCAagiJ020000GGZH8Oeu@A04400W80uQU3000
+W8I02200Wo9u6SRk1LMR0004WTyF14a1mW8Bj_V200W00040000I4400G_k6qpE3fbq2008k
+aJS2000000W4000000421000040401002G80WN@P0008GYjj0009BI1gW4000009eh@4Y6r0
+41011upmFrg0_a1Ox1d0000220A0Y001W10H08a2088P802000W100A04042W5_DWW000a10
+WQtF1000KYBCilUH080K008W0WIGW841000L4040GWP20m0000A10u4U3000eirY1LVV20WU
+fqCS200802003000040G023t0000G0GA4K400000a2WGYW48GAI1002020801080000020mA
+vX0k60Os3d008000X80000280004100010042W004001H00000H6Y400044G0G880200PoB3
+020000i0exPFi300qFYJO0001044000m9uV3400G000CK2GY802G002W000205m0E000000U
+0mKrd00OVuZYe6bn0G0000118004W00080W00201W0G2000a80002G01G100000080mK@Cuu
+fG0oY0iuYJ0WeI0000W104000e5200Ga112609HGVD084sL102IWG0u0084zk1W3mkA4v220
+00E00X00WA@tuu83OC00aqxFpy@050yVT0000GXNK000ugZE2Wlo80ZyaOuCm900GE_p00Wo
+93de00e200H606260P0e0w000o2000C00mu200u7u7pFOF0SWV00Wz000W3004n008Y1a1Wy
+gtexV30rc0CsZJ0OU0XQU0000YoP50000O4l200TABKTx9aB4LfnbJVsC00mUuWWno@AX7tJ
+0000PouOi9k13Xp0Wh1W6kjvMlh6FtW2pP0000ziMCCxGN00G0wJdXSjUep59sIF100csNIu
+40000040W0804000080GZ000048210000W40000142000W0W00mMz6aHl100mG2DpWsuJG00
+4A00012W00Fod0mr0WAvDuvU3080GqTl120080G40ipc1VpRmJH980000400Kyy9q3@32008
+443W08880G42a0Ga00WK12080G21a044800001c9004m408W4W8WGaW0092m4m000W40W4n0
+2AA08m4020W0211440WWi@DO203000WwC200410W0001GWK00040Mfq0015G200800011000
+18000GW204W00vVQ0W00W3060G00mWVFa1F3440402008W0WH0800Q0S0G0G4WO209040I0W
+664GWmKT600020m00406020WG0606004G01a00208H08mW01400G000IKt01000004@500WG
+14K00402I500G019044I000G0c0504W080842021400180501W04000O0040020W0400G802
+08Srl1JpPG@@C089G04GWW0W040A0D0000K080Col1G00000010002401480H0H8200m0Y00
+0011G0WG0G0upx600080000300004W00p@d0u66WtBTQmU3kYt00008009400G0Snl1pRb00
+10080004000G200aZN21DcGLtF000Wm800mcPH1020000HHn@9G80021001WG00W000080G0
+02WqQk4@_d0240WaAV00HVUUTHLME300020800G000CP33wpzXQ@D8CR9UtsWv_J0yg3mw0j
+qUw90202Add11W00200G0020Cci10004@0qW81h0404Gxu6000G100W2000cimY200340648
+08W0hgR061104H0H2102WA4Y0G00002000GGG00XG000i0002dMV2txRGt_6W01G8IV30G40
+00C000GuMKXH11200G200G0100000010W800H0004GWW00408004G009200G808040ebT3gM
+z1000H2040EMtWJdD00000Wh2WRQRfusG0WK0e98X00085H0C100WKa2G00020G000KY0000
+aI492000G0fG120000a2WaI402X0I100AD10gTT3000O2300I4IepOVW2G0000X8G000004G
+00X9000010G018000W00108400280GWc020W0W021WMEDusU32d7300jUPBlnGi6yURB0002
+G00A24WW08G8AW880WGG45@R0G14000A802C080180440W0m000ymC02Gm00004m106_i7WD
+706XUZ7pVuoOI0G0W2G021000001G410402008400001024200814E2W01A0X041A40000I0
+00W12W00G00W0850MfU3000CvU65wB10XmEA0G004207001fND0S0sMXCJG00GI50041XGW1
+0WGKV308WKEG@D884sz2021000m100GkmR00GKBovG00H2a1jA00Wd00600000m@NB00000L
+D3WHjdW@t3000Gy_700gvd100mV0000eoCp8uggImg_WWpC30hgAU000Ydd10V00Bf65YB00
+WVWR4kWzIWp0000v000HD00Wp0TCt1h1W1y300e300GK00Wv700pFWV04Wz080G080W0G0kT
+rWE0C0W0WGK_98F00urvDC000SBN8ZR7200m00006HoRGS26GXT00_00GDwR0800000Wyu@6
+008SD6kwQ5l28W0020040020ihl10004wnt0G430rVuHNFaK8r30000W100yrV2LuoGFS9yu
+T2rDbGFbFSvU2000OWW00y1k18000kp9XtqJ0040G306afE37TB100WYnqPenx7sY8arYmOD
+N3004QEvE6tkRW000XOTCe0F9ovaX7uVesU3MFlYUuCeWz4gsc1480060010010y2l140006
+Yt0WCE20100sSt000H0ZpdJxx9000efHR3010G009000H001W00GGG4W02018WG0K80fT138
+0100WPW24041GG00G0G0W0002Ss000002W08klt0000C2eW2000WmQ0208140G28020G0DeR
+W00000804008WM1E11000K000EYFXHgJ8@V300e0bj@30410G020W40G0XC0008W82W01800
+1G004W04401408A0H00KY88a020W04G2880K290Y14000008G0AW014W02W0L80100Y20K1I
+dNR000OtC@DG0100200mewD0003000K2000WW00000W0rhW100eWsGdXzwD0000O_u6yaE34
+6G0H0080001042CA00021CH4880148402m0X04038G00400WWuW0b400g2m011A15A800qmt
+D02e8W00801Wqe84400OG004A00W1gX1100GZ102004408AG01200W000008WW00020100GW
+04O09@U3Y_tWK_DuLV3020000O08VU30280V5b1Tvp000W00W1000W2Qot0GW0020100G040
+14G0810e00008200G00a0G0G000GG48028000OW00D@d0000100G1B_R0000Ur1000100000
+8qNV2000010007Tl1000WW1000100m000nP56Ks29080WUOsWg_DeEC3k@81W00WFwdmLw60
+00G0008u_r6qMl1TYPm@@600ea50040604W3dI8sgPMGN20A0084000200SPc14WO00004qO
+c100W00080b653TPa000AJ08Y0TqR0144Wz@JGCZ2mtu9G4G0ergPsFMYYsDG00G00020G00
+0W80000040001W80WGlr60100usC3sbnWc@V0161GMtC0000Yn100002XPGD8OX4oOddwDI8
+dG3wlt010W8DcRm0f90004300OIXy6000W00W000o400000820G0028KFF3002G430000000
+482GqeLSgmCGS00e00000420H0408W0P0W110G4400028GgG40000c10000000eOG0GK20W9
+820a0208200G20W000C8H00W8Y000G40G001C20T_d08_HG00014A2WW800qCU2LPcmsfp00
+002G00AK1WG00G400m000180W0GKW2WWgG20100H08200W80eOG0O7U30400iaP2420G00p0
+00H0GWH48000YczC87U3GH800XW100L00008Y7ZPOYrS851GYG80W8I504201W8bK84W0000
+G1W400100002WG000040A2421800f00642100GAG008000f051G0G000K00G1@64SF3000L1
+00000m000G1mb7yCEd401a21YXI14G80aO14e08000GOVuQG_860G8008044000000IO080e
+G48000H9epN6k@d10t30pInmu_210200GG000900W0000420e000W10Y20G01A00WlPD0G11
+20500G05800AWb0G020M0c04000453W0020140QfD1W100n2d0E1028000LdQma251W00004
+08W08G610A004400000480000440000008900000IIYWY00G28H20G000W100411040W0100
+GW080400YLaCeWO600Sd100OO7T3YX39r010Os508810a3k12W04y2a0ivf1084800020oI0
+01ao0wB10XmEB1oP0040M50m0Ol58BKYXz@J0SA1IsV9qjVH0u10rD20000gwu@7000dZ900
+012emqF6000K4WH610000F30000000400_@V20i90000CIC008@u4G2000000GW70000u1F1
+00bxB400mG10WuEmFHDmU0O0x000x100C50004100m0G4n503060N000P000Y0008t008_1S
+Xu2s307m5008B00Wv00021000A0Y040O0Guq900eQ5000800W85W00nuPGcMFSFAC00Wg0OZ
+D0000mC6O000u0l@C0_I10bvx0uip0aRevE00eU4300j@Z1000S0kxF000Z0F0000010y@3m
+@@60yq00WV@50tC30100OaH0QahYXmZABS3M3sW8nhOFL6000GAV00elk0x4j200G01ZR00W
+5qrqpfWMOkPVcPpD04U1mEycLXE3heR010GWnqn8Q46000Wu800OVUR_N730080W0GO00000
+G8004G00010YfyD020W88W0m1LD000080050820400H00W00a4W10024100G000G441000W0
+2H800FtR000xqZAJ0020Qz_90001000020W0WkQV0004m8v6C5N2pqsoAz9yJV20W0W_@t0C
+10080000004W00000010080040G0829W04000WL1011G4W0080005420002034Sl15EdG076
+KVF3rLbGqn9Kfk1TgR0020m8uD00028004mVZJ04000004YwvC000000G0G0400040840420
+001040KHnU60G4200G40010G12W00W0800600000dz00nH@600040400nW_68G0004000W00
+m_oDeXn70W00y3A3W000I0WWpGJ0000nUx6C2z3niO00O0WOuD0G00HuqFCNg1@bQ0000310
+002WG001000Iz002248000G000AY080swEXaIJ8bV3o@F1100G@@Rmr06qzVE000c_cFXbib
+eGQ3kXp0000W9IRm6x6KVk1XgRmfS60G0GeXe4I28XrHYAID9G000aWU208CkH00G0040W00
+8000Oae7COYhVEYK50W00FVZnT@C0Cl001P000e40W01401026YpWM5DOzaMQxscomDO@s4Y
+@t004000020MRs09000000G1B000Wa2000c8208G0086Zt9nurFyh0I000W0Ge2Chl1hoQG4
+x6S3l18001C208yQg10023HG01212m00Y280bX080400W10002008001000008040G00xjb0
+10GWxICuy_Ym80000W0G100GisIaNj10GO0Iis0moL00W4H4WGS80b0G083X20W0G480ruRm
+BtLCpz3Z6c3000A0W00W000800004Y0extD00001Mf00000u0w9qml1W2002MrWimV8S_7_e
+ud9EDumlG00qy1a00ukV30G0X0000vzU30G204Gi1@wxHbujKbk4C00W048046D39yR0008W
+puD020084000mK88DoR020G14000008W00300uG0408218GCWHxy000umG@v000W1002oGz6
+Cjd1L8p0061W5JD0W2X00004AZ0eW00Gfe00YYGKGA00m35601G00040KAydqCjD00o00G8a
+sL3900MEHD0000058gU3kat0000a00WGGA00KHG88I02Isy7g0000O_vdb63400002000xFl
+3m@r1W@L50_@00z40AeA0@@t000GmKL50Su1@30L_701@F02ML20yXvYx408c008O0O200e2
+00CVz300080G00000m8LM3m900mm000W1O6G50300WA3cQ000WO40002W9040406EE3zXBHf
+u@G000000ulV10W@@bWP00mmu600WcW30u7t00F000WK10Wy7Y0200U0Ww3mVu4k@V2W9U0M
+2mZRXkAHS3g4tWJoz0000lp18WZpDO1tAsIDXbqPOvy7000WKfAC9wRmxyd00mzQaCF6CNYK
+phOofV_MdXmWb0881moR6qAR5HAKHGA25t@3dLP0000mDvD00G0nzOC00006c00my_6C_V51
+udmaF9yIF3000W00G100K08wU96EZXKyFverA00m000e15010100004048Fsd02a0WNyD837
+3kZG20680DJxnKSCKJJ83tB1014WVvJ0G0008d5Wi_J8L_4cgZXwyP0000wBz6C4U2020Wci
+BXZDLvf@7A@t00084VyRGoGF000Wqo080W000040W0400Awm00W08tzd0400mdGU0000000a
+Wz_Pe_Cm00uq_Yh10004A2lbJs0RxV64_a0a4k4I0006FIhGlFfn96S000ipj10400kvoW0V
+jfIkJMUkbOuC00W@vf@60W40100aGuwjCNtF3Ovnh@6qFf10BT0000m0001m0mW006808000
+8000Nmb43000c000U3het_z0000Fo00WqvD0300000GWwUme6eDsg5ii7Cuy@M0W2000GWY0
+G00HG0Wr70B@V30mk0a_@C400GsyaXe7e2020GSy60000tw00mr@pO020000000C82a0G2PW
+RmQx5zyl4008000u2chkDFyRGaV9aFYJD_v10002A001t97200WC20G00I40ElgY7yB20g00
+00uV04ig00008n31BpC2cggyW@0uV000020O0U456P000zCV50m0G1280000V1OW0AW01K0O
+0e0m0G0W1W2410w7r3YC000W0800080000U_V38000qYJKbsPW@1GGg200WOa78OcPGGLL9I
+130080whl08XdnIrH5000uG5006AFdUPGggA9A@d100UuZfhIds6G00081026rEXrPFfmzJk
+uK2000a6100gYAmdyPuCV900OaE90CG0000024q103Py@Ghj9qXV5XuRGot9yZl1002AQ@sW
+TnD00H000W881000Y00000000Gn100J00000G0380b0OGMb60G0008W020X0G00Y40000100
+Y00080008mVuF000G0000KHS6KcF3LdcGaoOC2A6ZpdGUm9000W7C10G@@6G0W00400020G0
+000IBzR0020X@mD0004001800020W0800oW0840a00020AW22H000hgR0000215800W0000W
+GCyQ2@cdGqv9000099z4sItWpqD00008210WvkP0000ucw90089310800000G0LWW00G_@t0
+0GC00GG000000021KW00011000I004W00MUt04105@@R080WWj_D0801000W60W00pdR0080
+WxX39qT9oHtWLzD00O0000W1C0003YR0mj3WBnDuKS30020KdW10001028a0008O7U3008W0
+00800098G0C00000G20G00A014G0uE_4040Y4Yl1dI1J6w9iYl1TtRW000WktJ0000ci10WS
+tDuRo4QaMYshJ0I00mpp9010G0200umz9C76I00007uu104fc@@p0H00W5zJ8CV600020804
+eFV3G400000020I0084001000WG00AYBgXTV0iT3mq@F0020yuVC2Ft0002001010002ydl1
+ZNPG0RE5013000OSC00008000040200WTwD8_U3wwtWNQDuPU302G000A0eZR3UdF1KGG0RJ
+RGcU2TPl1fqRGXz60W00008000WgiDePG0010080WuuDuAQ60001240YW008AY0000900000
+H0G8a08L911W04I6684000rTNHQyv0180AuV60S80KQj14G04001GWW0000O40100Wl@DW00
+1mNx6W000uhL30W8404H0W808ICy6Kbk14100ssJB000uM200Uzd1G1G0HFpm_u600010000
+b00280000a22041000Ae4WP0000W20A100204eQ0sWL_l20m6qx@6ynk1lUym@@94uY1o020
+G40WGH003X41000000G10002200484MOHRPl1Gj3WuHI0000488W0H46W9Xd000WWlpDG0A0
+000800G1R0A0K00410H0000004AW00080000Da50004LeGjp@ms_600002000cZ10Wu@DOP8
+3400021I0OyU60400ipl1BlQ0W0002a400W40s0r0G0005jRGzQNX00000GIog@984000000
+9800WgmV00W000609X0RD084sr2020WK0m1000O1083mU8W55042xXq7P2nmT5mmwXOuCm37
+UW@100800mXXpWCpu@mmJ8@93004008000G00eW00G110W220W0iggSIPHG0W018012G024W
+0W00101040208G80800000WnQ020020004048k08080S1G0Gux@440008080K1G080o2G0GC
+W0Wu20Wv7WBYBmU0K0k04WK0I0n5m5008h0Iv91k42WZ10W00X@R000lcf@P0U00GJdTbigD
+0QP0cazjG_X1000MgvIypRTREl1009ek4Eyl@D0mU0iqQ8@mNKixFiWj19xRGMSFCs@3000u
+uE00SV_30005Md7Z@ub0200GhyCSaV2XXxHY@j00e7@Ez7000020W2Oa@408000W00uBU3GK
+000eG20000W8W0efyJu1R923dagZhOOSC0lY0iVP27zdmOT64oa1Nxd000010800tqnm2@94
+fW49X7Is3C4ql7000eS800iql4FxN10WG800X040000WG0q3l1W000EdtW7Nx20mFNq@I4hc
+1Z7ln7E600020ZW00410005400004dDNBn680B_x14p40000000WCZ5@180004040YrFXFSr
+2000Ys10WdgnG44O6p80WLyV8NV300G0W000000X0A02W9f130mDmscXyzl400110000KIi1
+G000W0000014OnUj09e0yBH2j@dmk46000G0H4100c10000H82WP2ja106002408W020G14Z
+00060010WTVq2000Xy00W3zh000WW8082HW0Y000000Y8MLV2000800010W80028000h2408
+2020m00008aOG2vx750W3q_@D0K00mXyIi2W1aIA0cjtW9pJuIO30509G00000a00040WkNx
+2uU3GuzX0400u2C6kIr01000000G000Y00082800GbqN5sZ1o200U@NYZHD00082000G8440
+WH0Y_@@108200008Ge00jJGNb@R000pX_zbu@K3IFtWawDW00KwXtFW00000008000I20008
+22023lBGLC0NvBng@6KxV2eF0j000ei3@3A1020034P22002A0mr_WP00000008150Wy@b00
+0yFt000wzVt0y@w1u@045F6szF0000t5406@xB40Wyv2nJ8zR600W000Y002c1W000060006
+0006TC102000O040GWw0W05501Ag12KK0e30dJXn__51iS1u@V90mV00000qVWzl@TxVpht@
+HAm000mCc10002009000I000a000qWr64OVKbud0000w6hbeBV6opFXkKDOQvDQsNB00oThU
+NHVoC4o@30100Qu@XJ_kw@@40q10qFtODyp3000Kn0005P@0G00WUjIOWP6G0W00020euC32
+L@XL_V0048mPzOitk151aGBy6is_60W0000mmK0w30405wmF1000GZ7RGgyI02008i030800
+002089x42yD70C005lZ1WU4Wt@JW000Ifr64MV289000G00yPj1zhbWG00K0100014H0100i
+il140W0_Gs00810e100widamfIu2@4K000iWl40001000UMeV2RrbW100mj@J0920mX_F000
+a00W0000400C08HAO000WXacD0000WW40XO@P8NT9cMO60WXlzH@GTw6aTL20W010040S0m0
+roRG@@6020100G0OBS900000400H6@6iy43tkYHs@9aVU8JuR00N6WB5zutz400m0yBh1rgd
+Gmq9iLF3XmRGo_Iazl1LsNnyz9KpV2t2m0000IC100Rln000200082j@RmwRRqYi1zqpGlc8
+Dol400icJuNYs_buaNCoyFXCsDOVzAG0004v_3@sNHwlR0YL08ZOIEnd10G00RwRGpZFah03
+d6BnZfC4NW4NpPGAz9q603000OH7004dB30102_XtWLnD0040W100eCzC0400mmz6W006000
+4Gg090120000G00A000201VQoG70EimC3HydGK0FaPW40G000000HS008LW4001002G00012
+080000GO0PwRGr76W2404G0001GGWu@DuVO3M8sW_kJ0800GKpdSEjA010000Kca_@34WG0Q
+ztWD_D00G2000G0G280L@d000W0G0000002H800a@V20W08lltZ3_v1eA2G9sF00214008mh
+y60008iHV301m00010OlP300G0zzg4RkRG8464dPKq000sPj2200200G0_zdXupg85U6sw9d
+a_z00mpzY@C8200000000G8W8_D8dV30021KrV2hYRmju6000004WGGV@68200OBvV67V3WI
+30TKknT79qM9CZxl4000Qq3005_d00WC1W0000002Q0m0Gc00f0GHK0U4_GK000eRgb10G08
+YI800WGAs0W1N5OGA0K45m69SyGkd5nU00O_V3W82000W4m4X0X884PJ42YGWGa1a08218Y8
+50AY2O38300jKBQs3WH0W48Bw400G20800850AY2m3060000m0_KF110000C00J1WYe0E100
+04v1CWK1CuiQ30O0GaAW1G0m000000180850AY2O314810X8G480004Y41100W09G440IWf0
+GHK0d8G008LWe48a41WW4H200002IG0000Wa811W89a205H1SY400009Faf2O0008lK1CWd0
+8Y4Wa80ad8I0000GUUc205H1i1HI0WrCi@zWxxUu@jB_FXJU000m2900eOd9lzc100AoXcy@
+1Ig30G3On@k_tl8_QF0hKz9000M1200PQ3MDNa3sa18NGgoUm9z1009xIIBK@dqV80DT0040
+0GG00uFh@Bu@700RJPVtry_512O100000840Whq_lAk@tbyG000fi500Qxx@85_Fwq61Ghsb
+8v@7Q_VXe@Few@FEILZyg0wk1Oms@x@tcs@V000tL4m@@Wy@DG@@2s@d0_@7e@V1y@FW@@@@
+@@@@@@@@@@@@VM_@@@@@bl@@uz@7_@@5u@@@@@7t@@Ls@@a@@@@@@xv@@@@@V_@@Lz@@@@J2
+G00u@y70ut0y@@@@@F3002W7vV00G_r@@GY000u@@70pl0y@@L20000001S0m0D0Om506iIm
+64W000014W024uf03kA81000G8700QsFV0800pDO0200020004001USGY@@D020000000040
+200W0WG08W100G000Gw2C0ij1OP@t0G8000G0u426g6XXuHU001000008X300@@VLH26CUI2
+000000410040004000I00GG4G888000101002y9332LmWdKOOB5300mY_@VK000800100W00
+8f36400008000400GY26CfW120000W01yg03pUO0020004G0@@d0WM7WxOI3W0G0W0001600
+1ZO000200G1Wvom00Y000G007pm0000G000Wy100_iXgx6UO63300f00008004021800W028
+009084121100uj530000G40000000W0010002tFa000fWAAq201WGzE6alZ104200W8080O6
+1800YG0W020W880000L0000Q404G0meA6000400800800WmUC00G408000W2E0RK4LAG9000
+Wu683I1u122000408Y20300G4v1m0000SH200Xx45000gtTC000140000005Gf0810020000
+2@yOGA0C000W20W1GU09000000Gmt@@H10K0W31000200040G0000001AI800G00G8n000Y0
+G03AO0080800W0Ga0W004H000800WO4220040a1084001HY0a00504GC085H0GW00200IpWg
+_dC0408GXC60WGW000010810G00000840H21000G0004mx46iFX1000I042000020045W804
+0G00000WW00800W400000fJ00W@@T2i0080004000Y00GW000m200120W0B0G4WK0U08m2IA
+060H00EAW40R08000G100W80008000i000WW0800WuYV7gt00G00mW0u0W10OG008W00G00G
+8IF003C00000Y82W@@J0CS0GILH1G0I4m4m4W9890H0J0Ana0KY03e416192C2O2O5a4GAWB
+WbOM0BHW1MY0NW41k0S1C1o2O2Y2W1WR020c0L041C1e4H0G92C00085300@@xaP40008egK
+PcCbn@00W@1WWFy01@302cP64ym3y@V0uV0Em3F8e@1GG7_WWKL51Tu1Fum3gIL7yF04yV08
+uXcHm3TWW@1WPoCFW40uV000Obx@@kUjgY@@X1mq3mcKfr1W3jmXnvHCy@l1WT90@@dX4YUO
+d0aQ2xXT5Q10006V10WWfmu@VvwloW2iCOQe40041iEK2nEGLwRLC@G2Jrb0W00eXSI0G01m
+3W6SLG2WYU0_@FjhuCe7E6EOAXouOOkB6QXn0X0009Fa6280WR7a0000000H0W04030Q0001
+a85P00W00004r4FwQCkAM_WXD0Oegm7glA1mrF0b0ym@@Asob10008o6nfnie20mgy@@@dn8
+vJVQ000m_@@pCD3Hh0D1000eO700_@tovAD0W0000Wmh@@d4001nwd600320W000Gf2W@@p4
+2002000G000iO300AfWJ0W0008MO_@tI00mV0000m030AJ0004E0GMjP2004vyL3u100y@@@
+@@N4u27W1wChLAFY3730000000ej700ipr3Jx190WmWWh291XPAY3f@@D0iS1mCyDc2l1N0c
+G5d6eR00uwXnAMVZerDedP6gQc1001000W1g@3lq4b8yw7Qst0W0000KO0ANZmJuJ0002000
+GW_wP8WK3oU0lAr_VIk@Zqx@71@lHn@xzbdJVb0500GzVG6cy3950vYd@@XKKNDn00WydaK@
+@l@Y000WQ40080hAY3ViU@F10mfnlQizmkD0EN0kocXCtBAq3lRXt00004tgp00WjcEAIRpV
+F04W0aPD604P000424_dVfqR0W04WB5I0W00Oy_Cm2000G10Gk7ijuFC00srhdSfpi@lCy@7
+J@@mu@@B@@@@@@Xe@F8x@@1@@@@XfpO6ksU300N4124o@0@iGW700080080C7j1XRdmkuF0I
+60uQRgE8NYmlb8dU300W00200SHzD000mB900uLzA_wEXUmJhoQC004KExeVhlZH8z6CRk1W
+GR0_ftWih9yxQ3ElsW0nD000010002O1003udGRul56k4tcRW000W8pD00002001000WG000
+4c_t0W00GhS@VAv0304100000H00Wm@h00G0oE0600H0eA03_@NE4100@mpmu@I0aA1uC7Hp
+QnWe5m8fW3xWm004100820sslEH000G4W80008EeA6WfQ0AAmW@@@@@VIIRnW@@h00G0u@@Y
+2s10OQAIoFsF52088001_@@@@@P6200mgPOW100OHy72AekBSs000m301WWjtkA6Ra0UC0ii
+@a0001_Xd1S100@@dP2w600OLRiwesF7fhcI0K73mnwQ5jk1PhRW000WioIO6lDMpBXfhJ00
+005k10WY4lY0001004Wxi8f9y4000G46k400k3VJKYWuAQi@700200040unV3cBNYdrJusy4
+w_d10r80d4wKnX90040080W20000i000@1CpEy9000WZb00mx5ErxU2G400O600aHkG00mYc
+@FA21001ab004100a9098_Zum4W@@Z200OmWZOyu@C000eb300CJtOnm9qz@E1K000X00000
+egY2OOGBU0Ss0itIK00WWEVB1L100O6000Gm0SuVE000Wm300y@lJW00P0140CXt3G00W000
+YG200u@@P000y4sJK008W01000C00u@V6051G00e030000e00W@@X14h1m@@E100q018000G
+4XKRDuVN38044a8Z1PQPZP00Wv1Z2G0000401e000000OE2EXVZD8yhP004KrXNKA1WGm6A0
+G0000070m@@600GA100W4M0GWK0Ou@VL4Et0C5DOLuy30002u000@@x4000O400uEW900mU0
+800000O0mYr600nF0000Wz00W@@X10GFrusZjKzF00N0_@Vl_6910002500W8k1RcfDEwkYt
+kV00GI_@@xzkL59iB1OU4WylfgDiD2IV6X100@@hu5x6yfk1hgd00W53W2007x55000Y1zJu
+5U6Un7c71C0mD3G8@6yAW1fXNKG@FyrFF010G000GwQ008WV300G00400eVTas8tW63X9xXA
+00y21600uoy@hS@@9x@Fo@@75@@Gr@@J_@xq@@no1UrNCYeLYAYDOmy4000Wn602urhb2ikb
+xfV000020W0G0004N@R0Y10m@@D00G@5000GG000lNRm5U25L0FdjQ00W040100000HBpE1G
+CD0f8NHS2TLHF3fmRmNx64Ol1DXRm5n90000bU0GGoz90004un_Y000W010W000000W1W0nD
+eCL340400000G0100002WImD00W00W0001040LZR000GXkzD0000qe_900m9WI4040008000
+8HxR0G0X04040za@m80C00W00001mP06KzT5W0G880082L1e20008005G8000WW001250200
+10W400W80H08840000001400010002W0000408040G800GIW000ARV34W000002G0I000000
+WY104802cp_10400hFdmDv6K203X672G000WK0404A0000W24W4000044040014001105000
+210008W00000084W0020W00000W0Gu91308G8O001G010000001500x@R0000MhFo0400080
+000400G0000001008008002wHtWYsD00a0010GWvxU0800msaFC3G20110049101000800Ho
+w6050H8Sz4m228iDW1NoR0G8000040001002G0ygV2pmR00809000000_x10001100w@@400
+G2SGV8vFmm@@I88000Y00GRl6iGl108Y02Xt00410R_Q0Y40WIuV0810000820600z@R0WY0
+Wz@J0aR0GLy9qQV2zG@p3t608W0006510000002H0WG0W800i4F6LJdGby605008I06000Hf
+A000082000G4Y0W8Xr62G00WFwDe3S3EYlbiuJ00H0G@rC4Bk1400WW0044_V500Yq@@F1H0
+00Y8J0008GyoB9ry720280000808214Hc0aeV2G400W808U9W408304G40SXJ2G0H0gtd1Gf
+2Y0G40000YKxj100120W0YiyD6nv@Gc2L000G200CKs360WP00018YPaG40m000G80IAm0H4
+100004JcE10W0H000H8Y0YG5000082W0G4mC3I000X8000H00084F200G400n8G4H0W8C0eA
+0G092C0c@J840004G400wKe20G1000200002GH00004f3Y000810YW1041008sVj100H0410
+4aN1300W0020GG40AUJ234H0000C0O_@400WiVeX100045100820YGGH0GkbU82000004HR_
+O000Y0a51800W4H820ZkO000830810G830gstWkzDu1x7_@t02200x@d0008Xe0I0aD30000
+0a100hxd0000is_heET3MxqWO@t00W104A10088OLca00000W1G0040005804Tz6pzmGy@60
+0I08mU3a200qcq3XPZHfe60800iR03gz730W040G70W82GC8Z1W000000221080008W120az
+zn00004060008000G0802000140ebV3800000WHRrR30020Kvj18000G020KT0600K000000
+0W2wAuD0I400000W00W40G1420W0fsRW80200408G040_@tWEnD0000000mX2zD082W02001
+500082Ge001WW000010020Ge00000Ww50_Ho04008000I0040SmA3TWNHn0Cy@l40W_RGG8i
+150420X0W3000G2000W40GzD484IK0021GKCdSwC300WOG100yc_3Lyk1a01000000O00800
+b00208HzDIrt0080000W0QOsZ_OD0008m3@O000Uu5T6kz_d_@D00WV000@0_1S1s3W0m500
+anj14@000w0_1G0q100W0000X_eJ0000e00001W101wQGNJ60101O903_@t00p70DEP040C0
+8000m00024WXBmb010080I203W000G60_ajYh@b8I13ght040G0DdRGaz900Y8wPQ68Y8000
+18080Gmcy90000Kp000100G00W00201000001048ATFUetWQV5QqU3W020SoD30800VutWbk
+P8m43Q9_atpKvJV30800CWi1F8R00W4WVmheqC3cds0100W040W6iBX@@D0ma20400mTkCut
+xGM@Mb1pp1001mby6qbT2000WX5004UCITS@0001W9CIONv4Ix_12800TzOGoz60W2010W00
+100W60Cu@V300K_b7d1080aUIFaTPmOlw701000e0aW200000040050W8000J0000000G04W
+0800120KRmP0200WtrD0G0111W0WOiJ0000rNx904W001000Ws30000080G0ckp0000G8WA0
+2Tt0WW000200AX910100028G00005wk100W000e04yj10W00QUt00W00000AG8140G20072I
+128W0008XGK0008X4W8I0Gm0400X0142000W208002W00P050002W804401J4Q020S02280a
+002G00C00AG04H8W02G2000Ka320G040G2W5n0G008A0WH_C000WW8G000200BXa00040G4G
+020100G084hk1Vgd0400WSrP001244G09ICW80200020G0441200Z4428GH0Y40G0G0o4812
+00QRE30K11000G002W01L02002400040K0W08020e8W00600em00084WGm8000e2280W0000
+2m4H00G0GW001080W100000O0040G8Dl@024H020008H0O_@t03000FoPm5QF0840080W002
+011X0220800q08y5l100e0WW00000010004W200A000004G000WSde1TxR01G0WRvJ0009IC
+a608a0000022220080GNHQ0280000000G8004000008G030mO@608G00001q@@C00W18pV3Q
+kmWp@DuA63IPBXUAC0090GZz9W800000W8G0800410001841008Y800086GYc60000200aPf
+c90040esE32rq0Y82000W8oX3ZeyDusD6opt000W1JmPmKP900G0ePV6_B81G000jTQ00Ym0
+08G0LnR0a5W0040H0G40821080m0uKp4UA81010008SKNZpW6QCGc0410Y0W83IOEzDQ3rWS
+RCeNI6Mxq06000hvd000800004pu91000Xiya04C300C1WnAV08BYu2@C0H200G4GGy8RK7Q
+20100ccqWrDP8H@7cWpWPCDeJK3sJpWrzP000WS3@6i9l40W0000W0000W150W000W24H40j
+_bG7Z6yxV54200_@t0000AJeO0000a9MDG0000002041000090s4C10W80G00001W0WO00G1
+00mIh60Wg8008820200820G00m900YJ80G00H5102a10008H00GYgnB18200610000iOX848
+200H4Y00H8A28YW8Y0WCYqdd400020001W000X800qaV90WI0004000c1040000001G400K9
+g1e0004C00yvf1000YX80i8002ec530Wg000H000e00g0000001G0WQW000G4W04Y0WOni68
+W80G0O00H000L1000002U9r0Ww50400YC800G4Y8202G44Z8084OWF9A1002000180G30QYD
+1Y000W000chnWz4D00Y0208A20415CI200I2H02G000W4X0W000010Y0Gna001WCf4184200
+0GYt6PuTM30W40kch1WWGWG0HIG4010W0458G0I00G0000e8CK01102G241n@@900129RD90
+0XGXf0102000fWKI9010YG200G200090GI000001244000008Mkr0W10203WY00O0fG00W0W
+1Ixk6002W20880G0G00G4000C00G80y@V2G84000K00C00G8105000G000G00Ce010G00G0g
+xN3008R100B40200002WIxCOwJ3IkZXOQJ064110W24YW0H0Y8m060000082O0GWG000204G
+G0WG00GW000I000a0C280G01W5gQ022W300048G08K0041OG5H000000442080W00000W8ax
+f1800W80820000008808C0010004440000W@ZY1220003sG0Y80G0G10088000G00001wrg2
+0YG020X000002WGW000G00306G000O0010W00000GqW24000100A0CNAQ0A0200WW0g08000
+048900OZN3000G001W000W02K0WkOC0GG001a092408DSa04100GG4000028000010400000
+400200080701010WG18000W004010mG43WK00_@N200HW002040W00W80000W0WGW00W40Nx
+Q00500G0405CdGCS600X8000S004YCt0m1G25wT31XWjM0WG4420E000Hj4000IwFE144200
+00WI1m0WK20009M0W00840E0020hI0u0ib20082t1B008Ds1fWd4kJcXu8C8WE300ib0WGON
+B080221070WGe@60S0RgWU98G8i9200Y000m0008o810309H0u@VI800GaWZ15IRWH0000qT
+40SKWIGj2mW50XcP080GWj7C0100u@@LO00FN00000U6W@@hGLF0mZn6800086E30w0x1W3q
+100i7000S000uE00pTWVYxWz0G0_1GMS6000300020C4@080G0f0m0nF030JmU0u0c000x10
+087000fJ1004N000k0k0O0P1W0m8RE3QZB140000W90_@t00GS10my3mbu7OF0EWV00G_06G
+y300m5u7H68B0KWC00WiY6AXWzIuAkD4000w000PeP3UupWZhDu@@70084z2v30K00Mshe@@
+V0W00ncSaa7k100G06qZ100E09q9q7uI0Y008ry7W008y4k1hQlHvpFSTi1000GtfqWqyQ9w
+W400WWy@@O00sGwMdA8082tY_0G8084040G000sDq34200bPR0000ab0DeaK6o7ibCkDe3T3
+ELK20300OW004408020002OWGOv602WWQhU341400420O8U36Dt0800G08000010zzD3221W
+800490000000YE00W_pJWG0000G0WLaD000GGoo60800OlA300H400W0GG10ns460001WG00
+0WG0e34Du@V302001G8405010G8084201eW001800G19800G0840W24G11WG80G01000080X
+4002W00G810W00000100W9WW02850W00010082000WG80W008dV300Cz128WG0I000480800
+0LXR00200140000W840010180G0000024W8yD0G140S40GO01I0808IEm0100G2010kUpWjy
+D0082286G20W1G20m00G81B000Ge800WWI4GW0001W04OW0A0W00000a00GWvsC001004080
+10o008G00080kDW124W0GY00GW1000000Go1ahUCm00G0040008G00G40G004W000W0W8GQs
+9G40400082900K2em404000050W0Ga00Y000WW0W0e080180e00Slk1000W0I00000G08800
+40AWavCewV3022101W0O5U3W02000401001200200008000X0008j1W1DnRWH00WOvD0000Z
+i004W008lyR0G00WV_PW000200040H00BTp0G0110F28@@dGW29y8V200041088S6k10G404
+00000HGK0820080H0W8Y0K40010G0G01efP3Ujt000010W021GG4bKT500oCNV@10G4GG00Y
+gfdXM3DW880oK@6qQV2000YGC80iJT2fma0400W9WP000C1000000WW00W8820000A0000We
+00a8HGW80044stt00514080800H4W0HW0GY41H08000X800H40GG00000GSG300000W82041
+0082000H02OyT68200000G400C3001WZkD85s4G8Y0GG0200003008WzmJW80Wmt_90H0010
+0000WGamdDW8W0mry60041SJO6GmC028G0OE@40W04isF30010000G230W8B@AG0008200fu
+T30100Kzk180043ItW0yJ000Wm2y68200OCV3_vFX7tP00Y000040Y000tkdGqn6Cvv3vKOG
+s26yYa10a0000GksDl1XkR0100ndQPe_V3G101000G000Gml76iJk1X4ymY290G00mW00000
+20Y00081000000Y800000WWg00AG8I000W1Ga0Y240400141082ne5C0G084Ge280000mG4H
+K4YW0A410Y0100404Y8220X80900000v10W8200G40820YG40800Y20040G48200YGWruD00
+0C100K404A0HFP0H00ZF5CGXH0W8G4rFSI004100001000GG400820SH000W800000W80400
+0WBY8200We0G00aoCYW20WW01m0PY0e8Y0104H4OGa0Y00O000G004K5800H000044008202
+CH401H0W8800HY00000hM08W@JCG40000282000Y0K00008000W0G40Gqu36OW8000p82I00
+WtuDuaX40W0Y00K800J0mru6000Z00H008GW8G2G2082C0000009W89x40G2002Y00008280
+W000000G001G20i3c12008_@t0208HG00000490004100050G00K00000CLBzt00810X4d0m
+00YukD00G280000I00G00200G40GY00G000583WG00W44000a9008A02W2G0W200G052008A
+0Y8r00e0000000cW4100W2O01mvf60G0000G1KBz6Kzl1010Y0W000AW0O373gTDXK0CewV3
+800900000OI3mnb60C00OST3000W00W0ulE30040Sak102000608140OQ0T300OWibk1RkR0
+0W0YwqD0G000e00251450001ksn02G0088201040SVl1h2P0500000W0W10400211G1000G0
+GZx6KFh108e1NTF14G00000mOX0WG0010200e00000020dKRW00804800H_Q001K04000DvO
+0W060XO020W2G8e0G0060001W8020008G00010Qys0800I3KQ0I402000220040000X02000
+40400O50G0G000Km80WS_l1K042098002a0eyV3008CyLl10108000eikk1000000ya10GW0
+200Hrd9G00WG00000W0420000020_Zp0004108000103020004W0000I0e0000000kin0100
+1V5R00001001iA1WGm6A0ax63O140Yys0000460000208O0a01PIG00GI4004000W1000W00
+8685W4040XGY1000G20W0WK00002aSG2Zp020W40u00_@t00090084IwYF1WK20E09MGl448
+4s291E3Ifp08v2raWWHGA00Cgi1H1OWK00WTTJudQ30000u10Lr10001g2WWCC8ai4QGr020
+00l_RGIx68000mBeG00COU30OtY0mF000mcpa17exHAv90mFm0000WVW1WwzPWgP0000u1p0
+001VdW200S7m5mAOF0S0N00Wz000Y3000C000S1m0m0a50503008dD38w000u2q9509KWE4h
+Wi08Ry400qZTEk1E0101mU04EU2pWR0c0C080m1m000Y6m0WV008k0@8w0PbId1000n100ax
+000K0_180m007W00PpPmPuR4dE3fJbm2t9000Wuez7G000010000X3mx@6Kke10800sOD120
+004002ARlYD1O8jt466uXXiL9rU3IhoWgqIeYm7k50ccyzeeV3010001018T_4kdtW9xhulU
+36ocXvtV00mJU0GIiyF6@bPGZ_Cq3F6@oBHguOqcW47@B18j4Wl@YgzV3IKpWnpPO@SF0012
+iXd10040g5d1000SU1000200ijE9teOG8oCqnF62000e040D_k10080000G08C0W00408020
+00050020EAt0G500080DMLEXFuD000GW000YOrC00040480000yZ00W001000008e0E3EEt0
+0K000X00000W0010200000H0akxDWW01GTq64ZF3zvOGex6000e01024184200WI8G80G014
+X0A004KG004c800C04W2gGW44W0000W08121Y081000009000Q00Y0m000W004000100068E
+Rr011342080850008l100O01G0000016G000000G0020008100221G000W80a00818400460
+W080OAC100002iCGC8060200G040Y0W@lC04012400cvuC00810W2401n0W0WG4418000010
+800280821B10m0m040W0OG4G4020202A8021700W0mH000WX0GW0WmmU60400WC150800H04
+0X0102W82p0000pr000606G00004G820000G0G4G000021G1GGa8000G82X2060400GL0G80
+00KeW0G2G04LpGA2W0020080404000X0P0OWW00030000GNrn00W00010GW0000W0G021X00
+0098100G00G00GW8440v@@4wetWs@D06200000ZK_VuPV300y6@ul196PGZfCy@V280G02bo
+00X08H5o0000800WGN4PmJ@C0G000O000008200040820M7sWlzD0041GKu6ypa1@@d0082W
+ToCOvI60001000G300000g4nXvC0Y00Gl_CaIU2@h_GA0KqDN29fPmCN90004G4494000041
+013Pd0Y04WnzCuUS3820Y4_l12040W80100Y8Aai4_6F1Hhg80G041m8HSsn3BaomP@60060
+Oy@400Y0W0H000040200nfpP8oU62GF1W000G400YdpW0zOOnR6AXFXnUD000WmxI6yFg1O1
+00008G_wM2bhW1010W@@De9S3IVtWwsCOgT9QKsWAqVOFx4E6FX6tP8T666eFXmuD00WDTC_
+64xj1zaN1000fM@Ce216AFrWPyD0100mzs90G000I00W110008oC000CGqC8W8H0b800008I
+cIxD0080W8e20HG000080W8W0KAV2001000YW00000H00oj@60000UPE30yI8I0a000J9240
+004GC0Xfd000eeqtP008100O600030TXdWY000100080We020LLOl1000W0004000euo@400
+H0avk18I80sat082W8VQPm2S6qpU2Y00H080820100H458100WSaD005000010000OwG40GG
+Lu0W8a1000JMgCa_E3G5008100iZi1Z9a04102HC18RndG@_60We0G4KWW0000Y410Pnd02I
+820O42000001211000G0I0ufs6aQV2HUd0e0010000002c4G20009aeEE300Wi0080u@t700
+0G1081000009m0G0010aG240020009040A0000H20H8020W880W08000W400IcZ6000I00G1
+10W40G00O0eGI10000CH0000G4002000mc00C0WW00W800Y0m000W2a8lDupU3000GA40000
+01IYu60W2100000W4W9000K03C0_gt0GYF075NX4W20000O4AZ404KW1C00WX000m0eH4100
+a2C8a00O1Am0100W0082C04G8G00esNnWgyD0H0m600W200820800G00WG8G000000BWH040
+0000a000000W1C2100G8Z9isl12000C0020000W80G0W80060000G00f860G0000000cH02W
+RkDO@V6004H0060AWE30q82G10002080Q00G00W0211010003G1000O0014001000060G010
+0qag1010WW88G0008012em066Ctl1A02W0000W18000000G0GqVZDe_V30100yck10WK0QS8
+12002RXR0004000NB0G0400100560eUA9804000492000A00400Y00Y01G18001220O7S3W0
+1820GXO1r400G0040G8Cy4840X0W3000G20a0W40Gl4884s0200XWG0m0084gl104GY2lpRm
+C@64vj1GI4O100XWTG000442W60WmlDWB00WuP6WOXJuby4o1t0i0W0vWdW3Op5qV312XDNo
+Ad18f2raWWmGY00S6e100M00m0ibVV2G0000002Kob1Z0mGeuR0WP0qXg0e6ReA00mkDfo0f
+10080004008ouwatubmXg0GCSC46k1EmFWLmU0axM200y300m5mFnFGM0C0@000w00005006
+js0YE020W0T00091000E6S3K0NYxWi08yR30Wu000OuFNS3g2tW@@J004J004@0C9_1vWd0n
+100YV004@0@8w0xbLU2000nT000A0@061O002O200a400WO0o1lYtrV8ST300W0000G8iD3_
+QV3GK730SqH0W00u7008rCIA_ZXzoP83y4Q1tWXYDu9_GshMYqtD0W0Wm8p90000pR00GesT
+D_A3NnAH6RFaJl17@@000uXQOV8Tz4wsFXlqVukx7gy6ZhvEPZTI0W60C1FCvSuHds6KNV2@
+uMn6x9yR@300W002010000080GGktCK@q31dpmrv6yEV8tyzmGw60000q0G0000801e02000
+004G8c3i1@zd0021WSdPOQV3000C0020Y200Gau6SJk100K900W0KyU50W0080G0S_c1W000
+848044c1004422tWT6De_V3000020004W10000055G0K4a2g0140G2200101000I0IGW0001
+0obp00140G0000400010806400W000G088nnQ01020G00H400W040318882004W802000000
+0R000428G0100L45400G80GG402200008080W4G0X1Y8WG_D0608008921ZGCa8WAK00H020
+G04Km18Y0guyD0500II36040000LW0004100C00i0C00000Z0OGW0W04000J00XW00000402
+G080000800W500o8G0001000088010080W0800200A0H60mWG00054002W04000200000m14
+0G0WG41000020I0004100W002000008000C0G8e0Y0000140004Y0WI0GW20A2e802GY80Wa
+G0X000111W40G00000m00000280110008240000040101I8G04G00G8aej1G0404480aDY4@
+jRG_@CCqS2600W400000I000W0000I90008000G0001X2008lN3Yqq000200180AQD100400
+H0W04G01142000000018812806000020iGd1d@cGpbC0000200O800008Y00TScGhv60H0Yu
+o130008200YOkU300082G0G4Y00000W882m0082092000o01eyx7oDuXnGVOLD62O4ZmmP00
+400001WA_CepV6420Y0008200GK2u60002OWU30X8080H08dE6000HCYa1vWdG5w908400H0
+00H0WWooDW5000020Ws_C0000000W400GW0002100GElE3LOdGFiLGG00OyF3orxXaHDurF6
+008pa0k1I00000CndxE341041G40ydb1Fjdmtw6000W0008HddFCjg1Vmz0210WVvJ0008K_
+_6000oeOU6AIt0000YHWd00000G0WPLnRGkVF0681OW_7EbsWI4s8TQ3IEs00100n0o00003
+000Y1op008000C00000YGGW0G0X08Cr4m408204H408oSGV6004X000G404100WeG000HG4Y
+0Gr80200AY86I4000aV4o0082041G4410004m00810uSp4820088000W000Y000W00082000
+00C200g200K800OcK_J003200g2008200W30cwF1u00006008400G8I0O7U30005100W00Y0
+0041mGzDWgH0006108280G40H8204WP0000W0004825000000000KajaV282002utWGpDGK0
+G400000GG400W010Y40002W80WH4a9iJl1HkA1008Yj9DG42400000H81008000040230000
+00X4m4000W4Y2W06eF100G2a0000G0I1W420100104100a00DuR01W0W_@D0O63GUzI0084W
+4001000A0W800811_9t0G1G4W4000W448900W8H850I8100W400W81000G21W00G2W8W2180
+0021C0OQ40W8Y03cO20000CW100G00Y04011080000H810000068001ewgDX@CD08W000G80
+41401@RW082000080Q00o6tWiYh0G0W400W800G2a0A0058WP00WCZp40MI4G1Y08CS300O0
+8J4000006I140XG4OBGRWGqW08000@tRW02G4m0G0W0201100000Ga000000X0080Q00G604
+00020400W05800000920024W008DGk1G4W200GW00H4uvT300etM3v3V4d0002S040100K04
+m00000G00201900H000W4W000040IGS0020041ee010100O4WAtt0820080840G40100800H
+000G18WX00t8R020000001W000W0KG0GG0O_x404X0SNk10G040A20CXh1002YG020y8S2WX
+D0k_E1000A04008400qYe13uQ04C2200000400100G00G00H001W00G0e003pO02W080G000
+00Y000G000196E62RB17mcAW50042RW0008G80CGuR6WTM08dV3001X0000WGKV308WKA090
+9WR00c1000W0Wi40G09S00024p00G7tI0M00G8i5Ig06aDd18X1OpYx10S0xKX_RoYpW0pJm
+1iZIktI000U0W7cHz06uB50e8v721b130uBG1W00my1SPg1b_R000ynuib8RU30ecQ0000my
+30G_@60050qr20000uhWn396E3udu74sM207000S100u2u2v7aLuR9yva1201pT060a5N200
+u200W1WBWB060C0k000w00045000570q_S200W0Yet000000c00GS1C1y3oARE3000Y2008M
+108k3uoyy1O8BE3u7n4OF0E0J00GMS6yXz900G0wPt020G0xsoG4VC800G0000et10WSnbu7
+S9Y7Zart2PoCOkut000x1ZE9H9qISlM5D44IFSLCRl1v5oGiRIKCi12004gxt00WC0Bd@mm2
+6CVD9jt1M11ZjGC33pdGhl9q9k1jmRGKXIW200ufU3000000OVkaz4sNF1800131pGly6Cdk
+43JY100800GI02008W020y_j121006om0G000080000G000G000W2010001004dmRmfy60G0
+0v7U3kjqWnID0ya2006W010000H022atWqtD00800G0200G40JuR0W0240020f8R00K08020
+020KGX0G0qzh11g@0AWI04014WWH80040W0W90280020020W40e0G0e1H0228000W2100020
+G0KW2m011YHG0400G0W04W01G0K0e002G15G0eWH2004Y0800O100000u0RC002W00G4W2Qa
+88040008W0W00108WW0G860G00A0W400800404Xe00000XW38W0GC6180848G080SfS20G02
+0Y00ySd1@@RWW1W88W0808040G000G04018500022K00B02Y1080X00001CW02001O80840A
+808AG1W0G0404G10800GG1A018GW200YuP000KG000PA000000_j000Z0G4040I93L400402
+08002W0100602W841300404200810002Da040015I1YW0I45183e1400O0m0c0012000G001
+100420007tB18W40G01G020y0800108Wo4mC00G2uyR68280G0W0u7i4Yut08400XyRm8r90
+k79500GmMA6qyl1JbR0WW0000000Y80o3tW0hJOoQ30820iQD3X4p0000mSdPu9S3ghBXp@P
+GY00Gb_9a3k1JgPmw@F0000sO00Gsu6KjT2XQRmgv6ShT2dcb000aeSoDuKLC0GA0a@V2000
+104006ul1Vypmnw6axv3TaN1400004vx3TRmB2Fm400e_x40041000I00008H0000W0G2000
+808G00G04W04mRcOq6k1v@a000000WO2Xk@m2j9ygD3LmPGO@6004JfpV309X0qJj1HbAn9v
+9i6l10G000G001040evT380000f008WrAcbt008200040AnrW3tbOFE3ctfYTfP00G20000E
+c1WG9RdGWvCyYE3000Y0808220G11G004140P0W00GG0a102040G8rS900G04nc1Y0000101
+K0k1000H42044iU20001AJnWsxP00410004004A0hsdW10G4000000om0G100W8GiWV6G200
+W000W800000WWXyC00Y800YW1GWmW04GLX10Z0Z0H4WCEpr66qSl4hmb00080000pbvRmxU9
+4Dl108A00m800WO0eiM641004vg1VfR0000eY0C0KV30GH00W000W000wjt000G400WOoSd1
+0920086000CC0G02H008a8W000022000C41700200OfO6_@t00n8000W882I00002GK04200
+00G1060020G0451W4000G1nA@600Ym40000f0W80200a02000W000e00I01104H20WG2W00e
+400G20100000Fr4000e80IGaeM5t0G00a000081001I0008H1840C000b40024czt0a0G2a2
+08H019GA0811G200000021000We6pd1W1WC400YG4O08200uvT300H00W0100008WG0WTyD0
+H0000030A800000G8B04W8Y0xiT3000HW00820WHa000etzDW0G100Wz1WG008000008c100
+29XS3000W1W6I00080GQG0GW0000C00a0020000041Isc60G00faV3000Gqnf1Ttp0U220G0
+100021000G019W00800W200040100q0W300ypc1010W80M000002I0K00004W2Y0W000G006
+WG10G000015000G00d@R0q100G9a3K00G10A000S0GW20Ket60810G0000WWT42080GC8000
+40040020A0mi_60000000X080W01000000GFErWbiJ008208e2000W20800000I0020eSU30
+210ifT2PRO00eW8000GW02YYmE10008fXO08000000100O0W2000000K@00YA00WCID0A00G
+wt6000W0040000W24e0201000008yIj1000YG0100001W080m2nFaVV2nmnGEu6WK4WD2S30
+00X0W108jR342ztWWGOJB08KOR9u0sO91y40007a8k1GA400G0cWiR8000f20420X0G112m0
+sM1W0wC0GqB106WDZ0I9000cq202yud10f4GG0Jj0aI0008b2040000m1fT7YTY0WOuU8FyD
+00060000mT41eF00WE5COQyD0m10000go30cf20SIJ0000urh90000WTZuV5C23F1aVmUvWd
+000WO400mAW9WHGM0asT200y30Wv7mFW98ij48E00a0k10PWz0G1o000o200GC000Kq1004T
+000_1w0O2q9zx4000Yx008FWE3KWV0a5W100m00Wv70303WE0S0C000w000C7000000Wy300
+00iNbpO0WDs0WN3mcsy000WN40EgH0000qD0jhx10A1GxF0WUDbNyf6@wc6Er10_hF0yr8l@
+1200m7EOiLF30L0063FA1000W0480004yQU5Ndl100024Y00W0G00W000W01O1Q30000h200
+O7K30G00Sb_3pfNHmtXyZ03f8jHFmL00809102EHsWRhJ08v3mA96ylkJ0400N0Cavnh0240
+mQy60W00OU830000n500OkU3MrJY85iPpU3WQ000H4W04G4a000WPsC00008000KH4G4FAd0
+02000530p_R00490W208B@R001e001000508kZt000HyfudmW@608028NT6Ytt00e00LVQ00
+G0GXA004000Iyt01000ZQn0020000014G061W4000W0044e82000A040W209W4p0002WW000
+0aGa00m0G8008K0010n80000845009G400802003GX02802CG1100A4GL11AX0000I082000
+mJm08WI204K80Ig00G04A02000000W0G200H80g20WH00Lwl102W20KW1041WG40400A1000
+W00H0280CW4Tl141002ZF1000208000Km80m822W00840G1020W08aA0100030G0mHm10000
+CW1400020W0Z0000201M00e0040002068085008010002W240GY0i4m000880a0000I9L000
+2000GHW2G0080G200G08I130450G408X0220040000m00W000a4W21W224500A4000404Y00
+001200W800014HMzC800G0D04Gz@6Wd00220000018000BddR000W78000H3P000G4WW10dX
+R00A180028004000CW28004C0XW0G0WcqD000U80026W005000G1000yAe100G0W400SQh1h
+wR000408011x_R0W58Wv@D0280K7z98W00ez@440Y082008tx4000Gysj4R1P0021000W85v
+R001010W20pYK1WU3G0Ae8Re@0000G08IG00GAW0000G0HaP22e02G80400414000006xa10
+400m8Y0S6k4000W0004bee10000G110W0W00040mL@CWG000W000200H100800WW002400W4
+vIp7cPt00W4000W0D30W000GQ216M9t0000488200014W0H0W8a00210Wt4D040000802101
+8W8207_4chIs02000G0000W20BIA10W400400x8O004GaVvD0400IEv600m0uOQ34W00040X
+020W0410100020100deFXlsPu0L90000100I0000S9t6Ciy3HPo00800H20004002WB10008
+PiR0OIC000002a0WgnCXMAIG80000o4WtvD0C0WW0020008002004100W000eb23EwsWwOUW
+80C3000aptDW04000c100m80Le@0X00040G4G100Vst0aG2C404H000O1004UVS60W80000W
+ag0W324H4Y0a0000X2rt008400864820200YmmC09YI00HmC8E0G1GW49YG0H42G482Y0H40
+10C0X0Y0000000agn_70GW00004ALU3000WaLW10100e500C8V20004100XMwZ14H8048100
+W00X0HGorSFW000G4000004G210808208080KXl12400010YG0I0G4mG2C810L004OMa2021
+C2K1W0004300W0W00080028222084510b2mxjF000W022210000I04000000X400W0400I0W
+88400GaK2068O201GA20101009O80A2000H01000020fW00409028A0O62080045I08004HK
+I0m@@60U5X004100200IX0000G08548008XOZI3EIm002WK004W0400020q00040GaA10000
+0GAe0420000a02G210000I000Xrc00020C04182AmeH80W800ACS3060WI48000WWW012G41
+2808WG000W10GW0G0O4GO400a1001800400W9G00052040045G8M000G0604_@l124804200
+0100X04158W2akMP00W000W220020002802H010W00W880G820GH8H0100O8X000m0G2X100
+W2C0000o000_@t030H100000KW100000eW8W400240W2qe000008040800C000CW0000C0mW
+040000006110004G200010010qK0X00Ke8600031101KC01O004X1O0000r60GG000G8W30A
+050G8O860400W2e8mJZ68O000000WW00Yy@JWGW74M000W0G02020020Gm15400000123Wgz
+P00GGKmd900e0008G00G00G000008500G0qAl10Y0000eGy0U2pZQmwi9GW090080400240W
+00A0000qu0ibS20014040X4rl1W00W_xKYe0IOOS302000K80OKR3AWE100R9084sIxsWmsC
+m0i92wlX0XmsB9YR004WQd0018L1gT31XW470WG042080040RD0Q0sq2ztWWGONA08KK06W_
+J0m1ib20084e1RD084sq2040WG0m0080OB105G6B0f6000Ij0020000C00804r020Og5OZ2W
+140RD0O0sr2zt46k1nmnWP0CJ70000u10Ext00Sd80S706yU3ec10082O050C180o9wxA004
+h1A0@c20kh9_100yxDPmb00tV00_Q3E_JDytEDuu30qlt0eNH00YE20o92LyU2CYW9sH60_d
+T0Stymh000N004@0S9_1Xjb0W100YV00C@0@O_1x1W1u20083000400WuE00HDWV0h0T0m1S
+100o200OE00Wy300v7mFmFmU0S0k000x10087000QJ000WB00cV0k0c0P1m1O200e300GS00
+81E30O0P1W3m000W100mye0Cm1SHi2o2WK1I8CS3gBtWrfdv9T3ItB48100zmB150SIJ0000
+uhEW100e0v500CI00E1Wvh7RhyA00Godz_6W004_3mZn18vlo4QeM2G080J2Rmnv9aqa1vsd
+mXv60Qh186W4ws@1G084bjdmelCKTKBF3pmTxL00088P@4_uCXnuO0000Cg00W0vUOpSaQ58
+acwCuA_72B_18000008uZ1GYw@D00G04e0GW5wJuCN30004iUC6VMm002G0G040202GW0000
+q000100402004G820408o9t000107UQ028W01500040082W2000001024280800a4LEP0G14
+000000yF0080C_Od1@3dmF@6SEl1W0420014020W04008000G000YFtdGYqL0010G109iW40
+024G00G1004e409000250e800G2010W8YY80308229G0G4X24e0400W40WGWK0084000040W
+O0G230W0888AK200A282G0100C0e0000uU5000101W2C0n0i4W2K8010G0A2000008G8G0G0
+a4YH61XqrD0010002G00K08lLdmXqFC3G2G000C1G0O08210101H00Gm0y00G01W2G80016G
+80040h90200H21021W038W9G002L01e1220050G08800K0W1K00380I216I4a00002G0mo0W
+2000WpF0W001I0442W0WWW3802400G8m_y900080122220G02080e0G0GG00G280G404GR@6
+4nF3tkd000000K00GaH00004W00G0200W004000010W4002400208A@V3400W0808O@V3W03
+00200080400010011800101W20W0G0AIx409h000W80081005GuNyD0182004000W844GW09
+O4OiGD3jspm9yCq3@3tvdG2v90000X8000H0002800010006G0CHk1rcRm8@6000ma0000H4
+H08G40Y004H600Kll10000pq9XrmJOd83YytW9nDOnV300A80001W000mrcU00a0e1D3_st0
+400404W80G000180008210W008300G0004000kPU2080W0004Sml100G0QVE1400001000GS
+i000GC3F38048240G4300000400820GG40g@d1000cRjdm9pFafM51xb000WXk1C000W2100
+G20000800wEH2820WfGRmNo6K6l1Y00241000io10404nzw90000G400oWq6y8T2PJa0051W
+lIX9Z_400X0a6l18WW800H100a1OsU6G04e080G0008904100G44zsRmP@6000WWY0W0W000
+08YC00G0002220W0W890mqy9KqP2lRR0090001007aw10G4WpYDW80002008400G01000X80
+00H4XGaG4G80048ICO248eC01ill18W8000940WQ82G8AWOWH441C08I04VSs0S410000000
+Kk104Y0Y04X80908WC00020GH0HO2800YW0004000004G4W0Ynt0002C8140G09WKgk1D2l1
+Y0002200z@R0080400GX0W4K0W8L10000Y080W41P000W4800EztWKzD0009200W0412120W
+8eW882400W0C2W800400GWY0000xE04dg1Y0G80G41AW8G1400Y000082G4lDQm3p9GW0001
+p0Itv9qwE6082880200W4aW80008002I1G8I02001YD100940I14080IY8100000VgF11W40
+000081K0800000G8040800aW00A200P2HG020G2000000CG90000I0000I10800aY451008A
+0202008X8000We00G000Ga0W_mCu1V3_qxXx_b0O0Wf21W8006aK00W00GWSI830X0004000
+C00eKV300X00000H4000000C0088841Y0422000000W01G100G168PZR0005Y1zD00W0Wa00
+00028xXRmxw604290H08Gg0600W0GO0W00004000HW400_eO3O145W1000Y0G0000mW20040
+410004C00004u0m0G00884GD@C0WW02W000G11000018K00WG0WSra100GG1m30q@l1WOV60
+WmW02IW0000W184WhkD0m2402m0681XW4002a000I40X000220e00000G0A80YIo30a00e08
+000000Y00eJV3W200iwM20204MDtW3JIe2M30G00000014020401WmvD00GG20050800W000
+8PS0002W6GW81HRu6yUj1G0a4120G002W0H2a000020HC00I04QxFXDUz0ztGWGOZb8lP0xY
+0RiR6auM20O0am20b00000208GCS60sQ0m0ip28h10XG230W02000000X8pD0S0aK1004bP8
+h40000i0018000G004YCt021OQ5oY0d120I90W00m2ir4DG27O_mIqUuaJ0KtL1eT71GVhmF
+sTYVzHArf40@pE0_w@@6000UL300W9_1A0_tE0StP00cg0WkG0K000OX0Wa@J04Z0GQuI0WP
+0qV00000OcZ@PG60_gC0SNZi300u@J2Ct3WV004@0@O_1x53N2nkPW3u2m4tC00P10047000
+0000a100n5G60E86E38E000u20We3m5H7030SWE00Wz000W300WGj000G500YT064TWv0m1w
+000q9CE3800ax0L000x1W30000C700GC02apWcvCO0SUgFsWYkzW010m0X900Wi0002000yQ
+7GmhQuL0umf70m@x0WV2Ghw6W000000e20000Fy0WK500Uvsc9mtOsxJ0R40iY_F53jHWy9S
+5h1dydGtOXiyA3000Wy600S6Y1ZqRGCKUyak19qzmyxpikn9lzR000kZFqVes8OQQoZ_lDe@
+43_zjb3@JW0000G67W@@D0101GzTICRS5dp@GnGL00080W00X00WWjaC000o105G1000W020
+8Qcp00WY07kR080GmslJ8rv4cht00800a000000Wxr002W00GMy60400000euSw6i0l100G0
+lyxXq3J8PG3AGK20KGH8eWW000H800HGKG4W06002Wi8nsRW0Z8414GC210IG00400180088
+W0000W0G1600H4G848WG22010XWW0000G048a001102W04041000064G001000W00810W02G
+0a0m_q60W20ONU3400004G0000Gmqy94BV2RUu10W20G008400080420a8WWWG0W1000I830
+4a6A0G400K02X280002W00004aOW100Y4W21005420A00mx2DWW20040204C8G40000tj1bs
+j1WW008X80020004K000000K0040000010I0200yyD30100Url1tFw100O0K4G144018010P
+0A0114400GWG00020W00809WyGl1fVRGSO6asV22G0000080410ffV300G0aMl1000WW700q
+3P20G04oUsW2@beqV6Mit0G000PFK10000GW00pSz000m4000Ga0002Sn3G03H0m00MppWu@
+J00W0JQdE1000wwm4000K0W00O6J3a0000HW0OkV3c1NYR@J020W0050WFkVGC_1GZbFyuvC
+FSBX1Y1YHjJ0000Y80020O40600000cKqmT2PSpGo@6Czl10828000GKrT2000eIxrW1KNIY
+0G400084W1182G1EDq0020H008010G0i2j19elnImIG00000WQIruRKg_C000Y0W04G0fe1H
+0G480WawoDW0Z4YX65HJLbW000c5100iik1ReB1008GY60Ym0G0sk@1Gq60bb_GbwOytZAW1
+K04G0HG000G0082H0040K58W0280W000WG0O3H3EbLY6_D000W080G0841600W8MSq00W100
+00eERnWQJLfh_G800000W0u1D3GAKI8G010000061000620a20009W0G00000G2n2f900018
+503QIp0004008A800a000e0uWU300imD62380216L2Z@MR10005GA0000W000C0MoE100080
+0I0010WSqB38240G00GCBR2V6Q0G04W_9Du0I30ia0y@l100G1000028G08Q63szF70Gm005
+W00RWW0e0G0W0100ex0m00Or5Q002YW0_J000YmnjF00100044Hax600G1eTs4000GgL00e@
+V38C000000a00Gmon@00I34H2980042mG010G140009Wq00OwQ90820aCE3FYR000eY0FDen
+H6000cF7y3NFy300W8100Y0010410X20G000q3106WDX0ID000cq204a6g10e40G00C0WI00
+00mmvf60010G10000O04b004OA508000042000W000609X000YZN0_yb10Hc40O0a2TqZIhz
+000K3@FhMggo@KL5xP@0yxPQFn90CWJ08IJ0m9A00000Z4CYDC000epm9AW00e600GDWEWx0
+T0H1k100o200GC0vUR0C000O0m000008300qRg14P00000o0W100000100G4000W000oT020
+00T0010000I200GC000MhFVD130008G600GI000W1OUxS000F300r10AeWd100CC00H_R00w
+0GxE2WsTaV000@bm_600Wg0ux94ik1_x90w_F10DA0JWFMcSy000Wa104GNvK5lz3Vb@GetC
+4zK2@sX100utKP_fGzJsa3ZirDe6MF0fL0izGTXUlnZw6q6E3U701kZOfIvD000K00800080
+0FGbGRx9yha780G0gid10G00G00000m@F7l1020WcWtWyXDu0VL_@l200058000G420Tbg10
+0008102001800W4GDwC002000004220W_wDOCz4004Ga_c1nsR0uR000010W0200000aij1n
+17oN6aWW0800081000Y1qDePD3E7tWxyDe1V3IMqWWBa0009GGw60W0000006f000W00G014
+0gjsWDxB200W0GG000W01040WpotWgwDePs4Aqd10W01Zwd04W0W4rDufw400G000W0ld@4k
+Aq0000GXEYnm2gW2008ww42YK20010z8dmEYR000GOSV30vH0Cck1fCaGgBNLPvCxlR0008K
+z200JE_GFeg46E6Ji@GA@6KXE3zUIIS@9000690F3sedXzE5wty4MIj20800P7knoh94OS2W
+XC0QBCXQz4ADV36tt008207iRGz_6KMF3W000293ZMEP008000040000am6000020G400guX
+VwRb10K20TcomuiF000G000GL6nR4vV2a200W00000GA600GIa_600GW0404W000WKTzOOVF
+000GX00104002902mwkD0a0000W2Wt@D0I01000GAG00apkvnxt68200y@V3036IaKV2W400
+41440G818Z7X0W0W1220unV30004100KeR630H2000G0200G80O2Wf_h0X000000841800W8
+mkpt0000aw2C0wxt000G8000m040PyUG277R32Y08010800426ns0U00000W2G8000000G0W
+X000182008Gm004500apN5GG10W0WWS3d180W0011000mp500800K0040H100020G85W208K
+0Y1G@oyy9a108200H00jzl15Zd01W2080W0004H0G8GCAC6000W0W04T_j1408200000cp30
+0G0W00KeKKD0010GU9jijl400sI008e15040000W3000W00060fK090084I189M30W0mg40E
+0Rj0GWWL000GwJU3C0020aI0m18X20088TJF0040052000H006G080O30G0XOBt2Z4100004
+H40KG0gnc700wzO6G@z700KbwI00O200u70100mU0O00000h1008700GC10000O2m4000EW9
+00mUn_bmV0L0000GI0S0000WmmD0uK3408C09IC1SZO2a4G7W9WIKM0bOW0OypMT000u0s32
+0004qV0yWCpeALTm@0GmPC3W7c8aP0001Qwa400CgvrTrRvdygD6Vep0Wp5WEzQiBqAoktWv
+tORY1O00uR6LeMrebpV_6y3V20x10QZd10120ZRj4GW000004JZd00W0YlWC8zFC0400CNl1
+vaRW020WaKDGzH018000G014R3Pmts6K3tFdc_mO_9CDk7d5om9E6y@l100C73rZ1W028NFk
+4000c5WV0800qM2Cq5f70G01_@t0GIh0004000GWqNd1p@RGDSOSi9aD5OQc2@V9n@LQGUGr
+dCql10W8G_@t000TSfzoMV@dqyl1nbd0WZ7WCK_@2cINuiYZyJ8KOFkqt0W0000PN0sus900
+0v2F8I1KGKe8jGH0U94H82C6GW78Wqfb51008C8qHqK0W0004O008uS0Y2u400045I75mB00
+0LW00oV004yLMof18000G000X00020004@F08Y0010002Y2u44000954rkqUC8k100Weqe90
+C203H1K100810me900000rV0aSq@7FzVnL@Fyr@1dz@lR0306000000mR4WPeOxp@M000o1m
+700000Br00WDzoCf030W4Sys9OPlRGC_FqaF3dvNHwvC0G51ez@7UitWYr_l8VO00mmUx8@9
+Ndmp@UKPV5byRGGM60000Ih00mI@6W00000W2mZ5Oi7A37y72020WXyD8Fx4ATz10W04ZlMH
+q@9KrD300amh@t010W05Udmbt68002uztDgNF40030G00W00000408u7L9G080a_D3b@Rmr@
+94sk100012mt0090000000W040060ArK36Tt0W001l4Zn7hX43eGd8p00006E30032kHY@9K
+JWJ9_t20W0p@sD08G0000108a02hEpmBk5DHjGY028Ebs040G400I004000I808iwPMJMB00
+0ea700a00005000W18m6_9Kbl137U800s540000200a00400080m00000000G10R6frmcp0K
+w520C0008W0404I0I8WIas02100G0W0c4FmOpD00006Z0G00920098O8W0202A6010G3X00W
+rpD04G0GwuaiTVK0004100000eW20G20000000doWAW80000W0eA10G00YW2A0010000eG0a
+41W08WK00mvr8rsVB0e00Q_t0X00000000dD00Gm0000080020Y20000008A02q3W1G0GG00
+0YkIHTG100Iwt0X1100008Ka008000000121G4040040000G010ne8Y02406001WMAy302W0
+284000Df00080G002W4W80e40000W080Qm730420S4FIJnpGA0KCAV2vmR0000M008G0C400
+0411M0G40062000H008G000O12W01mOWL08204R1020000480o3FGWHlW2c0a4C42982GIG2
+6aW4COXG8mtyWNz@9WG00004m4W0890b8n000eP8m9Yu2I4n5m5YB8B0N0N0Eni0SY43e496
+19Qk3O200a5G7iZ7LggA2ym34KL000Si101Fq12_70_NLgym3EuX78OLLHm31WW7U00Fy0@3
+00_7002t@d4tg0801GLlR45m30aN0MEwmcfJ0402Huq6KEj10008eDWGaOy958D600040004
+01G00000a_V2002g04W0q_@dWjI0gKZ400W0tcrIjm6iJu34G00_@t3G004nk91000AY300R
+C0pLLjiac10020E0O00010F4OGmr60002e7wA000GiXD3000uQnUZLuifTR6020000e08yE3
+04000400fqD3_@t00G01vyX1GW0W@@J0qv2mgaUq8zIPFeo@@C000Wqg00GiVay3tU00uZov
+t04000FzRmrH_D_V50z60UWBmc@760WMNJw9qhe17d@VIgveO40001000G000400G800W000
+SIn@PvZD000Mz100PPy90WjfIIP0000nXYKr@M5T1S280000Y00@@@@@@5100C8by4oAs00W
+00hUkt@@L0wj08nx7IK7folP0020mqld0001u2S6G000000WmC00m9qdySEIVp330W_yyvDe
+vv40200qHF6H58qygg4rE3WOC0EUGYvrtRHR9000WxG008kaJoGU608008000sok2010000H
+4UE_XMjh00mt@xzL000020W0oAvCioDCDrYnz_6Cml1hdd0100WzlD8ts7Uwt0mLE0ZzR020
+000G040020IBtW5@VeBkP0W00qoN57@R0081WDoJ81T30010akW480000000ZP0000200000
+100202040_@F1a000TnXqs_OazW4jyN100YYZHaeKU3008Way83h_R34100e000G10000W0G
+0010W00040000O0044046nDaz@J0Os2m@@j0411uvJU4000aKl1Bu720Y00G000Y000000Hm
+1008PPjAWl28Y80hut20Wscpt7304b000000G0HRktI2@90uK1ew@J000H8200ewSLG400a@
+V2411W0004W0H80000228Y88821Jz72041040004100000WY900ur@J0W8Y0008eupM00H00
+0X00041010W40008004Y001W00040001mhxUG800eRq400iJzxVBJskn3fR001000GA000G0
+0080Fa6o3b6qs93WxA0MFL5H000Lfg20I0WGyJ0800WG0000020o0006@qWgJL1000AN10Wg
+QF1000MScp060000000O01WqWJeDL3Qsd400W0G00000ehbRYA0E04_@t0C000@@V2500W@@
+DW041000G120000005000G882083aG0020qth101N0IlN501008220o4hbF3C0300GCn6080
+0000G00G2WcYteZU6000O000W4o00mu@a0088u_pM000e030000G13000000m00y0000WZOW
+e2WY240L010G310A200wxt3f0000u@f0u@0008KwtPLS000IG1U000Km1_6y@l700410000G
+400e3W40Y0Y04141028200GayV3PcO3Y2m0G8001Fh2100aY1COIrgRzt00060BAD30WBj4u
+eg3Q30400qhF3j1@Gys6KuF90n20Q7UZunnen@D2r5rMjD8pW7wNMYZ0C8q@G00CMTok11Ag
+2000ey5D8TnM6QiYErF18X1Gzsjiq_ClJFJc_C000WsN00Gn_WrtFC9m@000ZkSxJO_ukQJl
+YwyF1WA0GA2T5uVNG400oH3ckpl20nQ@Gu@7a_@1s39006WsvseRV2100WHK00eFkGYttF00
+dGBsiq@@N10h0eDkA0448ieaYq000gjhYo6D8KQaInd10W02vws20WOY4on8RV5FT03000W4
+K00IWxa@@13600m_Say@Fvvdn3eD6W@@fwkIL6uFXtzn0000ZA10Ww@nOvC6IWJht@JuaUC0
+04B74cPXmm3un2WwptuI_4Yt7o@@ZgjU9gMj500Gf78_mByKrEk45kt20A3WOxPuHe33Cx@@
+s_llk@txx@y2@@kn@hhy@vE@Fkq@39VL0900@@R0u10WkP@VRt@NqlH00QhhtFpNo@7fG5Q3
+00glLnq_r54S0mmiCitgYd@d0008Q_000tgp9000000677@pmb2M2wt18W@76q0I000Om700
+_@t0082000800200Ge008C_61048@@F38001_iVIm1C0@@p010GWqCW4000jp00W@@J0041I
+PhJ20uG9ERFIAWq@@tRPy40mK0aNh4O000_dkHu000@@p000u1V0eV3St80W_t1WV00Y0G3I
+@N2y@Z8@V8q@@@FR0W20oZAXj_D0401G4NGYH008a@4wxtW6uX40WXv@@FaMF31d@@lx@tR@
+@x_@@@@@@@@@@@@@@@@@@@@@@@@@@R0000440000W0mTPD00000G56m6SX40W0100000240r
+oQ0000K2320Z5am@@@@@@9TbPm@@F02K1uLu4_@VZIn3PNWA_@l8000yK700_@t60400@yR6
+00Jf@@FfcT9oQdX85GAxXA09Y0yRjb@@@VM@@Vi@@vw_@jm@Nhy@pI@Vis@@AEpbpRGrkv0y
+U1eNV6ch2c5Yrwtu4000mf000OCk4EGsW_ZfgR_PgDB100l7@@R0001mqoC0400m@ngKbiPW
+zE06FudqyE9_v4gVxXOq210008x10W4v81000K_Rg8000OaD6wPpWbsC0W02m@@m00GfPCZ4
+00000200ACSX0004STt34000000W00100000KXUI0100u@VF0Pp0CeN2XXRGSX64fG5@@dJn
+t94ntC000Gz800a9o3fcZHqVyan7F00Qt@@NKnQF0@@RsCdv0000Ms00m6y@dAahBic30002
+t0003oQ600W0I100WWG0_@7600nudPa6004alOj1432m@@ZzUa1HuCJi76mD00u@VpMl9a@@
+n0007p@@Z10GA0000aU0GW_Nj1mg3m@@@@@F30m_300000300u@VO00qHy@@@NLRmFr6iKT2
+0200A9EXRYP0000uarF000G400200004x30000W0cCEXpfgO0B3_wM5000400204000Kyj10
+W20I1WXfeDOoEFYLc100mDf2a000WWJkPu7B6AaEXAfDuvD3sUB10001xvz00W00G002DaR0
+0008O000000WIA810810vVN1W00eydV0H0000000ml6095aGRN6CQj1Vpz06000000GnUXHz
+9vSQN80080000GZN000008m0U9SgD97NRmqrFaYU200400408a1E90G00W400quD6FQRW040
+000@P0000000e00G0W000oGxCy1W1ziRGsuC0400vUS300G20000082021001000I0100002
+004W0OumM0O00iHk100007gt0020WDVp001000n60vVR0004WZs2PGU3sltWEvPe@U6UbWXX
+r310012000axnJ00010000400Y0Ji@Gyw6W400O613MQdX85a0G00mja900W0wpz4W0G008G
+00000Ga264IN5fKA104008001lmZnfT6011000WEU7b600G09bn7EQ430108FpRGOy60810W
+000G3x6Sn_LW040_@@10W80@@t8008KM30075yM8@m00Gdy@V3000H0000W800GJySkwY1TF
+OM8@j000WsO00m35o5FRB00qZ_@F1Y000820Y0G441Y80u@@k0500qMOB1fOmjk9GAe4uZ2m
+G4004VX1PAgYV00W@@J00C120G00020K@@36004X@@L100Vur390000W011000mW4Fpv@@h0
+0y0y@F3W8000201GG0089ph0200000W40000GX0WOWLHZ00m@@C0s00G00mo@@D208m@@V6K
+0000W7M0W@3m@@NXO20u2mP0xq0y@l100820006W800WA000n10W@@R4300m@@6mTL0000qz
+ksB10018hxSI_c100@8L43JIu2zTS2xax104G0000180000ks048mC0010AOsW8cDe2zDoMl
+Y@mDOGzG4G00004G0000iK10W01cv_PIo4dd8vP00G3PIrI4PU8FMdGfN9q9S8H0W0800WSt
+DeWG3Yk_XaRCO9R3WG00y@l100Cr@@tWJ0C0008W20008000048ewfFX0mVuPR30A0000040
+00G0010m6xJuH@4MrtWUtDG01WmYu9K9k1DEO00A80100008004G00CDc100W04G81000041
+XGWG00000G1G800G0000io200040088400012000G0404_j10100G0140020014GGooC0020
+WCm0mso6i2k10Y20sNE10004FuR0080W0_D000G01000000W5YRGA090000K0400W00020m0
+8001Ikt00GWWaG6000G3W244S6T3800800004s40000001G1200110000G860001000W06m0
+000000X0010W000200myu60400G00CAG10W1yJ01G01O00WMqI08W0ITqI4SU2400100024v
+l1p3omxhOG0200000000yoc_D8UT3_bW10W02d0am@@I0O00000emXiyy@V500O0OW08241J
+100GG8x602000OoW0000G6a0G00601009axl108000006Sy@O@@@00Y108W0W0G0G8000G00
+G0a0afC11000GqGM0400000C18a02000016a0Pco4e102082H0G8I53oc_jxxD00G0H5e900
+mu3mG0m4_60W8Y8WH3U8NeHivv@V3O4HW0W0W400a08000Gw700230080100000H41000000
+0G2bFRmQyo100K4C0W02812aWGHA2380C0pO6401000isB98e002u0H144I4X820YKmCZPm2
+1102000301800yZuL@@Z1Q0Q018O0m044824G0054Gm000114000KpW0200aW0H08e00H414
+14010004W080G4HG0400400mH1i10000c0000000I100D0Om@@60ML1u@V3Y1uXbvIu@@t6x
+CXlMJ0000Trj643m3hCEpL@51088uRu40A00aVZ100sBY1W10440FpRmsygaJ2Lf3@0ON7Wh
+mIu@eMEMkY@@@10WK300W9e001X1P0Gg140m4A0000j58r43W4010000JWK_WSY0mh90W7AZ
+Tx62FCZC_I10EJL0KL000qnO30tH40c9LsuQ2Cp3ouEH0u3p0GL1OwSy00W100mF06060C0u
+0O000m0000E000u7086WA08000O2GutCLc5g80C3WUf00bv1LPo9_Y2Pyg20y1L1uX000e5Z
+80Qo90qXPSdh5O6FSmT41m7K5WPQ60FK60se6g200Sd8VwppJNCaya1b7E300Rxs_pfWS6W0
+01KQT85UpGUtICI_300003vn0G000WLQ023FXtoDu6y76M@aMjzOIE3oFZX8@EvkT3wdnWyl
+bO7m4_@d40I02tbKnmTC4ws6HAK1GW000040002000qH6fm3XnM48W0Y@hVukT3_bFX0wauR
+k401000W000WG0Gt3600000Ov0mOz6000WG010Gjn8100400G0100K00000WG01Q0mWtkPur
+i4011004000000ndw908000G01mG@6000020009k02WaQDeGV300GGy@V20208opNYgzJeZ1
+3QJWXF@J00G080H00W0400610000W0140000026G0WYoDeR_4040000001200nUz60600010
+00004G000604G0180G02000W02000ottND0e00qyrFSR03DYB10000008G@@R0W01aRix2iR
+3GU@941d13IdvE1TMdm@pHyVS6@@6o@jfy@QC@dcp@d1zVKgKb3k10000LD00q_@UbLxnQt6
+00899vQ600G00000PHRUAeIwmzhujP64004000WGC00Gx@9SBc104086Hbj@@PuvF3080000
+00SOw400KnL9i1vwBH_W6yHQ89qRW005Xnxpvuy4_@t000440280AatWSvD0Gx100000014G
+808W000m08W0e3T30100agc1zKmmpg6W280uiD3kLFXe3JW000HOojyRl1B0K0W000000W00
+G0004WiEk10010YRt0m1F0G00008W0210X0000C8W0WGtD08000002H000Wngp000900000G
+140008Wydl100040080000GPJV3400WSKh4lr2JyU9q@l1000ue74046T5j7t2000uaar20W
+UJu@90H00000WG406iONZ0ZA0c4cXb@OORl3100mwJ00ePIIw1y@@x31eh2GijC00908KH81
+000xQ00OwV30W80i1l18600YVy@tB@lzp@NFrOm000N@d00g2000m@k3GL2TjH00z7Jl@00m
+0WWdF9X@q07a0S@V200WVoFt0@300@@Nqs1v000GuJz40000cil1v9OJn_OClV28000cRF10
+0G0XyhIo@C00u0FLv4_F8dFpbOuS30008De63bKrY000W3oJ0aL0G3w60401u@8mUqMbIrP0
+0006l10Ws@pvyT3UX7Z2tD8YQ66xFaYgPeU_400Sw2000000Kmkx9G4W000004G00WYvVu@w
+4o7WXhogONU3kOpWsvDecU608404eF30024kqtWP0C00800002G000GfmPGqz60eg12W1000
+00gwxV0000KTT946E6ruRGJS6yre402020080Crj1bwpGst9iVk10X00AttWHlD09000802W
+KuI8ZR3u500G000W2W0GhzC04G00008IEuCycG20040IS81W000@2amsad4ru9vfd00W0000
+OCBcR0840WU_D80o4cwdazpcyyy@BV@@nx@Fy@@@@@@@@@@@@@@@@@@@b6007nFlLKmhMHar
+o@@60W80e@Q6_@VI000SO300ExsZZu340mo600000C00@@hLoN60002exx7ctZ1000W04000
+800_@V2LQR0004020000i20000000118DS365t00200W0G0UHdXscVONS3I2m082001Hwqqv
+F0000HB00m@@60048e__7gQ6fAjnu_SCIEtW3mJ00GIxiw9GW00u@V30060aJk1XfJIt@dSL
+l4bEomIw6abN5800002p0S0P2Tzzm1_C000mOxT9Ykt0H000Xtdsnr@Nyz@3d@Vmx@@R@@z_
+l1ua3W1d2v@V@000WHU008PNXAl@@n@H5F00masRyLC9@@pVrt90Mo1u@@hAZkYO6KfCy400
+0WAK008VEmsSp68000pcR00WemzY@70K0mN@CStl40000tzxXW@b0000f800WHy@PxDRUyN5
+00iHJLhLL_CKa@3NvZHVSL0OT1eJRjMNtWSDc9rk7q600azmOjY@@gw@dA@@dw@@@@@@@@@@
+@@@@@@@@@@7qXw6vRS3k1bW@GA0900OfxFS3Q2GY5xqQCTO0Hm0S3_Xzl@GA09000WLz0000
+08Wc0gu@@V0400iEl10200AvscMkD00G3@@@QD9E3bb@m@@j0QA0ehEjMHtWtn@1000DR00W
+LzC3800Is3s00WbAxx@lE@@gt@Vw_@Z_@@@@@@@@@@@@@@@@Ff_@Fw@@@@@ViVR0BX04w_30
+G00ozcXelDOWEOUmR9000GE700whFjF@j10GHMOwdCGtFL_p3OF2WfqV0040G8151041u@V3
+wdFXE@da80000000000H@@@30Yr_kr@7000WC10Wpv@SbY4cyd70018rwV500WvLAC8203IA
+mI0000000f_@N8000mO100cpqi@@p100pKIgT1W0W8I1EH000004400000W80Wi7_1q00GJg
+T900000e0m@@y008W_@Vj000T1000m@x2e@10W@@v14E0m@@T1006000OMGA@izhDHAyy@@F
+4zX4FO@mDs9Sdi1Y0000Yw0K7W4XX8q1t600028BS6s8GYJeO8Gw7I181000WE000MiwA400
+0XcBnMpp00WpuSzqAJ732000ri@000K000G0VSR0Y000WHF0vURGSsCCyjD@@Z1200Y8lv10
+00dG00W0tVu8UX00150000G00G020000400@qd000800C00FLymlz60W02uCUC00WO_@FL0W
+100002CNl18G00kVF10800A0000002yfF3NvO024GW@@nW3d2GySHrgV2zwRGK8sasU2000y
+v100KNVKBzj4080000dxb8GIyvo5_F30EJ0Y7yjq4yeyV9000mRS00einGcHyXdEIO6A3G00
+0a2m3lzN4400aCXC00moM_4A_@@30088_@t0mK703JIIzFCyTI2vAKn782zNI2W002000800
+0WJM00GFdRyVf7b5PGSHCii1F000I_@@1208W000000i_LyMBraoGg06KBm3H3ym@@j0011u
+@@70140000004Q0GeegqAw3f2OGj0F4Dm3@@@@@@@d5O5zvA10WC1W0000002000WcuY1zHP
+GM0LSpJQl0@0209A21004IH000OOk6y3P1yG@dZ11000008XGW824Y40f0a000082CH8W822
+XC000i30000002H200W82W820o2uX@@@@@@G80G243j10003oo8G800000005040KdH2f0y0
+820WeDg8R36w08XK0U0W10OK0Ly@lA09G00084H420001I0H8041200@@R0G8200000f0O00
+00I5000@@BX090441IW128Hg0F8m098A09a31007Gu40000Su0000GE8W3002dva205800S8
+I20000oZW0000Wa8U2W8I000_@t00a70f0a0000G2a31f281892019I200004aW0000W4H22
+0HI8dK1C8506a0a455W4@@@@@@@@@@@JY@Vaw@@8@@Dw@@2S@dWt@70_V1Y@F0v@1O_@@d@t
+Vw@xl_V_j@V@x@r7@@yp@7Vz@lV@Vxv@l__@ft@@v@@@@@@ho@Vg_@VS_@5l@@mz@7y@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@00m@v@@b0020y@FL
+W@F0_@V92000@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lh00MK_@t0G000@@VIL0LyoqC0W00
+_@@4mZ50lAX1W00WmbI8KWAU6m000G0@@d00O0WjfY2000Dv100000000e0_@@1000W@@RmC
+0FSNW1fMnGjL6qYW13IH500KW@@9PgW7Y20b@@HoN00000002080X4m00K80100002X00400
+K3G200400G0000019uX4020043W1L0Om@@N10eB720080000000X040418W0000G40008010
+00K8104H0000400284152829e00G01GW000018W0200240028W0CG01Oa00W0W4W028000G0
+28W04G80@@75WZ3000200G0010AW00G01G0000020002G0000eI8118G00012W0G90g04AGG
+1GWG0080004I2WW00O0800220b24G0e80000G84Y0G0004G02014UNBA0004Mc00w0v1C000
+0O04W000yqX4nT8108000G40@@dGx3K10ecQTE944004GX1Hlbm396yEn3xaO00W00W000RV
+amDSK9Uf087l40000Dad1200W000010088W43cI8100G09wP0040001008000Q0mW@@D0204
+mnUK100W6W00ICU6aZN25mO0W0G000000m0m080000G00100mDACKyY12000Y2m020W103G0
+0G0W0021u@@e00e6cso3ZemmfV6qvY1200W_hnWMPCeo63Y2uX@@l2mo10W00044000W0010
+0WG0000105mv96W100030C0O0I000A8000400G000268W630010G020Y0000G000Im004018
+C0C0H0040800oLXH1000Lv00000402040G0000008000G0WGK000G102000H1001000040W0
+G0W0800000W020W0G1000001200JK06G10H10WW02000000208080G01y@VK00Q3BanW0HI0
+000830GAW0dM014G6c9100080100_aX1000a0qG0858mHhO09A06AdXAWv90XNo000024H00
+jZO01C2W@@D00m0mta64Z93W002W00W02108AW46UoWNA@@@VE1gr0im53000Oe00Wf0wZ10
+00s4NU45G5TUfo@@g000W40hMhQrgMrgpcoLdDjc1RQjQ1u@V30mgrgMrgLjQjfSrQJh1mMM
+hrLZ2uaahmhub7Y20b@@@@@V83DxaEmK9GAgO500y2C6P6@mIT64hsL@@l1005rQbn0004mT
+U60028uP660020001O8ol40004W020u1W3gzJb4fRXV00Gjo9yhy340W0G0000002Oh03000
+34zd100W0g0mWreDG0840000W70EeP1v_@dG00048300000e00010200000C000W10306000
+000410084mNX6G000W0200G00Wz2J01000303Wo5D00WWGWZ60020000Om@@H1WumEIR9800
+0aze1n4Om349Kxe14000EUqWy7C000400G0W40JOgGg0Ze00000QSD3cUa120400000wImWH
+2J0G00GSv6yIO2GW00UCm06060G0000200cQEL_600AK7ZmFJ8Kn40100ih83dWcGuwKz@V2
+00oh1000000Au@V30W2000202A000GO000W00G800C0C0G0080C0WW000aw9CW0020002000
+010204008000WG00040060c85CeLJ6_@79mlC000009200LOc100004040W100000A210X04
+080bOO008G000000K01YFp00m0008080010W06W00404680G0008800401044KY1H5waA10W
+tBbWK007e05akKPOtC30A00CwA30GAW07KWac935QI50WMt@@V04G29000408W80G0YYA330
+G400002180045m30000WW004j@@@@dP_8I06008qCFY2OZGpo@@@y10W0000We103wfEXK0O
+8Nv461cXE0C85032fsWfUD0W000ud7Wigvv@VC2es0X0000800w7sWKgD8ZO36fn00040000
+WQ0mWvgP00010Y00W8eJ00009e10WLiDu6xAIhgE08G0rRp00WKpdoIefQa0080008020W00
+024800G002G0I1W102400000080G00GW00002800YkoD8TJ3I1G2W000WFN0gh_gcqJ0410G
+Qw68002G004002eWanD0004W0G0e6jh00040500WeqD0000d010WjjtOaz7cEtWlpDOEy4kE
+wX1sDemt4Y_r01000000010G0W8020G040202000K00A00MRpWMqD0000qVx6CZU2VLO00Wt
+rarJOMf4YC@104000Y00g3lYIvDOMU66SN200O0hkR00220040200W0C010KBX1A804W2000
+042Ozz40010000KuQ_70PI0CJl7ps@mHu60400OjPCwfF1G8009rR0100008040000000O00
+0HW00200G0mJAC8LoDC0000W00O903O200a6X1r2pmczC00040006GIqLSSl1TFy00000002
+1040WMfc10G000900oFN240800080Uet000Y100O0cOeYoqlAf@4a0a2iml10084styX31De
+S@4U_tWF@bGai2mE1KLyh1hYO0040400200Y0G000000J03000W00102020G00000O000200
+O0004000W1000300G0002C0G000O6m00WK0C0000Xz10Wy_T20X00008400G001400G204Wl
+1484000008G00uyU3Ex6ZD@h00WNOT@HH2W04GO040G66m000200103050G002K0C4801601
+qCW100000W0W2W002040101008H066000X080P010000W440We00848WC3C00G000C000000
+0W2E0f2H5X00O4000004f040C0O0A208K001e80e0W000000K09080eA338Wm018410000aW
+02010H8A60AW4W40OGG2W00000080100000W100WaIf1xzl40200000985000000WK00mC02
+008H210dMGc4IJ1m000m0000WG2010000C5060W1a0h8W3SWG2I00We0I00a000WTcu_Z2X0
+0004CWbvD000088821226W40008e00CFl151O0086We0O0v4H404C0aBW0GGm07gt0000n00
+0088000EV0OcQmU1KYK0euEsD0QK0idDOlxNn5@aqtl1000O5B00KtVKNI@00AW_ifh8lQ3g
+xt000W2H1O0803WE@V003qzS@E100MDbw70004100Y8bQIY2e2j200PueL_lISR@30I04I6J
+220G0d6B1f10002G0xmR00Y0WQRD8@R90120ixm93CPm@mFKfg1TlcmLnCC3W10W00400800
+428FW46CE100Aw00808002LKl10880_Sq0W00000W0wSrWpZD081aW002W02IG080002000W
+0W080Csjt01010NuRGwZ6Say30010A7M24000NOPGAM60020W018mooI0IM000W00084WTRD
+OI13m80020002080nBp900W8422W0800Wq9DG0040100W2qC02400002We_J8@uAADAXv4C8
+mZAMY630004i0001G028000OTj4ky7ZjMhO@93W000qU_3ZJRGuq900I18Vw4oes010W0051
+0wW_X13CG000GRh6S2W100Gt8G0WGW02X0000W0G01G00000GUPs040GK008G41018W0GGW0
+02004210W001YW0001XW0800G40G00204GW0CaW0QGW2000q0H00W0041W0100G2W005W000
+014G800W00G018002G00401280540020W000018W14U1m04G8080W20024W00801020AG404
+G02OWW20000W06HG04W00000mk900000W024e069G0G0018001804G0YI0I0m020cG1400O0
+0G850W2W024G1180012W14W2G10G121809W00140a8A00e00G2WOaG0KW08IG4G00W000818
+0060100G0G8e00G00014G008CC0G0084G8G0040G00804GW20W05GE08W02G2W00030G042G
+08W0W205W002G00540308002G00004480000WvPR0WGG1342000W1400484G0W8O010a24WC
+o828GW0G810WW8G888X290001C8OI00CZ00GY0200W2042022G10W1OOG8gO00e0508ZGpz9
+qxj4VlnG70EyJE3O000_Xs020008X120020000WLy2W0G4W1C80001028420qjj1XGR0C000
+010WG00AK0W0CTi14008000C000m200016100G002G51am00808000YAW0010G0XG0LDBnp4
+60a00u3zAEIF100G0zBQGwu9800000010000S00000210MNdXc0CG0000202WwoD0008maR9
+ioR2FjR0081W9pPO@Q30a10Sl73R2OmqyI008C8kq700002000Q6z4sis0nL10NIomlw9000
+Y8kI3wFzXqcD0G80W0I0I00000m0010001120ewU6QosWPyD8E5300060000100W1GC1iDyD
+00W0m5bC800G020200001210A0000G00c45G2000OLA00y@F3P1OGBl600088L03W080aiV5
+fTRWG00WCrC0081I6@C0G00OZ130G00020102001110WpnJ0800nGrC00040824000000W0G
+00GG0400ScT200kk0010qdl1P1a02000110WHNdmlsC4iV254O00G2WwzDem860400005000
+50OR@6O0O000040W0C082o482eH030GaxT20041MJt0WC000W0W400088a0mG8221128Z030
+04W0U8t0mt4080088m0000604000010W030Z000WX04W18K040m0D2O00G0e080048wBrWt_
+C0040001002400G200W0W0PWW1m8m0000G8W000040000WWiYk1n@P00GO00GIX0G4X00GH0
+080000140040005002001G00Tij100024040O00805020H088Q004000W040G00GW2000550
+0eloJevT30023000A40490W2cXi0IW001m0t60W000000Y00W0C0C04002DCWWG900c00110
+00O018W00KW_@@1008W000f40MO1OI8Dgi7QLz10200aAai85mW45m300WL00W04TD301021
+80I98fYABW4000310002900G8sC00004aW00fY0BP1498300oKZXapP000022800002a4800
+IEB100800001pG@1GG41000G090800Z28AW70KC0a5m38400008G21G88BW7_@@1GG00000G
+Gj004iM29fbm@@LCbD3001OYCBaRGD00320000kk0g00020020W6QD0180GqGO0000G110mK
+j9S5k7bsQ0000900008W01QCR301000W101200002G0500000NrqTtWG0000G0G400800622
+_l2002201000WG4040000CGGevIaIT2HbPGA_CiU@3vLRWS00We0OeGV30_r04Tz6P1mGi_F
+4506fKB10q100u20fK7IK0O000WG0hMRg0ETah70020MREXF_F10WfoBUj4EyF000GootWfb
+hu@V30W00zMx3Wn2066ibgfJ8cV30W000000QDPaYp63m100F1wnumdaqD9020008G028008
+tD3UD4Z_sJ8bR300qCsG0CZOpmVt6020GO@@70028SdT27Vp0400X6jt8AS30W80yiD34400
+0EA0W000G01000WGYwjD0X08W008000e2zaR00880A004dSdW00G0A0001UbGIQ9qsj10008
+00144PS28G12IrsWllD0X40G5L6W000004G020W14000PdPGxU641W1000IG0120060104K2
+G0W0000OE012W0108020004000801CGW0000G40gXW0W2018802000Y000080000858W088G
+S34001y8k10002W01000G00100028000021000I4020yvc108W1CG00Sxc1G00000011X02G
+004G2v6014G00C4000010WG008W0wcs01W00z1O04200A0002010W02000GB71A8042O0100
+0K400WW2C8W10W01AWXa0H380280G400030X10WW0W006G0240000018m040010W020Gvv9C
+@d1G0100020atUBLlN1081WouD0W0002140K000WHH02__102000008odtWk9Cu@R6kbtWvv
+J00CW0000nZmJ0000nNz601010001000KWtbC001G008X0W0K2VY@00O0m7YD0100W0W0000
+02800A4080q7H20050000W8H0000000104W@@J8BE304W0000208G0mQ_6iXl1hup0303040
+Wa8000G400CMl1bvRmry6aDV2LsRG6Y601G0emU3080045m30002042600000010804Xa0jP
+00HcGl_F0W4WSdV300W00GG00000001GW6_VesS6EYoWa_t82I380000400000QIePC4BV50
+140000W4V63W140IJmWJlDuEz480010400X00OIIQCqHj1rcc02000000G00401W00o4m004
+000G00mRNIOsT3sbBXLamegv7_1pWitVmO00Ggx9i2b100000GAGiK733r_mGw6CPM2@DPmy
+wC0G00eFT3000008202080040620m084A8G2DB1G0W0vZP0600000m000W10328000444G00
+W4eeynC02W00YW0000bupTQmez6041000808O4001W446900GHG0O4O0040W4G0040200002
+0C000q5g10G040e8000O020001Ga8AWCAC00004480adk10O0W000G0000I100W0W80400WA
+00a0C01IG0W8qC3cmtWSUC8Xj40W00GWW0WI330i04802000680YSs0GpA000001W0W002We
+zV38088800a0X000X194422WvdPGf_9080804000G200G8082O008GXG0WY00I4000000034
+0W00GAt@1000400G08L2Q1B089qiDwyt008L0m2A0H2804vC3000mdqpW@@P0K1a0f0HEQX0
+9hqOGKPCCPF304001WK1bAW47x@0G0Y80008W4W02QEXyna004100WWG020001A18202g800
+8_C6008g@s@30XG0000W0E00022IKePR00O80X000G0B0A0WWf2yG7sgqcz9WSD0wR6Ze_Ju
+ti4MK6ZlqD8qCF2uOZ2zbufC3_wt0000a61006MkYW2VOKDCgztWvgU8eP6o7hYe0EPwP300
+CQ7Qc7PHXHK0O4Q6mLGFs_0zLVGWzbRGhn9aPk1f0a000GvS6_@cY@hvu@PI_Fcb@Vfv@MU_
+Vbe@JPw@Jg_lah@793lmgbO6V300iOdasy000mUiMYiuDG400qbm90Y008YV36Pt0000G008
+12QF100420A00AmF1v100nO7o1@6CIU2xIdGUnCyFW10WW0E8810000v_QGpl60GC2020200
+88G80W22aW040288G02028Y00000040200005G0O0100G010X42001G00v_QW040000RppBA
+102010080rxdmby600480014Geo90WG8ONv4IPN201G095mGj_64dD30082kWqZ60CenU30s
+41iYl42G00goN22W007GpGgaUyhB37eQ000XG5G0800W0K210G1a2G08801G101GWG800000
+08W0000G140290004G00KW0002Cimg10004000G86008ZVCocFXf@DuIL3AYF180G0ByRm4z
+9Sol19_RWG1000400Y0000J04y@l10200RjEXP6C00000018WJTPOzt4040408006Z000W04
+000I940010000H0040G084102400280W15040008002280WW00110G800100W210010092X0
+0KGWUqD00W0200100048Rvd000W00W4W0Y2YWH0808A80I000W2Y0014G0YG2G004iPj10W0
+2G018802AG11G008e0400180X2K001eW021H14W0eA804beY1WY819Z8c0000W4W8000Wa21
+21W04G0GW42A0000W0AeGX000W0044000Cuk128004G008W028lQ3G200000WG014000801K
+00hVR0008044002O00401184W0K0124105WVhD01H51210015G000540O084fX1GW11Mjs00
+010G0048020008mW0G000W00X00040780G989YfeWKH0088HA000qM8098W04G4GmW2X00W0
+G43K0X01010W8200G00A14002X05W01K202G010G120002Xp9x6W010084G0500400080Q00
+0W08W004m00GW18GA000G0W10m0WW00610402uDo9iYD30004m0140002G110040002C008W
+008GW4W02WW40052420G0W00080Qkt000znjaa020G0200G01800GG3qkg40O000W0000120
+0380W0000800008W2Q538000PApW000Wv@3vyP6UdtW@vJ04s0GRzIW0002001mlrCCn@6B1
+8nov6aWV2fiQ000A50WY000G0008008008mV9a0a0acl1G10000G00000fGR3000nZ908uBP
+3MJsWIvD0040000340021tXaGJr6yDl1000W2OtW@rDG800ots6001000G0oH@CyfT20G00W
+08000108wQ306000400vBR60W900W00004G202140001vLp000pmh7Iu3V6sWm00020vPRGH
+s6aHl1tIdG2@60020108GG8s601G0evR32wd190902000kmtWt_VO1x4QzE1G00W3h81u86W
+_LUG2G2100G08000DXdmow9SwV20G000G2G2200W0O000G0WqqDOjb7G0W000W0000W00121
+000W0aa000580WHW10020G010W0800100103000404000020WK00W0G00W58W2000eGm0CAO
+00000580000100WHP00000206010008000410W6000H0000540a100GW2fOR001100010000
+88010i7l14001W0WYO004GG00W20X223m8W1000G0W4mk10a000408000000500040488008
+W9000LW88Y11W000W0YWKgJO8230081004O0m1800KG804qK0A81020000e0Y2G00002000H
+6F3RGSt6004000C0C0K00JG8W2OAW4CmW0G000m0L0800eatD02W0W200000K00001026000
+484W1050221W01000AWG04000e0Omy7001000fY1MO10I83WcuD00J0GAr6ilE303I0XA0I9
+8iW2Ya0I8s6000EAwQ30yN00G00uA_40000120G40I5CfW2HWGA0rpR0061Wyib00G0WG0GA
+197M0W4GIsU3002H040002W2G044iEV3K4000O008bQ9004000GWZ0YWGqr945W1000GHD00
+adz3840002i8842CALW4G400adj1Ftd000020H80004m0G00aAm39mZn2_6qaa43OBnk_6qz
+S2008YZB2320G0Nwd00W1mWZsOJe4YvDaRgJ0W40mMLUSfX1H1O0W54WKhg000G000H04000
+0001008002908k@A0a40SPX10000n00Wicl4fKNn@_6ihF3000S000mf0wZ1000tr@9004W8
+V43m3004Tz6fOdGEs9CaT29RRGg064503HNxwIFRqi363Wpmhw90Eq1OgzAYcFXWjsuID3QU
+FXOwD8zzD_Wt0W008BiP0080WZuJ0402000W044W0ViPG4OC000WGN00GA09iAV20G00Y2uX
+cnOOxj4wZF12002LjXnPzF0000Sqz72aJYC1U000FJoydiYl1TXnGR7LKi@9400GgCX1008G
+0000W02048c13ydmlT60KZ00080GOK6ipV2XHPGq0X0020iPV32qpWR_DOmX440000G0WeY_
+4_0t0G0W0W000G00000410000004G1088ELXR080W0H008001000W08050010e02G01K4G00
+00GG5040100uK9300840010OJl4_XpWq_CG4A0080400G84@1Pm3@6W2000008W00000400p
+_RW004000G1000001180088OXD300140020W04G804W040038000G0H200X00L080Y00240W
+0620X0G1400HG0J010C0O010K0008G42KG0W00G01100WlP4040A0e0K0G186e0290G0G280
+0400A24G8GGX0aG20001Y0080042000004m00G00200500200Xl1D00W0Y00000001200I0G
+00W02G100000K8WF2D001600100O000G010W008e0a0028201041080224X8W00X004Km008
+00HO0240060H0eXYH0208203880600G000c0004003G00004g6092O0G8m80G0P010HHW044
+140220OGG0H04G018W000G04uhT30004g00O4WA0W100108004000ogp0240000X10020H00
+G8FG3olp0C00GFa810G0WfsCO2Y4026eyeH20W0GAjp00m004KGG8424G20G4000jv00WSfC
+8pE3QyF10014m0G8cZm0000WmO00MU8XbBJ0400Hpb9q_d1O400gNqWlmIG800GuX6840000
+40n_Z9iLl1O0G0W000iMl100040G00000G2WKWm@@681000WG0000Sw@@P0W2000C0C0000G
+00WMltWiqa8873gom00800020400644pf1FIc008000G00jJcW00009000lgbmCP6aQ83heb
+0080ma4bGOc3msX6yBP20008G0000008W8010012Wt7D87K3Y2uX3CD01W0HJbCi4P2BSPm@
+@90814000WG1264C53THcm0Z6080018000W0q4W104XcP00004J000rI_00G00000G0WGG0G
+0CaVM2LHOm@@IG80008040W0maaqC0042o4JC4Cq3P2zm5MCqyP2nFOm@@C00m9V8J3YXpWq
+9JuSI9oKZXC8COJ69E3D10K0GL7OW00882020G0008005e0W6030C0O0A00028lVP0800XxD
+JG0202G0002W40GA2088I0O4O0000W0G008G000WKF000000050vgK3C0000008H0000bW80
+80Gf42aH130W044010X08080002W001W1Y7r019080G1000JG0e00H411aHX10W2o001W0MZ
+D120800002000102G8000W0011WFPD0040G8E9001020A08000880042020C008WG01WG054
+111YynCOIL3e400CHh1G0200080000O0m080080G0GYm0104Zwq00010hhQGsb60000X000W
+0WW000W004028C04XG00eqM30080@yf4C10f00SQ14G09cJ6YUu1000WWq008LCO1E089fC3
+GA00aKc100M6@@N2W0WK29Bi089c2000000OA00uWGpa000080D10I52MY24II5813100nd9
+12W40002440008W00S7c4@@d0G80000080CW00WW14l4344000Mu0y@@384I000842010e5j
+4o2mW@@P0H00008W080080K0GI5m000W000023DRZ@@RPtM6000mRD00OyeD6PrWa5Ju@VFU
+wuX@@vPoN32zr000@oL9ZHBlCyR73jg5IATFG100Bqi7QqiYe0CGE00GIPCKsi1W@M02DRZr
+lUOvP6o70ZGpau@V32DZ7XjQjXcTr@@@@@FOl9x1010WopCO1J3W000000W3u00mPsFy@lJN
+FBnfYR00W0eHR6Uis000RZh987100WG4EvGR30Sk0ixME@mJ5G000G0200100ELm00200000
+8G500y@FLTU@Gw3LKEc14001kNt000G02000gmqWExDuhT300qQidE3nQdGE5B5_q3v6cGQx
+9qWn6TRP0065W@@fAZT9G000KbU2frR020W008009TdGlq9ypE32000000W3R00uGzAI_0fx
+uVu8LFYgd102003eQ00W9iyIKfSXhEmc1W04GjCRmhq6y@l10bU0shPlFyJm000000W000W8
+000AW001a_B300W8a200KiJTHyRG2qFCYl1HN@GOmICfGTbLQ000000G100080UMtWnzD0W0
+00Ge0WfYmZ800e0A0WS5D03002101080GGe2GG08840A061C0010G0a7sD0c00GdIxrMO200
+X00X02008G004C202k0W010XOR0006000JrXFXtfzF0881af08b0a2A03289kz0861WOF2Sf
+Q3004000G802Y00ZA2WOuO00003Q00WwiiC4x400eycxbb5sp0Gv0WAcsBGEC_Tx1U200DfL
+N8sdOjM1OhN3c5HB000000842DoWlmP00400010WDsJOib4gDd100800010W00000T100000
+4G0eMyP000OmIICyWT5Jnd0820WIzPG080mrxF00002220mbs9SMT2TTp000Ye9rDugz7G40
+41000bv04000000X00DmRm386W000020GmgtCa@T502008000yef1h@dGGsF8000014A0008
+WuwJO1V3Mm_XmcDuX03__F1800Z0WH000aW0040004G8200WEwD8tP3AVtWfhPO6tAou2cy@
+DW080G0_FS_l19vN10000m2B0PyNnN@C4G76ZHO0G80W7yCenV3Gm084jZ100e0g@t001G04
+010W4014xV22082UBt00022010XMet00W000H104001004000W400200aW0000150000u314
+I0AeW0221000040OG0A800002uYV30803q@l1K0000080G12002000240000G0KAG00W2209
+G1008W0440eArDeN@4W0008000G0840020000022000_Kp000G4BlRW08000mG0A000WG04i
+yk1080040140003G0040090H0W000C004118000000GEE0080G0GW20W21G400W0000K0003
+40000080W200W00008G140000GWGoC0X4000H0XdhJeLU90008800A0808000G438004000w
+gm04G20pbQ000G00509G001C01A0000I1e00X000080080W240I00a28022444818300A408
+1W000O0000ir00G40008I92G108W0WG080H8000X00400020G104200004K0200Gpv60W0GH
+02841400CCI1000080GW001200100G01WNvPeM@7G008GW00G00WGZhIyrU2XyRGawFGWW00
+110000069AW0GOe1W000040010024W0000022P_R080WWMcJ02W0m4xC00010030W004W_xP
+ug@40008Kel10a00smt004000020cSc1G0003Tamt_6006000G04W00Wq@J8nV300yEyxF30
+W0048000000040140W0WY@J8Wq7Eyt00W000G0W0004ySF31wRG8oF8000yxV3W200qsj1Pe
+aGasCCrl1811Wcpt00200040202008620G000008000O00Vy@0400ms@J00G0Ob_6ijB3p_R
+mZR9ajV2XXRGgs90W080002Ibm604J0xEV30480Kel1zyRmh_9G008080WGK064Tj10008y2
+00CMV2tyd000050WY8000Wo28Xj4C8wx427C1400W0104800c4YT2DL@GUv6W0G04380mgkL
+aVk100G0004400048kc7000000G3ioM9I5qWtsOeJx72jn00002fLO00GKWN_Degy40W201W
+004000450O020204000W1W58208aG0C2O000000K9lR00801010104000030G210X2400826
+0n01G85B0C2C000040C080010002504000G030SKl1O0048080W000GX0G021W031q8W10G1
+20W0WWW00000808WKwD0080W24040m000WO0HIW0XmW0AA0302010204w2T3oXt000G0WOa0
+0WC410W42G151W04000CG000008G0G800482000G00000800W0404W800001GG0b001404WH
+1O00018000yw00W00WWqBW100800081020G00G048069WWCW3obmO_6W01000C0nup680840
+00C04000210I8408gqt00G2GB4@00W4GM0WK22Ae089W4Oj1bVRW600G000eVvp00C00I5WS
+W28IEHrWKgD04a00007mPZV00G2930GA105M0W4G0000000QiHS3G200aZ5300881X10aFW1
+7ORGK0608I0uHv7001000GWX2YW01B2W0hJOd7901G421001f04IUr64TD3WBM0ESN200244
+H04I5m000001MN10008800808aG0WW165W7Lr@W000WrZUO8_7QytWcMC0G000000o0ht088
+00200WINC8yILou634008O00000WWSlh70W0902304Bl1000O8000008REuxA0C000000210
+080008400mHRZHK0600X0vRV3YocXifJW200NqrF0000gbQ6IfkYgjJ040000B3WzjP8FR3K
+0@n0000RQW4QAlYajDGC00Hg0F4Ls9HNtY0Mjseh7J000m6T9KDB3lDJ20WA40080peIIywU
+yWU21jR010G01000G001kgF101W00G80g0mWpOtuu_4M9oWx_D0Cy1mdILagE9Lhd0040WWU
+OOPW7UcUc3_P0000Bi10akjfAp@4Azt0401020a80020000WOHU3caNYUTCuiR900q5TBr3b
+UNnhRCK@j4000400W0Dvj1BsR00400A000DZP0400020000805UOs08e003xPGa_6yXY10GX
+04000040K00000008aKmD0042082G00000WpL0W0000G5XW6G00028000e21ePGm_64oV200
+01ovF14800TwR022000e8204004000aDk4O8W0G010aUi10000X0000008eGX40W08W02Aub
+V34000004GepV30G10ea00G0000G2004K00GG00000Wup000014GY@68WG001W4W00001000
+AWWG8G80e00801WGW02000G000WW0G000eW0400480008010W0000G0040a1W1pDnmzT6G02
+G0200myQ6CLk108W00G03Kdk1OWW0CW200104002G000O03C00DdRW10eWx2C010W850S080
+0000IJ9G00W021K402000e0I0026a01000280080220W11W10WG008018000G1000224000G
+14C00GO000W020062000C1WW000C01Xl@00800C0009zPGow6006OuAw42U73W0039WPGL96
+CDj100000zr04Ih1O0200008K4J2001001200004OIC6_UNYGnIu333AQzXGpC008G000000
+900jldGCy9qVT2NiR02000C008W0G0s7C100200008OC00y@l18080Y9a100240910A9sWJv
+D00001100mvvD000G0000O4000020n0008080XuVU3_gt00003FdPmd_6qrf1W04Wa020KlS
+2h4AHTaFCGQ208KjgmdXZ_DewH3At7ZX5Pub@DMq@13100G10084Wc4lC3001008044Tj1h6
+c0301080401DR0O000W100W300ESc1000220Y00000_tl1820JoSs0008CLVyGK_64dX10O0
+0Y28X6jD8w7CYXZXhMPe0I6W00e4kW15xR0000S_00GlVo000W001W000010210rsj1@EaGD
+II000e00000H00XTOV040G000GWeoC0040400000G440P00G8H0OKO00W0W0G008G0000022
+40G0Shd10001028000022W5W10K190D3C0000G0e00000000SyKI60402u@V3Gm0m0W00080
+18I1001040616008040K00vJ_4Iqs004b001G10W8G1GeG8AW400e0W880000000G040W00P
+_R040K63000240105881HG000004W00Yd@D00080000XWcDG01011A0008800I8W08X00000
+8qC305000SZ18QQ3001G0000I100000802000A400e3G2hGG040004W000000KvZRGG86084
+000101K2000K00OWAGGLXW45G25R910D40I50SY24IGA004QM2vZz000000Ge10Gg0eLK065
+G21DR0000QY0009_om@K6Gg0aIM02IM0Fa1x300Y30WK10Bv2CV062DJ20G84000G0O08001
+38Uv7Y2u10W0001G0W002cnl11Dd000Vx@@V0842400040Y00Wme0I5O3003X021002X1004
+4CV0Fc1nZEay040040000mh909_hI_05D0R8a000_ZF1000I000uZNl2000WW00000002I00
+ecwPI5JYGpUONN9Y2eY@@D00Gzu@@F06008@iA2DpZubd9qC8J9tog2KDLX@Fbu@ID_VKa@3
+Lv@FP_lJd@t4w@Cb_@Ig@hqw@9n_FIj@Vax@6z_VHm@JKy@39@lGp@74z@0L@@Fs@xpz@zW@
+FFv@lZ_@wi@VEy@ZJ@@tu@lD@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n000m0000G7PWfIW1040W0000W
+210000O001080000WH00000208102Y00XG0W880G240e020C0X6ZPmWa6O86W4G0W00Ge48n
+1300e40000030C0010000030e00ZUm000eyggJuZUm000B1vEy3
+ ;
+' // Loading device with a `jstart` instruction. 
+IRSCAN 10, $03cc
+ ;
+D = 12;
+WAIT D CYCLES;
+' //Loading device with 'bypass' instruction.
+IRSCAN 10, $03ff
+ ;
+' //Loading device with 'bypass' instruction.
+IRSCAN 10, $03ff
+ ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+' // Loading device with a `jstart` instruction. 
+IRSCAN 10, $03cc
+ ;
+D = 12;
+WAIT D CYCLES;
+' //Checking done pin status.
+' //Loading device with 'Bypass' instruction.
+IRSCAN 10, $03ff
+, COMPARE $0021
+, $0020
+, X;
+IF (!X) THEN GOTO F;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+IRSCAN 10, $03ff
+ ;
+DRSCAN 1, $00
+ ;
+
+SUCCESS:
+PRINT "Successful File Execution.";
+EXIT 0;
+
+F:
+PRINT "File Execution Failure.";
+EXIT 16;
+
+ENDPROC;
+
+DATA TMPDATA;
+INTEGER TMP_DELAY;
+ENDDATA;
+
+PROCEDURE ADJUST_BIG_DELAY USES TMPDATA, MAINDATA;
+TMP_DELAY = D / 100;
+TMP_DELAY = TMP_DELAY * 25;
+D = D + TMP_DELAY;
+ENDPROC;
+
+PROCEDURE ADJUST_SMALL_DELAY USES TMPDATA, MAINDATA;
+TMP_DELAY = D * 25;
+TMP_DELAY = TMP_DELAY / 100;
+D = D + TMP_DELAY;
+ENDPROC;
+
+PROCEDURE ADJUST_DELAY USES MAINDATA, ADJUST_BIG_DELAY, ADJUST_SMALL_DELAY;
+IF D > 2500 THEN CALL ADJUST_BIG_DELAY;
+IF D <= 2500 THEN CALL ADJUST_SMALL_DELAY;
+ENDPROC;
+
+CRC DF32;
diff --git a/trb_cts/cts.ucf b/trb_cts/cts.ucf
new file mode 100644 (file)
index 0000000..667e663
--- /dev/null
@@ -0,0 +1,637 @@
+  # NET  +<1>    LOC = AE28;
+  # NET  +<2>    LOC ="L2| IOSTANDARD = "LVTTL";
+  # NET  +<3>    LOC ="AJ18| IOSTANDARD = "LVTTL";
+  # NET  ADDON_TO_TRB_CLKINN     LOC ="G16| IOSTANDARD = "LVTTL";
+  # NET  ADDON_TO_TRB_CLKINP     LOC ="G17| IOSTANDARD = "LVTTL";
+   NET  ADO_LV<0>        LOC ="AC9";
+   NET  ADO_LV<1>        LOC ="AC8";
+   NET  ADO_LV<2>        LOC ="AG3";
+   NET  ADO_LV<3>        LOC ="AF3";
+   NET  ADO_LV<4>        LOC ="AF6";
+   NET  ADO_LV<5>        LOC ="AE6";
+   NET  ADO_LV<6>        LOC ="AF5";
+   NET  ADO_LV<7>        LOC ="AF4";
+   NET  ADO_LV<8>        LOC ="AL1";
+   NET  ADO_LV<9>        LOC ="AK1";
+   NET  ADO_LV<10>       LOC ="AJ2";
+   NET  ADO_LV<11>       LOC ="AJ1";
+   NET  ADO_LV<12>       LOC ="AB6";
+   NET  ADO_LV<13>       LOC ="AB5";
+   NET  ADO_LV<14>       LOC ="AC3";
+   NET  ADO_LV<15>       LOC ="AC2";
+   NET  ADO_LV<16>       LOC ="Y11";
+   NET  ADO_LV<17>       LOC ="AA11";
+   NET  ADO_LV<18>       LOC ="AD2";
+   NET  ADO_LV<19>       LOC ="AD1";
+   NET  ADO_LV<20>       LOC ="Y14";
+   NET  ADO_LV<21>       LOC ="AA13";
+   NET  ADO_LV<22>       LOC ="AC5";
+   NET  ADO_LV<23>       LOC ="AC4";
+   NET  ADO_LV<24>       LOC ="AF1";
+   NET  ADO_LV<25>       LOC ="AE1";
+   NET  ADO_LV<26>       LOC ="AE3";
+   NET  ADO_LV<27>       LOC ="AE2";
+   NET  ADO_LV<28>       LOC ="AD6";
+   NET  ADO_LV<29>       LOC ="AD5";
+   NET  ADO_LV<30>       LOC ="AC7";
+   NET  ADO_LV<31>       LOC ="AB8";
+   NET  ADO_LV<32>       LOC ="Y16";
+   NET  ADO_LV<33>       LOC ="AA15";
+   NET  ADO_LV<34>       LOC ="AE4";
+   NET  ADO_LV<35>       LOC ="AD4";
+   NET  ADO_LV<36>       LOC ="AH3";
+   NET  ADO_LV<37>       LOC ="AH2";
+   NET  ADO_LV<38>       LOC ="AG2";
+   NET  ADO_LV<39>       LOC ="AG1";
+   NET  ADO_LV<40>       LOC ="AK3";
+   NET  ADO_LV<41>       LOC ="AK2";
+   NET  ADO_LV<42>       LOC ="AF8";
+   NET  ADO_LV<43>       LOC ="AE8";
+   NET  ADO_LV<44>       LOC ="AH5";
+   NET  ADO_LV<45>       LOC ="AH4";
+   NET  ADO_LV<46>       LOC ="AB13";
+   NET  ADO_LV<47>       LOC ="AB12";
+   NET  ADO_LV<48>       LOC ="AM2";
+   NET  ADO_LV<49>       LOC ="AM1";
+   NET  ADO_LV<50>       LOC ="AG8";
+   NET  ADO_LV<51>       LOC ="AG7";
+#   NET  ADO_LV<52>      LOC ="AM3";
+#   NET  ADO_LV<53>      LOC ="AL3";
+#   NET  ADO_LV<54>      LOC ="AK22";
+#   NET  ADO_LV<55>      LOC ="AK23";
+#   NET  ADO_LV<56>      LOC ="AL28";
+#   NET  ADO_LV<57>      LOC ="AL29";
+#   NET  ADO_LV<58>      LOC ="AP25";
+#   NET  ADO_LV<59>      LOC ="AP26";
+#   NET  ADO_LV<60>      LOC ="AJ27";
+#   NET  ADO_LV<61>      LOC ="AH27";
+#   NET  ADO_TTL<0>      LOC ="AL11"| IOSTANDARD = "LVTTL";
+#   NET  ADO_TTL<1>      LOC ="AL10"| IOSTANDARD = "LVTTL";
+   NET  ADO_CLK1         LOC ="AL11"| IOSTANDARD = "LVTTL";
+   NET  ADO_CLK2         LOC ="AL10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<2>       LOC ="AE11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<3>       LOC ="AF11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<4>       LOC ="AM12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<5>       LOC ="AM11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<6>       LOC ="AL9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<7>       LOC ="AK9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<8>       LOC ="AP11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<9>       LOC ="AP10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<10>      LOC ="AH10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<11>      LOC ="AG10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<12>      LOC ="AN12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<13>      LOC ="AP12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<14>      LOC ="AP9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<15>      LOC ="AN9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<16>      LOC ="AH12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<17>      LOC ="AG11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<18>      LOC ="AN7"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<19>      LOC ="AM7"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<20>      LOC ="AN10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<21>      LOC ="AM10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<22>      LOC ="AF10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<23>      LOC ="AE9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<24>      LOC ="AJ12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<25>      LOC ="L33"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<26>      LOC ="L34"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<27>      LOC ="M32"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<28>      LOC ="M33"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<29>      LOC ="D5"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<30>      LOC ="G7"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<31>      LOC ="G6"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<32>      LOC ="E14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<33>      LOC ="D14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<34>      LOC ="AL20"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<35>      LOC ="AJ15"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<36>      LOC ="AJ14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<37>      LOC ="AG20"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<38>      LOC ="AH20"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<39>      LOC ="AG15"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<40>      LOC ="AH14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<41>      LOC ="AL16"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<42>      LOC ="AK16"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<43>      LOC ="C28"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<44>      LOC ="L26"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<45>      LOC ="B32"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<46>      LOC ="B33"| IOSTANDARD = "LVTTL";
+  # NET  A_CS1B          LOC ="P9"| IOSTANDARD = "LVTTL";
+  # NET  A_CS<1>         LOC ="P10"| IOSTANDARD = "LVTTL";
+  # NET  A_DATA_READY    LOC ="B12"| IOSTANDARD = "LVTTL";
+  # NET  A_RESERVED      LOC ="J11"| IOSTANDARD = "LVTTL";
+  # NET  A_SCK   LOC ="H3"| IOSTANDARD = "LVTTL";
+  # NET  A_SCKB          LOC ="H2"| IOSTANDARD = "LVTTL";
+  # NET  A_SDI   LOC ="F1"| IOSTANDARD = "LVTTL";
+  # NET  A_SDIB          LOC ="G1"| IOSTANDARD = "LVTTL";
+  # NET  A_SDO   LOC ="J4"| IOSTANDARD = "LVTTL";
+  # NET  A_SDOB          LOC ="K4"| IOSTANDARD = "LVTTL";
+  # NET  A_TDC_BU_RESET          LOC ="AK27"| IOSTANDARD = "LVTTL";
+  # NET  A_TDC_BU_RESETB         LOC ="AK28"| IOSTANDARD = "LVTTL";
+  # NET  A_TDC_ERROR     LOC ="F11"| IOSTANDARD = "LVTTL";
+  # NET  A_TDC_EV_RESET          LOC ="AK24";
+  # NET  A_TDC_EV_RESETB         LOC ="AJ24";
+  # NET  A_TDC_POWERUP   LOC ="H8"| IOSTANDARD = "LVTTL";
+  # NET  A_TEMP          LOC ="B7"| IOSTANDARD = "LVTTL";
+  # NET  A_TEST1B        LOC ="N7"| IOSTANDARD = "LVTTL";
+  # NET  A_TEST2B        LOC ="L4"| IOSTANDARD = "LVTTL";
+  # NET  A_TEST<1>       LOC ="M7"| IOSTANDARD = "LVTTL";
+  # NET  A_TEST<2>       LOC ="L5"| IOSTANDARD = "LVTTL";
+  # NET  A_TRIGGER       LOC ="J6";
+  # NET  A_TRIGGERB      LOC ="J5";
+  # NET  B_CS1B          LOC ="G5"| IOSTANDARD = "LVTTL";
+  # NET  B_CS<1>         LOC ="F5"| IOSTANDARD = "LVTTL";
+  # NET  B_DATA_READY    LOC ="A8"| IOSTANDARD = "LVTTL";
+  # NET  B_RESERVED      LOC ="C7"| IOSTANDARD = "LVTTL";
+  # NET  B_SCK   LOC ="C4"| IOSTANDARD = "LVTTL";
+  # NET  B_SCKB          LOC ="C3"| IOSTANDARD = "LVTTL";
+  # NET  B_SDI   LOC ="R11"| IOSTANDARD = "LVTTL";
+  # NET  B_SDIB          LOC ="T11"| IOSTANDARD = "LVTTL";
+  # NET  B_SDO   LOC ="G3"| IOSTANDARD = "LVTTL";
+  # NET  B_SDOB          LOC ="G2"| IOSTANDARD = "LVTTL";
+  # NET  B_TDC_BU_RESET          LOC ="AG25";
+  # NET  B_TDC_BU_RESETB         LOC ="AG26";
+  # NET  B_TDC_ERROR     LOC ="A6"| IOSTANDARD = "LVTTL";
+  # NET  B_TDC_EV_RESET          LOC ="AG23";
+  # NET  B_TDC_EV_RESETB         LOC ="AF24";
+  # NET  B_TDC_POWERUP   LOC ="H7"| IOSTANDARD = "LVTTL";
+  # NET  B_TEMP          LOC ="A10"| IOSTANDARD = "LVTTL";
+  # NET  B_TEST2B        LOC ="L9"| IOSTANDARD = "LVTTL";
+  # NET  B_TEST1B        LOC ="E4"| IOSTANDARD = "LVTTL";
+  # NET  B_TEST<1>       LOC ="D4"| IOSTANDARD = "LVTTL";
+  # NET  B_TEST<2>       LOC ="M10"| IOSTANDARD = "LVTTL";
+  # NET  B_TRIGGER       LOC ="H5";
+  # NET  B_TRIGGERB      LOC ="H4";
+  # NET  C_CS1B          LOC ="R9"| IOSTANDARD = "LVTTL";
+  # NET  C_CS<1>         LOC ="T10"| IOSTANDARD = "LVTTL";
+  # NET  C_DATA_READY    LOC ="B8"| IOSTANDARD = "LVTTL";
+  # NET  C_RESERVED      LOC ="F8"| IOSTANDARD = "LVTTL";
+  # NET  C_SCK   LOC ="P7"| IOSTANDARD = "LVTTL";
+  # NET  C_SCKB          LOC ="P6"| IOSTANDARD = "LVTTL";
+  # NET  C_SDI   LOC ="D1"| IOSTANDARD = "LVTTL";
+  # NET  C_SDIB          LOC ="E1"| IOSTANDARD = "LVTTL";
+  # NET  C_SDO   LOC ="F4"| IOSTANDARD = "LVTTL";
+  # NET  C_SDOB          LOC ="F3"| IOSTANDARD = "LVTTL";
+  # NET  C_TDC_BU_RESET          LOC ="AP31";
+  # NET  C_TDC_BU_RESETB         LOC ="AP32";
+  # NET  C_TDC_ERROR     LOC ="B6"| IOSTANDARD = "LVTTL";
+  # NET  C_TDC_EV_RESET          LOC ="AP27";
+  # NET  C_TDC_EV_RESETB         LOC ="AN27";
+  # NET  C_TDC_POWERUP   LOC ="K8"| IOSTANDARD = "LVTTL";
+  # NET  C_TEMP          LOC ="A9"| IOSTANDARD = "LVTTL";
+  # NET  C_TEST2B        LOC ="N12"| IOSTANDARD = "LVTTL";
+  # NET  C_TEST1B        LOC ="D2"| IOSTANDARD = "LVTTL";
+  # NET  C_TEST<1>       LOC ="C2"| IOSTANDARD = "LVTTL";
+  # NET  C_TEST<2>       LOC ="N13"| IOSTANDARD = "LVTTL";
+  # NET  C_TOKEN_OUT_TTL         LOC ="F6"| IOSTANDARD = "LVTTL";
+  # NET  C_TRIGGER       LOC ="N10";
+  # NET  C_TRIGGERB      LOC ="N9";
+   NET  DBAD     LOC ="M28"| IOSTANDARD = "LVTTL";
+   NET  DGOOD    LOC ="H34"| IOSTANDARD = "LVTTL";
+   NET  DINT     LOC ="L31"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<0>       LOC ="AA23"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<1>       LOC ="AA24"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<2>       LOC ="AJ34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<3>       LOC ="AH34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<4>       LOC ="AD27"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<5>       LOC ="AC27"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<6>       LOC ="AB25"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<7>       LOC ="AB26"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<8>       LOC ="AG30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<9>       LOC ="AG31"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<10>      LOC ="AH32"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<11>      LOC ="AH33"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<12>      LOC ="AF29"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<13>      LOC ="AF30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<14>      LOC ="AA28"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<15>      LOC ="AA29"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<16>      LOC ="W24"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<17>      LOC ="Y24"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<18>      LOC ="AB30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<19>      LOC ="AA30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<20>      LOC ="W25"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<21>      LOC ="Y26"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<22>      LOC ="AE33"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<23>      LOC ="AE34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<24>      LOC ="AC32"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<25>      LOC ="AC33"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<26>      LOC ="AC29"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<27>      LOC ="AC30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<28>      LOC ="AD34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<29>      LOC ="AC34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<30>      LOC ="AH18"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<31>      LOC ="AK18"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<0>        LOC ="AA25"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<1>        LOC ="AA26"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<2>        LOC ="AE32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<3>        LOC ="AD32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<4>        LOC ="AC28"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<5>        LOC ="AB28"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<6>        LOC ="AD30"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<7>        LOC ="AD31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<8>        LOC ="AG32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<9>        LOC ="AG33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<10>       LOC ="AF33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<11>       LOC ="AF34"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<12>       LOC ="AE29"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<13>       LOC ="AD29"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<14>       LOC ="AF31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<15>       LOC ="AE31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<16>       LOC ="AK31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<17>       LOC ="AK32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<18>       LOC ="AK33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<19>       LOC ="AK34"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<20>       LOC ="AM32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<21>       LOC ="AM33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<22>       LOC ="AJ31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<23>       LOC ="AJ32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<24>       LOC ="AB22"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<25>       LOC ="AB23"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<26>       LOC ="AL33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<27>       LOC ="AL34"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<28>       LOC ="AM31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<29>       LOC ="AL31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<30>       LOC ="AJ30"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<31>       LOC ="AH30"| IOSTANDARD = "LVTTL";
+   NET  DSP_ACK          LOC ="AB15"| IOSTANDARD = "LVTTL";
+   NET  DSP_BM   LOC ="AH19"| IOSTANDARD = "LVTTL";
+   NET  DSP_BMS          LOC ="AF15"| IOSTANDARD = "LVTTL";
+   NET  DSP_BOFF         LOC ="AK14"| IOSTANDARD = "LVTTL";
+   NET  DSP_BRST         LOC ="AN20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_BUSLOCK     LOC ="AC19"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<0>     LOC ="AD17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<1>     LOC ="AC17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<2>     LOC ="AM20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<3>     LOC ="AL19"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<0>     LOC ="AE21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<1>     LOC ="AF21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<2>     LOC ="AP15"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<3>     LOC ="AN15"| IOSTANDARD = "LVTTL";
+   NET  DSP_HBG          LOC ="AD16"| IOSTANDARD = "LVTTL";
+   NET  DSP_HBR          LOC ="AB18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_IOEN        LOC ="AL18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_IORD        LOC ="AB16"| IOSTANDARD = "LVTTL";
+  # NET  DSP_IOWR        LOC ="AB17"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<0>       LOC ="AG21"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<1>       LOC ="AF20"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<2>       LOC ="AF14"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<3>       LOC ="AG13"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<0>          LOC ="AK29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0ACKI      LOC ="AM18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1ACKO      LOC ="AM15"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0BCMPI     LOC ="AM16"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0BCMPO     LOC ="AD21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<0>          LOC ="AJ29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1BCMPO     LOC ="AJ20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<0>          LOC ="AK21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<0>          LOC ="AM23"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0ACKO      LOC ="AM17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKINN    LOC ="AL30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKOUTP   LOC ="K18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<0>          LOC ="AM25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKINP    LOC ="AP29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKOUTN   LOC ="K17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1BCMPI     LOC ="AL15"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKOUTN   LOC ="AL25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKINP    LOC ="AM30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<0>          LOC ="AL23"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1ACKI      LOC ="AD20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKINN    LOC ="AN29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<0>          LOC ="AN25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<0>          LOC ="AL21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKOUTP   LOC ="AL24"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<1>          LOC ="AN24"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<1>          LOC ="AF28"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<1>          LOC ="AE27"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<1>          LOC ="AN23"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<1>          LOC ="AN22"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<1>          LOC ="AH28"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<1>          LOC ="AP24"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<1>          LOC ="AH29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<2>          LOC ="AH25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<2>          LOC ="AJ25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<2>          LOC ="AF26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<2>          LOC ="AP30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<2>          LOC ="AM26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<2>          LOC ="AE26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<2>          LOC ="AN30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<2>          LOC ="AM27"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<3>          LOC ="AN32"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<3>          LOC ="AP21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<3>          LOC ="AL26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<3>          LOC ="AP22"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<3>          LOC ="AG28"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<3>          LOC ="AG27"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<3>          LOC ="AN33"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<3>          LOC ="AK26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_MSH         LOC ="AL14"| IOSTANDARD = "LVTTL";
+   NET  DSP_RD   LOC ="AJ22"| IOSTANDARD = "LVTTL";
+   NET  DSP_RESET        LOC ="AG22"| IOSTANDARD = "LVTTL";
+   NET  DSP_RESET_OUT    LOC ="AH22"| IOSTANDARD = "LVTTL";
+  # NET  DSP_TMROE       LOC ="AP20"| IOSTANDARD = "LVTTL";
+   NET  DSP_WRH          LOC ="AJ21"| IOSTANDARD = "LVTTL";
+   NET  DSP_WRL          LOC ="AC15"| IOSTANDARD = "LVTTL";
+   NET  DWAIT    LOC ="H33"| IOSTANDARD = "LVTTL";
+  # NET  D_CS1B          LOC ="M2"| IOSTANDARD = "LVTTL";
+  # NET  D_CS<1>         LOC ="M3"| IOSTANDARD = "LVTTL";
+  # NET  D_DATA_READY    LOC ="E11"| IOSTANDARD = "LVTTL";
+  # NET  D_RESERVED      LOC ="G8"| IOSTANDARD = "LVTTL";
+  # NET  D_SCK   LOC ="M6"| IOSTANDARD = "LVTTL";
+  # NET  D_SCKB          LOC ="M5"| IOSTANDARD = "LVTTL";
+  # NET  D_SDI   LOC ="K3"| IOSTANDARD = "LVTTL";
+  # NET  D_SDIB          LOC ="L3"| IOSTANDARD = "LVTTL";
+  # NET  D_SDO   LOC ="K2"| IOSTANDARD = "LVTTL";
+  # NET  D_SDOB          LOC ="K1"| IOSTANDARD = "LVTTL";
+  # NET  D_TDC_BU_RESET          LOC ="K6";
+  # NET  D_TDC_BU_RESETB         LOC ="L6";
+  # NET  D_TDC_ERROR     LOC ="H12"| IOSTANDARD = "LVTTL";
+  # NET  D_TDC_EV_RESET          LOC ="L8";
+  # NET  D_TDC_EV_RESETB         LOC ="M8";
+  # NET  D_TDC_POWERUP   LOC ="J7"| IOSTANDARD = "LVTTL";
+  # NET  D_TEMP          LOC ="C14"| IOSTANDARD = "LVTTL";
+  # NET  D_TEST1B        LOC ="M1"| IOSTANDARD = "LVTTL";
+  # NET  D_TEST2B        LOC ="P5"| IOSTANDARD = "LVTTL";
+  # NET  D_TEST<1>       LOC ="L1"| IOSTANDARD = "LVTTL";
+  # NET  D_TEST<2>       LOC ="N5"| IOSTANDARD = "LVTTL";
+  # NET  D_TRIGGER       LOC ="P12";
+  # NET  D_TRIGGERB      LOC ="P11";
+   NET  ETRAX_IRQ        LOC ="AK12"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<0>         LOC ="AL5"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<1>         LOC ="AL4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<2>         LOC ="AK4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<3>         LOC ="AJ4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<4>         LOC ="AP4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<5>         LOC ="AN4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<6>         LOC ="AD10"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<7>         LOC ="AD9"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<8>         LOC ="AN14"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<9>         LOC ="AP14"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<10>        LOC ="AJ6"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<11>        LOC ="AJ5"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<12>        LOC ="AK7"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<13>        LOC ="AJ7"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<14>        LOC ="AN3"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<15>        LOC ="AN2"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<16>        LOC ="AK13"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<17>        LOC ="AL13"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<0>         LOC ="AL6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<1>         LOC ="AK6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<2>         LOC ="AL8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<3>         LOC ="AK8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<4>         LOC ="AH8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<5>         LOC ="AH7"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<6>         LOC ="AM13"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<7>         LOC ="AN13"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<8>         LOC ="AM6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<9>         LOC ="AM5"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<10>        LOC ="AJ10"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<11>        LOC ="AJ9"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<12>        LOC ="AP5"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<13>        LOC ="AN5"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<14>        LOC ="AP6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<15>        LOC ="AP7"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<16>        LOC ="AM8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<17>        LOC ="AN8"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<0>        LOC ="V18"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<1>        LOC ="V13"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<2>        LOC ="V14"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<3>        LOC ="W17"| IOSTANDARD = "LVTTL";
+  # NET  GET_DATA        LOC ="B13"| IOSTANDARD = "LVTTL";
+  # NET  GND     LOC ="V22"| IOSTANDARD = "LVTTL";
+  # NET  N<18064815>     LOC ="T16"| IOSTANDARD = "LVTTL";
+  # NET  N<18064816>     LOC ="U17"| IOSTANDARD = "LVTTL";
+  # NET  N<18064817>     LOC ="U21"| IOSTANDARD = "LVTTL";
+  # NET  N<18064818>     LOC ="Y18"| IOSTANDARD = "LVTTL";
+  # NET  N<18064820>     LOC ="T18"| IOSTANDARD = "LVTTL";
+  # NET  N<23483794>     LOC ="W20"| IOSTANDARD = "LVTTL";
+  # NET  N<23492722>     LOC ="V20"| IOSTANDARD = "LVTTL";
+  # NET  N<23538587>     LOC ="U22"| IOSTANDARD = "LVTTL";
+  # NET  N<23548060>     LOC ="R17"| IOSTANDARD = "LVTTL";
+  # NET  N<23548615>     LOC ="U15"| IOSTANDARD = "LVTTL";
+  # NET  N<24265542>     LOC ="W21"| IOSTANDARD = "LVTTL";
+  # NET  N<24267225>     LOC ="U13"| IOSTANDARD = "LVTTL";
+  # NET  N<24269436>     LOC ="F15"| IOSTANDARD = "LVTTL";
+  # NET  N<24269444>     LOC ="D15"| IOSTANDARD = "LVTTL";
+  # NET  N<24326445>     LOC ="AJ11"| IOSTANDARD = "LVTTL";
+  # NET  N<24328654>     LOC ="AK11"| IOSTANDARD = "LVTTL";
+  # NET  N<33988559>     LOC ="J31"| IOSTANDARD = "LVTTL";
+  # NET  N<33988561>     LOC ="K31"| IOSTANDARD = "LVTTL";
+  # NET  N<34495448>     LOC ="E22"| IOSTANDARD = "LVTTL";
+  # NET  N<34503651>     LOC ="D22"| IOSTANDARD = "LVTTL";
+  # NET  N<36044982>     LOC ="AK17"| IOSTANDARD = "LVTTL";
+  # NET  N<36648023>     LOC ="AG18"| IOSTANDARD = "LVTTL";
+  # NET  N<36648025>     LOC ="AG17"| IOSTANDARD = "LVTTL";
+  # NET  N<36648033>     LOC ="AE17"| IOSTANDARD = "LVTTL";
+  # NET  N<36648035>     LOC ="AE16"| IOSTANDARD = "LVTTL";
+  # NET  N<36650772>     LOC ="AJ17"| IOSTANDARD = "LVTTL";
+  # NET  N<36650774>     LOC ="AH17"| IOSTANDARD = "LVTTL";
+  # NET  N<36704661>     LOC ="AN28"| IOSTANDARD = "LVTTL";
+  # NET  N<36704663>     LOC ="AM28"| IOSTANDARD = "LVTTL";
+  # NET  N<38694350>     LOC ="AG5"| IOSTANDARD = "LVTTL";
+  # NET  N<38697708>     LOC ="AG6"| IOSTANDARD = "LVTTL";
+  # NET  N<38810822>     LOC ="K19"| IOSTANDARD = "LVTTL";
+  # NET  N<38810824>     LOC ="J19"| IOSTANDARD = "LVTTL";
+  # NET  N<38810850>     LOC ="E16"| IOSTANDARD = "LVTTL";
+  # NET  N<38810852>     LOC ="F16"| IOSTANDARD = "LVTTL";
+  # NET  N<38813734>     LOC ="G13"| IOSTANDARD = "LVTTL";
+  # NET  N<38813742>     LOC ="M17"| IOSTANDARD = "LVTTL";
+  # NET  N<38813744>     LOC ="N17"| IOSTANDARD = "LVTTL";
+  # NET  N<38813770>     LOC ="M18"| IOSTANDARD = "LVTTL";
+  # NET  N<38813772>     LOC ="L18"| IOSTANDARD = "LVTTL";
+  # NET  N<38813774>     LOC ="F13"| IOSTANDARD = "LVTTL";
+  # NET  N<38821674>     LOC ="D19"| IOSTANDARD = "LVTTL";
+  # NET  N<38821676>     LOC ="C19"| IOSTANDARD = "LVTTL";
+  # NET  N<38821684>     LOC ="C18"| IOSTANDARD = "LVTTL";
+  # NET  N<38821698>     LOC ="C17"| IOSTANDARD = "LVTTL";
+  # NET  N<38821700>     LOC ="D17"| IOSTANDARD = "LVTTL";
+  # NET  N<38821702>     LOC ="E19"| IOSTANDARD = "LVTTL";
+  # NET  N<38824618>     LOC ="C15"| IOSTANDARD = "LVTTL";
+  # NET  N<38824620>     LOC ="M16"| IOSTANDARD = "LVTTL";
+  # NET  N<38824628>     LOC ="N15"| IOSTANDARD = "LVTTL";
+  # NET  N<38824642>     LOC ="D20"| IOSTANDARD = "LVTTL";
+  # NET  N<38824644>     LOC ="C20"| IOSTANDARD = "LVTTL";
+  # NET  N<38824646>     LOC ="D16"| IOSTANDARD = "LVTTL";
+  # NET  N<38827574>     LOC ="A20"| IOSTANDARD = "LVTTL";
+  # NET  N<38827602>     LOC ="B20"| IOSTANDARD = "LVTTL";
+  # NET  N<38857742>     LOC ="AH23"| IOSTANDARD = "LVTTL";
+  # NET  N<38857748>     LOC ="AH24"| IOSTANDARD = "LVTTL";
+  # NET  N<41716249>     LOC ="W19"| IOSTANDARD = "LVTTL";
+  # NET  N<44929647>     LOC ="J2"| IOSTANDARD = "LVTTL";
+  # NET  N<44929649>     LOC ="J1"| IOSTANDARD = "LVTTL";
+  # NET  N<44985997>     LOC ="J17"| IOSTANDARD = "LVTTL";
+  # NET  N<44986035>     LOC ="H17"| IOSTANDARD = "LVTTL";
+  # NET  N<45004261>     LOC ="AK19"| IOSTANDARD = "LVTTL";
+  # NET  N<45004289>     LOC ="AJ19"| IOSTANDARD = "LVTTL";
+  # NET  N<45476888>     LOC ="K16"| IOSTANDARD = "LVTTL";
+  # NET  N<45476902>     LOC ="L16"| IOSTANDARD = "LVTTL";
+  # NET  N<46190669>     LOC ="E18"| IOSTANDARD = "LVTTL";
+  # NET  N<46190671>     LOC ="E17"| IOSTANDARD = "LVTTL";
+  # NET  N<46461605>     LOC ="E8"| IOSTANDARD = "LVTTL";
+  # NET  N<46464939>     LOC ="E7"| IOSTANDARD = "LVTTL";
+  # NET  N<46481442>     LOC ="AD19"| IOSTANDARD = "LVTTL";
+  # NET  N<46481444>     LOC ="AE19"| IOSTANDARD = "LVTTL";
+  # NET  N<46736961>     LOC ="AC25"| IOSTANDARD = "LVTTL";
+  # NET  N<46741878>     LOC ="AD26"| IOSTANDARD = "LVTTL";
+  # NET  REF_TDC_CLK     LOC ="F18";
+  # NET  REF_TDC_CLKB    LOC ="G18";
+   NET  RESET_VIRT       LOC ="AF16"| IOSTANDARD = "LVTTL";
+   NET  SFP_LOS          LOC ="M27"| IOSTANDARD = "LVTTL";
+ # NET  SFP_MOD<0>       LOC ="R23"| IOSTANDARD = "LVTTL";
+ # NET  SFP_MOD<1>       LOC ="K32"| IOSTANDARD = "LVTTL";
+ # NET  SFP_MOD<2>       LOC ="K33"| IOSTANDARD = "LVTTL";
+ # NET  SFP_RATE_SEL     LOC ="P27"| IOSTANDARD = "LVTTL";
+   NET  SFP_TX_DIS       LOC ="N27"| IOSTANDARD = "LVTTL";
+  # NET  SFP_TX_FAULT    LOC ="J32"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<0>      LOC ="D12"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<1>      LOC ="C12"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<2>      LOC ="B10"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<3>      LOC ="C10"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<4>      LOC ="A11"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<5>      LOC ="B11"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<6>      LOC ="C9"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<7>      LOC ="C8"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<8>      LOC ="G12"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<9>      LOC ="G11"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<10>     LOC ="F10"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<11>     LOC ="G10"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<12>     LOC ="D11"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<13>     LOC ="D10"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<14>     LOC ="H10"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<15>     LOC ="H9"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<16>     LOC ="A14"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<17>     LOC ="A13"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<18>     LOC ="D7"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<19>     LOC ="D6"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<20>     LOC ="D9"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<21>     LOC ="E9"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<22>     LOC ="A4"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<23>     LOC ="A3"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<24>     LOC ="E13"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<25>     LOC ="E12"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<26>     LOC ="A5"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<27>     LOC ="B5"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<28>     LOC ="J9"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<29>     LOC ="K9"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<30>     LOC ="B3"| IOSTANDARD = "LVTTL";
+   #NET  TDC_OUT<31>     LOC ="B2"| IOSTANDARD = "LVTTL";
+   #NET  TDC_RESET       LOC ="C5"| IOSTANDARD = "LVTTL";
+   NET  TLK_CLK                  LOC ="AG16"| IOSTANDARD = "LVTTL";
+   NET  TLK_ENABLE       LOC ="R24"| IOSTANDARD = "LVTTL";
+   NET  TLK_LCKREFN      LOC ="L28"| IOSTANDARD = "LVTTL";
+   NET  TLK_LOOPEN       LOC ="R19"| IOSTANDARD = "LVTTL";
+   NET  TLK_PRBSEN       LOC ="H32"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<0>       LOC ="G30"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<1>       LOC ="G31"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<2>       LOC ="J29"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<3>       LOC ="J30"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<4>       LOC ="E32"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<5>       LOC ="E33"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<6>       LOC ="N25"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<7>       LOC ="P26"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<8>       LOC ="P22"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<9>       LOC ="R21"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<10>      LOC ="F33"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<11>      LOC ="F34"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<12>      LOC ="K28"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<13>      LOC ="K29"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<14>      LOC ="G32"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<15>      LOC ="G33"| IOSTANDARD = "LVTTL";
+   NET  TLK_RX_CLK       LOC ="AF18"| IOSTANDARD = "LVTTL";
+   NET  TLK_RX_DV        LOC ="M30"| IOSTANDARD = "LVTTL";
+   NET  TLK_RX_ER        LOC ="P20"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<0>       LOC ="H27"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<1>       LOC ="H28"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<2>       LOC ="C32"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<3>       LOC ="D32"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<4>       LOC ="J27"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<5>       LOC ="K27"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<6>       LOC ="M25"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<7>       LOC ="M26"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<8>       LOC ="N22"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<9>       LOC ="N23"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<10>      LOC ="H29"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<11>      LOC ="H30"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<12>      LOC ="C33"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<13>      LOC ="C34"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<14>      LOC ="D34"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<15>      LOC ="E34"| IOSTANDARD = "LVTTL";
+   NET  TLK_TX_EN        LOC ="L29"| IOSTANDARD = "LVTTL";
+   NET  TLK_TX_ER        LOC ="P24"| IOSTANDARD = "LVTTL";
+   # NET  TOKEN_IN       LOC ="E6"| IOSTANDARD = "LVTTL";
+   # NET  TOKEN_OUT      LOC ="C13"| IOSTANDARD = "LVTTL";
+   NET  VIRT_CLK         LOC ="H19";
+   NET  VIRT_CLKB        LOC ="H18";
+   # NET  VIRT_TCK       LOC ="K34"| IOSTANDARD = "LVTTL";
+   # NET  VIRT_TDI       LOC ="M31"| IOSTANDARD = "LVTTL";
+   # NET  VIRT_TDO       LOC ="N30"| IOSTANDARD = "LVTTL";
+   # NET  VIRT_TMS       LOC ="J34"| IOSTANDARD = "LVTTL";
+   # NET  VIRT_TRST      LOC ="N29"| IOSTANDARD = "LVTTL";
+   # NET  VIR_TRIG       LOC ="E3";
+   # NET  VIR_TRIGB      LOC ="E2";
+   NET  VSD_A<0>         LOC ="F23"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<1>         LOC ="E23"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<2>         LOC ="D26"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<3>         LOC ="E26"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<4>         LOC ="F24"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<5>         LOC ="E24"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<6>         LOC ="D27"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<7>         LOC ="E27"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<8>         LOC ="G23"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<9>         LOC ="H24"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<10>        LOC ="A28"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<11>        LOC ="A29"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<12>        LOC ="B25"| IOSTANDARD = "LVTTL";
+   NET  VSD_BA<0>        LOC ="C25"| IOSTANDARD = "LVTTL";
+   NET  VSD_BA<1>        LOC ="J25"| IOSTANDARD = "LVTTL";
+   NET  VSD_CAS          LOC ="G28"| IOSTANDARD = "LVTTL";
+   NET  VSD_CKE          LOC ="D30"| IOSTANDARD = "LVTTL";
+   NET  VSD_CLOCK        LOC ="B28"| IOSTANDARD = "LVTTL";
+   NET  VSD_CSEH         LOC ="G27"| IOSTANDARD = "LVTTL";
+   NET  VSD_CSEL         LOC ="D31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<0>         LOC ="B23"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<1>         LOC ="A23"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<2>         LOC ="A26"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<3>         LOC ="B26"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<4>         LOC ="A24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<5>         LOC ="A25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<6>         LOC ="G25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<7>         LOC ="H25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<8>         LOC ="C23"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<9>         LOC ="C24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<10>        LOC ="F25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<11>        LOC ="F26"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<12>        LOC ="D24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<13>        LOC ="D25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<14>        LOC ="B27"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<15>        LOC ="C27"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<16>        LOC ="C22"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<17>        LOC ="B22"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<18>        LOC ="A30"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<19>        LOC ="B30"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<20>        LOC ="K24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<21>        LOC ="J24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<22>        LOC ="C29"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<23>        LOC ="C30"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<24>        LOC ="B21"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<25>        LOC ="A21"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<26>        LOC ="E28"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<27>        LOC ="F28"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<28>        LOC ="A31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<29>        LOC ="B31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<30>        LOC ="E31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<31>        LOC ="F31"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<0>      LOC ="F30"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<1>      LOC ="D29"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<2>      LOC ="E29"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<3>      LOC ="L25"| IOSTANDARD = "LVTTL";
+   NET  VSD_RAS          LOC ="F29"| IOSTANDARD = "LVTTL";
+   NET  VSD_WE   LOC ="K26"| IOSTANDARD = "LVTTL";
+ OFFSET=OUT 19.0 ns AFTER "VIRT_CLK" HIGH;
+ OFFSET=IN 3.5 ns BEFORE "VIRT_CLK" HIGH;
+# OFFSET=IN 2.5 ns BEFORE "REF_TDC_CLK" HIGH;
+ NET "VIRT_CLK" TNM_NET =VIRT_CLK;
+ TIMESPEC TS_VIRT_CLK =PERIOD "VIRT_CLK" 9 ns;
+NET "ADO_CLK2" TNM_NET =ADO_CLK2;
+TIMESPEC TS_ADO_CLK2 =PERIOD "ADO_CLK2" 16 ns;
+
+#NET "REF_TDC_CLK" TNM_NET = REF_TDC_CLK;
+#TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 10 ns;
diff --git a/trb_cts/cts.vhd b/trb_cts/cts.vhd
new file mode 100644 (file)
index 0000000..e3de16d
--- /dev/null
@@ -0,0 +1,1041 @@
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+entity cts is
+  port (
+    -------------------------------------------------------------------------
+    -- GENERAL 
+    -------------------------------------------------------------------------
+    VIRT_CLK        : in  std_logic;
+    VIRT_CLKB       : in  std_logic;
+    RESET_VIRT      : in  std_logic;
+    DBAD            : out std_logic;    --diode
+    DGOOD           : out std_logic;    --diode
+    DINT            : out std_logic;    --diode
+    DWAIT           : out std_logic;    --diode
+    -------------------------------------------------------------------------
+    -- ETRAX connections
+    -------------------------------------------------------------------------
+    FS_PB      : out std_logic_vector (17 downto 0);
+    FS_PC      : inout std_logic_vector (17 downto 0);
+    ETRAX_IRQ  : out   std_logic;       --check what is the correct value
+    -------------------------------------------------------------------------
+    -- TEST SIGNALS - for RPC
+    -------------------------------------------------------------------------
+    --  A_TEST1B          LOC = N7;
+    --  A_TEST2B          LOC = L4;
+    --  A_TEST1   LOC = M7;
+    --  A_TEST2   LOC = L5;
+    --  B_TEST2B          LOC = L9;
+    --  B_TEST1B          LOC = E4;
+    --  B_TEST1   LOC = D4;
+    --  B_TEST2   LOC = M10;
+    --  C_TEST2B          LOC = N12;
+    --  C_TEST1B          LOC = D2;
+    --  C_TEST<1>         LOC = C2;
+    --  C_TEST<2>         LOC = N13;
+    --  D_TEST1B          LOC = M1;
+    --  D_TEST2B          LOC = P5;
+    --  D_TEST<1>         LOC = L1;
+    --  D_TEST<2>         LOC = N5;
+    -------------------------------------------------------------------------
+    -- SHARC
+    -------------------------------------------------------------------------
+     DSPADDR        : out std_logic_vector (31 downto 0);
+     DSPDAT         : inout std_logic_vector (31 downto 0);
+     DSP_ACK        : in std_logic; 
+     DSP_BM         : inout std_logic; 
+     DSP_BMS        : out std_logic; --LOC = AF15;
+     DSP_BOFF      : out std_logic;   --       LOC = AK14;
+     DSP_BRST       : inout std_logic;
+     DSP_HBG         : in std_logic; 
+     DSP_HBR         : out std_logic; 
+     DSP_IRQ    : out std_logic_vector (3 downto 0); 
+--      --link0
+--      DSP_L0DATIP       : out std_logic_vector (3 downto 0);
+--      DSP_L0DATIN      : out std_logic_vector (3 downto 0);
+--      DSP_L0DATOP       : in std_logic_vector (3 downto 0);
+--      DSP_L0DATON     : in std_logic_vector (3 downto 0);
+--      DSP_L0CLKINP     : out std_logic;
+--      DSP_L0CLKINN     : out std_logic;
+--      DSP_L0CLKOUTP    : in std_logic; 
+--      DSP_L0CLKOUTN    : in std_logic; 
+--      DSP_L0BCMPO     : in std_logic;
+--      DSP_L0ACKO      : in std_logic;
+--      DSP_L0BCMPI     : out std_logic;
+--      DSP_L0ACKI      : out std_logic;
+--      --link1
+--      DSP_L1DATIP     : out std_logic_vector (3 downto 0);
+--      DSP_L1DATIN      :  out std_logic_vector (3 downto 0);
+--      DSP_L1DATOP        : in std_logic_vector (3 downto 0);
+--      DSP_L1DATON       : in std_logic_vector (3 downto 0);     
+--      DSP_L1CLKINP     : out std_logic;
+--      DSP_L1CLKINN     : out std_logic;
+--      DSP_L1CLKOUTP    : in std_logic;
+--      DSP_L1CLKOUTN    : in std_logic; 
+--      DSP_L1ACKO      : in std_logic;
+--      DSP_L1BCMPO     : in std_logic; 
+--      DSP_L1BCMPI      : out std_logic;
+--      DSP_L1ACKI       : out std_logic;
+--      DSP_TMROE        : LOC = AP20;   --link data widt now is 4 bit  --pullup    
+     DSP_RD    : out std_logic;
+    DSP_RESET : out std_logic;
+    DSP_RESET_OUT : in std_logic;
+    DSP_WRH   : out std_logic;
+    DSP_WRL   : out std_logic;
+    --  DSP_MSH   LOC = AL14;
+    --  DSP_IOEN          LOC = AL18;
+    --  DSP_IORD          LOC = AB16;
+    --  DSP_IOWR          LOC = AB17;
+    --  DSP_BUSLOCK       LOC = AC19;
+    --  DSP_DMAR         : std_logic_vector (3 downto 0); 
+    --  DSP_FLAG         : std_logic_vector (3 downto 0); 
+    -------------------------------------------------------------------------
+    -- SDRAM
+    -------------------------------------------------------------------------
+      VSD_A     : out std_logic_vector (12 downto 0);
+      VSD_BA    : out   std_logic_vector (1 downto 0);
+      VSD_CAS   : out std_logic;
+      VSD_CKE   : out std_logic;
+      VSD_CLOCK : out std_logic;
+      VSD_CSEH  : out std_logic;
+      VSD_CSEL  : out std_logic;
+      VSD_D     : inout std_logic_vector (31 downto 0);
+      VSD_DQML  : out  std_logic_vector (3 downto 0);
+      VSD_RAS   : out std_logic;
+      VSD_WE    : out std_logic;
+    -------------------------------------------------------------------------
+    -- TLK
+    -------------------------------------------------------------------------
+      TLK_CLK           : in std_logic;
+      TLK_ENABLE        : out std_logic;
+      TLK_LCKREFN       : out std_logic;
+      TLK_LOOPEN        : out std_logic;
+      TLK_PRBSEN        : out std_logic;
+      TLK_RXD           : in std_logic_vector (15 downto 0);
+      TLK_RX_CLK        : in  std_logic;
+      TLK_RX_DV         : in  std_logic;
+      TLK_RX_ER         : in  std_logic;
+      TLK_TXD           : out std_logic_vector (15 downto 0);
+      TLK_TX_EN         : out std_logic;
+      TLK_TX_ER         : out std_logic;
+    -------------------------------------------------------------------------
+    -- SFP
+    -------------------------------------------------------------------------
+      SFP_LOS           : in std_logic; 
+    --  SFP_MOD<0>        LOC = R23;
+    --  SFP_MOD<1>        LOC = K32;
+    --  SFP_MOD<2>        LOC = K33;
+    --  SFP_RATE_SEL      : out std_logic; 
+      SFP_TX_DIS        : out std_logic;
+      SFP_TX_FAULT      : in std_logic;
+    -------------------------------------------------------------------------
+    -- ADDON board 
+    -------------------------------------------------------------------------
+--     ADDON_TO_TRB_CLKINN       : in std_logic;
+--     ADDON_TO_TRB_CLKINP       : in std_logic;
+    ADO_CLK1                  : in std_logic;
+    ADO_CLK2                  : in std_logic;
+    ADO_LV                    : in std_logic_vector(51 downto 0);  --lvds signal
+    ADO_TTL                   : inout std_logic_vector(46 downto 2)
+    );
+    end cts;
+architecture cts of cts is
+  component etrax_interface
+    port (
+      CLK                     : in    std_logic;
+      RESET                   : in    std_logic;
+      DATA_BUS                : in    std_logic_vector(31 downto 0);
+      ETRAX_DATA_BUS_B        : out std_logic_vector(17 downto 0);
+      ETRAX_DATA_BUS_C        : inout std_logic_vector(17 downto 0);
+      DATA_VALID              : in    std_logic;
+      ETRAX_BUS_BUSY          : out   std_logic;
+      ETRAX_IS_READY_TO_READ  : out   std_logic;
+      TDC_TCK                 : out   std_logic;
+      TDC_TDI                 : out   std_logic;
+      TDC_TMS                 : out   std_logic;
+      TDC_TRST                : out   std_logic;
+      TDC_TDO                 : in    std_logic;
+      TDC_RESET               : out   std_logic;
+      EXTERNAL_ADDRESS        : out   std_logic_vector(31 downto 0);
+      EXTERNAL_DATA_OUT       : out std_logic_vector(31 downto 0);
+      EXTERNAL_DATA_IN        : in std_logic_vector(31 downto 0);
+      EXTERNAL_ACK            : out   std_logic;
+      EXTERNAL_VALID          : in    std_logic;
+      EXTERNAL_MODE           : out   std_logic_vector(15 downto 0);
+      FPGA_REGISTER_00        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_01        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_02        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_06        : out   std_logic_vector(31 downto 0);
+      FPGA_REGISTER_07        : out   std_logic_vector(31 downto 0);
+      FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
+      EXTERNAL_RESET          : out   std_logic;
+      LVL2_VALID              : in    std_logic
+      );
+  end component;
+   component trb_net16_endpoint_0_trg_1_api
+     generic (
+       API_TYPE          : integer range 0 to 1;
+       INIT_DEPTH        : integer range 0 to 6;
+       REPLY_DEPTH       : integer range 0 to 6;
+       FIFO_TO_INT_DEPTH : integer range 0 to 6;
+       FIFO_TO_APL_DEPTH : integer range 0 to 6;
+       SBUF_VERSION      : integer range 0 to 1;
+       MUX_WIDTH         : integer range 1 to 5;
+       MUX_SECURE_MODE   : integer range 0 to 1;
+       DAT_CHANNEL       : integer range 0 to 3;
+       DATA_WIDTH        : integer;
+       NUM_WIDTH         : integer);
+     port (
+       CLK                      : in  std_logic;
+       RESET                    : in  std_logic;
+       CLK_EN                   : in  std_logic;
+       MED_DATAREADY_OUT        : out std_logic;
+       MED_DATA_OUT             : out std_logic_vector (15 downto 0);
+       MED_PACKET_NUM_OUT       : out std_logic_vector (1 downto 0);
+       MED_READ_IN              : in  std_logic;
+       MED_DATAREADY_IN         : in  std_logic;
+       MED_DATA_IN              : in  std_logic_vector (15 downto 0);
+       MED_PACKET_NUM_IN        : in  std_logic_vector (1 downto 0);
+       MED_READ_OUT             : out std_logic;
+       MED_ERROR_IN             : in  std_logic_vector (2 downto 0);
+       APL_DATA_IN              : in  std_logic_vector (15 downto 0);
+       APL_PACKET_NUM_IN        : in  std_logic_vector (1 downto 0);
+       APL_WRITE_IN             : in  std_logic;
+       APL_FIFO_FULL_OUT        : out std_logic;
+       APL_SHORT_TRANSFER_IN    : in  std_logic;
+       APL_DTYPE_IN             : in  std_logic_vector (3 downto 0);
+       APL_ERROR_PATTERN_IN     : in  std_logic_vector (31 downto 0);
+       APL_SEND_IN              : in  std_logic;
+       APL_TARGET_ADDRESS_IN    : in  std_logic_vector (15 downto 0);
+       APL_DATA_OUT             : out std_logic_vector (15 downto 0);
+       APL_PACKET_NUM_OUT       : out std_logic_vector (1 downto 0);
+       APL_TYP_OUT              : out std_logic_vector (2 downto 0);
+       APL_DATAREADY_OUT        : out std_logic;
+       APL_READ_IN              : in  std_logic;
+       APL_RUN_OUT              : out std_logic;
+       APL_MY_ADDRESS_IN        : in  std_logic_vector (15 downto 0);
+       APL_SEQNR_OUT            : out std_logic_vector (7 downto 0);
+       STAT_GEN                 : out std_logic_vector (31 downto 0);
+       STAT_LOCKED              : out std_logic_vector (31 downto 0);
+       STAT_INIT_BUFFER         : out std_logic_vector (31 downto 0);
+       STAT_REPLY_BUFFER        : out std_logic_vector (31 downto 0);
+       STAT_api_control_signals : out std_logic_vector(31 downto 0);
+       STAT_MPLEX               : out std_logic_vector(31 downto 0);
+       CTRL_GEN                 : in  std_logic_vector (31 downto 0);
+       CTRL_LOCKED              : in  std_logic_vector (31 downto 0);
+       STAT_CTRL_INIT_BUFFER    : in  std_logic_vector (31 downto 0);
+       STAT_CTRL_REPLY_BUFFER   : in  std_logic_vector (31 downto 0);
+       MPLEX_CTRL               : in  std_logic_vector (31 downto 0);
+       API_STAT_FIFO_TO_INT     : out std_logic_vector(31 downto 0);
+       API_STAT_FIFO_TO_APL     : out std_logic_vector(31 downto 0));
+   end component;
+  component trbv2_tlk_api
+    port (
+      RESET               : in  std_logic;
+      CLK                 : in  std_logic;
+      TLK_CLK             : in  std_logic;
+      TLK_ENABLE          : out std_logic;
+      TLK_LCKREFN         : out std_logic;
+      TLK_LOOPEN          : out std_logic;
+      TLK_PRBSEN          : out std_logic;
+      TLK_RXD             : in  std_logic_vector(15 downto 0);
+      TLK_RX_CLK          : in  std_logic;
+      TLK_RX_DV           : in  std_logic;
+      TLK_RX_ER           : in  std_logic;
+      TLK_TXD             : out std_logic_vector(15 downto 0);
+      TLK_TX_EN           : out std_logic;
+      TLK_TX_ER           : out std_logic;
+      DATA_OUT            : out std_logic_vector(15 downto 0);
+      DATA_IN             : in  std_logic_vector(15 downto 0);
+      DATA_VALID_IN       : in  std_logic;
+      DATA_VALID_OUT      : out std_logic;
+      TLK_API_REGISTER_00 : out std_logic_vector(31 downto 0));
+  end component;
+  component vulom_interface
+    port (
+      CLK                : in  std_logic;
+      DATA_CLK           : in  std_logic;
+      RESET              : in  std_logic;
+      DATA_IN            : in  std_logic_vector(1 downto 0);
+      BUSY               : out std_logic;
+      API_DATA           : out std_logic_vector(47 downto 0);
+      API_RUN_OUT        : in  std_logic;
+      API_SHORT_TRANSFER : out std_logic;
+      API_DTYPE          : out std_logic_vector(3 downto 0);
+      API_SEND           : out std_logic;
+      API_WRITE_IN       : out std_logic;
+      API_TARGET_ADDRESS : out std_logic_vector(15 downto 0);
+      API_SEQNR_OUT      : in  std_logic_vector(7 downto 0);
+      VULOM_INT_REG      : out std_logic_vector(31 downto 0);
+      INTERFACE_CONTROL    : in  std_logic_vector(3 downto 0);
+      EVENT_REGISTER_00    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_01    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_02    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_03    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_04    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_05    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_06    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_07    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_08    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_09    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_0a    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_0b    : out std_logic_vector(31 downto 0);
+      EVENT_REGISTER_0c    : out std_logic_vector(31 downto 0);
+      START_READOUT        : out std_logic;
+      LVL1_TRANSFER_BUSY   : in  std_logic
+      );
+  end component;
+   component dsp_interface
+     port (
+ -- signal to/from dsp
+     HBR_OUT     : out   std_logic;      -- Host Bus Request to DSP
+     HBG_IN      : in    std_logic;      -- Host Bus Grant from DSP
+     RD_OUT      : out   std_logic;      -- read/write enable of DSP
+     DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+     DSP_DATA_IN  : in std_logic_vector(31 downto 0);
+     ADDRESS_DSP : out   std_logic_vector(31 downto 0);
+     WRL         : out   std_logic;    --when dsp slave is output
+     WRH         : out std_logic;      --when dsp slave is output
+     BM_IN       : in    std_logic;      --Bus Master. For debug
+     DSP_RESET   : out   std_logic;
+     BRST        : inout std_logic;
+     ACK         : in    std_logic;
+ --internal data and address bus
+     CLK                : in    std_logic;
+     RESET              : in    std_logic;
+     R_W_ENABLE         : in    std_logic;
+     TRIGGER            : in    std_logic;
+     INTERNAL_DATA_IN   : in std_logic_vector(31 downto 0);  --63 downto 0
+     INTERNAL_DATA_OUT  : out std_logic_vector(31 downto 0);  --63 downto 0
+     INTERNAL_ADDRESS   : in    std_logic_vector(31 downto 0);
+     VALID_DATA_SENT    : out   std_logic;
+     ACKNOWLEDGE        : in    std_logic;
+     DEBUGSTATE_MACHINE : out   std_logic_vector(31 downto 0)
+     );
+   end component;
+  component dtu_interface
+    port (
+      CLK                   : in  std_logic;
+      RESET                 : in  std_logic;
+      LVL1_TRIGGER_BUS      : in  std_logic;
+      LVL1_DATA_TRIGGER_BUS : in  std_logic;
+      LVL1_DATA_BUS         : in  std_logic_vector(3 downto 0);
+      LVL1_ERROR_BUS        : out std_logic;
+      LVL1_BUSY_BUS         : out std_logic;
+      LVL1_TRIGGER          : out std_logic;
+      LVL1_CODE             : out std_logic_vector(3 downto 0);
+      LVL1_TAG              : out std_logic_vector(7 downto 0);
+      LVL1_BUSY             : in  std_logic;
+      LVL2_TRIGGER_BUS      : in  std_logic;
+      LVL2_DATA_TRIGGER_BUS : in  std_logic;
+      LVL2_DATA_BUS         : in  std_logic_vector(3 downto 0);
+      LVL2_ERROR_BUS        : out std_logic;
+      LVL2_BUSY_BUS         : out std_logic;
+      LVL2_TRIGGER          : out std_logic;
+      LVL2_CODE             : out std_logic_vector(3 downto 0);
+      LVL2_TAG              : out std_logic_vector(7 downto 0);
+      LVL2_BUSY             : in  std_logic;
+      LVL2_TRB_ACK          : in  std_logic;
+      DTU_DEBUG_00          : out std_logic_vector( 31 downto 0));
+  end component;
+
+   component sdram_interface
+     port (
+--connection with sdram
+       CLK_SDRAM          : out   std_logic;
+       CKE                : out   std_logic;
+       CS                 : out   std_logic;
+       RAS                : out   std_logic;
+       CAS                : out   std_logic;
+       WE                 : out   std_logic;
+       DQM                : out   std_logic_vector(3 downto 0);
+       BA                 : out   std_logic_vector (1 downto 0);
+       A                  : out   std_logic_vector(12 downto 0);
+       DQ                 : inout std_logic_vector(31 downto 0);
+--internal signals
+       CLK                : in    std_logic;
+       RESET              : in    std_logic;
+       TRIGGER            : in    std_logic;
+       INTERNAL_DATA_IN   : in    std_logic_vector(31 downto 0);  
+       INTERNAL_DATA_OUT  : out   std_logic_vector(31 downto 0);  
+       INTERNAL_ADDRESS   : in    std_logic_vector(31 downto 0);
+       INTERNAL_MODE      : in    std_logic_vector(15 downto 0);
+       VALID_DATA_SENT    : out   std_logic;
+       DEBUGSTATE_MACHINE : out   std_logic_vector(31 downto 0)
+       );
+   end component;
+  component fpga_to_etrax_data_flow
+    port (
+      CLK                    : in  std_logic;
+      RESET                  : in  std_logic;
+      START_READOUT          : in  std_logic;
+      HOW_MANY_ADD_DATA      : in  std_logic_vector(7 downto 0);
+      COUNTER_0              : in  std_logic_vector(31 downto 0);
+      COUNTER_1              : in  std_logic_vector(31 downto 0);
+      COUNTER_2              : in  std_logic_vector(31 downto 0);
+      COUNTER_3              : in  std_logic_vector(31 downto 0);
+      COUNTER_4              : in  std_logic_vector(31 downto 0);
+      COUNTER_5              : in  std_logic_vector(31 downto 0);
+      COUNTER_6              : in  std_logic_vector(31 downto 0);
+      COUNTER_7              : in  std_logic_vector(31 downto 0);
+      COUNTER_8              : in  std_logic_vector(31 downto 0);
+      COUNTER_9              : in  std_logic_vector(31 downto 0);
+      COUNTER_a              : in  std_logic_vector(31 downto 0);
+      DATA_OUT               : out std_logic_vector (31 downto 0);
+      DATA_VALID             : out std_logic;
+      ETRAX_IS_READY_TO_READ : in  std_logic;
+      LVL1_BUSY              : out std_logic;
+      CTS_ETRAX_REGISTER_00  : out std_logic_vector(31 downto 0);
+      CTS_ETRAX_REGISTER_01  : out std_logic_vector(31 downto 0);
+      CTS_ETRAX_REGISTER_02  : out std_logic_vector(31 downto 0);
+      CTS_ETRAX_REGISTER_03  : out std_logic_vector(31 downto 0);
+      CTS_ETRAX_REGISTER_04  : out std_logic_vector(31 downto 0));
+  end component;
+  component simpleupcounter_32bit
+    port (
+      QOUT : out std_logic_vector(31 downto 0);
+      UP   : in  std_logic;
+      CLK  : in  std_logic;
+      CLR  : in  std_logic);
+  end component;
+
+  -----------------------------------------------------------------------------
+  -- EDGE TO PULSE
+  -----------------------------------------------------------------------------
+  component edge_to_pulse
+    port (
+      clock     : in  std_logic;
+      en_clk    : in  std_logic;
+      signal_in : in  std_logic;
+      pulse     : out std_logic);
+    end component;
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+--general
+  signal CLK : std_logic;
+  signal ADDON_CLK1 : std_logic;
+  signal ADDON_CLK2 : std_logic;
+  signal etrax_bus_busy_i : std_logic; --should go to busy logic !? 
+  signal etrax_is_ready_to_read_i : std_logic;
+--tlk
+  signal tlk_rx_clk_io : std_logic;
+  signal tlk_clk_io : std_logic;
+  signal tlk_rx_clk_r : std_logic;
+  signal tlk_clk_r : std_logic;
+  signal tlk_register_00_i : std_logic_vector(31 downto 0);
+  signal tlk_register_01_i : std_logic_vector(31 downto 0);
+  signal link_status_i : std_logic_vector(3 downto 0);
+--dsp
+  signal dsp_register_00_i : std_logic_vector(31 downto 0);
+  signal dsp_strobe_i : std_logic;
+  signal dsp_external_valid_i : std_logic;
+  signal dsp_hbr_i : std_logic; 
+  signal dspdat_out_i : std_logic_vector(31 downto 0);
+  signal dspdat_in_i : std_logic_vector(31 downto 0);
+  signal dsp_data_out_i : std_logic_vector(31 downto 0);
+  signal dspaddr_i : std_logic_vector(31 downto 0);
+  signal DSP_WRL_i: std_logic;
+  signal DSP_RD_i: std_logic;
+  signal dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+  signal dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+  signal dsp_bm_reg : std_logic;
+  signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+  signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+  signal dsp_link_clk_in_0 : std_logic;
+  signal dsp_link_clk_out_0 : std_logic;
+  signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+  signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+  signal dsp_link_clk_in_1 : std_logic;
+  signal dsp_link_clk_out_1 : std_logic;
+--etrax <-> interface
+  signal external_address_i : std_logic_vector(31 downto 0);
+  signal external_data_in_i : std_logic_vector(31 downto 0);
+  signal external_data_out_i : std_logic_vector(31 downto 0);
+  signal external_ack_i : std_logic;
+  signal external_valid_i : std_logic;
+  signal external_mode_i : std_logic_vector(15 downto 0);
+  signal external_reset_i : std_logic;
+  signal external_reset : std_logic;
+--registers
+  signal fpga_register_01_i : std_logic_vector(31 downto 0);
+  signal fpga_register_02_i : std_logic_vector(31 downto 0);
+  signal fpga_register_03_i : std_logic_vector(31 downto 0);
+  signal fpga_register_04_i : std_logic_vector(31 downto 0);
+  signal fpga_register_05_i : std_logic_vector(31 downto 0);
+  signal fpga_register_06_i : std_logic_vector(31 downto 0);
+  signal fpga_register_07_i : std_logic_vector(31 downto 0);
+  signal fpga_register_08_i : std_logic_vector(31 downto 0);
+  signal fpga_register_09_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0a_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+--lvds bus
+  signal lvds_add_on_data : std_logic_vector(31 downto 0);
+--sdram
+  signal sdram_register_00_i : std_logic_vector(31 downto 0);
+  signal sdram_external_valid_i : std_logic;
+  signal sdram_data_out_i : std_logic_vector(31 downto 0);
+  signal vsd_cs_i : std_logic;
+  signal sdram_data_i  : std_logic_vector(31 downto 0);
+  signal sdram_address_i : std_logic_vector(31 downto 0);
+--api
+  signal med_packet_num_in_i : std_logic_vector(1 downto 0);
+  signal apl_run_out_i : std_logic;
+  signal apl_seqnr_out_i : std_logic_vector(7 downto 0);
+--vulom interface
+  signal vulom_int_reg_00           : std_logic_vector(31 downto 0);
+  signal med_data_out_i_saved : std_logic_vector(63 downto 0);
+  signal TLK_TX_EN_i : std_logic;
+  signal TLK_TXD_i : std_logic_vector(15 downto 0);
+  signal TLK_CLK_i : std_logic;
+  signal trb_busy1 : std_logic;
+  signal trb_busy2 : std_logic;
+  signal busy1_pulse : std_logic;
+  signal busy2_pulse : std_logic;
+  signal busy_all : std_logic;
+  signal counter_0_i : std_logic_vector(31 downto 0);
+  signal counter_1_i : std_logic_vector(31 downto 0);
+  signal counter_2_i : std_logic_vector(31 downto 0);
+  signal counter_3_i : std_logic_vector(31 downto 0);
+  signal counter_4_i : std_logic_vector(31 downto 0);
+  signal counter_5_i : std_logic_vector(31 downto 0);
+  signal counter_6_i : std_logic_vector(31 downto 0);
+  signal counter_7_i : std_logic_vector(31 downto 0);
+  signal counter_8_i : std_logic_vector(31 downto 0);
+  signal counter_9_i : std_logic_vector(31 downto 0);
+  signal counter_a_i : std_logic_vector(31 downto 0);
+  signal counter_b_i : std_logic_vector(31 downto 0);
+  signal counter_c_i : std_logic_vector(31 downto 0);
+  signal start_readout_i : std_logic;
+  signal lvl1_busy_i : std_logic;
+  signal data_valid_i : std_logic;
+  signal data_out_i : std_logic_vector(31 downto 0);
+--cts_etrax
+  signal  cts_etrax_register_00_i : std_logic_vector(31 downto 0);
+  signal  cts_etrax_register_01_i : std_logic_vector(31 downto 0);
+  signal  cts_etrax_register_02_i : std_logic_vector(31 downto 0);
+  signal  cts_etrax_register_03_i : std_logic_vector(31 downto 0);
+  signal  cts_etrax_register_04_i : std_logic_vector(31 downto 0);
+  signal  cts_etrax_register_05_i : std_logic_vector(31 downto 0);
+--trbv2_med_api
+  signal  media_data_valid_in_i : std_logic;
+  signal  media_data_valid_out_i : std_logic;
+  signal  media_data_out_i : std_logic_vector(15 downto 0);
+  signal  media_data_in_i : std_logic_vector(15 downto 0);
+  signal  tlk_api_register_00_i : std_logic_vector(31 downto 0);
+
+  
+begin
+  ------------------------------------------------------------------------------
+  --  LVDS signals
+  ------------------------------------------------------------------------------
+  -- CLK
+     IBUFGDS_CLK : IBUFGDS          
+      generic map (
+         IOSTANDARD => "LVDS_25_DCI")
+      port map (
+         O => CLK,--CLK,
+         I => VIRT_CLK,  
+         IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+      );
+      ADDON_BUFG : BUFR
+        port map(
+          CE => '1',
+          CLR => '0',
+          I => ADO_CLK2,
+          O => ADDON_CLK2
+          );
+ -- ADD_LVDS
+
+     LVDS_ADO_SIGNAL: for lvds_line in 0 to 25 generate
+       IBUFDS_LVDS_0 : IBUFDS
+         generic map (
+           IOSTANDARD => "LVDS_25_DCI"
+           )
+         port map (
+           I => ADO_LV(lvds_line*2),
+           IB => ADO_LV(lvds_line*2+1),  
+           O => lvds_add_on_data(lvds_line)
+           );
+     end generate LVDS_ADO_SIGNAL;
+--Shark links
+--      DSP_L0DATIP       : out std_logic_vector (3 downto 0);
+--      DSP_L0DATIN      : out std_logic_vector (3 downto 0);
+--      DSP_L0DATOP       : in std_logic_vector (3 downto 0);
+--      DSP_L0DATON     : in std_logic_vector (3 downto 0);
+--      DSP_L0CLKINP     : out std_logic;
+--      DSP_L0CLKINN     : out std_logic;
+--      DSP_L0CLKOUTP    : in std_logic; 
+--      DSP_L0CLKOUTN    : in std_logic;
+--      DSP_DATA_LINK0_OUT_0 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_OUT_1 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_OUT_2 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_OUT_3 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_IN_0 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => dsp_link_data_in_0(0)
+--       );
+--      DSP_DATA_LINK0_IN_1 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => dsp_link_data_in_0(1)
+--          ;
+--      DSP_DATA_LINK0_IN_2 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O =>dsp_link_data_in_0(2) 
+--       );
+--      DSP_DATA_LINK0_IN_3 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => dsp_link_data_in_0(3)
+--       );
+
+-----------------------------------------------------------------------------
+-- Component Instance
+-----------------------------------------------------------------------------
+--      not_link_status_i <= link_status_i(3);
+--      apl_error_pattern_in_i <= (others => '0');
+
+-------------------------------------------------------------------------------
+-- api
+-------------------------------------------------------------------------------
+      API_INST: trb_net16_endpoint_0_trg_1_api
+        generic map (
+            API_TYPE          => 1,
+            INIT_DEPTH        => 1,
+            REPLY_DEPTH       => 1,
+            FIFO_TO_INT_DEPTH => 1,
+            FIFO_TO_APL_DEPTH => 1,
+            SBUF_VERSION      => 0,
+            MUX_WIDTH         => 1,
+            MUX_SECURE_MODE   => 0,
+            DAT_CHANNEL       => 0,
+            DATA_WIDTH        => 16,
+            NUM_WIDTH         => 2)
+        port map (
+            CLK                      => CLK,
+            RESET                    => external_reset_i,
+            CLK_EN                   => '1',
+            MED_DATAREADY_OUT        => media_data_valid_in_i,
+            MED_DATA_OUT             => media_data_in_i,
+            MED_PACKET_NUM_OUT       => open,--MED_PACKET_NUM_OUT_i,
+            MED_READ_IN              => '1',
+            MED_DATAREADY_IN         => media_data_valid_out_i,
+            MED_DATA_IN              => media_data_out_i,
+            MED_PACKET_NUM_IN        => med_packet_num_in_i,
+            MED_READ_OUT             => open, --MED_READ_OUT_i, enable reading
+                                              --data from trbv2_tlk_api_fifo
+            MED_ERROR_IN             => "000",--MED_ERROR_IN_i, - if there is
+                                              --link lok
+            APL_DATA_IN              => x"0000",
+            APL_PACKET_NUM_IN        => "00",
+            APL_WRITE_IN             => '0',
+            APL_FIFO_FULL_OUT        => open,
+            APL_SHORT_TRANSFER_IN    => '1',
+            APL_DTYPE_IN             => counter_0_i(3 downto 0),
+            APL_ERROR_PATTERN_IN     => x"12345678",
+            APL_SEND_IN              => start_readout_i,
+            APL_TARGET_ADDRESS_IN    => x"FFFF",
+            APL_DATA_OUT             => open,
+            APL_PACKET_NUM_OUT       => open,
+            APL_TYP_OUT              => open,
+            APL_DATAREADY_OUT        => open,
+            APL_READ_IN              => '1',
+            APL_RUN_OUT              => apl_run_out_i,
+            APL_MY_ADDRESS_IN        => x"0001",
+            APL_SEQNR_OUT            => apl_seqnr_out_i,
+            STAT_GEN                 => open,--STAT_GEN_i,
+            STAT_LOCKED              => open,--STAT_LOCKED_i,
+            STAT_INIT_BUFFER         => open,--STAT_INIT_BUFFER_i,
+            STAT_REPLY_BUFFER        => open,--STAT_REPLY_BUFFER_i,
+            STAT_api_control_signals => open,--STAT_api_control_signals_i,
+            STAT_MPLEX               => open,--STAT_MPLEX_i,
+            CTRL_GEN                 => x"00000000",--CTRL_GEN_i,
+            CTRL_LOCKED              => x"00000000",
+            STAT_CTRL_INIT_BUFFER    => x"00000000",--STAT_CTRL_INIT_BUFFER_i,
+            STAT_CTRL_REPLY_BUFFER   => x"00000000",--STAT_CTRL_REPLY_BUFFER_i,
+            MPLEX_CTRL               => x"00000000",--MPLEX_CTRL_i,
+            API_STAT_FIFO_TO_INT     => open,--API_STAT_FIFO_TO_INT_i,
+            API_STAT_FIFO_TO_APL     => open--API_STAT_FIFO_TO_APL_i
+            );
+     COUNTER_FOR_API: process (CLK, external_reset_i)
+      begin
+        if rising_edge(CLK) then
+          if external_reset_i = '1' then 
+            med_packet_num_in_i <= (others => '0');
+          elsif media_data_valid_out_i = '1' then
+            med_packet_num_in_i <= med_packet_num_in_i + 1;
+          end if;                 
+        end if;
+      end process COUNTER_FOR_API;
+-------------------------------------------------------------------------------
+-- media to api, api to media
+-------------------------------------------------------------------------------
+     TLK_RX_CLK_BUFR: BUFR
+       port map(
+         CE => '1',
+         CLR => '0',
+         I => TLK_RX_CLK,
+         O => tlk_rx_clk_r
+         );
+      TLK_CLK_BUFR: BUFR
+        port map(
+          CE => '1',
+          CLR => '0',
+          I => TLK_CLK,
+          O => tlk_clk_r
+          );
+     SFP_TX_DIS  <= '0';
+     OPTICAL_MEDIA_TO_FROM_API: trbv2_tlk_api
+       port map (
+           RESET               => external_reset_i,
+           CLK                 => CLK,
+           TLK_CLK             => tlk_clk_r,
+           TLK_ENABLE          => TLK_ENABLE,
+           TLK_LCKREFN         => TLK_LCKREFN,
+           TLK_LOOPEN          => TLK_LOOPEN,
+           TLK_PRBSEN          => TLK_PRBSEN,
+           TLK_RXD             => TLK_RXD,
+           TLK_RX_CLK          => tlk_rx_clk_r,
+           TLK_RX_DV           => TLK_RX_DV,
+           TLK_RX_ER           => TLK_RX_ER,
+           TLK_TXD             => TLK_TXD,
+           TLK_TX_EN           => TLK_TX_EN,
+           TLK_TX_ER           => TLK_TX_ER,
+           DATA_OUT            => media_data_out_i,
+           DATA_IN             => media_data_in_i,
+           DATA_VALID_IN       => media_data_valid_in_i,
+           DATA_VALID_OUT      => media_data_valid_out_i,
+           TLK_API_REGISTER_00 => tlk_api_register_00_i);
+     fpga_register_0a_i <= media_data_valid_in_i & media_data_valid_out_i & media_data_out_i & media_data_in_i(13 downto 0);
+-------------------------------------------------------------------------------
+-- Vulom interafce
+-------------------------------------------------------------------------------
+     VULOM_INT : vulom_interface
+       port map (
+         CLK                => CLK,
+         DATA_CLK           => ADDON_CLK2,
+         RESET              => external_reset_i,
+         DATA_IN            => ADO_TTL(3 downto 2),  --lvds_add_on_data (3 downto 0),
+         BUSY               => ADO_TTL(4),  --lvds_add_on_data (4),
+         API_DATA           => open,
+         API_RUN_OUT        => apl_run_out_i,
+         API_SHORT_TRANSFER => open,
+         API_DTYPE          => open,
+         API_SEND           => open,
+         API_WRITE_IN       => open,
+         API_TARGET_ADDRESS => open,
+         API_SEQNR_OUT      => apl_seqnr_out_i,--x"00",
+         VULOM_INT_REG      => vulom_int_reg_00,
+         INTERFACE_CONTROL  => fpga_register_06_i(11 downto 8),
+         EVENT_REGISTER_00  => counter_0_i,
+         EVENT_REGISTER_01  => counter_1_i,
+         EVENT_REGISTER_02  => counter_2_i,
+         EVENT_REGISTER_03  => counter_3_i,
+         EVENT_REGISTER_04  => counter_4_i,
+         EVENT_REGISTER_05  => counter_5_i,
+         EVENT_REGISTER_06  => counter_6_i,
+         EVENT_REGISTER_07  => counter_7_i,
+         EVENT_REGISTER_08  => counter_8_i,
+         EVENT_REGISTER_09  => counter_9_i,
+         EVENT_REGISTER_0a  => counter_a_i,
+         EVENT_REGISTER_0b  => counter_b_i,
+         EVENT_REGISTER_0c  => counter_c_i,
+         START_READOUT      => start_readout_i,
+         LVL1_TRANSFER_BUSY   => lvl1_busy_i
+         );
+     --------------------------------------------------------------------------
+     -- event from cts to etrax
+     --------------------------------------------------------------------------
+     FPGA_ETRAX_INT : fpga_to_etrax_data_flow
+       port map (
+         CLK                          => CLK,
+         RESET                        => external_reset_i,
+         START_READOUT                => start_readout_i,
+         HOW_MANY_ADD_DATA            => x"0a",
+         COUNTER_0                    => counter_0_i,
+         COUNTER_1                    => counter_1_i,
+         COUNTER_2                    => counter_2_i,
+         COUNTER_3                    => counter_3_i,
+         COUNTER_4                    => counter_4_i,
+         COUNTER_5                    => counter_5_i,
+         COUNTER_6                    => counter_6_i,
+         COUNTER_7                    => counter_7_i,
+         COUNTER_8                    => counter_8_i,
+         COUNTER_9                    => counter_9_i,
+         COUNTER_a                    => counter_a_i,
+         DATA_OUT                     => data_out_i,
+         DATA_VALID                   => data_valid_i,
+         ETRAX_IS_READY_TO_READ       => etrax_is_ready_to_read_i,
+         LVL1_BUSY                    => lvl1_busy_i,
+         CTS_ETRAX_REGISTER_00        => cts_etrax_register_00_i,
+         CTS_ETRAX_REGISTER_01        => cts_etrax_register_01_i,
+         CTS_ETRAX_REGISTER_02        => cts_etrax_register_02_i,
+         CTS_ETRAX_REGISTER_03        => cts_etrax_register_03_i,
+         CTS_ETRAX_REGISTER_04        => cts_etrax_register_04_i);
+     ADO_TTL(3 downto 2)   <= (others => 'Z');
+     ADO_TTL(15 downto 10) <= TLK_TXD_i (5 downto 0);
+     ADO_TTL(9)            <= TLK_TX_EN_i;
+
+-- ADO_TTL(8) <= not TLK_CLK_i;
+-- ADO_TTL(15) <= TLK_TX_EN_i;
+--      ADO_TTL(13) <= TLK_TX_EN_i;
+--      ADO_TTL(14) <= 'Z';
+--      ADO_TTL(12) <= 'Z';
+--      BUSY_1_PULSER : edge_to_pulse
+--        port map (
+--          clock     => CLK,
+--          en_clk    => '1',
+--          signal_in => ADO_TTL(14),
+--          pulse     => busy1_pulse);
+--      BUSY_2_PULSER : edge_to_pulse
+--        port map (
+--          clock     => CLK,
+--          en_clk    => '1',
+--          signal_in => ADO_TTL(12),
+--          pulse     => busy2_pulse);
+--      SAVE_BUSY: process (CLK, external_reset_i)
+--      begin
+--        if rising_edge(CLK) then 
+--          if external_reset_i = '1' or (trb_busy1 = '1'  and  trb_busy2 = '1' ) then 
+--            trb_busy1 <= '0';
+--            trb_busy2 <= '0';
+--          elsif busy1_pulse = '1' then
+--            trb_busy1 <= '1';
+--            trb_busy2 <= trb_busy2;
+--          elsif busy2_pulse = '1' then
+--            trb_busy1 <= trb_busy1;
+--            trb_busy2 <= '1';
+--          elsif busy1_pulse = '1' and busy2_pulse = '1'then
+--            trb_busy1 <= '1';
+--            trb_busy2 <= '1';
+--          else
+--            trb_busy1 <= trb_busy1;
+--            trb_busy2 <= trb_busy2;
+--          end if;
+--        end if;
+--      end process SAVE_BUSY;
+--      busy_all <=  trb_busy1 and trb_busy2;
+     MULTIPLEX_DATA_TO_ETRAX: process(CLK,external_reset_i,external_mode_i)
+     begin 
+       if rising_edge(CLK) then
+         if external_reset_i = '1' then
+           external_data_in_i <= (others => '0');
+         elsif external_mode_i(7 downto 0) = x"01" then
+           external_data_in_i <= dsp_data_out_i;
+         elsif external_mode_i(7 downto 0) = x"02" then
+           external_data_in_i <= sdram_data_out_i;
+         else
+           external_data_in_i <= x"0000"&external_mode_i;
+         end if;
+       end if;
+     end process MULTIPLEX_DATA_TO_ETRAX;
+     --------------------------------------------------------------------------
+     -- etrax interface, sending events, control and status
+     --------------------------------------------------------------------------
+     ETRAX_INTERFACE_LOGIC : etrax_interface
+       port map (
+       CLK                    => CLK,
+       RESET                   => '0',
+       DATA_BUS                => data_out_i,
+       ETRAX_DATA_BUS_B        => FS_PB,
+       ETRAX_DATA_BUS_C        => FS_PC,
+       DATA_VALID              => data_valid_i,
+       ETRAX_BUS_BUSY          => etrax_bus_busy_i,
+       ETRAX_IS_READY_TO_READ  => etrax_is_ready_to_read_i,
+       TDC_TCK                 => open,
+       TDC_TDI                 => open,
+       TDC_TMS                 => open,
+       TDC_TRST                => open,
+       TDC_TDO                 => '0',
+       TDC_RESET               => open,  --TDC_RESET,
+       EXTERNAL_ADDRESS        => external_address_i,
+       EXTERNAL_DATA_OUT       => external_data_out_i,
+       EXTERNAL_DATA_IN        => external_data_in_i,
+       EXTERNAL_ACK            => external_ack_i,
+       EXTERNAL_VALID          => external_valid_i,
+       EXTERNAL_MODE           => external_mode_i,
+       FPGA_REGISTER_00        => x"00000000",
+       FPGA_REGISTER_01        => fpga_register_01_i,--ppp tlk_register_00_i,
+       FPGA_REGISTER_02        => fpga_register_02_i,--ppp tlk_register_01_i,
+       FPGA_REGISTER_03        => fpga_register_03_i,--ppp tdc_register_00_i,--x"abbaab02",
+       FPGA_REGISTER_04        => fpga_register_04_i, --ppp busy_register_00_i,
+       FPGA_REGISTER_05        => fpga_register_05_i,--lvds_add_on_data(31 downto 0),--tdc_register_04_i,--fpga_register_05_i, --ppp trigger_register_00_i,
+       FPGA_REGISTER_06        => fpga_register_06_i,--open, -- ppp x"abbaab05",
+       FPGA_REGISTER_07        => fpga_register_07_i,
+       FPGA_REGISTER_08        => fpga_register_08_i,
+       FPGA_REGISTER_09        => fpga_register_09_i,
+       FPGA_REGISTER_0a        => fpga_register_0a_i,
+       FPGA_REGISTER_0b        => x"00000000",--fpga_register_0b_i,
+       FPGA_REGISTER_0c        => x"00000000",--fpga_register_0c_i,
+       FPGA_REGISTER_0d        => x"00000000",--fpga_register_0d_i,
+       EXTERNAL_RESET          => external_reset,
+       LVL2_VALID              => '0'
+       );
+     fpga_register_01_i <= vulom_int_reg_00;
+     fpga_register_02_i <= '0' & "000" & "00"& TLK_RX_DV & TLK_RX_ER & TLK_RXD & x"00";--
+     --apl_seqnr_out_i;
+     fpga_register_03_i <= x"bacd0000";--med_data_out_i_saved(31 downto 0);
+     fpga_register_04_i <= x"00001234";--med_data_out_i_saved(63 downto 32);
+     ADO_TTL(5)<=  vulom_int_reg_00(0);
+     ADO_TTL(6) <= vulom_int_reg_00(1);--K_RX_ER;
+     ADO_TTL(7) <= ADDON_CLK1;--vulom_int_reg_00(2);
+     SYNCH_RESET: process (CLK)
+     begin  -- process SYNCH_RESET
+       if rising_edge(CLK) then  -- rising clock edge
+         external_reset_i <= external_reset;
+       else
+         external_reset_i <=  external_reset_i; 
+       end if;
+     end process SYNCH_RESET;
+
+     dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+     DSP_IRQ <= x"1";
+     DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+     DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+--       DSP_INTERFACE_LOGIC: dsp_interface
+--           port map (
+--               HBR_OUT            => dsp_hbr_i,
+--               HBG_IN             => DSP_HBG,
+--               RD_OUT             => DSP_RD_i,
+--               DSP_DATA_OUT       => dspdat_out_i,--DSPDAT to DSP,
+--               DSP_DATA_IN        => dspdat_in_i,--DSPDAT to FPGA,
+--               ADDRESS_DSP        => dspaddr_i,--DSPADDR,
+--               WRL                => DSP_WRL_i,
+--               WRH                => DSP_WRH,
+--               BM_IN              => DSP_BM,
+--               DSP_RESET          => open,
+--               BRST               => DSP_BRST,
+--               ACK                => DSP_ACK,
+--               CLK                => CLK,
+--               RESET              => external_reset_i,
+--               R_W_ENABLE         => external_mode_i(15),
+--               TRIGGER            => dsp_strobe_i,
+--               INTERNAL_DATA_IN   => external_data_out_i,
+--               INTERNAL_DATA_OUT  => dsp_data_out_i,--external_data_in_i,
+--               INTERNAL_ADDRESS   => external_address_i,
+--               VALID_DATA_SENT    => dsp_external_valid_i,
+--               ACKNOWLEDGE        => dsp_strobe_i,
+--               DEBUGSTATE_MACHINE =>  dsp_register_00_i);
+--      SDRAM_INTERFACE_LOGIC: sdram_interface
+--        port map (
+--            CLK_SDRAM          => VSD_CLOCK,
+--            CKE                => VSD_CKE,
+--            CS                 => vsd_cs_i,
+--            RAS                => VSD_RAS,
+--            CAS                => VSD_CAS,
+--            WE                 => VSD_WE,
+--            DQM                => VSD_DQML,
+--            BA                 => VSD_BA,
+--            A                  => VSD_A,
+--            DQ                 => VSD_D,
+--            CLK                => CLK,
+--            RESET              => external_reset_i,
+--            TRIGGER            => external_ack_i,
+--            INTERNAL_DATA_IN   => external_data_out_i,
+--            INTERNAL_DATA_OUT  => sdram_data_out_i,
+--            INTERNAL_ADDRESS   => external_address_i,
+--            INTERNAL_MODE      => external_mode_i,
+--            VALID_DATA_SENT    => sdram_external_valid_i,
+--            DEBUGSTATE_MACHINE => sdram_register_00_i);
+   VSD_CSEH <= vsd_cs_i;
+   VSD_CSEL <= vsd_cs_i;
+   ETRAX_IRQ    <= '1';
+   DINT         <= etrax_bus_busy_i;
+   DWAIT        <= fpga_register_06_i(6);  --'0'enable clock for TDC
+   DSP_RESET <= fpga_register_06_i(4);
+   DSP_HBR <= dsp_hbr_i;
+   DSPDAT  <= dspdat_out_i;
+   dspdat_in_i <= DSPDAT;
+   DSP_WRL <= DSP_WRL_i;
+   DSP_RD <= DSP_RD_i;
+     DSP_DATA_REGISTER: process (CLK, external_reset_i)
+     begin  -- process DSP_DATA_REGISTER
+       if CLK'event and CLK = '1' then 
+         if external_reset_i = '1' then
+           dsp_data_reg_in_i <= x"00000000";
+           dsp_data_reg_out_i <= x"00000000";
+           dsp_bm_reg <= '0';
+           sdram_data_i <= x"00000000";
+         else
+           dsp_bm_reg <= DSP_BM;
+           dsp_data_reg_in_i <= DSPDAT;
+           dsp_data_reg_out_i <= dspdat_out_i;
+           sdram_data_i <= VSD_D ;
+         end if;
+       end if;
+     end process DSP_DATA_REGISTER;
+     
+     DSPADDR <= dspaddr_i;
+     DSP_BOFF <= fpga_register_06_i(5);
+     
+end cts;
diff --git a/trb_cts/cts_etrax_interface.vhd b/trb_cts/cts_etrax_interface.vhd
new file mode 100644 (file)
index 0000000..f7206df
--- /dev/null
@@ -0,0 +1,520 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VComponents.all;
+entity fpga_to_etrax_data_flow is
+  port (
+    CLK                                 : in  std_logic;
+    RESET                             : in  std_logic;
+    START_READOUT                     : in  std_logic;
+    HOW_MANY_ADD_DATA                 : in  std_logic_vector(7 downto 0);
+    COUNTER_0                         : in  std_logic_vector(31 downto 0);
+    COUNTER_1                         : in  std_logic_vector(31 downto 0);
+    COUNTER_2                         : in  std_logic_vector(31 downto 0);
+    COUNTER_3                         : in  std_logic_vector(31 downto 0);
+    COUNTER_4                         : in  std_logic_vector(31 downto 0);
+    COUNTER_5                         : in  std_logic_vector(31 downto 0);
+    COUNTER_6                         : in  std_logic_vector(31 downto 0);
+    COUNTER_7                         : in  std_logic_vector(31 downto 0);
+    COUNTER_8                         : in  std_logic_vector(31 downto 0);
+    COUNTER_9                         : in  std_logic_vector(31 downto 0);
+    COUNTER_a                         : in  std_logic_vector(31 downto 0);
+    DATA_OUT                      : out std_logic_vector (31 downto 0);  --data to ETRAX (LVL2)
+    DATA_VALID                    : out std_logic;  -- The DATA_OUT can be written
+    ETRAX_IS_READY_TO_READ            : in  std_logic;
+    LVL1_BUSY                         : out std_logic;
+    CTS_ETRAX_REGISTER_00                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_01                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_02                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_03                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_04                 : out std_logic_vector(31 downto 0)
+    );
+end fpga_to_etrax_data_flow;
+architecture fpga_to_etrax_data_flow of fpga_to_etrax_data_flow is
+  component edge_to_pulse
+    port (
+      clock                           : in  std_logic;
+      en_clk                          : in  std_logic;
+      signal_in                       : in  std_logic;
+      pulse                           : out std_logic);
+  end component;
+  component up_down_counter_16_bit
+    port (
+      QOUT                            : out std_logic_vector(15 downto 0);
+      UP                              : in  std_logic;
+      DOWN                            : in  std_logic;
+      CLK                             : in  std_logic;
+      CLR                             : in  std_logic
+      );
+    end component;
+    component BUFG
+      port(
+        O: out std_ulogic;
+        I: in std_ulogic
+        );
+    end component;
+    component up_counter_8bit
+      port (
+        QOUT : out std_logic_vector(7 downto 0);
+        UP   : in  std_logic;
+        CLK  : in  std_logic;
+        CLR  : in  std_logic);
+    end component;
+  component lvl1_fifo
+    port (
+      clk        : IN  std_logic;
+      din        : IN  std_logic_VECTOR(31 downto 0);
+      rd_en      : IN  std_logic;
+      rst        : IN  std_logic;
+      wr_en      : IN  std_logic;
+      data_count : OUT std_logic_VECTOR(13 downto 0);
+      dout       : OUT std_logic_VECTOR(31 downto 0);
+      empty      : OUT std_logic;
+      full       : OUT std_logic);
+  end component;
+  signal tdc_ready                  : std_logic;
+  signal counter_0_i                : std_logic_vector(31 downto 0);
+  signal counter_1_i                : std_logic_vector(31 downto 0);
+  signal counter_2_i                : std_logic_vector(31 downto 0);
+  signal counter_3_i                : std_logic_vector(31 downto 0);
+  signal counter_4_i                : std_logic_vector(31 downto 0);
+  signal counter_5_i                : std_logic_vector(31 downto 0);
+  signal counter_6_i                : std_logic_vector(31 downto 0);
+  signal counter_7_i                : std_logic_vector(31 downto 0);
+  signal counter_8_i                : std_logic_vector(31 downto 0);
+  signal counter_9_i                : std_logic_vector(31 downto 0);
+  signal counter_a_i                : std_logic_vector(31 downto 0);
+  signal add_data_counter           : std_logic_vector(7 downto 0):=(others => '0');
+  signal add_data_pulse             : std_logic;
+  signal first_header               : std_logic_vector(31 downto 0):=(others => '0');
+  signal second_header              : std_logic_vector(31 downto 0):=(others => '0');
+  signal words_in_event             : std_logic_vector(15 downto 0):=(others => '0');
+  signal tdc_data_valid_i           : std_logic;
+  signal how_many_words_in_event : std_logic_vector(16 downto 0);
+  signal delay_up : std_logic;
+  signal delay_clr : std_logic;
+  signal delay_qout : std_logic_vector(7 downto 0);
+  signal lvl1_trigger_pulse_start : std_logic;
+  signal lvl1_trigger_pulse_delay : std_logic;
+  type DELAY_FSM_TRIGG is
+    (IDLE ,DELAY_1,DELAY_2);
+  signal delay_fsm_currentstate, delay_fsm_nextstate: DELAY_FSM_TRIGG;
+  type LVL1_START_FSM is
+      (IDLE, SEND_LVL1_TRIGG_1, SEND_LVL1_TRIGG_2, SEND_LVL1_TRIGG_3, SEND_LVL1_TRIGG_4, WAIT_FOR_TOKEN, SAVE_ADD_DATA_1, SAVE_ADD_DATA_2, SAVE_ADD_DATA_3, SAVE_ADD_DATA_4, SEND_LVL2_TRIGG);
+  signal LVL1_START_fsm_currentstate, LVL1_START_fsm_nextstate : LVL1_START_FSM;
+  type LVL2_START_FSM is
+    (IDLE, READOUT_WORD1, READOUT_WORD2 ,SAVE_EVENT_SIZE ,SEND_DATA1);
+  signal LVL2_START_fsm_currentstate, LVL2_START_fsm_nextstate : LVL2_START_FSM;
+  signal lvl1_busy_i : std_logic;
+  signal lvl1_busy_ff : std_logic;
+  signal lvl1_memory_busy_i : std_logic;
+  signal lvl2_busy_i : std_logic;
+  signal lvl1_trigger_pulse : std_logic;
+  signal lvl1_tdc_trigg_i : std_logic;
+  signal lvl1_tdc_trigg_ff : std_logic;
+  
+  signal trigger_register_00_i : std_logic_vector(5 downto 0);
+  signal lvl2_busy_end_pulse : std_logic;
+  signal not_tdc_data_valid_i : std_logic;
+
+  signal not_tdc_clk : std_logic;
+  signal test_counter_0 : std_logic_vector(7 downto 0);
+  signal test_counter_1 : std_logic_vector(7 downto 0);
+  signal test_counter_2 : std_logic_vector(7 downto 0);
+  signal test_counter_3 : std_logic_vector(7 downto 0);
+  signal add_data_i : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_out : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_in : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_counter : std_logic_vector(13 downto 0);
+  signal lvl1_fifo_empty : std_logic;
+  signal lvl1_fifo_full : std_logic;
+  signal lvl1_fifo_wr_en : std_logic;
+  signal lvl1_fifo_rd_en : std_logic;
+  signal lvl1_or_lvl2_is_busy : std_logic;
+  signal trigger_with_gen_pulse : std_logic;
+  signal lvl1_tag_minus1 : std_logic_vector(15 downto 0);
+  signal lvl2_debug : std_logic_vector(2 downto 0);
+  signal tdc_start_i : std_logic;
+  signal lvl2_busy_start_pulse : std_logic;
+  
+  signal lvl1_tdc_trigg_i_fsm : std_logic;
+  signal lvl1_fifo_wr_en_fsm : std_logic;
+  signal lvl1_fifo_in_fsm : std_logic_vector(31 downto 0);
+  signal lvl1_buffer_rd_en_fsm : std_logic;
+  signal lvl1_busy_i_fsm : std_logic;
+  signal lvl1_fifo_rd_en_fsm : std_logic;
+  signal tdc_data_valid_i_fsm : std_logic;
+begin
+
+  
+   CTS_ETRAX_REGISTER : process (CLK, RESET)
+   begin 
+     if rising_edge(CLK) then 
+         CTS_ETRAX_REGISTER_00(0) <= '0';
+         CTS_ETRAX_REGISTER_00(1) <= '0';
+         CTS_ETRAX_REGISTER_00(2) <= '0';
+         CTS_ETRAX_REGISTER_00(3) <= '0';
+         CTS_ETRAX_REGISTER_00(13 downto 4) <= (others => '0');
+         CTS_ETRAX_REGISTER_00(14) <= lvl1_busy_i;
+         CTS_ETRAX_REGISTER_00(15) <= lvl1_or_lvl2_is_busy;--lvl1_memory_busy_i;
+         CTS_ETRAX_REGISTER_00(30) <= lvl1_fifo_wr_en;
+         CTS_ETRAX_REGISTER_00(31) <= lvl2_busy_i;
+         CTS_ETRAX_REGISTER_00(29 downto 16) <= lvl1_fifo_counter;
+         CTS_ETRAX_REGISTER_01(26 downto 0) <=  lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& how_many_words_in_event(15 downto 0);
+         CTS_ETRAX_REGISTER_01(31 downto 27) <= (others => '0');
+         CTS_ETRAX_REGISTER_02(31 downto 0) <= (others => '0');
+         CTS_ETRAX_REGISTER_03(31 downto 0) <= lvl1_fifo_out;
+         CTS_ETRAX_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0;
+     end if;
+   end process CTS_ETRAX_REGISTER;
+   LVL1_FIFO_LVL2: lvl1_fifo
+     port map (
+         clk        => CLK,
+         din        => lvl1_fifo_in,
+         rd_en      => lvl1_fifo_rd_en,
+         rst        => RESET,
+         wr_en      => lvl1_fifo_wr_en,
+         data_count => lvl1_fifo_counter,
+         dout       => lvl1_fifo_out,
+         empty      => lvl1_fifo_empty,
+         full       => lvl1_fifo_full);
+   DATA_OUT <= lvl1_fifo_out;
+   LVL1_START         : process (CLK, RESET)
+  begin 
+    if rising_edge(CLK)  then 
+      if RESET = '1' then 
+        LVL1_START_fsm_currentstate <= IDLE;
+        lvl1_tdc_trigg_i  <= '0';
+      lvl1_fifo_wr_en <= '0';
+        lvl1_busy_i <= '0';
+      lvl1_tdc_trigg_i         <= '0';
+      else 
+        LVL1_START_fsm_currentstate <= LVL1_START_fsm_nextstate;
+        lvl1_tdc_trigg_i  <= lvl1_tdc_trigg_i_fsm;
+      lvl1_fifo_wr_en <= lvl1_fifo_wr_en_fsm;
+      lvl1_fifo_in <= lvl1_fifo_in_fsm ;
+      lvl1_busy_i <= lvl1_busy_i_fsm;
+      lvl1_tdc_trigg_i         <= lvl1_tdc_trigg_i_fsm;
+      end if;
+    end if;
+  end process LVL1_START;
+
+  LVL1_START_FSM_PROC : process (LVL1_START_fsm_currentstate, START_READOUT, add_data_counter, lvl1_or_lvl2_is_busy, how_many_add_data, add_data_i, second_header, first_header)
+  begin
+    lvl1_tdc_trigg_i_fsm  <= '0';
+    lvl1_fifo_wr_en_fsm <= '0';
+    lvl1_busy_i_fsm <= '0';
+    LVL1_START_fsm_nextstate   <= IDLE;
+    lvl1_fifo_in_fsm <= first_header;
+    case (LVL1_START_fsm_currentstate) is
+      when IDLE         =>
+        trigger_register_00_i(5 downto 2) <= x"1";
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_busy_i_fsm <= '0';
+        if START_READOUT = '1' then
+          LVL1_START_fsm_nextstate <= SAVE_ADD_DATA_1;
+        else
+          LVL1_START_fsm_nextstate <= IDLE;
+        end if;
+      when SAVE_ADD_DATA_1 =>
+        trigger_register_00_i(5 downto 2) <= x"7";
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_2;
+      when SAVE_ADD_DATA_2 =>
+        trigger_register_00_i(5 downto 2) <= x"8";
+        lvl1_fifo_in_fsm <= second_header;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        if HOW_MANY_ADD_DATA = x"00" then
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_4;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_3;
+        end if;
+      when SAVE_ADD_DATA_3 =>
+        trigger_register_00_i(5 downto 2) <= x"9";
+        lvl1_fifo_in_fsm <= add_data_i;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        if add_data_counter = x"0f" then
+          LVL1_START_fsm_nextstate   <= SEND_LVL2_TRIGG;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_3;
+        end if;
+      when SEND_LVL2_TRIGG  =>
+        trigger_register_00_i(5 downto 2) <= x"c";
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        LVL1_START_fsm_nextstate   <= IDLE;
+      when others =>
+        trigger_register_00_i(5 downto 2) <= x"d";
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        LVL1_START_fsm_nextstate   <= IDLE;
+       end case;
+  end process LVL1_START_FSM_PROC;
+--   not_tdc_clk <= TDC_CLK;
+
+  SAVE_DATA : process (CLK, RESET,lvl1_tdc_trigg_i)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        counter_a_i <= (others => '0');
+        counter_9_i <= (others => '0');
+        counter_8_i <= (others => '0');
+        counter_7_i <= (others => '0');
+        counter_6_i <= (others => '0');
+        counter_5_i <= (others => '0');
+        counter_4_i <= (others => '0');
+        counter_3_i <= (others => '0');
+        counter_2_i <= (others => '0');
+        counter_1_i <= (others => '0');
+        counter_0_i <= (others => '0');
+
+        
+      elsif START_READOUT = '1' then  
+        counter_a_i <= COUNTER_a;
+        counter_9_i <= COUNTER_9;
+        counter_8_i <= COUNTER_8;
+        counter_7_i <= COUNTER_7;
+        counter_6_i <= COUNTER_6;
+        counter_5_i <= COUNTER_5;
+        counter_4_i <= COUNTER_4;
+        counter_3_i <= COUNTER_3;
+        counter_2_i <= COUNTER_2;
+        counter_1_i <= COUNTER_1;
+        counter_0_i <= COUNTER_0;
+      end if;
+    end if;
+  end process SAVE_DATA;
+   CHOOSE_DATA              : process (CLK, RESET, add_data_counter)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        add_data_i <= x"00000000";
+      else
+        case add_data_counter(3 downto 0) is
+          when "0000" => add_data_i <= counter_a_i;
+          when "0001" => add_data_i <= counter_9_i;
+          when "0010" => add_data_i <= counter_8_i;
+          when "0011" => add_data_i <= counter_7_i;
+          when "0100" => add_data_i <= counter_6_i;
+          when "0101" => add_data_i <= counter_5_i;
+          when "0110" => add_data_i <= counter_4_i;
+          when "0111" => add_data_i <= counter_3_i;
+          when "1000" => add_data_i <= counter_2_i;
+          when "1001" => add_data_i <= counter_1_i;
+          when "1010" => add_data_i <= counter_0_i;                                                            
+          when others  => add_data_i <= x"deadface";
+        end case;
+      end if;
+    end if;  
+  end process CHOOSE_DATA;
+  add_data_pulse <= '1' when SAVE_ADD_DATA_3 = LVL1_START_fsm_nextstate else '0';
+  ADD_DATA_COUNTER_CONTROL : process (CLK,RESET,lvl1_tdc_trigg_i,add_data_pulse)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' or START_READOUT = '1' then
+        add_data_counter <= x"1" & HOW_MANY_ADD_DATA(3 downto 0) - 1;
+      elsif add_data_pulse = '1' then
+        add_data_counter <= add_data_counter - 1;
+      end if;
+    end if;
+  end process ADD_DATA_COUNTER_CONTROL;
+  COUNT_WORDS_IN_EVENT : process (CLK, RESET,START_READOUT)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' or START_READOUT = '1' then
+        words_in_event <= x"0003" + HOW_MANY_ADD_DATA;
+      else
+        words_in_event <= words_in_event;
+      end if;
+    end if;
+  end process COUNT_WORDS_IN_EVENT;
+
+  first_header  <= x"0" & counter_0_i(3 downto 0) & counter_1_i(7 downto 0) &  words_in_event(15 downto 0);
+  second_header <= x"030000" & HOW_MANY_ADD_DATA;
+  -----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
+  -- LVL2 logic (only CLK domain)
+  -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+   LVL2_START         : process (CLK, RESET)
+   begin 
+     if rising_edge(CLK)  then 
+       if RESET = '1' then 
+         LVL2_START_fsm_currentstate <= IDLE;
+         lvl1_fifo_rd_en <= '0';
+         tdc_data_valid_i <= '0';
+       else
+         lvl1_fifo_rd_en <= lvl1_fifo_rd_en_fsm;
+         tdc_data_valid_i <= tdc_data_valid_i_fsm;
+         LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate;
+       end if;
+     end if;
+   end process LVL2_START;
+   START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read)
+   begin
+     lvl2_debug (2 downto 0) <= "000";
+     lvl1_fifo_rd_en_fsm <= '0';
+     tdc_data_valid_i_fsm <= '0';
+     LVL2_START_fsm_nextstate <= IDLE;
+     case (LVL2_START_fsm_currentstate) is
+       when IDLE =>
+         lvl2_debug (2 downto 0) <= "001";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then
+             LVL2_START_fsm_nextstate <= READOUT_WORD1;
+         else
+             LVL2_START_fsm_nextstate <= IDLE;
+         end if;
+       when  READOUT_WORD1 =>
+         lvl2_debug (2 downto 0) <= "010";
+         lvl1_fifo_rd_en_fsm <= '1';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= READOUT_WORD2;
+       when  READOUT_WORD2 =>
+         lvl2_debug (2 downto 0) <= "011";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;   
+       when SAVE_EVENT_SIZE =>
+         lvl2_debug (2 downto 0) <= "100";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= SEND_DATA1;
+       when  SEND_DATA1 =>
+         lvl2_debug (2 downto 0) <= "101";
+         lvl1_fifo_rd_en_fsm <= ETRAX_IS_READY_TO_READ;
+         tdc_data_valid_i_fsm <= '1';
+         if how_many_words_in_event(15 downto 0) = x"0000" then
+           LVL2_START_fsm_nextstate <= IDLE;
+         else
+           LVL2_START_fsm_nextstate <= SEND_DATA1;
+         end if;
+     end case;
+   end process START_LVL2_FSM;
+   COUNT_DOWN_READOUT: process (CLK, RESET,how_many_words_in_event)
+   begin
+     if rising_edge(CLK) then
+       if RESET = '1' then     
+         how_many_words_in_event <= (others => '0');
+       elsif LVL2_START_fsm_currentstate = SAVE_EVENT_SIZE then
+         how_many_words_in_event <= '1' & lvl1_fifo_out(15 downto 0);
+       elsif how_many_words_in_event > x"0ffff" and ETRAX_IS_READY_TO_READ = '1' then -- or READ_ADRESS_END_UP='1')then
+         how_many_words_in_event <= how_many_words_in_event - 1;
+       end if;
+     end if;
+   end process COUNT_DOWN_READOUT;
+  SYNCH_DATA_VALID: process (CLK, RESET)
+  begin  
+    if rising_edge(CLK) then --correct this !!!!!!!!!!!!!!!!(falling?)
+      if RESET = '1' then    
+        DATA_VALID <= '0';
+      else
+        DATA_VALID <= tdc_data_valid_i;
+      end if;
+    end if;
+  end process SYNCH_DATA_VALID;
+   
+  not_tdc_data_valid_i <= not tdc_data_valid_i;
+  LVL2_BUSY_END_PULSER   : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => not_tdc_data_valid_i,
+      pulse     => lvl2_busy_end_pulse);
+  LVL2_BUSY_SET: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then 
+      if RESET = '1' or lvl2_busy_end_pulse = '1'then           
+        lvl2_busy_i <= '0';
+      elsif LVL2_START_fsm_currentstate  /= IDLE then
+        lvl2_busy_i <= '1';
+      end if;
+    end if;
+  end process LVL2_BUSY_SET;
+  LVL2_BUSY_START_PULSER   : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => lvl2_busy_i,
+      pulse     => lvl2_busy_start_pulse); 
+  lvl1_memory_busy_i <= '1' when lvl1_fifo_counter > "00001111111111" else '0';   
+                                        --set
+                                        --to
+                                        --max
+                                        --value
+                                        --!!!!!!! and cut data funktion should
+                                        --be implemented - with busy or max
+                                        --size or last event ? or both
+  LVL1_OR_LVL2_BUSY: process (CLK, RESET, lvl1_busy_i, lvl2_busy_end_pulse)
+  begin  
+    if rising_edge(CLK) then 
+      if RESET = '1' then    
+        lvl1_or_lvl2_is_busy <= '0';
+      elsif lvl1_busy_i = '1'  then
+        lvl1_or_lvl2_is_busy <= '1';
+      elsif lvl2_busy_end_pulse = '1' then  
+        lvl1_or_lvl2_is_busy <= '0';
+      end if;
+    end if;
+  end process LVL1_OR_LVL2_BUSY;
+
+  -----------------------------------------------------------------------------
+  -- end writing to fifo when TDC_LVL1_BUSY and read all data and send finish signal
+  -----------------------------------------------------------------------------
+--   TEST_COUNTER_a     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => tdc_start_i,
+--        CLR       => RESET,
+--        QOUT      => test_counter_0
+--        );
+--   TEST_COUNTER_b     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => RECEIVED_TDC_TOKEN,
+--        CLR       => RESET,
+--        QOUT      => test_counter_1
+--        );
+--   TEST_COUNTER_c     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => lvl2_busy_start_pulse,--lvl2_trigger_pulse,
+--        CLR       => RESET,
+--        QOUT      => test_counter_2
+--        );
+--   TEST_COUNTER_d     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => lvl2_busy_end_pulse,
+--        CLR       => RESET,
+--        QOUT      => test_counter_3
+--        );
+  REGITERING_SIGNALS: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then  -- rising clock edge
+      if RESET = '1' then  
+        LVL1_BUSY <= '0';
+      else
+        LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i;  --here
+      end if;
+    end if;
+  end process REGITERING_SIGNALS;
+end fpga_to_etrax_data_flow;
+
+
+
diff --git a/trb_cts/cts_syn.prj b/trb_cts/cts_syn.prj
new file mode 100644 (file)
index 0000000..fa3f9e5
--- /dev/null
@@ -0,0 +1,86 @@
+#-- Synplicity, Inc.
+#-- Version Synplify Pro 8.2.1
+
+
+#add_file options
+add_file -vhdl -lib work "etrax_interface.vhd"
+add_file -vhdl -lib work "f_divider.vhd"
+add_file -vhdl -lib work "cts.vhd"
+add_file -vhdl -lib work "vulom_interface.vhd"
+add_file -vhdl -lib work "from_64_bit_to_optical_link.vhd"
+add_file -vhdl -lib work "optical_link_to_64_bit.vhd"
+add_file -vhdl -lib work "up_down_counter_10bit.vhd"
+add_file -vhdl -lib work "simpleupcounter_10bit.vhd"
+add_file -vhdl -lib work "link_converter.vhd"
+add_file -vhdl -lib work "cts_etrax_interface.vhd"
+add_file -vhdl -lib work "trbv2_tlk_api_fifo.vhd"
+add_file -vhdl -lib work "trbv2_tlk_api.vhd"
+
+
+add_file -vhdl -lib work "api/trbnet/xilinx/trb_net_fifo_arch.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_std.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_fifo.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_iobuf.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_active_api.vhd"
+add_file -vhdl -lib work "api/trbnet/xilinx/shift_lut_x16.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_dummy_fifo.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_ibuf.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_io_multiplexer.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_obuf.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_pattern_gen.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_priority_arbiter.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_priority_encoder.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_sbuf.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_term_ibuf.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_term.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_active_apimbuf.vhd"
+add_file -vhdl -lib work "api/trbnet/trb_net_base_api.vhd"
+#add_file -constraint "cts_syn.sdc"
+
+
+#implementation: "workdir"
+impl -add workdir
+
+#device options
+set_option -technology VIRTEX4
+set_option -part xc4vlx40
+set_option -package ff1148
+set_option -speed_grade -10
+
+#compilation/mapping options
+set_option -default_enum_encoding gray
+set_option -symbolic_fsm_compiler 0
+set_option -resource_sharing 1
+set_option -use_fsm_explorer 0
+set_option -top_module "cts"
+
+#map options
+set_option -frequency 120.000
+set_option -run_prop_extract 0
+set_option -fanout_limit 100
+set_option -disable_io_insertion 0
+set_option -pipe 1
+set_option -update_models_cp 0
+set_option -verification_mode 0
+set_option -fixgatedclocks 0
+set_option -no_sequential_opt 0
+set_option -retiming 0
+
+#simulation options
+set_option -write_verilog 0
+set_option -write_vhdl 0
+
+#VIF options
+set_option -write_vif 1
+
+#automatic place and route (vendor) options
+set_option -write_apr_constraint 1
+
+#set result format/file last
+project -result_file "workdir/cts.edf"
+
+#
+#implementation attributes
+
+set_option -synthesis_onoff_pragma 0
+impl -active "workdir"
diff --git a/trb_cts/cts_tb.vhd b/trb_cts/cts_tb.vhd
new file mode 100644 (file)
index 0000000..d2e33df
--- /dev/null
@@ -0,0 +1,408 @@
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+
+entity cts_tb is
+
+  port (
+    VIRT_CLK      : in    std_logic;
+    VIRT_CLKB     : in    std_logic;
+    RESET_VIRT    : in    std_logic;
+    DBAD          : out   std_logic;
+    DGOOD         : out   std_logic;
+    DINT          : out   std_logic;
+    DWAIT         : out   std_logic;
+    FS_PB         : out   std_logic_vector (17 downto 0);
+    FS_PC         : inout std_logic_vector (17 downto 0);
+    ETRAX_IRQ     : out   std_logic;
+    DSPADDR       : out   std_logic_vector (31 downto 0);
+    DSPDAT        : inout std_logic_vector (31 downto 0);
+    DSP_ACK       : in    std_logic;
+    DSP_BM        : inout std_logic;
+    DSP_BMS       : out   std_logic;
+    DSP_BOFF      : out   std_logic;
+    DSP_BRST      : inout std_logic;
+    DSP_HBG       : in    std_logic;
+    DSP_HBR       : out   std_logic;
+    DSP_IRQ       : out   std_logic_vector (3 downto 0);
+    DSP_RD        : out   std_logic;
+    DSP_RESET     : out   std_logic;
+    DSP_RESET_OUT : in    std_logic;
+    DSP_WRH       : out   std_logic;
+    DSP_WRL       : out   std_logic;
+    VSD_A         : out   std_logic_vector (12 downto 0);
+    VSD_BA        : out   std_logic_vector (1 downto 0);
+    VSD_CAS       : out   std_logic;
+    VSD_CKE       : out   std_logic;
+    VSD_CLOCK     : out   std_logic;
+    VSD_CSEH      : out   std_logic;
+    VSD_CSEL      : out   std_logic;
+    VSD_D         : inout std_logic_vector (31 downto 0);
+    VSD_DQML      : out   std_logic_vector (3 downto 0);
+    VSD_RAS       : out   std_logic;
+    VSD_WE        : out   std_logic;
+    TLK_CLK       : in    std_logic;
+    TLK_ENABLE    : out   std_logic;
+    TLK_LCKREFN   : out   std_logic;
+    TLK_LOOPEN    : out   std_logic;
+    TLK_PRBSEN    : out   std_logic;
+    TLK_RXD       : in    std_logic_vector (15 downto 0);
+    TLK_RX_CLK    : in    std_logic;
+    TLK_RX_DV     : in    std_logic;
+    TLK_RX_ER     : in    std_logic;
+    TLK_TXD       : out   std_logic_vector (15 downto 0);
+    TLK_TX_EN     : out   std_logic;
+    TLK_TX_ER     : out   std_logic;
+    SFP_LOS       : in    std_logic;
+    SFP_TX_DIS    : out   std_logic;
+    SFP_TX_FAULT  : in    std_logic;
+    ADO_LV        : in    std_logic_vector(51 downto 0);
+    ADO_TTL       : inout std_logic_vector(46 downto 2);
+    ADO_CLK1                  : in std_logic;
+    ADO_CLK2                  : in std_logic);
+
+end cts_tb;
+architecture cts_tb of cts_tb is
+
+
+component cts
+  port (
+    VIRT_CLK      : in    std_logic;
+    VIRT_CLKB     : in    std_logic;
+    RESET_VIRT    : in    std_logic;
+    DBAD          : out   std_logic;
+    DGOOD         : out   std_logic;
+    DINT          : out   std_logic;
+    DWAIT         : out   std_logic;
+    FS_PB         : out   std_logic_vector (17 downto 0);
+    FS_PC         : inout std_logic_vector (17 downto 0);
+    ETRAX_IRQ     : out   std_logic;
+    DSPADDR       : out   std_logic_vector (31 downto 0);
+    DSPDAT        : inout std_logic_vector (31 downto 0);
+    DSP_ACK       : in    std_logic;
+    DSP_BM        : inout std_logic;
+    DSP_BMS       : out   std_logic;
+    DSP_BOFF      : out   std_logic;
+    DSP_BRST      : inout std_logic;
+    DSP_HBG       : in    std_logic;
+    DSP_HBR       : out   std_logic;
+    DSP_IRQ       : out   std_logic_vector (3 downto 0);
+    DSP_RD        : out   std_logic;
+    DSP_RESET     : out   std_logic;
+    DSP_RESET_OUT : in    std_logic;
+    DSP_WRH       : out   std_logic;
+    DSP_WRL       : out   std_logic;
+    VSD_A         : out   std_logic_vector (12 downto 0);
+    VSD_BA        : out   std_logic_vector (1 downto 0);
+    VSD_CAS       : out   std_logic;
+    VSD_CKE       : out   std_logic;
+    VSD_CLOCK     : out   std_logic;
+    VSD_CSEH      : out   std_logic;
+    VSD_CSEL      : out   std_logic;
+    VSD_D         : inout std_logic_vector (31 downto 0);
+    VSD_DQML      : out   std_logic_vector (3 downto 0);
+    VSD_RAS       : out   std_logic;
+    VSD_WE        : out   std_logic;
+    TLK_CLK       : in    std_logic;
+    TLK_ENABLE    : out   std_logic;
+    TLK_LCKREFN   : out   std_logic;
+    TLK_LOOPEN    : out   std_logic;
+    TLK_PRBSEN    : out   std_logic;
+    TLK_RXD       : in    std_logic_vector (15 downto 0);
+    TLK_RX_CLK    : in    std_logic;
+    TLK_RX_DV     : in    std_logic;
+    TLK_RX_ER     : in    std_logic;
+    TLK_TXD       : out   std_logic_vector (15 downto 0);
+    TLK_TX_EN     : out   std_logic;
+    TLK_TX_ER     : out   std_logic;
+    SFP_LOS       : in    std_logic;
+    SFP_TX_DIS    : out   std_logic;
+    SFP_TX_FAULT  : in    std_logic;
+    ADO_LV        : in    std_logic_vector(51 downto 0);
+    ADO_TTL       : inout std_logic_vector(46 downto 2);
+    ADO_CLK1                  : in std_logic;
+    ADO_CLK2                  : in std_logic
+    );
+end component;
+
+signal VIRT_CLK_i      : std_logic;
+signal VIRT_CLKB_i     : std_logic;
+signal RESET_VIRT_i    : std_logic;
+signal DBAD_i          : std_logic;
+signal DGOOD_i         : std_logic;
+signal DINT_i          : std_logic;
+signal DWAIT_i         : std_logic;
+signal FS_PB_i         : std_logic_vector (17 downto 0);
+signal FS_PC_i         : std_logic_vector (17 downto 0);
+signal ETRAX_IRQ_i     : std_logic;
+signal DSPADDR_i       : std_logic_vector (31 downto 0);
+signal DSPDAT_i        : std_logic_vector (31 downto 0);
+signal DSP_ACK_i       : std_logic;
+signal DSP_BM_i        : std_logic;
+signal DSP_BMS_i       : std_logic;
+signal DSP_BOFF_i      : std_logic;
+signal DSP_BRST_i      : std_logic;
+signal DSP_HBG_i       : std_logic;
+signal DSP_HBR_i       : std_logic;
+signal DSP_IRQ_i       : std_logic_vector (3 downto 0);
+signal DSP_RD_i        : std_logic;
+signal DSP_RESET_i     : std_logic;
+signal DSP_RESET_OUT_i : std_logic;
+signal DSP_WRH_i       : std_logic;
+signal DSP_WRL_i       : std_logic;
+signal VSD_A_i         : std_logic_vector (12 downto 0);
+signal VSD_BA_i        : std_logic_vector (1 downto 0);
+signal VSD_CAS_i       : std_logic;
+signal VSD_CKE_i       : std_logic;
+signal VSD_CLOCK_i     : std_logic;
+signal VSD_CSEH_i      : std_logic;
+signal VSD_CSEL_i      : std_logic;
+signal VSD_D_i         : std_logic_vector (31 downto 0);
+signal VSD_DQML_i      : std_logic_vector (3 downto 0);
+signal VSD_RAS_i       : std_logic;
+signal VSD_WE_i        : std_logic;
+signal TLK_CLK_i       : std_logic;
+signal TLK_ENABLE_i    : std_logic;
+signal TLK_LCKREFN_i   : std_logic;
+signal TLK_LOOPEN_i    : std_logic;
+signal TLK_PRBSEN_i    : std_logic;
+signal TLK_RXD_i       : std_logic_vector (15 downto 0);
+signal TLK_RX_CLK_i    : std_logic;
+signal TLK_RX_DV_i     : std_logic;
+signal TLK_RX_ER_i     : std_logic;
+signal TLK_TXD_i       : std_logic_vector (15 downto 0);
+signal TLK_TX_EN_i     : std_logic;
+signal TLK_TX_ER_i     : std_logic;
+signal SFP_LOS_i       : std_logic;
+signal SFP_TX_DIS_i    : std_logic;
+signal SFP_TX_FAULT_i  : std_logic;       
+signal ADO_LV_i        : std_logic_vector(51 downto 0);
+signal ADO_TTL_i       : std_logic_vector(46 downto 2);
+signal ADO_CLK1_i : std_logic;
+signal ADO_CLK2_i : std_logic;
+signal vulom_lvl1_tag  : std_logic_vector(15 downto 0);
+
+
+begin  -- cts_tb
+  test: cts
+    port map (
+        VIRT_CLK      => VIRT_CLK_i,
+        VIRT_CLKB     => VIRT_CLKB_i,
+        RESET_VIRT    => RESET_VIRT_i,
+        DBAD          => DBAD_i,
+        DGOOD         => DGOOD_i,
+        DINT          => DINT_i,
+        DWAIT         => DWAIT_i,
+        FS_PB         => FS_PB_i,
+        FS_PC         => FS_PC_i,
+        ETRAX_IRQ     => ETRAX_IRQ_i,
+        DSPADDR       => DSPADDR_i,
+        DSPDAT        => DSPDAT_i,
+        DSP_ACK       => DSP_ACK_i,
+        DSP_BM        => DSP_BM_i,
+        DSP_BMS       => DSP_BMS_i,
+        DSP_BOFF      => DSP_BOFF_i,
+        DSP_BRST      => DSP_BRST_i,
+        DSP_HBG       => DSP_HBG_i,
+        DSP_HBR       => DSP_HBR_i,
+        DSP_IRQ       => DSP_IRQ_i,
+        DSP_RD        => DSP_RD_i,
+        DSP_RESET     => DSP_RESET_i,
+        DSP_RESET_OUT => DSP_RESET_OUT_i,
+        DSP_WRH       => DSP_WRH_i,
+        DSP_WRL       => DSP_WRL_i,
+        VSD_A         => VSD_A_i,
+        VSD_BA        => VSD_BA_i,
+        VSD_CAS       => VSD_CAS_i,
+        VSD_CKE       => VSD_CKE_i,
+        VSD_CLOCK     => VSD_CLOCK_i,
+        VSD_CSEH      => VSD_CSEH_i,
+        VSD_CSEL      => VSD_CSEL_i,
+        VSD_D         => VSD_D_i,
+        VSD_DQML      => VSD_DQML_i,
+        VSD_RAS       => VSD_RAS_i,
+        VSD_WE        => VSD_WE_i,
+        TLK_CLK       => TLK_CLK_i,
+        TLK_ENABLE    => TLK_ENABLE_i,
+        TLK_LCKREFN   => TLK_LCKREFN_i,
+        TLK_LOOPEN    => TLK_LOOPEN_i,
+        TLK_PRBSEN    => TLK_PRBSEN_i,
+        TLK_RXD       => TLK_RXD_i,
+        TLK_RX_CLK    => TLK_RX_CLK_i,
+        TLK_RX_DV     => TLK_RX_DV_i,
+        TLK_RX_ER     => TLK_RX_ER_i,
+        TLK_TXD       => TLK_TXD_i,
+        TLK_TX_EN     => TLK_TX_EN_i,
+        TLK_TX_ER     => TLK_TX_ER_i,
+        SFP_LOS       => SFP_LOS_i,
+        SFP_TX_DIS    => SFP_TX_DIS_i,
+        SFP_TX_FAULT  => SFP_TX_FAULT_i,
+        ADO_LV        => ADO_LV_i,
+        ADO_TTL       => ADO_TTL_i,
+        ADO_CLK1      => ADO_CLK1_i,
+        ADO_CLK2      => ADO_CLK2_i);
+  clock_gclk : process
+  begin
+    VIRT_CLK_i <= '0';
+    VIRT_CLKB_i <= '1';
+    wait for 5 ns;
+    VIRT_CLKB_i <= '0';
+    VIRT_CLK_i <= '1';
+    wait for 5 ns;
+  end process;
+  clock_tlk_clk : process
+  begin
+    TLK_CLK_i <= '0';
+    wait for 5 ns;
+    TLK_CLK_i <=  '1';
+    wait for 5 ns;
+  end process;
+  clock_tlk_rx_clk : process
+  begin
+    TLK_RX_CLK_i <= '0';
+    wait for 5 ns;
+    TLK_RX_CLK_i <=  '1';
+    wait for 5 ns;
+  end process;
+  -------------------------------------------------------------------------------
+-- etrax_int_test
+-------------------------------------------------------------------------------
+       etrax_intf : PROCESS
+       BEGIN
+
+           --reading DSP(dev number 1)
+           wait for 10 ns;
+           RESET_VIRT_i <= '0';
+
+           wait for 10 ns;
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '1';
+           RESET_VIRT_i <= '1';
+           wait for 10 ns;
+     
+           wait for 30 ns;
+           FS_PC_i(15 downto 0) <= x"0000";
+           FS_PC_i(16) <= '0';
+           FS_PC_i(17) <= '0';
+           wait on VIRT_CLK_i until FS_PB_i(16) = '0';     
+           wait for 20 ns;
+           FS_PC_i(16) <= '1';
+           wait for 20 ns;
+           FS_PC_i(15) <= '1';               --read mode(1)
+           FS_PC_i(14 downto 8) <= (others => '0');             
+           FS_PC_i(7 downto 0) <= x"00";  --device
+           FS_PC_i(16) <= '0';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(15 downto 0) <= x"0000"; --address upper part
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '1';
+           FS_PC_i(15 downto 0) <= x"0025"; --adrees lower part
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns; 
+           FS_PC_i(16) <= '0';                         
+           wait on VIRT_CLK_i until FS_PB_i(16)= '1';
+           FS_PC_i(16) <= '1';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait on VIRT_CLK_i until FS_PB_i(16) = '0';
+           FS_PC_i(16) <= '1';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait on VIRT_CLK_i until FS_PB_i(16)= '1';
+           FS_PC_i(16) <= '1';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           --writing DSP
+           wait for 20 ns;
+           FS_PC_i(16) <= '1';
+           wait for 20 ns;
+           FS_PC_i(15) <= '0';               --write mode
+           FS_PC_i(14 downto 8) <= (others => '0');             
+           FS_PC_i(7 downto 0) <= x"00";     --device
+           FS_PC_i(16) <= '0';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(15 downto 0) <= x"0000"; --address upper part
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '1';
+           FS_PC_i(15 downto 0) <= x"0000"; --adrees lower part
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait for 20 ns;
+           FS_PC_i(15 downto 0) <= x"0000"; --data upper part
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait for 20 ns;
+           FS_PC_i(15 downto 0) <= x"0080"; --data lower part - 1 switch on
+                                          --internal generation of trigger
+           FS_PC_i(16) <= '1';
+           FS_PC_i(17) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+           wait for 20 ns;
+           FS_PC_i(16) <= '1';
+           wait for 20 ns;
+           FS_PC_i(16) <= '0';
+--           wait on VIRT_CLK_i until FS_PB_i(16)= '1';
+           loop
+             wait on VIRT_CLK_i until FS_PB_i(16) = '1';
+             FS_PC_i(17) <= '1';
+             wait for 100 ns;
+             FS_PC_i(17) <= '0';
+             wait for 100 ns;
+           end loop;
+          
+           wait; -- will wait forever
+         end process;
+  -----------------------------------------------------------------------------
+  -- VULOM
+  -----------------------------------------------------------------------------
+  ADO_TTL_i(4) <= 'Z';
+           
+  VULOM_SENDS_TRIGGER: process
+    variable i,y : integer;
+  begin
+    vulom_lvl1_tag <= (others => '0');
+    wait for 310 ns;
+    loop
+      y := 0;
+    ADO_TTL_i(3 downto 2) <= "01";
+    ADO_CLK2_i <=  '1';
+    wait for 10 ns;
+    ADO_CLK2_i <=  '0';
+    wait for 10 ns;
+    for i in 0 to 159 loop
+      ADO_TTL_i(3 downto 2) <= vulom_lvl1_tag (((y mod 15)+1) downto (y mod 15));
+      ADO_CLK2_i <=  '1';
+      wait for 10 ns;
+      ADO_CLK2_i <=  '0';
+      wait for 10 ns;
+      y := y + 2;
+    end loop;  -- 40ns;
+    wait on VIRT_CLK_i until ADO_TTL_i(4) = '0';
+    vulom_lvl1_tag <= vulom_lvl1_tag + 1;
+    end loop;
+  end process VULOM_SENDS_TRIGGER;
+end cts_tb;
diff --git a/trb_cts/etrax_interface.vhd b/trb_cts/etrax_interface.vhd
new file mode 100755 (executable)
index 0000000..5354c78
--- /dev/null
@@ -0,0 +1,488 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+--  Uncomment the following lines to use the declarations that are
+--  provided for instantiating Xilinx primitive components.
+
+
+entity etrax_interface is
+  port (
+    CLK                     : in    std_logic;
+    RESET                   : in    std_logic;
+    DATA_BUS                : in    std_logic_vector(31 downto 0);
+    ETRAX_DATA_BUS_B        : out std_logic_vector(17 downto 0);
+    ETRAX_DATA_BUS_C        : inout    std_logic_vector(17 downto 0);
+    DATA_VALID              : in    std_logic;
+    ETRAX_BUS_BUSY          : out   std_logic;
+    ETRAX_IS_READY_TO_READ  : out    std_logic;
+    TDC_TCK                 : out   std_logic;
+    TDC_TDI                 : out   std_logic;
+    TDC_TMS                 : out   std_logic;
+    TDC_TRST                : out   std_logic;
+    TDC_TDO                 : in    std_logic;
+    TDC_RESET               : out   std_logic;
+    EXTERNAL_ADDRESS        : out   std_logic_vector(31 downto 0);
+    EXTERNAL_DATA_OUT       : out std_logic_vector(31 downto 0);
+    EXTERNAL_DATA_IN        : in std_logic_vector(31 downto 0);
+    EXTERNAL_ACK            : out   std_logic;
+    EXTERNAL_VALID          : in    std_logic;
+    EXTERNAL_MODE           : out   std_logic_vector(15 downto 0);
+    FPGA_REGISTER_00        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_01        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_02        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_06        : out   std_logic_vector(31 downto 0);
+    FPGA_REGISTER_07        : out   std_logic_vector(31 downto 0);
+    FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_14        : in    std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_15        : in    std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_16        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_17        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_18        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_19        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_20        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_21        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_22        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_23        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_24        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_25        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_26        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_27        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_28        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_29        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_30        : out   std_logic_vector(31 downto 0);
+--     FPGA_REGISTER_31        : out   std_logic_vector(31 downto 0);
+    EXTERNAL_RESET          : out   std_logic;
+    LVL2_VALID              : in    std_logic
+  --  DEBUG_REGISTER_OO       : out   std_logic_vector(31 downto 0)
+    );
+end etrax_interface;
+architecture etrax_interface of etrax_interface is
+  component edge_to_pulse
+    port (
+      clock     : in  std_logic;
+      en_clk    : in  std_logic;
+      signal_in : in  std_logic;
+      pulse     : out std_logic);
+  end component;
+  component up_counter_17bit
+      port (
+        QOUT : out std_logic_vector(16 downto 0);
+        UP   : in  std_logic;
+        CLK  : in  std_logic;
+        CLR  : in  std_logic);
+    end component;
+  type ETRAX_RW_STATE_MACHINE is (IDLE, SAVE_ADDRESS_1, SAVE_ADDRESS_2, SAVE_DATA_1 ,SAVE_DATA_2 , SEND_DATA_1, SEND_ZERO, SEND_DATA_2, WAIT_FOR_DATA, SAVING_EXTERNAL_DATA, SEND_VALID, SEND_EXTERNAL_TRIGGER );
+  signal ETRAX_RW_STATE_currentstate,ETRAX_RW_STATE_nextstate  : ETRAX_RW_STATE_MACHINE;
+
+  signal etrax_trigger_pulse : std_logic;
+  signal rw_operation_finished_pulse : std_logic;
+  signal saved_rw_mode : std_logic_vector(17 downto 0);
+  signal saved_address : std_logic_vector (31 downto 0);
+  signal saved_data : std_logic_vector(31 downto 0);
+  signal saved_data_fpga : std_logic_vector(31 downto 0);
+  
+  signal data_to_etrax_valid : std_logic;
+  signal fpga_register_00_i : std_logic_vector(31 downto 0);
+  signal fpga_register_01_i : std_logic_vector(31 downto 0);
+  signal fpga_register_02_i : std_logic_vector(31 downto 0);
+  signal fpga_register_03_i : std_logic_vector(31 downto 0);
+  signal fpga_register_04_i : std_logic_vector(31 downto 0);
+  signal fpga_register_05_i : std_logic_vector(31 downto 0);
+  signal fpga_register_06_i : std_logic_vector(31 downto 0);
+  signal fpga_register_07_i : std_logic_vector(31 downto 0);
+  signal fpga_register_08_i : std_logic_vector(31 downto 0);
+  signal fpga_register_09_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0B_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0C_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0D_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_14_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_15_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_16_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_17_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_18_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_19_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_20_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_21_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_22_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_23_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_24_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_25_i : std_logic_vector(31 downto 0):=x"00000000";
+--   signal fpga_register_26_i : std_logic_vector(31 downto 0):=x"00000000";
+--   signal fpga_register_27_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_28_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_29_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_30_i : std_logic_vector(31 downto 0);
+--   signal fpga_register_31_i : std_logic_vector(31 downto 0);
+  signal counter_for_test : std_logic_vector(16 downto 0);
+  signal saved_external_data : std_logic_vector(31 downto 0);
+  signal debug_reg_00 : std_logic_vector(3 downto 0);
+  signal debug_reg_01 : std_logic_vector(3 downto 0);
+  signal debug_reg_02 : std_logic_vector(3 downto 0);
+  signal debug_reg_03 : std_logic_vector(3 downto 0);
+  signal etrax_data_pulse_0 : std_logic;
+  signal etrax_data_pulse_1 : std_logic;
+  signal etrax_data_pulse_2 : std_logic;
+  signal etrax_data_pulse_3: std_logic;
+  signal debug_reg_04 : std_logic_vector(15 downto 0);
+  signal etrax_is_ready_to_read_i : std_logic;
+  signal pulse_clock : std_logic;
+  signal lvl2_not_valid_pulse : std_logic;
+  signal counter_for_pulses : std_logic_vector(2 downto 0);
+  signal internal_reset_i : std_logic;
+
+begin
+    MAKE_RESET: process (CLK, RESET)
+    begin 
+      if rising_edge(CLK) then 
+        if (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then  
+          internal_reset_i <= '1';
+        elsif ETRAX_DATA_BUS_C(16)='0' and ETRAX_DATA_BUS_C(17)='0' then
+          internal_reset_i <= '0';
+        else
+          internal_reset_i <= '0';--internal_reset_i;
+        end if;
+      end if;
+    end process MAKE_RESET;
+  
+-- internal_reset_i <= ETRAX_DATA_BUS_C(16) and ETRAX_DATA_BUS_C(17);
+  ETRAX_TRIGG_PULSER      : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => ETRAX_DATA_BUS_C(16),
+      pulse     => etrax_trigger_pulse);
+  ETRAX_READY_PULSE      : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => DATA_VALID,--'1',
+      signal_in => ETRAX_DATA_BUS_C(17),
+      pulse     => etrax_is_ready_to_read_i);
+  MAKE_PULSES: process (CLK, RESET)
+  begin  
+    if rising_edge(CLK) then 
+      if internal_reset_i = '1' then --;(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+        counter_for_pulses <= "000";
+   --     pulse_clock <= '0';
+      else
+--        pulse_clock <= not pulse_clock;
+       counter_for_pulses <= counter_for_pulses + 1; 
+      end if;
+    end if;
+  end process make_pulses;
+  LVL2_NOT_VALID_READY_PULSE      : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+--      signal_in => pulse_clock,
+      signal_in => counter_for_pulses(2),
+      pulse     => lvl2_not_valid_pulse);
+--   ETRAX_READY_CLOCKED : process (CLK, RESET,ETRAX_DATA_BUS_C(16),ETRAX_DATA_BUS_C(17))
+--   begin  
+--     if rising_edge(CLK) then  
+--       if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+--         ETRAX_RW_STATE_currentstate <= IDLE;
+--       else
+--         ETRAX_RW_STATE_currentstate <= ETRAX_RW_STATE_nextstate;
+--       end if;
+--     end if;
+--   end process ETRAX_FPGA_COMUNICATION_CLOCK;
+    
+  ETRAX_IS_READY_TO_READ <= etrax_is_ready_to_read_i  or (DATA_VALID and lvl2_not_valid_pulse  and LVL2_VALID);
+  RW_FINISHED_PULSER       : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => EXTERNAL_VALID,
+      pulse     => rw_operation_finished_pulse);
+  DELAY_COUNTER     : up_counter_17bit
+    port map (
+      CLK       => CLK,
+      UP        => etrax_trigger_pulse,
+      CLR       => RESET,
+      QOUT      => counter_for_test
+      );
+  TDC_TRST <= not fpga_register_06_i(2);--etrax_trigger_pulse and ETRAX_DATA_BUS_C(17);
+  TDC_RESET <= fpga_register_06_i(1);
+  EXTERNAL_RESET <= internal_reset_i;--ETRAX_DATA_BUS_C(16) and ETRAX_DATA_BUS_C(17);
+  ETRAX_BUS_BUSY <= '0' when ETRAX_RW_STATE_currentstate = IDLE else '1';
+  REGISTERS: process (CLK)
+  begin  
+    if rising_edge(CLK) then  
+--     if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+         fpga_register_02_i <= FPGA_REGISTER_02;
+         fpga_register_03_i <= FPGA_REGISTER_03;
+         fpga_register_04_i <= FPGA_REGISTER_04;
+         fpga_register_05_i <= FPGA_REGISTER_05;
+         FPGA_REGISTER_06   <= fpga_register_06_i;  --this used for TDCjtag enable(0)
+         FPGA_REGISTER_07   <= fpga_register_07_i;
+         fpga_register_08_i <= FPGA_REGISTER_08;
+         fpga_register_09_i <= FPGA_REGISTER_09;
+         fpga_register_0A_i <= FPGA_REGISTER_0A;
+         fpga_register_0B_i <= FPGA_REGISTER_0B;
+         fpga_register_0c_i <= FPGA_REGISTER_0C;
+         fpga_register_0d_i <= FPGA_REGISTER_0D;
+     end if;
+   end process REGISTERS;
+    --     DEBUG_REGISTER_OO(7 downto 0) <= fpga_register_00_i(7 downto 0);
+   --    FPGA_REGISTER_23(7 downto 0)  <=  fpga_register_00_i(7 downto 0);
+  ETRAX_FPGA_COMUNICATION_CLOCK : process (CLK, RESET,ETRAX_DATA_BUS_C(16),ETRAX_DATA_BUS_C(17))
+  begin  
+    if rising_edge(CLK) then  
+      if internal_reset_i = '1' then --(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+        ETRAX_RW_STATE_currentstate <= IDLE;
+      else
+        ETRAX_RW_STATE_currentstate <= ETRAX_RW_STATE_nextstate;
+      end if;
+    end if;
+  end process ETRAX_FPGA_COMUNICATION_CLOCK;
+  ETRAX_FPGA_COMUNICATION: process (ETRAX_RW_STATE_currentstate,etrax_trigger_pulse,saved_rw_mode(15),rw_operation_finished_pulse)
+  begin
+    fpga_register_00_i <= x"00000001";
+    case ETRAX_RW_STATE_currentstate is
+      when IDLE         =>
+        fpga_register_00_i <= x"00000001";
+        if etrax_trigger_pulse = '1' and DATA_VALID ='0' then
+          ETRAX_RW_STATE_nextstate   <= SAVE_ADDRESS_1;
+        else
+          ETRAX_RW_STATE_nextstate   <= IDLE;
+        end if;
+      when SAVE_ADDRESS_1  =>
+        fpga_register_00_i <= x"00000002";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate <= SAVE_ADDRESS_2;
+          else
+            ETRAX_RW_STATE_nextstate <= SAVE_ADDRESS_1;
+          end if;
+      when SAVE_ADDRESS_2  =>
+        fpga_register_00_i <= x"00000003";
+          if etrax_trigger_pulse = '1' then
+            if saved_rw_mode(15) = '1' then
+              ETRAX_RW_STATE_nextstate <= SEND_EXTERNAL_TRIGGER;
+            else
+              ETRAX_RW_STATE_nextstate <= SAVE_DATA_1;
+            end if;
+          else
+            ETRAX_RW_STATE_nextstate   <= SAVE_ADDRESS_2;
+          end if;  
+      when SAVE_DATA_1     =>
+        fpga_register_00_i <= x"00000004";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate   <= SAVE_DATA_2;
+        else
+          ETRAX_RW_STATE_nextstate   <= SAVE_DATA_1;
+        end if;
+      when SAVE_DATA_2     =>
+        fpga_register_00_i <= x"00000005";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate   <= SEND_EXTERNAL_TRIGGER;
+        else
+          ETRAX_RW_STATE_nextstate   <= SAVE_DATA_2;
+        end if;
+      when SEND_EXTERNAL_TRIGGER =>
+        ETRAX_RW_STATE_nextstate   <= WAIT_FOR_DATA;
+      when WAIT_FOR_DATA =>
+        fpga_register_00_i <= x"00000006";
+        if saved_rw_mode(15) = '0' then
+          ETRAX_RW_STATE_nextstate     <= SEND_VALID;
+        elsif rw_operation_finished_pulse = '1' or saved_rw_mode(7 downto 0) = x"00" then
+          ETRAX_RW_STATE_nextstate     <= SAVING_EXTERNAL_DATA;
+        else
+          ETRAX_RW_STATE_nextstate <= WAIT_FOR_DATA;
+        end if;
+      when SEND_VALID =>
+        fpga_register_00_i <= x"00000007";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate   <= IDLE;
+        else
+          ETRAX_RW_STATE_nextstate   <= SEND_VALID;
+        end if;
+      when SAVING_EXTERNAL_DATA =>
+        ETRAX_RW_STATE_nextstate     <= SEND_DATA_1;
+      when SEND_DATA_1     =>
+        fpga_register_00_i <= x"00000008";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate   <= SEND_ZERO;
+        else
+          ETRAX_RW_STATE_nextstate   <= SEND_DATA_1;
+        end if;
+      when SEND_ZERO =>
+        fpga_register_00_i <= x"0000000a";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate   <= SEND_DATA_2;
+        else
+          ETRAX_RW_STATE_nextstate   <= SEND_ZERO;
+        end if;
+        
+      when SEND_DATA_2     =>
+        fpga_register_00_i <= x"00000009";
+        if etrax_trigger_pulse = '1' then
+          ETRAX_RW_STATE_nextstate   <= IDLE;
+        else
+          ETRAX_RW_STATE_nextstate   <= SEND_DATA_2;
+        end if;
+      when others        =>
+        ETRAX_RW_STATE_nextstate     <= IDLE;
+    end case;
+  end process ETRAX_FPGA_COMUNICATION;
+
+  REGISTER_ETRAX_BUS: process (CLK, RESET,ETRAX_RW_STATE_currentstate)
+  begin 
+    if rising_edge(CLK) then 
+      if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+        saved_rw_mode <= (others => '0');
+        saved_address <= (others => '0');
+        saved_data <= (others => '0');
+      elsif ETRAX_RW_STATE_currentstate = IDLE  and etrax_trigger_pulse = '1' then
+        saved_rw_mode <= ETRAX_DATA_BUS_C;
+      elsif ETRAX_RW_STATE_currentstate = SAVE_ADDRESS_1  and etrax_trigger_pulse = '1' then
+        saved_address(31 downto 16) <= ETRAX_DATA_BUS_C(15 downto 0);
+      elsif ETRAX_RW_STATE_currentstate = SAVE_ADDRESS_2  and etrax_trigger_pulse = '1' then
+        saved_address(15 downto 0) <= ETRAX_DATA_BUS_C(15 downto 0);
+      elsif ETRAX_RW_STATE_currentstate = WAIT_FOR_DATA  and rw_operation_finished_pulse = '1' then
+        saved_external_data <= EXTERNAL_DATA_IN;
+      elsif ETRAX_RW_STATE_currentstate = SAVE_DATA_1  and etrax_trigger_pulse = '1' then
+        saved_data(31 downto 16) <= ETRAX_DATA_BUS_C(15 downto 0);
+      elsif ETRAX_RW_STATE_currentstate = SAVE_DATA_2  and etrax_trigger_pulse = '1' then
+        saved_data(15 downto 0) <= ETRAX_DATA_BUS_C(15 downto 0);
+      else
+        saved_rw_mode <= saved_rw_mode;
+        saved_address <= saved_address;
+        saved_data <= saved_data;
+      end if;
+    end if;
+  end process REGISTER_ETRAX_BUS;
+  EXTERNAL_ADDRESS <= saved_address;
+  EXTERNAL_MODE    <= saved_rw_mode(15 downto 0);
+  EXTERNAL_DATA_OUT <= saved_data;
+  EXTERNAL_DATA_LOGIC: process (CLK, RESET)
+   begin 
+     if rising_edge(CLK) then  
+       if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+         EXTERNAL_ACK  <= '0';
+       elsif ETRAX_RW_STATE_currentstate = SEND_EXTERNAL_TRIGGER and saved_rw_mode(15) = '1' then
+         EXTERNAL_ACK  <= '1';
+       elsif ETRAX_RW_STATE_currentstate = SEND_EXTERNAL_TRIGGER and saved_rw_mode(15) = '0' then
+         EXTERNAL_ACK  <= '1';
+       else
+         EXTERNAL_ACK  <= '0';
+       end if;
+     end if;
+   end process EXTERNAL_DATA_LOGIC;
+    ETRAX_DATA_BUS_CHOOSE : process (CLK, RESET,ETRAX_RW_STATE_currentstate, DATA_VALID)
+    begin
+      if rising_edge(CLK) then
+        if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+          ETRAX_DATA_BUS_B(16 downto 0) <= "0"& x"0000";--(others => 'Z');
+        elsif ETRAX_RW_STATE_currentstate = SEND_DATA_1 then
+            ETRAX_DATA_BUS_B(15 downto 0) <= saved_data_fpga(31 downto 16);--fpga_register_07_i(15 downto 0);--
+            ETRAX_DATA_BUS_B(16) <= '1';
+      --      ETRAX_DATA_BUS_B(17) <= '0';
+        elsif ETRAX_RW_STATE_currentstate = SEND_DATA_2 or ETRAX_RW_STATE_currentstate = SEND_VALID then
+            ETRAX_DATA_BUS_B(15 downto 0) <=  saved_data_fpga(15 downto 0);--fpga_register_07_i(15 downto 0);--
+            ETRAX_DATA_BUS_B(16) <= '1';
+      --      ETRAX_DATA_BUS_B(17) <= '0';
+        elsif DATA_VALID = '1' then
+            ETRAX_DATA_BUS_B(15 downto 0) <= DATA_BUS(15 downto 0);
+     --       ETRAX_DATA_BUS_B(17) <= CLK;
+            ETRAX_DATA_BUS_B(16) <= '1' and not(LVL2_VALID); 
+        else
+          ETRAX_DATA_BUS_B(15 downto 0) <= fpga_register_06_i(15 downto 0); 
+--          ETRAX_DATA_BUS_B(15 downto 0) <= DATA_BUS(15 downto 0);--fpga_register_07_i(15 downto 0); 
+          ETRAX_DATA_BUS_B(16) <= '0';
+        end if;
+      end if;
+    end process ETRAX_DATA_BUS_CHOOSE;
+   
+ETRAX_DATA_BUS_B(17) <= CLK when DATA_VALID = '1' else '0';
+--   ETRAX_DATA_BUS_B(17) <= 'Z';
+  TDC_JAM_SIGNALS : process (CLK, RESET, DATA_VALID, fpga_register_06_i(0))
+  begin
+    if rising_edge(CLK) then
+      if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+        TDC_TMS                        <= '1';
+        TDC_TCK                        <= '1';
+        TDC_TDI                        <= '1';
+        ETRAX_DATA_BUS_C               <= (others => 'Z');
+      elsif fpga_register_06_i(0) = '1' then
+--        ETRAX_DATA_BUS_C               <= (others => 'Z');
+        TDC_TMS                        <= ETRAX_DATA_BUS_C(1);
+        TDC_TCK                        <= ETRAX_DATA_BUS_C(2);
+        TDC_TDI                        <= ETRAX_DATA_BUS_C(3);
+        ETRAX_DATA_BUS_C(0)            <= TDC_TDO;
+        ETRAX_DATA_BUS_C(17 downto 1) <= (others => 'Z');
+--        ETRAX_DATA_BUS_C(13 downto 0)  <= (others => 'Z');
+      elsif DATA_VALID = '1' then
+        ETRAX_DATA_BUS_C(15 downto 0) <= DATA_BUS(31 downto 16);
+        ETRAX_DATA_BUS_C(16) <= 'Z';
+        ETRAX_DATA_BUS_C(17) <= 'Z';
+        TDC_TMS                        <= '1';
+        TDC_TCK                        <= '1';
+        TDC_TDI                        <= '1';
+      else
+        TDC_TMS                        <= '1';
+        TDC_TCK                        <= '1';
+        TDC_TDI                        <= '1';
+        ETRAX_DATA_BUS_C               <= (others => 'Z');
+      end if;
+    end if;
+  end process TDC_JAM_SIGNALS;
+  DATA_SOURCE_SELECT : process (CLK,RESET,saved_rw_mode,saved_address)
+  begin
+    if rising_edge(CLK) then
+      if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then
+         fpga_register_06_i                          <= x"00000000";
+      else
+        case saved_rw_mode(7 downto 0) is
+          when "00000000"        =>
+            if saved_rw_mode(15) = '1' then
+              case saved_address(31 downto 0) is
+                when x"00000000" => saved_data_fpga <= fpga_register_00_i;
+                when x"00000001" => saved_data_fpga <= fpga_register_01_i;
+                when x"00000002" => saved_data_fpga <= fpga_register_02_i;
+                when x"00000003" => saved_data_fpga <= fpga_register_03_i;
+                when x"00000004" => saved_data_fpga <= fpga_register_04_i;
+                when x"00000005" => saved_data_fpga <= fpga_register_05_i;
+                when x"00000006" => saved_data_fpga <= fpga_register_06_i;
+                when x"00000007" => saved_data_fpga <= fpga_register_07_i;
+                when x"00000008" => saved_data_fpga <= fpga_register_08_i;
+                when x"00000009" => saved_data_fpga <= fpga_register_09_i;
+                when x"0000000A" => saved_data_fpga <= fpga_register_0A_i;
+                when x"0000000B" => saved_data_fpga <= fpga_register_0B_i;
+                when x"0000000C" => saved_data_fpga <= fpga_register_0C_i;
+                when x"0000000D" => saved_data_fpga <= fpga_register_0D_i;                                                                        
+                when others      => saved_data_fpga <= x"deadface";
+              end case;
+            elsif saved_rw_mode(15) = '0' and ETRAX_RW_STATE_currentstate = WAIT_FOR_DATA then
+              case saved_address(31 downto 0) is
+                when x"00000006" => fpga_register_06_i <= saved_data;
+                when x"00000007" => fpga_register_07_i <= saved_data;
+                when others      => null;
+              end case;
+            end if;
+          when "00000001"        =>     --DSP write read
+            saved_data_fpga                            <= saved_external_data;
+          when x"02"        =>
+            saved_data_fpga                            <= saved_external_data;
+          when x"03"        =>
+            saved_data_fpga                            <= saved_external_data;
+          when others            =>     --ADDON board write read
+            saved_data_fpga                            <= x"deadface";
+        end case;
+      end if;
+    end if;
+  end process DATA_SOURCE_SELECT;
+end etrax_interface;
diff --git a/trb_cts/f_divider.vhd b/trb_cts/f_divider.vhd
new file mode 100644 (file)
index 0000000..7366e7e
--- /dev/null
@@ -0,0 +1,173 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+use IEEE.STD_LOGIC_arith.all;
+use IEEE.STD_LOGIC_unsigned.all;
+
+
+entity f_divider is
+
+  generic(
+    cnt : integer := 4000  -- Der Teiler teilt durch "cnt" , wenn Test = 0  ist.  --
+    );
+
+  port (
+    clk     : in  std_logic;
+    ena_cnt : in  std_logic;
+    f_div   : out std_logic
+    );
+
+end f_divider;
+
+
+
+architecture arch_f_divider of f_divider is
+
+  function How_many_Bits (int : integer) return integer is
+    variable i, tmp           : integer;
+  begin
+    tmp   := int;
+    i     := 0;
+    while tmp > 0 loop
+      tmp := tmp / 2;
+      i   := i + 1;
+    end loop;
+    return i;
+  end How_many_bits;
+
+
+  --+          
+  --| Wie Breit muss der Teiler sein, um durch "cnt" teilen zu können?                                                  |
+  --+          
+  constant c_counter_width : integer := How_many_Bits(cnt - 2);
+
+  --+                                   ---------------------------------------------------------------------------------------------+
+  --| Des Zähler "s_counter" muss ein Bit breiter definiert werden, als zur Abarbeitung des "cnt"       |
+  --| nötig wäre. Dieses Bit wird beim Zählerunterlauf '1'. Der Zählerablauf wird dadurch ohne  |
+  --| Komparator erkannt, er steht als getaktetes physikalisches Signal zur Verfügung.                  |
+  --+                                   ---------------------------------------------------------------------------------------------+
+  signal s_counter : std_logic_vector(c_counter_width downto 0) := conv_std_logic_vector(0, c_counter_width+1);
+
+  --+                                   ---------------------------------------------------------------------------------------------+
+  --| Teiler muss mit einen um -2 geringeren Wert geladen werden. Da das Neuladen erst durch dem        |
+  --| Unterlauf Zählers erfolgt. D.h. die Null und minus Eins werden mitgezählt.                                        |
+  --+                                   ---------------------------------------------------------------------------------------------+
+  constant c_ld_value : integer := cnt - 2;
+
+begin
+  p_f_divider : process (clk)
+  begin
+    if clk'event and clk = '1' then
+      if s_counter(s_counter'high) = '1' then  -- Bei underflow wird neu geladen  --
+        s_counter   <= conv_std_logic_vector(c_ld_value, s_counter'length);
+      elsif ena_cnt = '1' then
+        if s_counter(s_counter'high) = '0' then  -- Kein underflow erreicht weiter  --
+          s_counter <= s_counter - 1;  -- subtrahieren.  --
+        end if;
+      end if;
+    end if;
+  end process p_f_divider;
+
+  f_div <= s_counter(s_counter'high);
+
+end arch_f_divider;
+
+
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+
+
+--library synplify;
+--use synplify.attributes.all;
+
+
+entity edge_to_pulse is
+
+  port (
+    clock     : in  std_logic;
+    en_clk    : in  std_logic;
+    signal_in : in  std_logic;
+    pulse     : out std_logic);
+
+end edge_to_pulse;
+
+architecture arch_edge_to_pulse of edge_to_pulse is
+  signal signal_sync               : std_logic;
+  signal old_sync                  : std_logic;
+  type state is (idle, high, wait_for_low);  -- state
+  signal current_state, next_state : state;
+
+begin  -- arch_edge_to_pulse
+
+  fsm : process (clock)
+  begin  -- process fsm
+    if rising_edge(clock) then  -- rising clock edge
+      if en_clk = '1' then
+        current_state <= next_state;
+        signal_sync   <= signal_in;
+      end if;
+    end if;
+  end process fsm;
+
+
+  fsm_comb : process (current_state, signal_sync)
+  begin  -- process fsm_comb
+    case current_state is
+      when idle         =>
+        pulse        <= '0';
+        if signal_sync = '1' then
+          next_state <= high;
+        else
+          next_state <= idle;
+        end if;
+      when high         =>
+        pulse        <= '1';
+        next_state   <= wait_for_low;
+--       when wait_for_low_1 =>
+--         pulse <= '1';
+--         next_state <= wait_for_low;
+      when wait_for_low =>
+        pulse        <= '0';
+        if signal_sync = '0' then
+          next_state <= idle;
+        else
+          next_state <= wait_for_low;
+        end if;
+      when others       =>
+       next_state   <= idle;
+    end case;
+  end process fsm_comb;
+
+
+end arch_edge_to_pulse;
+
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package support is
+
+  component f_divider
+    generic (
+      cnt     :     integer);
+    port (
+      clk     : in  std_logic;
+      ena_cnt : in  std_logic;
+      f_div   : out std_logic);
+  end component;
+
+  component edge_to_pulse
+    port (
+      clock     : in  std_logic;
+      en_clk    : in  std_logic;
+      signal_in : in  std_logic;
+      pulse     : out std_logic);
+  end component;
+  
+
+end support;
+
diff --git a/trb_cts/fpga_to_etrax_data_flow.vhd b/trb_cts/fpga_to_etrax_data_flow.vhd
new file mode 100644 (file)
index 0000000..f7206df
--- /dev/null
@@ -0,0 +1,520 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VComponents.all;
+entity fpga_to_etrax_data_flow is
+  port (
+    CLK                                 : in  std_logic;
+    RESET                             : in  std_logic;
+    START_READOUT                     : in  std_logic;
+    HOW_MANY_ADD_DATA                 : in  std_logic_vector(7 downto 0);
+    COUNTER_0                         : in  std_logic_vector(31 downto 0);
+    COUNTER_1                         : in  std_logic_vector(31 downto 0);
+    COUNTER_2                         : in  std_logic_vector(31 downto 0);
+    COUNTER_3                         : in  std_logic_vector(31 downto 0);
+    COUNTER_4                         : in  std_logic_vector(31 downto 0);
+    COUNTER_5                         : in  std_logic_vector(31 downto 0);
+    COUNTER_6                         : in  std_logic_vector(31 downto 0);
+    COUNTER_7                         : in  std_logic_vector(31 downto 0);
+    COUNTER_8                         : in  std_logic_vector(31 downto 0);
+    COUNTER_9                         : in  std_logic_vector(31 downto 0);
+    COUNTER_a                         : in  std_logic_vector(31 downto 0);
+    DATA_OUT                      : out std_logic_vector (31 downto 0);  --data to ETRAX (LVL2)
+    DATA_VALID                    : out std_logic;  -- The DATA_OUT can be written
+    ETRAX_IS_READY_TO_READ            : in  std_logic;
+    LVL1_BUSY                         : out std_logic;
+    CTS_ETRAX_REGISTER_00                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_01                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_02                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_03                 : out std_logic_vector(31 downto 0);
+    CTS_ETRAX_REGISTER_04                 : out std_logic_vector(31 downto 0)
+    );
+end fpga_to_etrax_data_flow;
+architecture fpga_to_etrax_data_flow of fpga_to_etrax_data_flow is
+  component edge_to_pulse
+    port (
+      clock                           : in  std_logic;
+      en_clk                          : in  std_logic;
+      signal_in                       : in  std_logic;
+      pulse                           : out std_logic);
+  end component;
+  component up_down_counter_16_bit
+    port (
+      QOUT                            : out std_logic_vector(15 downto 0);
+      UP                              : in  std_logic;
+      DOWN                            : in  std_logic;
+      CLK                             : in  std_logic;
+      CLR                             : in  std_logic
+      );
+    end component;
+    component BUFG
+      port(
+        O: out std_ulogic;
+        I: in std_ulogic
+        );
+    end component;
+    component up_counter_8bit
+      port (
+        QOUT : out std_logic_vector(7 downto 0);
+        UP   : in  std_logic;
+        CLK  : in  std_logic;
+        CLR  : in  std_logic);
+    end component;
+  component lvl1_fifo
+    port (
+      clk        : IN  std_logic;
+      din        : IN  std_logic_VECTOR(31 downto 0);
+      rd_en      : IN  std_logic;
+      rst        : IN  std_logic;
+      wr_en      : IN  std_logic;
+      data_count : OUT std_logic_VECTOR(13 downto 0);
+      dout       : OUT std_logic_VECTOR(31 downto 0);
+      empty      : OUT std_logic;
+      full       : OUT std_logic);
+  end component;
+  signal tdc_ready                  : std_logic;
+  signal counter_0_i                : std_logic_vector(31 downto 0);
+  signal counter_1_i                : std_logic_vector(31 downto 0);
+  signal counter_2_i                : std_logic_vector(31 downto 0);
+  signal counter_3_i                : std_logic_vector(31 downto 0);
+  signal counter_4_i                : std_logic_vector(31 downto 0);
+  signal counter_5_i                : std_logic_vector(31 downto 0);
+  signal counter_6_i                : std_logic_vector(31 downto 0);
+  signal counter_7_i                : std_logic_vector(31 downto 0);
+  signal counter_8_i                : std_logic_vector(31 downto 0);
+  signal counter_9_i                : std_logic_vector(31 downto 0);
+  signal counter_a_i                : std_logic_vector(31 downto 0);
+  signal add_data_counter           : std_logic_vector(7 downto 0):=(others => '0');
+  signal add_data_pulse             : std_logic;
+  signal first_header               : std_logic_vector(31 downto 0):=(others => '0');
+  signal second_header              : std_logic_vector(31 downto 0):=(others => '0');
+  signal words_in_event             : std_logic_vector(15 downto 0):=(others => '0');
+  signal tdc_data_valid_i           : std_logic;
+  signal how_many_words_in_event : std_logic_vector(16 downto 0);
+  signal delay_up : std_logic;
+  signal delay_clr : std_logic;
+  signal delay_qout : std_logic_vector(7 downto 0);
+  signal lvl1_trigger_pulse_start : std_logic;
+  signal lvl1_trigger_pulse_delay : std_logic;
+  type DELAY_FSM_TRIGG is
+    (IDLE ,DELAY_1,DELAY_2);
+  signal delay_fsm_currentstate, delay_fsm_nextstate: DELAY_FSM_TRIGG;
+  type LVL1_START_FSM is
+      (IDLE, SEND_LVL1_TRIGG_1, SEND_LVL1_TRIGG_2, SEND_LVL1_TRIGG_3, SEND_LVL1_TRIGG_4, WAIT_FOR_TOKEN, SAVE_ADD_DATA_1, SAVE_ADD_DATA_2, SAVE_ADD_DATA_3, SAVE_ADD_DATA_4, SEND_LVL2_TRIGG);
+  signal LVL1_START_fsm_currentstate, LVL1_START_fsm_nextstate : LVL1_START_FSM;
+  type LVL2_START_FSM is
+    (IDLE, READOUT_WORD1, READOUT_WORD2 ,SAVE_EVENT_SIZE ,SEND_DATA1);
+  signal LVL2_START_fsm_currentstate, LVL2_START_fsm_nextstate : LVL2_START_FSM;
+  signal lvl1_busy_i : std_logic;
+  signal lvl1_busy_ff : std_logic;
+  signal lvl1_memory_busy_i : std_logic;
+  signal lvl2_busy_i : std_logic;
+  signal lvl1_trigger_pulse : std_logic;
+  signal lvl1_tdc_trigg_i : std_logic;
+  signal lvl1_tdc_trigg_ff : std_logic;
+  
+  signal trigger_register_00_i : std_logic_vector(5 downto 0);
+  signal lvl2_busy_end_pulse : std_logic;
+  signal not_tdc_data_valid_i : std_logic;
+
+  signal not_tdc_clk : std_logic;
+  signal test_counter_0 : std_logic_vector(7 downto 0);
+  signal test_counter_1 : std_logic_vector(7 downto 0);
+  signal test_counter_2 : std_logic_vector(7 downto 0);
+  signal test_counter_3 : std_logic_vector(7 downto 0);
+  signal add_data_i : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_out : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_in : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_counter : std_logic_vector(13 downto 0);
+  signal lvl1_fifo_empty : std_logic;
+  signal lvl1_fifo_full : std_logic;
+  signal lvl1_fifo_wr_en : std_logic;
+  signal lvl1_fifo_rd_en : std_logic;
+  signal lvl1_or_lvl2_is_busy : std_logic;
+  signal trigger_with_gen_pulse : std_logic;
+  signal lvl1_tag_minus1 : std_logic_vector(15 downto 0);
+  signal lvl2_debug : std_logic_vector(2 downto 0);
+  signal tdc_start_i : std_logic;
+  signal lvl2_busy_start_pulse : std_logic;
+  
+  signal lvl1_tdc_trigg_i_fsm : std_logic;
+  signal lvl1_fifo_wr_en_fsm : std_logic;
+  signal lvl1_fifo_in_fsm : std_logic_vector(31 downto 0);
+  signal lvl1_buffer_rd_en_fsm : std_logic;
+  signal lvl1_busy_i_fsm : std_logic;
+  signal lvl1_fifo_rd_en_fsm : std_logic;
+  signal tdc_data_valid_i_fsm : std_logic;
+begin
+
+  
+   CTS_ETRAX_REGISTER : process (CLK, RESET)
+   begin 
+     if rising_edge(CLK) then 
+         CTS_ETRAX_REGISTER_00(0) <= '0';
+         CTS_ETRAX_REGISTER_00(1) <= '0';
+         CTS_ETRAX_REGISTER_00(2) <= '0';
+         CTS_ETRAX_REGISTER_00(3) <= '0';
+         CTS_ETRAX_REGISTER_00(13 downto 4) <= (others => '0');
+         CTS_ETRAX_REGISTER_00(14) <= lvl1_busy_i;
+         CTS_ETRAX_REGISTER_00(15) <= lvl1_or_lvl2_is_busy;--lvl1_memory_busy_i;
+         CTS_ETRAX_REGISTER_00(30) <= lvl1_fifo_wr_en;
+         CTS_ETRAX_REGISTER_00(31) <= lvl2_busy_i;
+         CTS_ETRAX_REGISTER_00(29 downto 16) <= lvl1_fifo_counter;
+         CTS_ETRAX_REGISTER_01(26 downto 0) <=  lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& how_many_words_in_event(15 downto 0);
+         CTS_ETRAX_REGISTER_01(31 downto 27) <= (others => '0');
+         CTS_ETRAX_REGISTER_02(31 downto 0) <= (others => '0');
+         CTS_ETRAX_REGISTER_03(31 downto 0) <= lvl1_fifo_out;
+         CTS_ETRAX_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0;
+     end if;
+   end process CTS_ETRAX_REGISTER;
+   LVL1_FIFO_LVL2: lvl1_fifo
+     port map (
+         clk        => CLK,
+         din        => lvl1_fifo_in,
+         rd_en      => lvl1_fifo_rd_en,
+         rst        => RESET,
+         wr_en      => lvl1_fifo_wr_en,
+         data_count => lvl1_fifo_counter,
+         dout       => lvl1_fifo_out,
+         empty      => lvl1_fifo_empty,
+         full       => lvl1_fifo_full);
+   DATA_OUT <= lvl1_fifo_out;
+   LVL1_START         : process (CLK, RESET)
+  begin 
+    if rising_edge(CLK)  then 
+      if RESET = '1' then 
+        LVL1_START_fsm_currentstate <= IDLE;
+        lvl1_tdc_trigg_i  <= '0';
+      lvl1_fifo_wr_en <= '0';
+        lvl1_busy_i <= '0';
+      lvl1_tdc_trigg_i         <= '0';
+      else 
+        LVL1_START_fsm_currentstate <= LVL1_START_fsm_nextstate;
+        lvl1_tdc_trigg_i  <= lvl1_tdc_trigg_i_fsm;
+      lvl1_fifo_wr_en <= lvl1_fifo_wr_en_fsm;
+      lvl1_fifo_in <= lvl1_fifo_in_fsm ;
+      lvl1_busy_i <= lvl1_busy_i_fsm;
+      lvl1_tdc_trigg_i         <= lvl1_tdc_trigg_i_fsm;
+      end if;
+    end if;
+  end process LVL1_START;
+
+  LVL1_START_FSM_PROC : process (LVL1_START_fsm_currentstate, START_READOUT, add_data_counter, lvl1_or_lvl2_is_busy, how_many_add_data, add_data_i, second_header, first_header)
+  begin
+    lvl1_tdc_trigg_i_fsm  <= '0';
+    lvl1_fifo_wr_en_fsm <= '0';
+    lvl1_busy_i_fsm <= '0';
+    LVL1_START_fsm_nextstate   <= IDLE;
+    lvl1_fifo_in_fsm <= first_header;
+    case (LVL1_START_fsm_currentstate) is
+      when IDLE         =>
+        trigger_register_00_i(5 downto 2) <= x"1";
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_busy_i_fsm <= '0';
+        if START_READOUT = '1' then
+          LVL1_START_fsm_nextstate <= SAVE_ADD_DATA_1;
+        else
+          LVL1_START_fsm_nextstate <= IDLE;
+        end if;
+      when SAVE_ADD_DATA_1 =>
+        trigger_register_00_i(5 downto 2) <= x"7";
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_2;
+      when SAVE_ADD_DATA_2 =>
+        trigger_register_00_i(5 downto 2) <= x"8";
+        lvl1_fifo_in_fsm <= second_header;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        if HOW_MANY_ADD_DATA = x"00" then
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_4;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_3;
+        end if;
+      when SAVE_ADD_DATA_3 =>
+        trigger_register_00_i(5 downto 2) <= x"9";
+        lvl1_fifo_in_fsm <= add_data_i;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        if add_data_counter = x"0f" then
+          LVL1_START_fsm_nextstate   <= SEND_LVL2_TRIGG;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_3;
+        end if;
+      when SEND_LVL2_TRIGG  =>
+        trigger_register_00_i(5 downto 2) <= x"c";
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        LVL1_START_fsm_nextstate   <= IDLE;
+      when others =>
+        trigger_register_00_i(5 downto 2) <= x"d";
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        LVL1_START_fsm_nextstate   <= IDLE;
+       end case;
+  end process LVL1_START_FSM_PROC;
+--   not_tdc_clk <= TDC_CLK;
+
+  SAVE_DATA : process (CLK, RESET,lvl1_tdc_trigg_i)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        counter_a_i <= (others => '0');
+        counter_9_i <= (others => '0');
+        counter_8_i <= (others => '0');
+        counter_7_i <= (others => '0');
+        counter_6_i <= (others => '0');
+        counter_5_i <= (others => '0');
+        counter_4_i <= (others => '0');
+        counter_3_i <= (others => '0');
+        counter_2_i <= (others => '0');
+        counter_1_i <= (others => '0');
+        counter_0_i <= (others => '0');
+
+        
+      elsif START_READOUT = '1' then  
+        counter_a_i <= COUNTER_a;
+        counter_9_i <= COUNTER_9;
+        counter_8_i <= COUNTER_8;
+        counter_7_i <= COUNTER_7;
+        counter_6_i <= COUNTER_6;
+        counter_5_i <= COUNTER_5;
+        counter_4_i <= COUNTER_4;
+        counter_3_i <= COUNTER_3;
+        counter_2_i <= COUNTER_2;
+        counter_1_i <= COUNTER_1;
+        counter_0_i <= COUNTER_0;
+      end if;
+    end if;
+  end process SAVE_DATA;
+   CHOOSE_DATA              : process (CLK, RESET, add_data_counter)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        add_data_i <= x"00000000";
+      else
+        case add_data_counter(3 downto 0) is
+          when "0000" => add_data_i <= counter_a_i;
+          when "0001" => add_data_i <= counter_9_i;
+          when "0010" => add_data_i <= counter_8_i;
+          when "0011" => add_data_i <= counter_7_i;
+          when "0100" => add_data_i <= counter_6_i;
+          when "0101" => add_data_i <= counter_5_i;
+          when "0110" => add_data_i <= counter_4_i;
+          when "0111" => add_data_i <= counter_3_i;
+          when "1000" => add_data_i <= counter_2_i;
+          when "1001" => add_data_i <= counter_1_i;
+          when "1010" => add_data_i <= counter_0_i;                                                            
+          when others  => add_data_i <= x"deadface";
+        end case;
+      end if;
+    end if;  
+  end process CHOOSE_DATA;
+  add_data_pulse <= '1' when SAVE_ADD_DATA_3 = LVL1_START_fsm_nextstate else '0';
+  ADD_DATA_COUNTER_CONTROL : process (CLK,RESET,lvl1_tdc_trigg_i,add_data_pulse)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' or START_READOUT = '1' then
+        add_data_counter <= x"1" & HOW_MANY_ADD_DATA(3 downto 0) - 1;
+      elsif add_data_pulse = '1' then
+        add_data_counter <= add_data_counter - 1;
+      end if;
+    end if;
+  end process ADD_DATA_COUNTER_CONTROL;
+  COUNT_WORDS_IN_EVENT : process (CLK, RESET,START_READOUT)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' or START_READOUT = '1' then
+        words_in_event <= x"0003" + HOW_MANY_ADD_DATA;
+      else
+        words_in_event <= words_in_event;
+      end if;
+    end if;
+  end process COUNT_WORDS_IN_EVENT;
+
+  first_header  <= x"0" & counter_0_i(3 downto 0) & counter_1_i(7 downto 0) &  words_in_event(15 downto 0);
+  second_header <= x"030000" & HOW_MANY_ADD_DATA;
+  -----------------------------------------------------------------------------
+  ----------------------------------------------------------------------------
+  -- LVL2 logic (only CLK domain)
+  -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+   LVL2_START         : process (CLK, RESET)
+   begin 
+     if rising_edge(CLK)  then 
+       if RESET = '1' then 
+         LVL2_START_fsm_currentstate <= IDLE;
+         lvl1_fifo_rd_en <= '0';
+         tdc_data_valid_i <= '0';
+       else
+         lvl1_fifo_rd_en <= lvl1_fifo_rd_en_fsm;
+         tdc_data_valid_i <= tdc_data_valid_i_fsm;
+         LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate;
+       end if;
+     end if;
+   end process LVL2_START;
+   START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read)
+   begin
+     lvl2_debug (2 downto 0) <= "000";
+     lvl1_fifo_rd_en_fsm <= '0';
+     tdc_data_valid_i_fsm <= '0';
+     LVL2_START_fsm_nextstate <= IDLE;
+     case (LVL2_START_fsm_currentstate) is
+       when IDLE =>
+         lvl2_debug (2 downto 0) <= "001";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then
+             LVL2_START_fsm_nextstate <= READOUT_WORD1;
+         else
+             LVL2_START_fsm_nextstate <= IDLE;
+         end if;
+       when  READOUT_WORD1 =>
+         lvl2_debug (2 downto 0) <= "010";
+         lvl1_fifo_rd_en_fsm <= '1';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= READOUT_WORD2;
+       when  READOUT_WORD2 =>
+         lvl2_debug (2 downto 0) <= "011";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;   
+       when SAVE_EVENT_SIZE =>
+         lvl2_debug (2 downto 0) <= "100";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= SEND_DATA1;
+       when  SEND_DATA1 =>
+         lvl2_debug (2 downto 0) <= "101";
+         lvl1_fifo_rd_en_fsm <= ETRAX_IS_READY_TO_READ;
+         tdc_data_valid_i_fsm <= '1';
+         if how_many_words_in_event(15 downto 0) = x"0000" then
+           LVL2_START_fsm_nextstate <= IDLE;
+         else
+           LVL2_START_fsm_nextstate <= SEND_DATA1;
+         end if;
+     end case;
+   end process START_LVL2_FSM;
+   COUNT_DOWN_READOUT: process (CLK, RESET,how_many_words_in_event)
+   begin
+     if rising_edge(CLK) then
+       if RESET = '1' then     
+         how_many_words_in_event <= (others => '0');
+       elsif LVL2_START_fsm_currentstate = SAVE_EVENT_SIZE then
+         how_many_words_in_event <= '1' & lvl1_fifo_out(15 downto 0);
+       elsif how_many_words_in_event > x"0ffff" and ETRAX_IS_READY_TO_READ = '1' then -- or READ_ADRESS_END_UP='1')then
+         how_many_words_in_event <= how_many_words_in_event - 1;
+       end if;
+     end if;
+   end process COUNT_DOWN_READOUT;
+  SYNCH_DATA_VALID: process (CLK, RESET)
+  begin  
+    if rising_edge(CLK) then --correct this !!!!!!!!!!!!!!!!(falling?)
+      if RESET = '1' then    
+        DATA_VALID <= '0';
+      else
+        DATA_VALID <= tdc_data_valid_i;
+      end if;
+    end if;
+  end process SYNCH_DATA_VALID;
+   
+  not_tdc_data_valid_i <= not tdc_data_valid_i;
+  LVL2_BUSY_END_PULSER   : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => not_tdc_data_valid_i,
+      pulse     => lvl2_busy_end_pulse);
+  LVL2_BUSY_SET: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then 
+      if RESET = '1' or lvl2_busy_end_pulse = '1'then           
+        lvl2_busy_i <= '0';
+      elsif LVL2_START_fsm_currentstate  /= IDLE then
+        lvl2_busy_i <= '1';
+      end if;
+    end if;
+  end process LVL2_BUSY_SET;
+  LVL2_BUSY_START_PULSER   : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => lvl2_busy_i,
+      pulse     => lvl2_busy_start_pulse); 
+  lvl1_memory_busy_i <= '1' when lvl1_fifo_counter > "00001111111111" else '0';   
+                                        --set
+                                        --to
+                                        --max
+                                        --value
+                                        --!!!!!!! and cut data funktion should
+                                        --be implemented - with busy or max
+                                        --size or last event ? or both
+  LVL1_OR_LVL2_BUSY: process (CLK, RESET, lvl1_busy_i, lvl2_busy_end_pulse)
+  begin  
+    if rising_edge(CLK) then 
+      if RESET = '1' then    
+        lvl1_or_lvl2_is_busy <= '0';
+      elsif lvl1_busy_i = '1'  then
+        lvl1_or_lvl2_is_busy <= '1';
+      elsif lvl2_busy_end_pulse = '1' then  
+        lvl1_or_lvl2_is_busy <= '0';
+      end if;
+    end if;
+  end process LVL1_OR_LVL2_BUSY;
+
+  -----------------------------------------------------------------------------
+  -- end writing to fifo when TDC_LVL1_BUSY and read all data and send finish signal
+  -----------------------------------------------------------------------------
+--   TEST_COUNTER_a     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => tdc_start_i,
+--        CLR       => RESET,
+--        QOUT      => test_counter_0
+--        );
+--   TEST_COUNTER_b     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => RECEIVED_TDC_TOKEN,
+--        CLR       => RESET,
+--        QOUT      => test_counter_1
+--        );
+--   TEST_COUNTER_c     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => lvl2_busy_start_pulse,--lvl2_trigger_pulse,
+--        CLR       => RESET,
+--        QOUT      => test_counter_2
+--        );
+--   TEST_COUNTER_d     : up_counter_8bit
+--      port map (
+--        CLK       => CLK,
+--        UP        => lvl2_busy_end_pulse,
+--        CLR       => RESET,
+--        QOUT      => test_counter_3
+--        );
+  REGITERING_SIGNALS: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then  -- rising clock edge
+      if RESET = '1' then  
+        LVL1_BUSY <= '0';
+      else
+        LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i;  --here
+      end if;
+    end if;
+  end process REGITERING_SIGNALS;
+end fpga_to_etrax_data_flow;
+
+
+
diff --git a/trb_cts/impact_batch_cts.txt b/trb_cts/impact_batch_cts.txt
new file mode 100644 (file)
index 0000000..b5ac4c4
--- /dev/null
@@ -0,0 +1,6 @@
+setMode -bs
+setMode -bs
+setCable -port stapl -file "../cts.stapl"
+addDevice -p 1 -file "cts.bit"
+Program -p 1 -defaultVersion 0
+quit
\ No newline at end of file
diff --git a/trb_cts/lvl1_fifo.ngc b/trb_cts/lvl1_fifo.ngc
new file mode 100644 (file)
index 0000000..df353eb
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$`76\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:23054<88>0<<>?2:270>638980<5:409230>6DI?;0=>5>.103?42=AGZ^X7^]OF]FIUR^W]BYI^F31283:71<9=0BB][[:QPLCZCJX]STXE\JSI]BWVCU\5;86=0=7:37>LHW]]0[^BIPELRW]ZROZLYCSCKHAUG?56<768l0=94FNQWW>UTHOVL^@AQ[HSGPL9742949:6?;:HLSQQ<WZFMTJXBC_UJQAVNXIZYN^Y2>3;2=63=6<3CE\XZ5PSMD[CSKDV^C^H]G_OGDEQC;9:0;2?>4158JJUSS2yxdkRkbpu{\pmtb{a6:?7>126920?OIX\^1|\7fah_dosp|Ys`{oxdRo|sdpw845=878<7<:5IORVP?vugnUna}zv_ujqavnXflmjxh2>3;2=5c=6<3CE\XZ5psmd[cskdV~c~h}g<0194;4138>1EC^ZT;rqkbYa}efTxe|jsi]bwvcu|5;86=0=6:37>LHW]]0{~biPftno[qnumzbTbhintd>27?699h1:87AZTQWW>AGSIVIDYCZ31283:4b<9=0DYY^ZT;FFFPAXZMU[BY\31283:4b<9=0DYY^ZT;FFFPAX_[U[BY\31283:4b<9=0DYY^ZT;PG[AGSIVIDYCZ31283:4b<9=0DYY^ZT;UQ[AGSIVIDYCZ31283:4g<9=0DYY^ZT;fbpdYdg|d\7f0<=50?06?42=G\^[YY4kecwd[wbXxg~yS}bzs0]q845=87;m7<:5OTVSQQ<cmk\7flS\7fjPpovq[w:6;3:5=k5>4;MVPUSS2moiyjQ}d^rmpwYp4891<3<:;069KPRW]]0oio{h_vp\tkruWyf~\7f<Qx<0194;4238>1CXZ_UU8gags`W~xT|cz}_qnvw7Yp4891<3?i;069KPRW]]0oio{h_vp\tkruW{6:?7>11g920?IR\Y__6ikmuf]tvZvi|{U|0<=50?3g?42=G\^[YY4}d^fbpdYdg|d\7f0<=50?3g?42=G\^[YY4xr^fbpdYdg|d\7f0<=50?37?43=AGZ^X7OKDSC?51<768>0=84FNQWW>DBCZK6:87>11:07?765:880??4FNQWW>AOF4:;1<3?=;209MKVR\3NBN1=>:1<26>552@D[YY4KIO>05?699;18>7GAPTV9`lh;;80;2<=4338LQQVR\3NDM1=>:1<27>552F__\XZ5DN@?74<768>0??4@UURVP?BH]]68=7>115906?IR\Y__6iazt=12>58692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0;;5D7Gc=3~er?<kh>15:.554<=0;04?57059;456331>9=95601;1?D0?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?;8EABUI5;82o5NDEPB842=8730MIJ]A=37:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02==>GCL[H7=<06;@FGVG:6:730MIJ]B=30:g=FLMXI0<:50?;8EABUJ5;?255NDEPA848?3HNO^O2=>99B@ATE4:437LJKRC>7:==FLMXI0807;@FGVG:1611JHI\M<6<;?DBCZK63255NDEPA8<8d3HFFC^ZPELRW]g=FDDEXXRHZLM;8EV_IKVXNK;5MABIVTa=EIJA^\RCNRJGQ7>DR:11IY^QFNGM2?F4<K=k0OL]LAEGJJDg<KHYHMIKFNC`8GDUDIMODYYOm;BCPGDBBG\^I>6MJ2:AJ7>EKC=1H@FO;;BNHF6=DD[30OBCBIUVF@2=DZLK_II?4De9GEQGXKF_EX1>1e:FBPDYDG\D_0<>1e:FBPDYDG\D_0<?1e:FBPDYDG\D_0<<1119GEQGXKF_EX1?<:1<f?AGSIVIDYCZ312<g?AGSIVIDYCZ31?f8@DRFWJE^BY2=>e9GEQGXKF_EX1=1d:FBPDYDG\D_090k;ECWEZEH]G^793j4D@VB[FIRF]6=2i5KAUC\GJSI\5=5h6JNT@]@KPHS414o7IO[A^ALQKR;17l0HHLZG^PBIZCJX]Sn7IKMUF]QEHYA]EFm7IKMUF]QEHYULVOEj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l5KOTV?74<7611OCXZ330<4?AIR\595;6J@UU>7:2=CG\^79394DNWW83803ME^X1917:FLQQ:?6>1OCXZ39?08A13<MDZ_U>5JN@18AKD53O8?7K67849EEIUB<2LOOH=4FEG0?CBW<2LO\H:4FTNO1>@fdzo:7J=4GOF1?L653@;97D<=;H1;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO?=;HLUAWRXKEATHBOPRDE26>OI^LX_SNBD_EMA[WC@;2CDN?5CI39OK3=KGHNNH:5COFK@EI2<D\^:86BZT348HPR5WE>0@XZ<6:NVP6YK<2F^X9;4MTZ@]0=J]QL>96CZXG4`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`<;O337>H69:1E=?:4N0010>H6:=>0B<<84:L26=5<F89?7C?<159M56433G;8?95A1267?K74==1E=>8;;O3031=I9:2?7C?<929M512<F8>;86@>4068J425<2D:8>:4N0670>H6<<>0B<:94:L2022<F8>386@>4818J4333G;><95A1437?K72:=1E=8=;;O3601=I9<??7C?:659M50133G;>495A14;0?K71<2D::=:4N0420>H6>;>0B<8<4:L2212<F8<>86@>6768J400<2D::5:4N04:7>H6?=1E=:>;;O3451=I9>8?7C?8359M52233G;<995A1647?K70?=1E=:6<;O3;7>H61;1E>>5A2118J7743G89?6@=329M615<F;?87C<93:L136=I:190B?7<;O137>H49:1E??=4N210?K53;2D89>5A3708J04<F?80B:<4N908J<`<FKUIY^^FN^RQKUU03GO_[B\D4:LLJ@7<G;1DG55@VDPEHJQ63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Ho4PSMD[@KW\P;?7]\@G^GNTQ_X\AXN_E2?>078TWI@WLG[XTQ[HSGPL97768?0\_AH_DOSP\YS@[OXD1?>>078TWI@WLG[XTQ[HSGPL97568=0\_AH_DOSP\YS@[OXD1?<:1<21>VUGNUNA]ZV_UJQAVN;9:4:86^]OF]FIUR^W]BYI^F31?37?UTHOVOF\YWPTIPFWM:568>0\_AH_DOSP\YS@[OXD1=1159SVJAXMDZ_URZGRDQK8186<2ZYCJQJMQVZ[QNUMZB793?;;QPLCZCJX]STXE\JSI>5:42<X[ELSHC_TX]WLWCT@5=5=95_RNE\AHVSQV^C^H]G<9<20>VUGNUNA]ZV_UJQAVN;17;i7]\@G^GNTQ_X\AXN_EQNSRGQP9699j1[^BIPELRW]ZROZLYCSL]\ESV?5586k2ZYCJQJMQVZ[QNUMZBTM^]JRU>25;7d3YXDKRKBPU[\PMTB[AUJ_^K]T=31:4c<X[ELSHC_TX]WLWCT@VKX_H\[<0194;7d3YXDKRKBPU[\PMTB[AUJ_^K]T=30:4d<X[ELSHC_TX]WLWCT@VKX_H\[<0<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y2=>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0>0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]6?2<l4PSMD[@KW\PU_D_K\H^CPW@TS4<4:n6^]OF]FIUR^W]BYI^FPARQFVQ:168h0\_AH_DOSP\YS@[OXDRO\SDPW8286j2ZYCJQJMQVZ[QNUMZBTM^]JRU>;:4d<X[ELSHC_TX]WLWCT@VKX_H\[<8<2f>VUGNUNA]ZV_UJQAVNXFLMJXH2?>0a8TWI@WLG[XTQ[HSGPLZHBOH^N0<>11b9SVJAXMDZ_URZGRDQK[KC@I]O7=<0>c:RQKBYBEY^RSYF]ERJ\J@AF\L6:>3?j;QPLCZCJX]STXE\JSI]MABGSM5;86=0>c:RQKBYBEY^RSYF]ERJ\J@AF\L6:?3?m;QPLCZCJX]STXE\JSI]MABGSM5;5=o5_RNE\AHVSQV^C^H]G_OGDEQC;:7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9599k1[^BIPELRW]ZROZLYCSCKHAUG?0;7e3YXDKRKBPU[\PMTB[AUEIJO[E=7=5g=WZFMTI@^[Y^VKV@UOWGOLMYK36?3a?UTHOVOF\YWPTIPFWMYIMNK_I1911c9SVJAXMDZ_URZGRDQK[KC@I]O743?m;QPLCZCJX]STXE\JSI]MABGSM53556^]OF]EQIJ6;2ZYCJQIUMN\PMTB[A6;2<:4PSMD[CSKDV^C^H]G<02=51=WZFMTJXBC_UJQAVN;984:86^]OF]EQIJX\AXN_E2>2?35?UTHOVL^@AQ[HSGPL974294:86^]OF]EQIJX\AXN_E2>3?30?UTHOVL^@AQ[HSGPL9799:1[^BIPFTNO[QNUMZB7>3?<;QPLCZ@RDEU_D_K\H=1=56=WZFMTJXBC_UJQAVN;<7;87]\@G^DVHIYS@[OXD1;1129SVJAXN\FGSYF]ERJ?2;743YXDKRHZLM]WLWCT@5=5=>5_RNE\BPJKW]BYI^F38?30?UTHOVL^@AQ[HSGPL9?99h1[^BIPFTNO[QNUMZBTM^]JRU>3:4d<X[ELSK[CL^VKV@UOWHYXI_Z311<2f>VUGNUMYABPTIPFWMYF[ZOYX1?>>0`8TWI@WO_G@RZGRDQK[DUTM[^7=?0>d:RQKBYA]EFTXE\JSI]BWVCU\5;86=0>b:RQKBYA]EFTXE\JSI]BWVCU\5;82<o4PSMD[CSKDV^C^H]G_@QPAWR;97;j7]\@G^DVHIYS@[OXDRO\SDPW8786i2ZYCJQIUMN\PMTB[AUJ_^K]T=1=5d=WZFMTJXBC_UJQAVNXIZYN^Y2;>0c8TWI@WO_G@RZGRDQK[DUTM[^793?n;QPLCZ@RDEU_D_K\H^CPW@TS4?4:m6^]OF]EQIJX\AXN_EQNSRGQP9199h1[^BIPFTNO[QNUMZBTM^]JRU>;:4g<X[ELSK[CL^VKV@UOWHYXI_Z39?3b?UTHOVL^@AQ[HSGPLZHBOH^N0=0>b:RQKBYA]EFTXE\JSI]MABGSM5;;2<l4PSMD[CSKDV^C^H]G_OGDEQC;984:n6^]OF]EQIJX\AXN_EQAEFCWA97568n0\_AH_GWOHZROZLYCSCKHAUG?56<768h0\_AH_GWOHZROZLYCSCKHAUG?5686i2ZYCJQIUMN\PMTB[AUEIJO[E=3=5d=WZFMTJXBC_UJQAVNXFLMJXH2=>0c8TWI@WO_G@RZGRDQK[KC@I]O7?3?n;QPLCZ@RDEU_D_K\H^LFCDRB4=4:m6^]OF]EQIJX\AXN_EQAEFCWA9399h1[^BIPFTNO[QNUMZBTBHINTD>5:4g<X[ELSK[CL^VKV@UOWGOLMYK37?3b?UTHOVL^@AQ[HSGPLZHBOH^N050>a:RQKBYA]EFTXE\JSI]MABGSM535=6_9;SCNF40a3[KFSHV[EOQJKKYFn2XJARKWTDLPMJHXJ?1YHRMCK028VAYCI]KTOB[AT=2=54=ULVNJXLQLOTLW8469981YHRJNT@]@KPHS48;5=<5]D^FBPDYDG\D_0<<1129Q@ZBF\HUHCX@[<0194;763[NTHLZN_BMVJQ:6;7;;7_JPD@VB[FIRF]6:2<>4RE]GEQGXKF_EX1<1119Q@ZBF\HUHCX@[<2<24>TCWMK_MRM@UOV?0;773[NTHLZN_BMVJQ:268:0^IQKAUC\GJSI\5<5==5]D^FBPDYDG\D_0:0>0:PG[AGSIVIDYCZ38?33?WBXLH^JSNAZNU>::0=ULVOE:6\K_SQWg>TBIMU\EIZG_@a8V@GCW^COXEQM6:PFCFCF>2XNKNKM3:PPP4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ4:QQWQ1<[[\J@RO8;RPUEIYE<2YX^L:4SRPA0>R^XLi0Y=!heo]pw+ut12_EHH\ILNUb?PUBZVKGEL]l;TQFVZPN[@HGI85YAMKG7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WD@?4;0<_LH7=384WD@?6;><_LH7?7>16:UFF959j2]YEYKPFHPPPf=PZ@^NS@AKE^C`?RTN\LUFCIKPBe9TVLRBW^COXEQNd:UQMQCX_@N_DRL9;VP\EFM13^XTOAE>0:UQ[AGSIVIDYCZ30?32?RTXLH^JSNAZNU>24;763^XTHLZN_BMVJQ:697;:7Z\PD@VB[FIRF]6:>3?<;VP\@DRFWJE^BY2>3;2=54=PZVNJXLQLOTLW8459991\^RJNT@]@KPHS484:<6Y]_ECWEZEH]G^7>3??;VP\@DRFWJE^BY2<>028SWYCI]KTOB[AT=6=55=PZVNJXLQLOTLW808682]YSIO[A^ALQKR;>7;;7Z\PD@VB[FIRF]6<2<>4WS]GEQGXKF_EX161119TVZBF\HUHCX@[<8<6?RTXMG<0[_Q]SU3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@119[[FIUMVCEJBQCIRV5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i\7f}fooa8eikh{}Una}zvb:coijusWo\7fg`;5mabivta=eija~|Rcnrjgq7>ekc?:0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f:<5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz>639`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov2434<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs98<97n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex<<92:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu3024=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr5>81hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~8:<5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz;609`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov624=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr1>81hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~<:<5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz7609`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov:23=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2;74covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;Yu|?=0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<5e>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2R|{669`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:697<j7n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=[wr1?2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?=>7c8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4T~y89;blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848112iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1_sv52>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585:45lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:Zts>?1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>7;8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7Uyx;84covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?0;0>3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir090Pru45?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4=56matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=[wr1>2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181689`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16Vx\7f:;5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:3?<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;3Q}t748gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;07<27n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<\vq013jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir04099:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9W{~=;6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>3:3><kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<>1699`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;984=46matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>26;0?3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?<>7`8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4895S\7fz97:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:66?=0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<3<53>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{682;94covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8181?2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2:>758gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4?4=;6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:31<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey05097:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:>6<i0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz SSQW[lhq=k1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[53e3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]21f=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_026g>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP107`?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>24a8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?<5c9`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?;m;blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U89o5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW=?i7n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY2=k1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[33e3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]41g=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_97a?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ6679`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|?=0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu35<>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f==87;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr69?20oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu312==df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex<=97:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs:?=0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu153>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f8;94covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq31?2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{6758gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}==;6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw<31<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by79c:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7<38i;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2R|{6e9`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1719`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1_sv5`>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<44>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<\vq0c3jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;173jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;Yu|?i0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979>o1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848Xz}<h7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56?l0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}949W{~=o6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7<m7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:46Vx\7f:n5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4=j6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx;m4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5:k5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4T~y8l;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2;h4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5<5S\7fz9c:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;38i;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6<2R|{6b9`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0509f:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t7a8gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1716g9`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir040Pru4g?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|30?4f?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|311<5a>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<03=2`=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=31:3c<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>27;163jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?568Xz}<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;<7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;>7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;17<:7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=8>;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_041?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[461:2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;::?5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>2708gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\56063jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW;<:7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS>8>;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_542?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[0063jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW?<:7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS:8>;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_942?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[<073jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|?;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f=;<4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{1141?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp471:2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf};9:?5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz>3738gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw637<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs;?;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f8;?4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{5738gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw237<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs??;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f4;?4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{9748gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;87<27n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<1<\vq003jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>16`9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:687Uyx;94covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5481i2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>^pw22=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:>38n;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8449W{~=:6matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=2<=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2R|{679`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56?30oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp30<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?386;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}<=7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<5=>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz96:ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939>01hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>^pw23=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2;74covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|?<0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?4:?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y89;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8112iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv52>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535:45lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::Zts>>1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|30?4;?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;;2;64covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479>11hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<5<>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?38m;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9746Vx\7f::5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5;003jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1<1669`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<<7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=6=22=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx79388;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909>>1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?44?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz525::5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?=;3e3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]31g=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_07`?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>04a8gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?>5b9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<<:c:ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=>;m;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U99o5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:?i7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3=k1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[03e3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]51g=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_67a?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ75c9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS489;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr1?2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{17:8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf};;:55lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp47102iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{134;?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:?;94covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq41?2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{3758gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}>=;6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw131<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by897:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs??=0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu:53>ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f5;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5:5:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494T~y8k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<39?;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<3Q}t7f8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>628gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>^pw2a=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=35=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=[wr1k2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5;0a3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:Zts>j1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8781n2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;Yu|?i0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959>o1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}<h7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36?l0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929W{~=o6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;=7<m7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26Vx\7f:n5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4?4=j6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;>7Uyx;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y8l;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632;h4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525S\7fz9c:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7538i;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp622R|{6e9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1>16d9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>7g8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>1?4f?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<5a>ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<01=34=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=30:Zts>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv979>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv959>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv939>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv919>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9>9>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9?9>81hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U;:<5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>639`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]2434<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<97n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<<92:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^3024=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY5>81hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:<5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ;609`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]624=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY1>81hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:<5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ7609`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:23=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex;94covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq7102iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{114;?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:=;64covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq75>11hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at0153>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f>;94covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq51?2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{4758gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}?=;6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw231<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by997:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs0?=0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu;5g>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw30?4e?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>^pw2a=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=35=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=[wr1l2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?548082iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?548Xz}<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7=;7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7Uyx;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8l;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692;h4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz9c:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?38i;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682R|{6b9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0909f:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs783Q}t7a8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;16g9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru4`?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>7d8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv5g>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?4e?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>^pw2f=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<5b>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?]qp3e<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=2c=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<\vq0c3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?4;0b3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5581m2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479>l1hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9756?o0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6;7=:7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9:4T~y8k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7>38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7?38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7838k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7938k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7:38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7;38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7438k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7538>;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_142?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[4053jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8:=>6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?>639`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]2634<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9:<:7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?8>;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_242?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[1063jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<:7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;8>;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_642?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=063jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0n0hlzn_bmvjq.7!m1omyoPcnwmp-7.m2njxlQlotlw,46.m2njxlQlotlw,47.m2njxlQlotlw,44.m2njxlQlotlw,45.l2njxlQlotlw,7/c3mk\7fmRm`uov+7,b<lh~jSnaznu*7-a=ci}kTob{at)7*`>bf|hUhcx`{(7+g?agsiVidycz'7(f8`drfWje~by&7)e9geqgXkf\7fex%7&d:fbpdYdg|d\7f0=0j;ecweZeh}g~7==0j;ecweZeh}g~7=<0j;ecweZeh}g~7=?0>0:fbpdYdg|d\7f0<=50?g8`drfWje~by2>3?f8`drfWje~by2>>e9geqgXkf\7fex1<1d:fbpdYdg|d\7f0>0k;ecweZeh}g~783j4d`vb[firf}6>2i5kauc\gjsi|5<5h6jnt`]`kphs4>4o7io{a^alqkr;07n0hlzn_bmvjq:>6>1ondzjrs3;?ace}nUyhR~ats]shpu6W{6;2<74dd`vcZtcWyd\7f~R~cur3\v9776830hhlzg^pg[uhszVzgy~?Pr=32:4?<llh~kR|k_qlwvZvk}z;T~1?=>0`8``droVxoS}`{r^roqv7Xz5;86=0>9:fffpaXzmU{by|Ppmwp5Zt;9:4:46jjbte\vaYwf}xT|a{|1^p?5;7?3moiyjQ}d^rmpwYwd|y:S\7f2=>0:8``droVxoS}`{r^roqv7Xz595=55kecwd[wbXxg~yS}bzs0]q818602nnnxiPre]sjqtXxe\7fx=R|35?3;?ace}nUyhR~ats]shpu6W{6=2<64dd`vcZtcWyd\7f~R~cur3\v919911oio{h_sf\tkruWyf~\7f<Q}<9<2<>bbj|mT~iQ\7fnup\tist9Vx753?=;egaqbYulVzex\7fQ}<1<27>bbj|mT~iQ\7fnup\v9776890hhlzg^pg[uhszVx7=<0>3:fffpaXzmU{by|Pr=31:43<llh~kR|k_qlwvZt;9:0;2<=4dd`vcZtcWyd\7f~R|312<26>bbj|mT~iQ\7fnup\v9799;1oio{h_sf\tkruW{692<<4dd`vcZtcWyd\7f~R|33?31?ace}nUyhR~ats]q8186:2nnnxiPre]sjqtXz5?5=?5kecwd[wbXxg~yS\7f29>008``droVxoS}`{r^p?3;753moiyjQ}d^rmpwYu414:>6jjbte\vaYwf}xT~171139gags`W{nT|cz}_v>3:45<llh~kR|k_qlwvZq;994:?6jjbte\vaYwf}xT{1?>>018``droVxoS}`{r^u?5786=2nnnxiPre]sjqtX\7f5;86=0>3:fffpaXzmU{by|Pw=30:44<llh~kR|k_qlwvZq;97;97ikmuf]q`Zvi|{U|0?0>2:fffpaXzmU{by|Pw=1=57=cmk\7flS\7fjPpovq[r:36880hhlzg^pg[uhszV}793?=;egaqbYulVzex\7fQx<7<26>bbj|mT~iQ\7fnup\s9199;1oio{h_sf\tkruW~632<<4dd`vcZtcWyd\7f~Ry39?3;?ace}nU|~R~ats]shpu6W~6;2<74dd`vcZquWyd\7f~R~cur3\s9776830hhlzg^uq[uhszVzgy~?Pw=32:4?<llh~kRy}_qlwvZvk}z;T{1?=>0`8``droV}yS}`{r^roqv7X\7f5;86=0>9:fffpaX\7f{U{by|Ppmwp5Zq;9:4:46jjbte\swYwf}xT|a{|1^u?5;7?3moiyjQxr^rmpwYwd|y:Sz2=>0:8``droV}yS}`{r^roqv7X\7f595=55kecwd[rtXxg~yS}bzs0]t818602nnnxiPws]sjqtXxe\7fx=Ry35?3;?ace}nU|~R~ats]shpu6W~6=2<64dd`vcZquWyd\7f~R~cur3\s919911oio{h_vp\tkruWyf~\7f<Qx<9<2<>bbj|mT{\7fQ\7fnup\tist9V}753?7;egaqbYpzVzex\7fQ\7fltq1[r:76830hhlzg^uq[uhszVzgy~<Pw=33:4?<llh~kRy}_qlwvZvk}z8T{1?>>0;8``droV}yS}`{r^roqv4X\7f5;92<l4dd`vcZquWyd\7f~R~cur0\s974294:56jjbte\swYwf}xT|a{|2^u?568602nnnxiPws]sjqtXxe\7fx>Ry31?3;?ace}nU|~R~ats]shpu5W~692<64dd`vcZquWyd\7f~R~cur0\s959911oio{h_vp\tkruWyf~\7f?Qx<5<2<>bbj|mT{\7fQ\7fnup\tist:V}793?7;egaqbYpzVzex\7fQ\7fltq1[r:16820hhlzg^uq[uhszVzgy~<Pw=5=5==cmk\7flSz|Ppovq[ujr{;U|050>8:fffpaX\7f{U{by|Ppmwp6Zq;17;97ikmuf]tvZvi|{Uy0=0>3:fffpaX\7f{U{by|Pr=33:45<llh~kRy}_qlwvZt;984:?6jjbte\swYwf}xT~1?=>078``droV}yS}`{r^p?56<76890hhlzg^uq[uhszVx7=>0>2:fffpaX\7f{U{by|Pr=3=57=cmk\7flSz|Ppovq[w:56880hhlzg^uq[uhszVx7?3?=;egaqbYpzVzex\7fQ}<5<26>bbj|mT{\7fQ\7fnup\v9399;1oio{h_vp\tkruW{6=2<<4dd`vcZquWyd\7f~R|37?31?ace}nU|~R~ats]q8=86:2nnnxiPws]sjqtXz535=?5kecwd[rtXxg~ySz2?>018``droV}yS}`{r^u?5586;2nnnxiPws]sjqtX\7f5;:2<=4dd`vcZquWyd\7f~Ry313<21>bbj|mT{\7fQ\7fnup\s974294:?6jjbte\swYwf}xT{1?<>008``droV}yS}`{r^u?5;753moiyjQxr^rmpwYp4;4:>6jjbte\swYwf}xT{1=1139gags`W~xT|cz}_v>7:44<llh~kRy}_qlwvZq;=7;97ikmuf]tvZvi|{U|0;0>2:fffpaX\7f{U{by|Pw=5=57=cmk\7flSz|Ppovq[r:?6880hhlzg^uq[uhszV}75384dhl+4,0<l`d#=$94dhl+55/03mce$<?&7:fjj-75!>1oec&>3(58`lh/9=#<7iga(07*3>bnf!;=%:5kio*23,1<l`d#=5'8;ekm,4?.>2nbb%<&7:fjj-47!>1oec&=1(58`lh/:;#<7iga(31*3>bnf!8?%:5kio*11,1<l`d#>;'8;ekm,71.?2nbb%<7)69gmk.51 <0hd`'3(58`lh/;9#<7iga(23*2>bnf!>":6jfn)7*2>bnf!<":6jfn)5*2>bnf!2":6jfn);*2>bnf5:5;6jfn=33:2=cag6:=394dhl?57803mce0<=17:fjj9736>1oec2>5?58`lh;9?4<7iga<05=3>bnf5;32:5kio>2=;0<l`d7=394dhl?65803mce0??17:fjj9456>1oec2=3?58`lh;:=4<7iga<37=3>bnf58=2:5kio>13;1<l`d7>508;ekm87?9>2nbb1<17:fjj957601oec2<1;2=3>bnf59:2;5kio>0:3=cag6?2;5kio>6:3=cag6=2;5kio>4:3=cag632;5kio>::2=cg|~#<$94dnww,4/?3me~x%??)99gkpr/98#37iazt)31-==cg|~#=>'7;emvp-73!11ocxz'14+;?air|!;=%55kotv+52/?3me~x%?7)99gkpr/90#<7iazt)0*<>bh}}"9<$64dnww,77.02ndyy&=2(:8`jss ;9"46j`uu*10,><lf\7f\7f$?;&8:flqq.5> 20hb{{(35*<>bh}}"94$64dnww,7?.?2ndyy&<)99gkpr/;9#37iazt)12-2=cg|~#8$94dnww,0/03me~x%8&7:flqq.0!>1ocxz'8(58`jss 0#<7iazt=2=<>bh}}6:<364dnww847902ndyy2>2?:8`jss489546j`uu>20;><lf\7f\7f0<;18:flqq:6>720hb{{<05=<>bh}}6:4364dnww84?9?2ndyy2>>99gkpr;:9437iazt=02:==cg|~7>?07;emvp944611ocxz325<;?air|58>255kotv?638?3me~x1<8>99gkpr;:1437iazt=0::2=cg|~7>364dnww8669i2ndyy2<1;2=<>bh}}68=394dnww86803me~x1:17:flqq:26>1ocxz36?58`jss4>4<7iazt=:=3>bh}}62255jdhd1jbb23lg{xt<7;gkekZabflxjxb|Pv2]0(%Ehzlmnb-7!1/20mZow;8<0jdh`_fgmawgsg{U}?R=Psr3b?cjedb%Ftb|Pdblw[`hXZly~`y?k;gnahn)ckg~Tic?n;gnahn)`lj$oo"Clotlw[firf};:n6hcbmi,cae)lj%Fob{at^alqkr688h0jalck.egg+bd'DidyczPcnwmp476j2lgnae gea-`f)Jkf\7fexRm`uov264d<nehgg"ikc/f`+Heh}g~Tob{at012f>`kjea$kim!db-Ngjsi|Vidycz>40c8bidkc&moo#jl/LalqkrXkf\7fex??n;gnahn)`lj$oo"Clotlw[firf}9:m6hcbmi,cae)lj%Fob{at^alqkr39h1m`obd/ff`*ae(Eje~byQlotlw14g<nehgg"ikc/f`+Heh}g~Tob{at73b?cjedb%lhn kc.O`kphsWje~by9>a:dofim(omi%hn!BcnwmpZeh}g~3=l5ilcnh+bbd&mi$Anaznu]`kphs18l0jalck.egg+bd'DidyczPcnwmpZe~4949<6hcbmi,cae)lj%Fob{at^alqkrXkp6:<3<?;gnahn)`lj$oo"Clotlw[firf}Uhu1?>>328bidkc&moo#jl/LalqkrXkf\7fexRmv<00=5c=adkf`#jjl.ea,Ifirf}Uhcx`{_b{?5;7a3ofi`f!hdb,gg*Kdg|d\7fSnaznu]`}9499o1m`obd/ff`*ae(Eje~byQlotlw[f\7f;;7;m7kbmlj-d`f(ck&Ghcx`{_bmvjqYdq5>5=k5ilcnh+bbd&mi$Anaznu]`kphsWjs793?i;gnahn)`lj$oo"Clotlw[firf}Uhu1811g9ehgjl'nnh"im MbmvjqYdg|d\7fSnw37?3e?cjedb%lhn kc.O`kphsWje~byQly=:=5c=adkf`#jjl.ea,Ifirf}Uhcx`{_b{?=;473ofi`f!hdb,gg*Kdg|d\7fSnaznu]oqq:76;;0jalck.egg+bd'DidyczPcnwmpZjr|5;;2??4fm`oo*ack'nh#@m`uov\gjsi|Vf~x1?>>338bidkc&moo#jl/LalqkrXkf\7fexRbzt=31:77<nehgg"ikc/f`+Heh}g~Tob{at^nvp9746;:0jalck.egg+bd'DidyczPcnwmpZjr|5;5>=5ilcnh+bbd&mi$Anaznu]`kphsWe\7f\7f0?0=0:dofim(omi%hn!BcnwmpZeh}g~T`xz33?03?cjedb%lhn kc.O`kphsWje~byQcuu>7:76<nehgg"ikc/f`+Heh}g~Tob{at^nvp939:91m`obd/ff`*ae(Eje~byQlotlw[iss4?49<6hcbmi,cae)lj%Fob{at^alqkrXd|~7;3<?;gnahn)`lj$oo"Clotlw[firf}Ugyy27>328bidkc&moo#jl/LalqkrXkf\7fexRbzt=;=65=adkf`#jjl.ea,Ifirf}Uhcx`{_ymq858592lgnae gea-`f)Jkf\7fexRm`uov\|jt;9949=6hcbmi,cae)lj%Fob{at^alqkrXpfx7=<0=1:dofim(omi%hn!BcnwmpZeh}g~Ttb|313<15>`kjea$kim!db-Ngjsi|VidyczPxnp?568582lgnae gea-`f)Jkf\7fexRm`uov\|jt;978;7kbmlj-d`f(ck&Ghcx`{_bmvjqY\7fg{692?>4fm`oo*ack'nh#@m`uov\gjsi|Vrd~1=1219ehgjl'nnh"im MbmvjqYdg|d\7fSua}<5<14>`kjea$kim!db-Ngjsi|VidyczPxnp?1;473ofi`f!hdb,gg*Kdg|d\7fSnaznu]{kw:16;:0jalck.egg+bd'DidyczPcnwmpZ~hz5=5>=5ilcnh+bbd&mi$Anaznu]`kphsWqey050=0:dofim(omi%hn!BcnwmpZeh}g~Ttb|39?33?cjedb%lhn kc.L2446<nehgg"ikc/f`+K76991m`obd/ff`*ae(F88:<6hcbmi,cae)lj%E=>??;gnahn)`lj$oo"@>4028bidkc&moo#jl/O36b>`kjea$kim!db-M6c=adkf`#jjl.ea,J6`<nehgg"ikc/f`+K2a3ofi`f!hdb,gg*H2n2lgnae gea-`f)I>o1m`obd/ff`*ae(F>l0jalck.egg+bd'G2m7kbmlj-d`f(ck&D2=95ilcnh+bbd&mi$ob{at^220>`kjea$kim!db-`kphsW8;>7kbmlj-d`f(ck&idyczP1136?cjedb%lhn kc.alqkrX98;>7kbmlj-d`f(ck&idyczP1336?cjedb%lhn kc.alqkrX9:;?7kbmlj-d`f(ck&idyczP2068bidkc&moo#jl/bmvjqY49=1m`obd/ff`*ae(kf\7fexR:>4:dofim(omi%hn!lotlw[0733ofi`f!hdb,gg*eh}g~T:<:4fm`oo*ack'nh#naznu]451=adkf`#jjl.ea,gjsi|V2:86hcbmi,cae)lj%hcx`{_831?cjedb%ym`Q}d^gm[l76:2lgnae r`o\swYbfVc:>>5ilcnh+qnuefn$mhb`gha,g1)di{xrbhz30?00?cjedb%\7fd\7fc`d.cfhjank&i?#no}rxlfp979::1m`obd/ujqijb(ilfdkdm c5-`ewt~fl~7>3<<;gnahn)s`{gdh"ojlnejg*e3'jky~t`jt=1=66=adkf`#yf}mnf,e`jho`i$o9!laspzj`r;<7887kbmlj-wlwkhl&kn`bifc.a7+fguzpdnx1;1359ehgjl'}byabj adnlcle(k=%n}no|e/`jc+kkxR:V"k}{.ldjvvr492lgnae tipnka)fmeelen!l4.grgdub&kcl"`b\7f[0_-ilb)ejs8=6hcbmi,pmtjgm%jiaahib-`0*cvkhyn"ogh.lns_7[)e`n%anw<1:dofim(|axfci!nemmdmf)d<&ozol}j.ckd*hjwS:W%adj!mb{05>`kjea$xe|boe-baii`aj%h8"k~c`qf*go`&df{W9S!mhf-if\7f492lgnae tipnka)fmeelen!l4.grgdub&kcl"`b\7f[4_-ilb)ejs8=6hcbmi,pmtjgm%jiaahib-`0*cvkhyn"ogh.lns_3[)e`n%anw>d:dofim(|axfci!nemmdmf)d<&|:0=0>d:dofim(|axfci!nemmdmf)d<&|:0<0>d:dofim(|axfci!nemmdmf)d<&|:0?0>d:dofim(|axfci!nemmdmf)d<&|:0>0>d:dofim(|axfci!nemmdmf)d<&|:090>d:dofim(|axfci!nemmdmf)d<&|:080>d:dofim(|axfci!nemmdmf)d<&|:0;0=5:dofim(|axfci!nemmdmf)d<&|:S=Qnne234575=2lgnae tipnka)fmeelen!l4.t2[4Yffm:;<=?=5:dofim(|axfci!nemmdmf)d<&|:S?Qnne234575=2lgnae tipnka)fmeelen!l4.t2[6Yffm:;<=?=5:dofim(|axfci!nemmdmf)d<&|:S9Qnne234575=2lgnae tipnka)fmeelen!l4.t2[0Yffm:;<=?=5:dofim(|axfci!nemmdmf)d<&|:S;Qnne234575;2lgnae tipnka)fmeelen!l5.abvw\7fim}6;2?=4fm`oo*rozdeo#lkcofk`+f3(khxyuck{<0<17>`kjea$xe|boe-baii`aj%h9"mnrs{maq:56;90jalck.vkvhic'hogcjgl/b7,gdtuqgo\7f0>0=3:dofim(|axfci!nemmdmf)d=&ij~\7fwaeu>7:75<nehgg"zgrlmg+dckgnch#n; c`pq}kcs4<4886hcbmi,pmtjgm%jiaahib-`1*cvkhyn"ogh.lns_5[)nz~%akg}su12?cjedb%\7fd\7fc`d.cfhjank&i>#h\7flarg-fla)eezP=P bie,ng|563ofi`f!{hsol`*gbdfmbo"m:/ds`evc)j`m%aa~T2\,nma(jkp9:7kbmlj-wlwkhl&kn`bifc.a6+`wdizo%ndi!mmrX7X(jam$fot=>;gnahn)s`{gdh"ojlnejg*e2'l{hm~k!bhe-iiv\<T$fei bcx12?cjedb%\7fd\7fc`d.cfhjank&i>#h\7flarg-fla)eezP9P bie,ng|563ofi`f!{hsol`*gbdfmbo"m:/ds`evc)j`m%aa~T6\,nma(jkp;o7kbmlj-wlwkhl&kn`bifc.a6+s7;87;o7kbmlj-wlwkhl&kn`bifc.a6+s7;97;o7kbmlj-wlwkhl&kn`bifc.a6+s7;:7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;;7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;<7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;=7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;>78>7kbmlj-wlwkhl&kn`bifc.a6+s7X8Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P1^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X:Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P3^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X<Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P5^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X>Vkeh=>?0000?cjedb%\7fd\7fc`d.cehjank&i?#no}rxlfp969::1m`obd/ujqijb(iofdkdm c5-`ewt~fl~7=3<<;gnahn)s`{gdh"oilnejg*e3'jky~t`jt=0=66=adkf`#yf}mnf,ecjho`i$o9!laspzj`r;;7887kbmlj-wlwkhl&km`bifc.a7+fguzpdnx1:1229ehgjl'}byabj agnlcle(k=%hm\7f|vndv?1;533ofi`f!{hsol`*gadfmbo"m;/ds`evc)j`m%aa~T0\,ewq(jn`xxx>?4fm`oo*rozdeo#lhcofk`+f2(mxij\7fh mif,nhu]6U'gbh#cly238bidkc&~c~`ak/`dokbod'j>$i|mnsd,amb(jdyQ9Q#cfd/o`}67<nehgg"zgrlmg+d`kgnch#n: epabw`(ean$f`}U<]/oj`+kdq:;0jalck.vkvhic'hlgcjgl/b6,atef{l$iej blqY7Y+knl'ghu>?4fm`oo*rozdeo#lhcofk`+f2(mxij\7fh mif,nhu]2U'gbh#cly238bidkc&~c~`ak/`dokbod'j>$i|mnsd,amb(jdyQ=Q#cfd/o`}4b<nehgg"zgrlmg+d`kgnch#n: v0>3:4b<nehgg"zgrlmg+d`kgnch#n: v0>2:4b<nehgg"zgrlmg+d`kgnch#n: v0>1:4b<nehgg"zgrlmg+d`kgnch#n: v0>0:4b<nehgg"zgrlmg+d`kgnch#n: v0>7:4b<nehgg"zgrlmg+d`kgnch#n: v0>6:4b<nehgg"zgrlmg+d`kgnch#n: v0>5:73<nehgg"zgrlmg+d`kgnch#n: v0]3[dhc89:;=?;4fm`oo*rozdeo#lhcofk`+f2(~8U:Sl`k0123573<nehgg"zgrlmg+d`kgnch#n: v0]1[dhc89:;=?;4fm`oo*rozdeo#lhcofk`+f2(~8U8Sl`k0123573<nehgg"zgrlmg+d`kgnch#n: v0]7[dhc89:;=?;4fm`oo*rozdeo#lhcofk`+f2(~8U>Sl`k0123573<nehgg"zgrlmg+d`kgnch#n: v0]5[dhc89:;=?=4fm`oo*rozdeo#lhcofk`+f3(khxyuck{<1<17>`kjea$xe|boe-bbii`aj%h9"mnrs{maq:66;90jalck.vkvhic'hlgcjgl/b7,gdtuqgo\7f0?0=3:dofim(|axfci!nfmmdmf)d=&ij~\7fwaeu>0:75<nehgg"zgrlmg+d`kgnch#n; c`pq}kcs4=49?6hcbmi,pmtjgm%jjaahib-`1*efz{seiy2:>268bidkc&~c~`ak/`dokbod'j?$i|mnsd,amb(jdyQ;Q#h|t/oemwus;81m`obd/ujqijb(iofdkdm c4-fufgtm'hbk#ccpZ3^*hoc&dir?<5ilcnh+qnuefn$mkb`gha,g0)byjkxi#lfg/oot^4Z&dco"`mv309ehgjl'}byabj agnlcle(k<%n}no|e/`jc+kkxR9V"`gk.laz74=adkf`#yf}mnf,ecjho`i$o8!jqbcpa+dno'gg|V:R.lkg*he~;81m`obd/ujqijb(iofdkdm c4-fufgtm'hbk#ccpZ7^*hoc&dir?<5ilcnh+qnuefn$mkb`gha,g0)byjkxi#lfg/oot^0Z&dco"`mv1e9ehgjl'}byabj agnlcle(k<%}=1>11e9ehgjl'}byabj agnlcle(k<%}=1?11e9ehgjl'}byabj agnlcle(k<%}=1<11e9ehgjl'}byabj agnlcle(k<%}=1=11e9ehgjl'}byabj agnlcle(k<%}=1:11e9ehgjl'}byabj agnlcle(k<%}=1;11e9ehgjl'}byabj agnlcle(k<%}=181249ehgjl'}byabj agnlcle(k<%}=R>Paof34566:<1m`obd/ujqijb(iofdkdm c4-u5Z7Xign;<=>>249ehgjl'}byabj agnlcle(k<%}=R<Paof34566:<1m`obd/ujqijb(iofdkdm c4-u5Z5Xign;<=>>249ehgjl'}byabj agnlcle(k<%}=R:Paof34566:<1m`obd/ujqijb(iofdkdm c4-u5Z3Xign;<=>>249ehgjl'}byabj agnlcle(k<%}=R8Paof34566:91m`obd/ujqijb(meelen!JMQVZ[KIIZLM9>6hcbmi,pmtjgm%n`bifc.GNTQ_XFFDYIJQf2`9ehgjl'}byabj emmdmf)BEY^RSCAARDE\mZUP8VY\<<h4fm`oo*rozdeo#hb`gha,VDKXMDZ_URg=2:dofim(|axfci!jlnejg*e3'jky~t`jt=2=67=adkf`#yf}mnf,aii`aj%h8"mnrs{maq:66;80jalck.vkvhic'lfdkdm c5-`ewt~fl~7>3<=;gnahn)s`{gdh"kcofk`+f2(khxyuck{<2<16>`kjea$xe|boe-fhjank&i?#no}rxlfp929:;1m`obd/ujqijb(meelen!l4.abvw\7fim}6>2>=4fm`oo*rozdeo#hb`gha,g1)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv04>`kjea$xe|boe-fhjank&i?#h\7flarg-fla)eezP=P bie,ng|573ofi`f!{hsol`*ckgnch#n: epabw`(ean$f`}U=]/oj`+kdq::0jalck.vkvhic'lfdkdm c5-fufgtm'hbk#ccpZ1^*hoc&dir?=5ilcnh+qnuefn$iaahib-`0*cvkhyn"ogh.lns_1[)e`n%anw<0:dofim(|axfci!jlnejg*e3'l{hm~k!bhe-iiv\=T$fei bcx13?cjedb%\7fd\7fc`d.gokbod'j>$i|mnsd,amb(jdyQ=Q#cfd/o`}4e<nehgg"zgrlmg+`jho`i$o9!y1=2=5f=adkf`#yf}mnf,aii`aj%h8"x><0<2g>`kjea$xe|boe-fhjank&i?#{?32?3`?cjedb%\7fd\7fc`d.gokbod'j>$z<2<>0a8bidkc&~c~`ak/dnlcle(k=%}=1:11b9ehgjl'}byabj emmdmf)d<&|:080>c:dofim(|axfci!jlnejg*e3'\7f;7:3<;;gnahn)s`{gdh"kcofk`+f2(~8U;Sl`k0123572<nehgg"zgrlmg+`jho`i$o9!y1^3\ekb789::>95ilcnh+qnuefn$iaahib-`0*p6W;Ujbi>?01310>`kjea$xe|boe-fhjank&i?#{?P3^cm`567888?7kbmlj-wlwkhl&ogcjgl/b6,r4Y3Whdo<=>?1368bidkc&~c~`ak/dnlcle(k=%}=R;Paof34566:=1m`obd/ujqijb(meelen!l4.t2[3Yffm:;<=?=2:dofim(|axfci!jlnejg*e2'jky~t`jt=2=67=adkf`#yf}mnf,aii`aj%h9"mnrs{maq:66;80jalck.vkvhic'lfdkdm c4-`ewt~fl~7>3<=;gnahn)s`{gdh"kcofk`+f3(khxyuck{<2<16>`kjea$xe|boe-fhjank&i>#no}rxlfp929:;1m`obd/ujqijb(meelen!l5.abvw\7fim}6>2>=4fm`oo*rozdeo#hb`gha,g0)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv04>`kjea$xe|boe-fhjank&i>#h\7flarg-fla)eezP=P bie,ng|573ofi`f!{hsol`*ckgnch#n; epabw`(ean$f`}U=]/oj`+kdq::0jalck.vkvhic'lfdkdm c4-fufgtm'hbk#ccpZ1^*hoc&dir?=5ilcnh+qnuefn$iaahib-`1*cvkhyn"ogh.lns_1[)e`n%anw<0:dofim(|axfci!jlnejg*e2'l{hm~k!bhe-iiv\=T$fei bcx13?cjedb%\7fd\7fc`d.gokbod'j?$i|mnsd,amb(jdyQ=Q#cfd/o`}4e<nehgg"zgrlmg+`jho`i$o8!y1=2=5f=adkf`#yf}mnf,aii`aj%h9"x><0<2g>`kjea$xe|boe-fhjank&i>#{?32?3`?cjedb%\7fd\7fc`d.gokbod'j?$z<2<>0a8bidkc&~c~`ak/dnlcle(k<%}=1:11b9ehgjl'}byabj emmdmf)d=&|:080>c:dofim(|axfci!jlnejg*e2'\7f;7:3<;;gnahn)s`{gdh"kcofk`+f3(~8U;Sl`k0123572<nehgg"zgrlmg+`jho`i$o8!y1^3\ekb789::>95ilcnh+qnuefn$iaahib-`1*p6W;Ujbi>?01310>`kjea$xe|boe-fhjank&i>#{?P3^cm`567888?7kbmlj-wlwkhl&ogcjgl/b7,r4Y3Whdo<=>?1368bidkc&~c~`ak/dnlcle(k<%}=R;Paof34566:=1m`obd/ujqijb(meelen!l5.t2[3Yffm:;<=?>c:dofim(|axfci!jlnejg*ehey;dyy?l;gnahn)s`{gdh"kcofk`+fijx;e~x<h4fm`oo*rozdeo#kb`gha,BPJKWGEE^HI=3:dofim(|axfci!ilnejg*@RDEUECC\JG^k2174<nehgg"zgrlmg+cjho`i$JXBC_OMMV@AXa;887kbmlj-wlwkhl&lgcjgl/GWOHZHHF[OLSd<;239ehgjl'}byabj fmmdmf)A]EFTBB@]EF]j=70<nehgg"zgrlmg+cjho`i$JXBC_OMMV@AXaVgj|<<9;gnahn)s`{gdh"hcofk`+CSKDVDDB_KH_h]neu35>2lgnae tipnka)adfmbo"HZLM]MKKTBOVcTal~71d9ehgjl'}byabj fmmdmf)UIDUMYABPi378bidkc&~c~`ak/gnlcle(kfg{="mnrs{maq:76;?0jalck.vkvhic'ofdkdm cnos5*efz{seiy2>>378bidkc&~c~`ak/gnlcle(kfg{="mnrs{maq:56;?0jalck.vkvhic'ofdkdm cnos5*efz{seiy2<>378bidkc&~c~`ak/gnlcle(kfg{="mnrs{maq:36;?0jalck.vkvhic'ofdkdm cnos5*efz{seiy2:>248bidkc&~c~`ak/gnlcle(kfg{="k~c`qf*go`&df{W=S!frv-icou{}987kbmlj-wlwkhl&lgcjgl/bmnt4)byjkxi#lfg/oot^7Z&dco"`mv329ehgjl'}byabj fmmdmf)dgdz:#h\7flarg-fla)eezP>P bie,ng|543ofi`f!{hsol`*`kgnch#nabp0-fufgtm'hbk#ccpZ1^*hoc&dir?>5ilcnh+qnuefn$jaahib-`khv6'l{hm~k!bhe-iiv\<T$fei bcx10?cjedb%\7fd\7fc`d.dokbod'jef|<!jqbcpa+dno'gg|V;R.lkg*he~;:1m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX2X(jam$fot?i;gnahn)s`{gdh"hcofk`+fijx8%}=1>11g9ehgjl'}byabj fmmdmf)dgdz:#{?31?3e?cjedb%\7fd\7fc`d.dokbod'jef|<!y1=0=5c=adkf`#yf}mnf,bii`aj%hc`~>/w3?7;7a3ofi`f!{hsol`*`kgnch#nabp0-u59299o1m`obd/ujqijb(neelen!lolr2+s7;=7;m7kbmlj-wlwkhl&lgcjgl/bmnt4)q95<5>:5ilcnh+qnuefn$jaahib-`khv6'\7f;T<Road12344403ofi`f!{hsol`*`kgnch#nabp0-u5Z7Xign;<=>>269ehgjl'}byabj fmmdmf)dgdz:#{?P2^cm`567888<7kbmlj-wlwkhl&lgcjgl/bmnt4)q9V9Tmcj?012262=adkf`#yf}mnf,bii`aj%hc`~>/w3\0Zgil9:;<<<8;gnahn)s`{gdh"hcofk`+fijx8%}=R;Paof34566:>1m`obd/ujqijb(neelen!lolr2+s7X>Vkeh=>?0006?cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;878>7kbmlj-wlwkhl&lgcjgl/bmnt7)di{xrbhz31?06?cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;:78>7kbmlj-wlwkhl&lgcjgl/bmnt7)di{xrbhz33?06?cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;<78>7kbmlj-wlwkhl&lgcjgl/bmnt7)di{xrbhz35?15?cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V>R.gqw*h`nzz~8?6hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]6U'gbh#cly218bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W?S!mhf-if\7f4;2lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY0Y+knl'ghu>=4fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS=W%adj!mb{07>`kjea$xe|boe-ehjank&ida}< epabw`(ean$f`}U:]/oj`+kdq:90jalck.vkvhic'ofdkdm cnos6*cvkhyn"ogh.lns_3[)e`n%anw>f:dofim(|axfci!ilnejg*ehey8$z<2?>0d8bidkc&~c~`ak/gnlcle(kfg{>"x><0<2b>`kjea$xe|boe-ehjank&ida}< v0>1:4`<nehgg"zgrlmg+cjho`i$obc\7f2.t28686n2lgnae tipnka)adfmbo"m`mq0,r4:368l0jalck.vkvhic'ofdkdm cnos6*p64<4:j6hcbmi,pmtjgm%m`bifc.aliu4(~86=2?94fm`oo*rozdeo#kb`gha,gjkw:&|:S=Qnne234575?2lgnae tipnka)adfmbo"m`mq0,r4Y6Whdo<=>?1358bidkc&~c~`ak/gnlcle(kfg{>"x>_3]bja6789;9;6hcbmi,pmtjgm%m`bifc.aliu4(~8U8Sl`k0123571<nehgg"zgrlmg+cjho`i$obc\7f2.t2[1Yffm:;<=?=7:dofim(|axfci!ilnejg*ehey8$z<Q:_`lg45679;=0jalck.vkvhic'ofdkdm cnos6*p6W?Ujbi>?0132a>`kjea$xe|boe-ehjank&ida}Qiumn25`=adkf`#yf}mnf,bii`aj%hc`~Pftno64e<nehgg"zgrlmg+cjho`i${\7fQ}su]r0>`rde;;7d`mlj-q`Ztt|Vcex<>4io`oo*tcW{y\7fS\7fkh119jjgjl'~xT~~zPiov24>oijea${\7fQ}su]qab463e|g=Rlzfggq+qkw'Vil#axc1^djbj(iof30`{b>_gkek75<d\7ff:Skgio^djbjY`mgoymya}_w1\7Z~t|V;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_1]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_0]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_3]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_2]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_5]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_4]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_7]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_6]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_1]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_0]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_3]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_2]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_5]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_4]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_7]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_6]nq}6789;?>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1>1439nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>2:14<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;:7>97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0>0;2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mgkTm\7f|ny=6=07=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:26=80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs7:3:=;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<6<76>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}969<;1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6:29<4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw32?61?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8683:2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq5>58?5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2:>508i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?2;253dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4>4>86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf775?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V:>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]212=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T==;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z76=>1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P1374?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V;89:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\51303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R?:569nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X9??<7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^3412=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T=5;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z7>=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W;:>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]1501<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S?<:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y5;<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_3663>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U99894mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[702?2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q=7458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W;2>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]1=00<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S>;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z57=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P4448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W<?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^462>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U<9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\<00<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S4;;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e103<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d655313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9<?:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b075=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;>3448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`29=?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi50762>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<;=9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g72300<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=5;9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e14?2=2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b047=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=1448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2:;?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi53162>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<8?9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g71100<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>>;;9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1712>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<7579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c351<?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:3448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2;9?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi52361>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<>>96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4761>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<<>96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4561>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<2>96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4;62>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U;9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\501<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S<>:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y69<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_0063>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U:?894mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[422?2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q>5458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W8<>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]2301<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S<6:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y61<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_374?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V8;9:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\64303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R<=569nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X::?<7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^0712=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T>8;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z41=>1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P2674?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V839:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\6<313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R=:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y48<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_575?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V?>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]513=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T;884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[=313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R7:2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6216=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y399?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9?=529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]756343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=;?9>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;1470?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[171=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?=:;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_53;16=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y390?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9<:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^61405<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<;;>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:=3418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0722;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>9;8=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP43:67>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z251<80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8>;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_51316=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3;8?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9::2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6617=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3><80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8:;=;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5:66>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2>==1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;:5:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b072>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8??579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c369<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:1375?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=89>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf43713=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?:9884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d653313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<9:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b07?=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>9478i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:2175?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;;>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf40113=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?9?884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d661313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9?;:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b041=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;=7448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:1?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi53;61>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<9>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf41313=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?8=8;4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6003<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>98;4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6203<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>;8;4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6<03<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>5884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[5313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R?:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y68<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_0363>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U:>894mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[452?2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q>4458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W8?>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]2201<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S<9:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y60<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_0;62>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U99:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\65303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R<>569nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X:;?<7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^0012=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T>9;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z42=>1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P2774?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V8<9:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\6=303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R<6579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X;<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_2262>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U?9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\100<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S;;9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z12>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q7579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X1<90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<>:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^72505<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=88>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;>3418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1422;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?:98=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP50467>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z36?<90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<6:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^72=04<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=;?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8<?529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]664343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<8?9>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:2470?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[041=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>>:;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_40;16=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2:0?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8=:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^70405<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=:;>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<2418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1652;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?888=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP52767>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z34><90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9>9:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^70<05<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=:3>>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;;529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]605343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>:9>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:4370?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[024=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>8;;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_46416=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2<1?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8:6539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6105<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=<>>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;:5418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1002;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?>;8=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP54:67>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z321<80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9;;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_44316=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2>8?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS88=529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]626353dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<=>>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;7539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6=04<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>8?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;??529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]557343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;89>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ91570?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[372=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU==;;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_73416=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y191?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?6539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5605<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;:>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8=1418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2752;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<988=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP63567>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z050<90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?7:2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4016=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1;9?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;=>539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5004<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X><?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;8:2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4417=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y10<80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:4::;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M55213dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E==>;6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J466<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C??2548i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H68?>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A10272>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;:8:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3254213dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=<<;6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J474<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?>4548i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H69<>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A10472>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;<8;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O32<10<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:=4::;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M57213dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=?>;6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J446<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?=2548i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6::>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A13672>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F88>8;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O31210<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:>::9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M57>3>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<<6449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K74<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?;449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K72<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?9449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K70<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?7449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K7><<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<?449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K46<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<>1578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5:=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=3578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5<=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=5578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5>=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=7578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H50=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=9578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H48=<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<1066?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;;>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3266?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;=>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3466?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;?>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3666?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;1>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3866?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<9>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A40371>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=8?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5171>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=>?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5771>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=<?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4272>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<;:885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7100=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?8885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7700=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?>885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7500=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?<885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7;00=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?2895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O471>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?:?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7371>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?8?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7171>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?>?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7771>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?<?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7571>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?2?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7;70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F>>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7166?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?8>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7366?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?:>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7566?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?<>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7766?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?>>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7966?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?0>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?8=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@71578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?:=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@73578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?<=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@75578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?>=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@77578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?0=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@79568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H><<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7?449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?3<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7:449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?1<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C78449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K??<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C764e9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1>14e9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1?14e9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1<14b9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{iR>;c:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS<:l;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-paiYwayoT>9:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>0=2=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg77484?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<>32?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva55:46=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:<1:1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338083<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8:7:3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>4:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd68525895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?><1<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;97>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=<2=>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`25959<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:090;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b03?1;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986=29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>1=5=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg76414?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<<30?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva57:66=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1<1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318683<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj88783:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>6:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6:5<5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<6<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf44;07>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=>2?>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27979<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;80?0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b01?7;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6?29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>3=7=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744?4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<=37?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:?6=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:81>1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc378483<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8>7>3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm15>0:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6<5>5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<4<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf42;>7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=928>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`209>9<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;>0=0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?5;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9<6929:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>5=1=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg724=4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<;35?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:16=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:9191459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc368=83<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8<7<3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm17>2:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6>585895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?9<2<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf40;<7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;2:>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`22909<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=0:0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b04?<;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9>6;29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=3=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg704;4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<933?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:36=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:;1;1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc348383<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8=7;3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm16>;:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd605:5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?7<0<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4>;:7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=52<>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2<929<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;3080;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0:?2;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916<29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>8=:=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7>494?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<731?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5<:56=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:51=1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3:8183<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj83793:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm18>5:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd615=5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<9<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;87>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>=2>>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`14949<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8;0>0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b32?0;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:96>29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=0=4=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg474>4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?>38?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva64:76=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=1?1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc028783<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;;7?3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm20>7:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd595?5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<><7<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf77;?7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><27>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`16969<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k890<0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b30?6;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:;6829:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=2=6=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg454<4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?<36?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:06=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9>161459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc008583<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;97=3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm22>1:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5;595895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<<<5<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf75;=7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>29>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`17919<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k88050;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b36?4;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:=6:29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=4=0=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg434:4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?:34?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:26=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h98181459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078283<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;>743:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm24>3:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5=5;5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<:<3<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;;7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82;>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`11939<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0;0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b37?3;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:<6329:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=6=2=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg41484?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?832?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva63:46=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9:1:1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058083<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;<7:3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm27>4:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5>525895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<8<1<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;97>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>:2=>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`13959<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<090;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b35?1;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:>6=29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=7=5=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg40414??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn92?>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`78483;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=6929=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;<2<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:36=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?080;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>5:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd34>4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn927>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`68583;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<6:29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:<3<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:46=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>090;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>6:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd24?4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn828>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`68=83;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6;29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl9<0<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:56=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=0>0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>7:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd14<4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;29>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`58283;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6329=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl8<1<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:66=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<0?0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>0:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd04=4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:2:>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`48383;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6<29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl8<9<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:76=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h30<0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>1:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?4:4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn52;>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;8083;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16=29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl7<6<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:?6=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h20=0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>2:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>4;4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn42<>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:8183;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj06>29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl6<7<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:06=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h205091:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER56>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX55[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>;1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8;V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;<4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?=]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ41?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY27X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1:2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9=W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:?5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<;R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP708i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ35Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U053dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6?T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=5S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_639nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0;^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT37<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^7Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR8;Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8=;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=1\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER56>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX67[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>;1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;9V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;<4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<;]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ41?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY11X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1:2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:?W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:?5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?9R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP708i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ0;Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U063dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]5U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<:7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ8Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8>;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U;]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ42?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY6Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U063dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]1U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<:7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ<Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8>;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U7]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ42?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY:Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U733dofnae tlr\vdkXzmUnb<;4mdoahn)seyUym`Q}d^gm5==iojh~eaj7;ntfvcjh\7fh1{~biPelrw}42<x{elShc\7ftx]wlwct`!:"=95\7frne\ahvsqV~c~h}g(0+21>vugnUna}zv_ujqavn/99#:96~}of]fiur~W}byi~f'10+21>vugnUna}zv_ujqavn/9;#:96~}of]fiur~W}byi~f'12+20>vugnUna}zv_ujqavn/: ;?7}|`g^gntq\7fX|axn\7fe&<)068twi`Wlg{xtQ{hsgpl-2.9=1{~biPelrw}Zrozlyc$8'>4:rqkbYbey~rSyf}erj+2,733yxdkRkbpu{\pmtb{a"<%<:4psmd[`kw|pU\7fd\7fk|h):*51=wzfmTi`~{y^vkv`uo 0#:86~}of]fiur~W}byi~f30?36?uthoVof|ywPtipfwm:687;>7}|`g^gntq\7fX|axn\7fe2>1?36?uthoVof|ywPtipfwm:6:7;<7}|`g^gntq\7fX|axn\7fe2>3;2=50=wzfmTi`~{y^vkv`uo4895=95\7frne\ahvsqV~c~h}g<0<20>vugnUna}zv_ujqavn;:7;?7}|`g^gntq\7fX|axn\7fe2<>068twi`Wlg{xtQ{hsgpl9299=1{~biPelrw}Zrozlyc080>4:rqkbYbey~rSyf}erj?2;733yxdkRkbpu{\pmtb{a6<2<:4psmd[`kw|pU\7fd\7fk|h=:=51=wzfmTi`~{y^vkv`uo404:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6k2zycjQjmqvz[qnumzbTm~}jru*24,7d3yxdkRkbpu{\pmtb{aUj\7f~k}t)32-4e<x{elShc\7ftx]wlwct`Vkx\7fh|{(00*5f=wzfmTi`~{y^vkv`uoWhyxi\7fz'12+2f>vugnUna}zv_ujqavnXizyn~y&=)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$>'>b:rqkbYbey~rSyf}erj\evubz}"?%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts <#:n6~}of]fiur~W}byi~fParqfvq.1!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,2/6j2zycjQjmqvz[qnumzbTm~}jru*;-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(8+2f>vugnUna}zv_ujqavnXizyn~y2?>0a8twi`Wlg{xtQ{hsgplZgt{lx\7f0<>11b9svjaXmdz\7fuRzgrdqk[dutm{~7=<0>c:rqkbYbey~rSyf}erj\evubz}6:>3?j;qplcZcjx}sTxe|jsi]bwvcu|5;86=0>c:rqkbYbey~rSyf}erj\evubz}6:?3?m;qplcZcjx}sTxe|jsi]bwvcu|5;5=o5\7frne\ahvsqV~c~h}g_`qpawr;:7;i7}|`g^gntq\7fX|axn\7feQnsrgqp9599k1{~biPelrw}ZrozlycSl}|esv?0;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=7=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz36?3a?uthoVof|ywPtipfwmYf{zoyx1911c9svjaXmdz\7fuRzgrdqk[dutm{~743?m;qplcZcjx}sTxe|jsi]bwvcu|535=o5\7frne\ahvsqV~c~h}g_ogdeqc/8 ;i7}|`g^gntq\7fX|axn\7feQaefcwa-7.9j1{~biPelrw}ZrozlycSckhaug+55/6k2zycjQjmqvz[qnumzbTbhintd*25,7d3yxdkRkbpu{\pmtb{aUeijo{e)31-4e<x{elShc\7ftx]wlwct`Vdnklzj(01*5g=wzfmTi`~{y^vkv`uoWgolmyk'2(3a?uthoVof|ywPtipfwmYimnk\7fi%=&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#8$?m;qplcZcjx}sTxe|jsi]mabgsm!?"=o5\7frne\ahvsqV~c~h}g_ogdeqc/> ;i7}|`g^gntq\7fX|axn\7feQaefcwa-1.9k1{~biPelrw}ZrozlycSckhaug+<,7e3yxdkRkbpu{\pmtb{aUeijo{e);*5g=wzfmTi`~{y^vkv`uoWgolmyk30?3`?uthoVof|ywPtipfwmYimnk\7fi1??>0a8twi`Wlg{xtQ{hsgplZhboh~n0<?11b9svjaXmdz\7fuRzgrdqk[kc`i}o7=?0>e:rqkbYbey~rSyf}erj\j`af|l6:?7>11b9svjaXmdz\7fuRzgrdqk[kc`i}o7=>0>b:rqkbYbey~rSyf}erj\j`af|l6:2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb4;4:n6~}of]fiur~W}byi~fPndebp`:468h0|\7fah_dosp|Ys`{oxdR`jg`vf8186j2zycjQjmqvz[qnumzbTbhintd>6:4d<x{elShc\7ftx]wlwct`Vdnklzj<7<2f>vugnUna}zv_ujqavnXflmjxh28>0`8twi`Wlg{xtQ{hsgplZhboh~n050>b:rqkbYbey~rSyf}erj\j`af|l62245\7frne\bpjk9:1{~biPftno[qnumzb#<$?<;qplcZ`rdeU\7fd\7fk|h)3*51=wzfmTjxbc_ujqavn/99#:86~}of]eqijX|axn\7fe&>1(37?uthoVl~`aQ{hsgpl-75!8>0|\7fah_gwohZrozlyc$<=&129svjaXn|fgSyf}erj+6,743yxdkRhzlm]wlwct`!9"=>5\7frne\bpjkW}byi~f'4(30?uthoVl~`aQ{hsgpl-3.9:1{~biPftno[qnumzb#:$?<;qplcZ`rdeU\7fd\7fk|h)5*56=wzfmTjxbc_ujqavn/0 ;87}|`g^dvhiYs`{oxd%7&129svjaXn|fgSyf}erj?4;733yxdkRhzlm]wlwct`5;;2<:4psmd[cskdV~c~h}g<03=51=wzfmTjxbc_ujqavn;9;4::6~}of]eqijX|axn\7fe2>3;2=51=wzfmTjxbc_ujqavn;9:4:?6~}of]eqijX|axn\7fe2>>018twi`Wo\7fg`Rzgrdqk8786;2zycjQiumn\pmtb{a682<=4psmd[cskdV~c~h}g<5<27>vugnUmyabPtipfwm:26890|\7fah_gwohZrozlyc0;0>3:rqkbYa}efTxe|jsi>4:45<x{elSk{cl^vkv`uo414:?6~}of]eqijX|axn\7fe26>0c8twi`Wo\7fg`Rzgrdqk[dutm{~#<$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 8#:n6~}of]eqijX|axn\7feQnsrgqp-77!8h0|\7fah_gwohZrozlycSl}|esv+54/6j2zycjQiumn\pmtb{aUj\7f~k}t)31-4d<x{elSk{cl^vkv`uoWhyxi\7fz'12+2e>vugnUmyabPtipfwmYf{zoyx%<&1`9svjaXn|fgSyf}erj\evubz}"8%<o4psmd[cskdV~c~h}g_`qpawr/< ;j7}|`g^dvhiYs`{oxdRo|sdpw,0/6i2zycjQiumn\pmtb{aUj\7f~k}t)4*5d=wzfmTjxbc_ujqavnXizyn~y&8)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#4$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 0#:m6~}of]eqijX|axn\7feQnsrgqp9699k1{~biPftno[qnumzbTm~}jru>24;7e3yxdkRhzlm]wlwct`Vkx\7fh|{<03=5g=wzfmTjxbc_ujqavnXizyn~y2>2?3g?uthoVl~`aQ{hsgplZgt{lx\7f0<=50?3a?uthoVl~`aQ{hsgplZgt{lx\7f0<=11`9svjaXn|fgSyf}erj\evubz}6:2<o4psmd[cskdV~c~h}g_`qpawr;:7;j7}|`g^dvhiYs`{oxdRo|sdpw8686i2zycjQiumn\pmtb{aUj\7f~k}t=6=5d=wzfmTjxbc_ujqavnXizyn~y2:>0c8twi`Wo\7fg`Rzgrdqk[dutm{~7:3?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4>4:m6~}of]eqijX|axn\7feQnsrgqp9>99h1{~biPftno[qnumzbTm~}jru>::4g<x{elSk{cl^vkv`uoWgolmyk'0(3b?uthoVl~`aQ{hsgplZhboh~n$<'>b:rqkbYa}efTxe|jsi]mabgsm!;;%<l4psmd[cskdV~c~h}g_ogdeqc/98#:n6~}of]eqijX|axn\7feQaefcwa-75!8h0|\7fah_gwohZrozlycSckhaug+56/6i2zycjQiumn\pmtb{aUeijo{e)0*5d=wzfmTjxbc_ujqavnXflmjxh&<)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#8$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb <#:m6~}of]eqijX|axn\7feQaefcwa-0.9h1{~biPftno[qnumzbTbhintd*4-4g<x{elSk{cl^vkv`uoWgolmyk'8(3b?uthoVl~`aQ{hsgplZhboh~n$4'>a:rqkbYa}efTxe|jsi]mabgsm5:5=o5\7frne\bpjkW}byi~fPndebp`:687;i7}|`g^dvhiYs`{oxdR`jg`vf84799k1{~biPftno[qnumzbTbhintd>26;7c3yxdkRhzlm]wlwct`Vdnklzj<0194;7e3yxdkRhzlm]wlwct`Vdnklzj<01=5d=wzfmTjxbc_ujqavnXflmjxh2>>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o7>3?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb4:4:m6~}of]eqijX|axn\7feQaefcwa9299h1{~biPftno[qnumzbTbhintd>6:4g<x{elSk{cl^vkv`uoWgolmyk36?3b?uthoVl~`aQ{hsgplZhboh~n0:0>a:rqkbYa}efTxe|jsi]mabgsm525=l5\7frne\bpjkW}byi~fPndebp`:>6?1yhRmck028vaYci}kTob{at)2*55=ulVnjxlQlotlw,4/692xoSio{a^alqkr/99#:=6|k_ecweZeh}g~#=<'>1:pg[agsiVidycz'13+25>tcWmk\7fmRm`uov+56/682xoSio{a^alqkr/: ;;7\7fjPd`vb[firf}"8%<>4re]geqgXkf\7fex%:&119q`Zbf|hUhcx`{(4+24>tcWmk\7fmRm`uov+2,773{nThlzn_bmvjq.0!8:0~iQkauc\gjsi|!2"==5}d^fbpdYdg|d\7f$4'>0:pg[agsiVidycz30?32?wbXlh~jSnaznu>24;763{nThlzn_bmvjq:697;:7\7fjPd`vb[firf}6:>3?<;sf\`drfWje~by2>3;2=54=ulVnjxlQlotlw8459991yhRjnt`]`kphs484:<6|k_ecweZeh}g~7>3??;sf\`drfWje~by2<>028vaYci}kTob{at=6=55=ulVnjxlQlotlw808682xoSio{a^alqkr;>7;;7\7fjPd`vb[firf}6<2<>4re]geqgXkf\7fex161119q`Zbf|hUhcx`{<8<6?wbXmg<0~iQ}su18vvr03zhbxh|}4:qqwq?<}gnn~kb`w49ueioc>2}ySlmd6:uq[fjl991|~Rjnt`]`kphs 9#:<6y}_ecweZeh}g~#=$?>;vp\`drfWje~by&>0(32?rtXlh~jSnaznu*25,763~xThlzn_bmvjq.6: ;:7z|Pd`vb[firf}":?$??;vp\`drfWje~by&=)028swYci}kTob{at)1*55=pzVnjxlQlotlw,1/682}ySio{a^alqkr/= ;;7z|Pd`vb[firf}"=%<>4ws]geqgXkf\7fex%9&119tvZbf|hUhcx`{(9+24>quWmk\7fmRm`uov+=,773~xThlzn_bmvjq:768;0{\7fQkauc\gjsi|5;;2<?4ws]geqgXkf\7fex1?>>038swYci}kTob{at=31:45<\7f{UomyoPcnwmp974294:=6y}_ecweZeh}g~7=>0>0:uq[agsiVidycz31?33?rtXlh~jSnaznu>1:46<\7f{UomyoPcnwmp959991|~Rjnt`]`kphs4=4:<6y}_ecweZeh}g~793??;vp\`drfWje~by29>028swYci}kTob{at=5=55=pzVnjxlQlotlw8=8682}ySio{a^alqkr;17?0{\7fQjn79tvZtt|8;0ÏÆ³²Æ¿ÕÏÀ»Á»·Ù²¸¶h5Þ¾ÒϺÒüÁɶÎÉpNOp3g;5>FGp?i;6K4;:0yP3=<2??0o6<==41fa>412mlqe?=m51:l04a<33-9;m7=?3:\7fP32<2??0o6<==41fa>412ml1X=hm594`94?74:=:on7?85dg8W21=1<h1<7?<252gf?70=o;0h;m>:182>4}T?10>;;4k:01105be28=>ih5+31:912><~]8o?7>51;39050|[>219:85d;30616cj3;<9hk4$3g3>4cc3_9;n7<tu0d4>4=r9o21<6s+24797f=e>j;1<7;;:2860~N5lm1Q:l4<{26975<6n3w/>i756b38 66?2<=37W=?9;0x12>==>21qdo=b;29?lg293:17b8mb;29?j30?3:17d=>2;29?lg5l3:17b;;e;29 7302<<o7c<:6;28?j33l3:1(?;8:44g?k42>3;07b;;b;29 7302<<o7c<:6;08?j33i3:1(?;8:44g?k42>3907b;;9;29 7302<<o7c<:6;68?j3303:1(?;8:44g?k42>3?07b;;7;29 7302<<o7c<:6;48?j33>3:1(?;8:44g?k42>3=07b;;5;29 7302<<o7c<:6;:8?j33<3:1(?;8:44g?k42>3307b;;3;29 7302<<o7c<:6;c8?j33:3:1(?;8:44g?k42>3h07b;;0;29 7302<<o7c<:6;a8?j34n3:1(?;8:44g?k42>3n07b;<e;29 7302<<o7c<:6;g8?j34l3:1(?;8:44g?k42>3l07b;<c;29 7302<<o7c<:6;33?>i2;k0;6)<:7;75`>h5=?0:=65`52c94?"5=>0>:i5a244957=<g<926=4+245913b<f;?=6<=4;n70<?6=,;?<688k;o062?7332e>?:4?:%063?31l2d99;4>5:9l102=83.99:4:6e9m600=9?10c8;<:18'601==?n0b?;9:058?j32:3:1(?;8:44g?k42>3;376a:5083>!42?3?=h6`=5782=>=h=<:1<7*=56862a=i:<<1=l54o46e>5<#:<=19;j4n375>4d<3f??o7>5$374>00c3g8>:7?l;:m604<72-8>;7;9d:l113<6l21d9>850;&112<2>m1e>8851d98k052290/>89557f8j73128l07d;?d;29 7302<8h7c<:6;28?l37k3:1(?;8:40`?k42>3;07d;?a;29 7302<8h7c<:6;08?l3713:1(?;8:40`?k42>3907d;?8;29 7302<8h7c<:6;68?l37?3:1(?;8:40`?k42>3?07d;?6;29 7302<8h7c<:6;48?l37=3:1(?;8:40`?k42>3=07d;?4;29 7302<8h7c<:6;:8?l37;3:1(?;8:40`?k42>3307d;?2;29 7302<8h7c<:6;c8?l3793:1(?;8:40`?k42>3h07d:if;29 7302<8h7c<:6;a8?l2am3:1(?;8:40`?k42>3n07d:id;29 7302<8h7c<:6;g8?l2ak3:1(?;8:40`?k42>3l07d:ib;29 7302<8h7c<:6;33?>o3nh0;6)<:7;71g>h5=?0:=65f4g;94?"5=>0>>n5a244957=<a=l36=4+245917e<f;?=6<=4;h6e3?6=,;?<68<l;o062?7332c?j;4?:%063?35k2d99;4>5:9j145=83.99:4:2b9m600=9?10e8?=:18'601==;i0b?;9:058?l3693:1(?;8:40`?k42>3;376g:1183>!42?3?9o6`=5782=>=n=9l1<7*=56866f=i:<<1=l54i42f>5<#:<=19?m4n375>4d<3`?;n7>5$374>04d3g8>:7?l;:k645<72-8>;7;=c:l113<6l21b8k;50;&112<2:j1e>8851d98m1`3290/>89553a8j73128l07b:82;29 7302==m7c<:6;28?j2093:1(?;8:55e?k42>3;07b:80;29 7302==m7c<:6;08?j21n3:1(?;8:55e?k42>3907b:8a;29 7302==m7c<:6;68?j2013:1(?;8:55e?k42>3?07b:88;29 7302==m7c<:6;48?j20?3:1(?;8:55e?k42>3=07b:86;29 7302==m7c<:6;:8?j20=3:1(?;8:55e?k42>3307b:84;29 7302==m7c<:6;c8?j20;3:1(?;8:55e?k42>3h07b:9e;29 7302==m7c<:6;a8?j21l3:1(?;8:55e?k42>3n07o<kf;295?6=8rB9hi5+2e;96a`<g;??6=44}c3:3?6=93:1<vF=de9'6a?=90=0c<79:188yg0>290o97=5d4yK6ab<R?k1=;u>4;3;>71=9<0947?8:26975<613;m6<851g81<?532;=1=84<0;3:>4>=9>0::7?;:|&1`<<1k;1/=h751878 66?2<=37)<;e;066>i48l0;66gn2b83>>o>>:0;6)<:7;;44>h5=?0;76g66383>!42?33<<6`=5782?>o>>80;6)<:7;;44>h5=?0976g66183>!42?33<<6`=5780?>o>>k0;6)<:7;;44>h5=?0?76g66`83>!42?33<<6`=5786?>o>>00;6)<:7;;44>h5=?0=76g66983>!42?33<<6`=5784?>o>>>0;6)<:7;;44>h5=?0376g66783>!42?33<<6`=578:?>o>><0;6)<:7;;44>h5=?0j76g66583>!42?33<<6`=578a?>o>=o0;6)<:7;;44>h5=?0h76g65d83>!42?33<<6`=578g?>i>j<0;66gn2g83>>if;80;66g6d983>!42?33n96`=5783?>o>l>0;6)<:7;;f1>h5=?0:76g6d783>!42?33n96`=5781?>o>l<0;6)<:7;;f1>h5=?0876g6e183>!42?33n96`=5787?>o>lo0;6)<:7;;f1>h5=?0>76g6dd83>!42?33n96`=5785?>o>lm0;6)<:7;;f1>h5=?0<76g6db83>!42?33n96`=578;?>o>lk0;6)<:7;;f1>h5=?0276g6d`83>!42?33n96`=578b?>o>l00;6)<:7;;f1>h5=?0i76g6d583>!42?33n96`=578`?>o>l:0;6)<:7;;f1>h5=?0o76gn2c83>>i>=m0;66a65b83>>o4980;66an1283>!42?3k9<6`=5783?>if9;0;6)<:7;c14>h5=?0:76an1083>!42?3k9<6`=5781?>if990;6)<:7;c14>h5=?0876an1c83>!42?3k9<6`=5787?>if9h0;6)<:7;c14>h5=?0>76an1883>!42?3k9<6`=5785?>if910;6)<:7;c14>h5=?0<76an1683>!42?3k9<6`=578;?>if9?0;6)<:7;c14>h5=?0276an1483>!42?3k9<6`=578b?>if9=0;6)<:7;c14>h5=?0i76an0g83>!42?3k9<6`=578`?>if8l0;6)<:7;c14>h5=?0o76g68183>!42?333h6`=5783?>o>?o0;6)<:7;;;`>h5=?0:76g67d83>!42?333h6`=5781?>o>?m0;6)<:7;;;`>h5=?0876g68983>!42?333h6`=5787?>o>0>0;6)<:7;;;`>h5=?0>76g68783>!42?333h6`=5785?>o>0<0;6)<:7;;;`>h5=?0<76g68583>!42?333h6`=578;?>o>0:0;6)<:7;;;`>h5=?0276g68383>!42?333h6`=578b?>o>080;6)<:7;;;`>h5=?0i76g67b83>!42?333h6`=578`?>o>?k0;6)<:7;;;`>h5=?0o76gn5083>>if;00;6)<:7;c72>h5=?0;76an3983>!42?3k?:6`=5782?>if;>0;6)<:7;c72>h5=?0976an3783>!42?3k?:6`=5780?>if<80;6)<:7;c72>h5=?0?76an4183>!42?3k?:6`=5786?>if;o0;6)<:7;c72>h5=?0=76an3d83>!42?3k?:6`=5784?>if;m0;6)<:7;c72>h5=?0376an3b83>!42?3k?:6`=578:?>if;k0;6)<:7;c72>h5=?0j76an3`83>!42?3k?:6`=578a?>if;<0;6)<:7;c72>h5=?0h76an3583>!42?3k?:6`=578g?>i1jk0;66a:7683>>of;:0;66g6bc83>!42?33h46`=5783?>o>jh0;6)<:7;;`<>h5=?0:76g6b883>!42?33h46`=5781?>o>j10;6)<:7;;`<>h5=?0876g6c283>!42?33h46`=5787?>o>k;0;6)<:7;;`<>h5=?0>76g6c083>!42?33h46`=5785?>o>k90;6)<:7;;`<>h5=?0<76g6bg83>!42?33h46`=578;?>o>jl0;6)<:7;;`<>h5=?0276g6be83>!42?33h46`=578b?>o>jj0;6)<:7;;`<>h5=?0i76g6b683>!42?33h46`=578`?>o>j?0;6)<:7;;`<>h5=?0o76g<1383>>of=;0;66an2d83>>if;;0;66an3183>>of:m0;66a:4d83>!42?3?=h6`=5783?>i2<m0;6)<:7;75`>h5=?0:76a:4c83>!42?3?=h6`=5781?>i2<h0;6)<:7;75`>h5=?0876a:4883>!42?3?=h6`=5787?>i2<10;6)<:7;75`>h5=?0>76a:4683>!42?3?=h6`=5785?>i2<?0;6)<:7;75`>h5=?0<76a:4483>!42?3?=h6`=578;?>i2<=0;6)<:7;75`>h5=?0276a:4283>!42?3?=h6`=578b?>i2<;0;6)<:7;75`>h5=?0i76a:4183>!42?3?=h6`=578`?>i2;o0;6)<:7;75`>h5=?0o76a:3d83>!42?3?=h6`=578f?>i2;m0;6)<:7;75`>h5=?0m76a:3b83>!42?3?=h6`=57824>=h=:h1<7*=56862a=i:<<1=<54o41b>5<#:<=19;j4n375>44<3f?857>5$374>00c3g8>:7?<;:m67=<72-8>;7;9d:l113<6<21d9>950;&112<2>m1e>8851498k033290/>89557f8j73128<07b;:3;29 7302<<o7c<:6;34?>i2=;0;6)<:7;75`>h5=?0:465`54394?"5=>0>:i5a24495<=<g<?;6=4+245913b<f;?=6<o4;n77b?6=,;?<688k;o062?7e32e>8n4?:%063?31l2d99;4>c:9l117=83.99:4:6e9m600=9m10c8=9:18'601==?n0b?;9:0g8?j34=3:1(?;8:44g?k42>3;m76g:0e83>!42?3?9o6`=5783?>o28j0;6)<:7;71g>h5=?0:76g:0`83>!42?3?9o6`=5781?>o2800;6)<:7;71g>h5=?0876g:0983>!42?3?9o6`=5787?>o28>0;6)<:7;71g>h5=?0>76g:0783>!42?3?9o6`=5785?>o28<0;6)<:7;71g>h5=?0<76g:0583>!42?3?9o6`=578;?>o28:0;6)<:7;71g>h5=?0276g:0383>!42?3?9o6`=578b?>o2880;6)<:7;71g>h5=?0i76g;fg83>!42?3?9o6`=578`?>o3nl0;6)<:7;71g>h5=?0o76g;fe83>!42?3?9o6`=578f?>o3nj0;6)<:7;71g>h5=?0m76g;fc83>!42?3?9o6`=57824>=n<ok1<7*=56866f=i:<<1=<54i5d:>5<#:<=19?m4n375>44<3`>m47>5$374>04d3g8>:7?<;:k7b2<72-8>;7;=c:l113<6<21b8k850;&112<2:j1e>8851498m074290/>89553a8j73128<07d;>2;29 7302<8h7c<:6;34?>o2980;6)<:7;71g>h5=?0:465f50294?"5=>0>>n5a24495<=<a<:m6=4+245917e<f;?=6<o4;h73a?6=,;?<68<l;o062?7e32c><o4?:%063?35k2d99;4>c:9j156=83.99:4:2b9m600=9m10e9h::18'601==;i0b?;9:0g8?l2a<3:1(?;8:40`?k42>3;m76a<0g83>>o4990;66g6f483>!42?3k;>6`=5783?>o>n=0;6)<:7;c36>h5=?0:76g6f283>!42?3k;>6`=5781?>o>n;0;6)<:7;c36>h5=?0876g6fe83>!42?3k;>6`=5787?>o>nj0;6)<:7;c36>h5=?0>76g6fc83>!42?3k;>6`=5785?>o>nh0;6)<:7;c36>h5=?0<76g6f883>!42?3k;>6`=578;?>o>n10;6)<:7;c36>h5=?0276g6f683>!42?3k;>6`=578b?>o>n?0;6)<:7;c36>h5=?0i76g6f083>!42?3k;>6`=578`?>o>n90;6)<:7;c36>h5=?0o76a;7383>!42?3><j6`=5783?>i3?80;6)<:7;64b>h5=?0:76a;7183>!42?3><j6`=5781?>i3>o0;6)<:7;64b>h5=?0876a;7`83>!42?3><j6`=5787?>i3?00;6)<:7;64b>h5=?0>76a;7983>!42?3><j6`=5785?>i3?>0;6)<:7;64b>h5=?0<76a;7783>!42?3><j6`=578;?>i3?<0;6)<:7;64b>h5=?0276a;7583>!42?3><j6`=578b?>i3?:0;6)<:7;64b>h5=?0i76a;6d83>!42?3><j6`=578`?>i3>m0;6)<:7;64b>h5=?0o76a;8`83>>o>1m0;6)<:7;;be>h5=?0;76g69b83>!42?33jm6`=5782?>o>1k0;6)<:7;;be>h5=?0976g69`83>!42?33jm6`=5780?>o>i<0;6)<:7;;be>h5=?0?76g6a583>!42?33jm6`=5786?>o>i:0;6)<:7;;be>h5=?0=76g6a383>!42?33jm6`=5784?>o>i80;6)<:7;;be>h5=?0376g6a183>!42?33jm6`=578:?>o>1o0;6)<:7;;be>h5=?0j76g69d83>!42?33jm6`=578a?>o>100;6)<:7;;be>h5=?0h76g69983>!42?33jm6`=578g?>of8m0;66l<0783>4<729q/>i751858L6623A8oh6a>9783>>{e:mk1<7?:5;0`=?5f1rB9hi5+2e;912?<R?k1=9u>a;06>70=:909=7<=:0a95`<5;3;i6?:53282`?`=:o0:?7?=:03955<b2t.j994n529l<g<722e3m7>5;h50>5<<a;i26=44o7794?=n:jl1<75`8883>>i?k3:17b<i0;29?l0?2900c<6n:188m6662900c?jm:188k32=831b>o750;9l605=831b>no50;9l6ac=831d=4:50;9l60?=831b>k?50;9l6=1=831b::4?::k047<722c9h94?::m4b?6=,;?<6:k4n375>5=<g>n1<7*=5684a>h5=?0:76a8c;29 7302>o0b?;9:398k2d=83.99:48e:l113<432e347>5$374>2c<f;?=6954o9594?"5=>0<i6`=5786?>i?>3:1(?;8:6g8j7312?10c5;50;&112<0m2d99;48;:m;0?6=,;?<6:k4n375>==<g191<7*=5684a>h5=?0276a72;29 7302>o0b?;9:`98k=7=83.99:48e:l113<e32e3<7>5$374>2c<f;?=6n54o6c94?"5=>0<i6`=578g?>i3lk0;6)<:7;6ge>h5=?0;76a;d883>!42?3>om6`=5782?>i3l10;6)<:7;6ge>h5=?0976a;d683>!42?3>om6`=5780?>i3m=0;6)<:7;6ge>h5=?0?76a;e283>!42?3>om6`=5786?>i3m;0;6)<:7;6ge>h5=?0=76a;e083>!42?3>om6`=5784?>i3m90;6)<:7;6ge>h5=?0376a;dg83>!42?3>om6`=578:?>i3ll0;6)<:7;6ge>h5=?0j76a;de83>!42?3>om6`=578a?>i3lj0;6)<:7;6ge>h5=?0h76a;d783>!42?3>om6`=578g?>i3mh0;6)<:7;6f=>h5=?0;76a;e983>!42?3>n56`=5782?>i3m>0;6)<:7;6f=>h5=?0976a;e783>!42?3>n56`=5780?>i3n:0;6)<:7;6f=>h5=?0?76a;f383>!42?3>n56`=5786?>i3n80;6)<:7;6f=>h5=?0=76a;f183>!42?3>n56`=5784?>i3mo0;6)<:7;6f=>h5=?0376a;ed83>!42?3>n56`=578:?>i3mm0;6)<:7;6f=>h5=?0j76a;eb83>!42?3>n56`=578a?>i3mk0;6)<:7;6f=>h5=?0h76a;e483>!42?3>n56`=578g?>i3190;6)<:7;6;b>h5=?0;76a;8d83>!42?3>3j6`=5782?>i30m0;6)<:7;6;b>h5=?0976a;8b83>!42?3>3j6`=5780?>i3100;6)<:7;6;b>h5=?0?76a;9983>!42?3>3j6`=5786?>i31>0;6)<:7;6;b>h5=?0=76a;9783>!42?3>3j6`=5784?>i31<0;6)<:7;6;b>h5=?0376a;9583>!42?3>3j6`=578:?>i31:0;6)<:7;6;b>h5=?0j76a;9383>!42?3>3j6`=578a?>i3180;6)<:7;6;b>h5=?0h76a;8c83>!42?3>3j6`=578g?>i31o0;6)<:7;6:a>h5=?0;76a;9e83>!42?3>2i6`=5782?>i31j0;6)<:7;6:a>h5=?0976a;9c83>!42?3>2i6`=5780?>i3i10;6)<:7;6:a>h5=?0?76a;a683>!42?3>2i6`=5786?>i3i?0;6)<:7;6:a>h5=?0=76a;a483>!42?3>2i6`=5784?>i3i=0;6)<:7;6:a>h5=?0376a;a283>!42?3>2i6`=578:?>i3i;0;6)<:7;6:a>h5=?0j76a;a083>!42?3>2i6`=578a?>i3i90;6)<:7;6:a>h5=?0h76a;9`83>!42?3>2i6`=578g?>i3il0;6)<:7;6b`>h5=?0;76a;ab83>!42?3>jh6`=5782?>i3ik0;6)<:7;6b`>h5=?0976a;a`83>!42?3>jh6`=5780?>i3j>0;6)<:7;6b`>h5=?0?76a;b783>!42?3>jh6`=5786?>i3j<0;6)<:7;6b`>h5=?0=76a;b583>!42?3>jh6`=5784?>i3j:0;6)<:7;6b`>h5=?0376a;b383>!42?3>jh6`=578:?>i3j80;6)<:7;6b`>h5=?0j76a;b183>!42?3>jh6`=578a?>i3io0;6)<:7;6b`>h5=?0h76a;a883>!42?3>jh6`=578g?>if93:1(?;8:`28j7312910c4h50;&112<f82d99;4>;:m:a?6=,;?<6l>4n375>7=<g0n1<7*=568b4>h5=?0876ana;29 7302h:0b?;9:598kd?=83.99:4n0:l113<232ej47>5$374>d6<f;?=6;54o`594?"5=>0j<6`=5784?>if>3:1(?;8:`28j7312110cl;50;&112<f82d99;46;:mb0?6=,;?<6l>4n375>d=<gh91<7*=568b4>h5=?0i76an2;29 7302h:0b?;9:b98k<e=83.99:4n0:l113<c32e9j:4?:%063?4a>2d99;4?;:m1b0<72-8>;7<i6:l113<632e9j94?:%063?4a>2d99;4=;:m1b6<72-8>;7<i6:l113<432e8<=4?:%063?4a>2d99;4;;:m1bc<72-8>;7<i6:l113<232e9jh4?:%063?4a>2d99;49;:m1ba<72-8>;7<i6:l113<032e9jn4?:%063?4a>2d99;47;:m1bg<72-8>;7<i6:l113<>32e9jl4?:%063?4a>2d99;4n;:m1b<<72-8>;7<i6:l113<e32e9j54?:%063?4a>2d99;4l;:m1b7<72-8>;7<i6:l113<c32e?ni4?:%063?2ek2d99;4?;:m7fg<72-8>;7:mc:l113<632e?nl4?:%063?2ek2d99;4=;:m7f<<72-8>;7:mc:l113<432e?o;4?:%063?2ek2d99;4;;:m7g0<72-8>;7:mc:l113<232e?o94?:%063?2ek2d99;49;:m7g6<72-8>;7:mc:l113<032e?o?4?:%063?2ek2d99;47;:m7g4<72-8>;7:mc:l113<>32e?o=4?:%063?2ek2d99;4n;:m7fc<72-8>;7:mc:l113<e32e?nh4?:%063?2ek2d99;4l;:m7f=<72-8>;7:mc:l113<c32e2>7>5$374><7<f;?=6=54o8294?"5=>02=6`=5782?>i?n3:1(?;8:838j7312;10c5k50;&112<>92d99;4<;:m:f?6=,;?<64?4n375>1=<g0k1<7*=568:5>h5=?0>76a69;29 73020;0b?;9:798k<>=83.99:461:l113<032e2;7>5$374><7<f;?=6554o8494?"5=>02=6`=578:?>i>=3:1(?;8:838j7312h10c4:50;&112<>92d99;4m;:m:7?6=,;?<64?4n375>f=<g1n1<7*=568:5>h5=?0o76a;cb83>!42?3>hn6`=5783?>i3kh0;6)<:7;6`f>h5=?0:76a;c883>!42?3>hn6`=5781?>i3k10;6)<:7;6`f>h5=?0876a;d483>!42?3>hn6`=5787?>i3l=0;6)<:7;6`f>h5=?0>76a;d283>!42?3>hn6`=5785?>i3l;0;6)<:7;6`f>h5=?0<76a;d083>!42?3>hn6`=578;?>i3l90;6)<:7;6`f>h5=?0276a;cg83>!42?3>hn6`=578b?>i3kl0;6)<:7;6`f>h5=?0i76a;ce83>!42?3>hn6`=578`?>i3k>0;6)<:7;6`f>h5=?0o76a>8083>!42?3;3<6`=5783?>i6?o0;6)<:7;3;4>h5=?0:76a>7e83>!42?3;3<6`=5781?>i6?j0;6)<:7;3;4>h5=?0876a>7c83>!42?3;3<6`=5787?>i6?h0;6)<:7;3;4>h5=?0>76a>7883>!42?3;3<6`=5785?>i6?10;6)<:7;3;4>h5=?0<76a>7683>!42?3;3<6`=578;?>i6??0;6)<:7;3;4>h5=?0276a>7483>!42?3;3<6`=578b?>i6?=0;6)<:7;3;4>h5=?0i76a>7383>!42?3;3<6`=578`?>i6?80;6)<:7;3;4>h5=?0o76a>7183>!42?3;3<6`=578f?>i6>o0;6)<:7;3;4>h5=?0m76a>6d83>!42?3;3<6`=57824>=h9?n1<7*=5682<5=i:<<1=<54o04`>5<#:<=1=5>4n375>44<3f;=n7>5$374>4>73g8>:7?<;:m22d<72-8>;7?70:l113<6<21d=;750;&112<6091e>8851498k4>?290/>8951928j73128<07b?77;29 730282;7c<:6;34?>i60?0;6)<:7;3;4>h5=?0:465`19794?"5=>0:4=5a24495<=<g82?6=4+24595=6<f;?=6<o4;n3;7?6=,;?<6<6?;o062?7e32e:4?4?:%063?7?82d99;4>c:9l52c=83.99:4>819m600=9m10c<9<:18'601=91:0b?;9:0g8?j7103:1(?;8:0:3?k42>3;m76a=bg83>!42?38ii6`=5783?>i5jm0;6)<:7;0aa>h5=?0:76a=bb83>!42?38ii6`=5781?>i5jk0;6)<:7;0aa>h5=?0876a=c983>!42?38ii6`=5787?>i5k>0;6)<:7;0aa>h5=?0>76a=c783>!42?38ii6`=5785?>i5k<0;6)<:7;0aa>h5=?0<76a=c583>!42?38ii6`=578;?>i5k:0;6)<:7;0aa>h5=?0276a=c383>!42?38ii6`=578b?>i5k80;6)<:7;0aa>h5=?0i76a=c183>!42?38ii6`=578`?>i5jh0;6)<:7;0aa>h5=?0o76g=6d83>!42?38=h6`=5783?>o5>j0;6)<:7;05`>h5=?0:76g=6c83>!42?38=h6`=5781?>o5>h0;6)<:7;05`>h5=?0876g=7683>!42?38=h6`=5787?>o5??0;6)<:7;05`>h5=?0>76g=7483>!42?38=h6`=5785?>o5?=0;6)<:7;05`>h5=?0<76g=7283>!42?38=h6`=578;?>o5?;0;6)<:7;05`>h5=?0276g=7083>!42?38=h6`=578b?>o5?90;6)<:7;05`>h5=?0i76g=6g83>!42?38=h6`=578`?>o5>00;6)<:7;05`>h5=?0o76g>0983>!42?3;;;6`=5783?>o68?0;6)<:7;333>h5=?0:76g>0583>!42?3;;;6`=5781?>o68:0;6)<:7;333>h5=?0876g>0383>!42?3;;;6`=5787?>o6880;6)<:7;333>h5=?0>76g>0183>!42?3;;;6`=5785?>oan3:1(?;8:024?k42>3=07dhj:18'601=99=0b?;9:998mcb=83.99:4>069m600=121bjn4?:%063?77?2d99;4n;:kef?6=,;?<6<>8;o062?d<3`l26=4+2459551<f;?=6n54ig:94?"5=>0:<:5a2449`>=nn>0;6)<:7;333>h5=?0n76gi6;29 73028:<7c<:6;d8?l`2290/>8951158j73128:07dh;:18'601=99=0b?;9:038?l`4290/>8951158j73128807dh=:18'601=99=0b?;9:018?l`6290/>8951158j73128>07dh?:18'601=99=0b?;9:078?l77n3:1(?;8:024?k42>3;=76g>0d83>!42?3;;;6`=57823>=n99n1<7*=568242=i:<<1=554i02`>5<#:<=1==94n375>4?<3`;;n7>5$374>4603g8>:7?n;:k24d<72-8>;7??7:l113<6j21b==750;&112<68>1e>8851b98m462290/>8951158j73128n07dhn:18'601=99=0b?;9:0g8?lca290/>8951158j73128l07d<nb;29 7302;kj7c<:6;28?l4f13:1(?;8:3cb?k42>3;07d<n8;29 7302;kj7c<:6;08?l4f?3:1(?;8:3cb?k42>3907d<m4;29 7302;kj7c<:6;68?l4e;3:1(?;8:3cb?k42>3?07d<m2;29 7302;kj7c<:6;48?l4e93:1(?;8:3cb?k42>3=07d<m0;29 7302;kj7c<:6;:8?l4fn3:1(?;8:3cb?k42>3307d<ne;29 7302;kj7c<:6;c8?l4fl3:1(?;8:3cb?k42>3h07d<nc;29 7302;kj7c<:6;a8?l4f>3:1(?;8:3cb?k42>3n07d<6c;29 7302;3i7c<:6;28?l4>i3:1(?;8:3;a?k42>3;07d<69;29 7302;3i7c<:6;08?l4>03:1(?;8:3;a?k42>3907d<n5;29 7302;3i7c<:6;68?l4f<3:1(?;8:3;a?k42>3?07d<n3;29 7302;3i7c<:6;48?l4f:3:1(?;8:3;a?k42>3=07d<n1;29 7302;3i7c<:6;:8?l4f83:1(?;8:3;a?k42>3307d<6f;29 7302;3i7c<:6;c8?l4>m3:1(?;8:3;a?k42>3h07d<6d;29 7302;3i7c<:6;a8?l4>?3:1(?;8:3;a?k42>3n07d<7d;29 7302;2h7c<:6;28?l4?j3:1(?;8:3:`?k42>3;07d<7a;29 7302;2h7c<:6;08?l4?13:1(?;8:3:`?k42>3907d<66;29 7302;2h7c<:6;68?l4>=3:1(?;8:3:`?k42>3?07d<64;29 7302;2h7c<:6;48?l4>;3:1(?;8:3:`?k42>3=07d<62;29 7302;2h7c<:6;:8?l4>93:1(?;8:3:`?k42>3307d<60;29 7302;2h7c<:6;c8?l4?n3:1(?;8:3:`?k42>3h07d<7e;29 7302;2h7c<:6;a8?l4?03:1(?;8:3:`?k42>3n07d<8d;29 7302;=h7c<:6;28?l40j3:1(?;8:35`?k42>3;07d<8a;29 7302;=h7c<:6;08?l4013:1(?;8:35`?k42>3907d<76;29 7302;=h7c<:6;68?l4?=3:1(?;8:35`?k42>3?07d<74;29 7302;=h7c<:6;48?l4?;3:1(?;8:35`?k42>3=07d<72;29 7302;=h7c<:6;:8?l4?93:1(?;8:35`?k42>3307d<70;29 7302;=h7c<:6;c8?l40n3:1(?;8:35`?k42>3h07d<8e;29 7302;=h7c<:6;a8?l4003:1(?;8:35`?k42>3n07d<:f;29 7302;?n7c<:6;28?l42l3:1(?;8:37f?k42>3;07d<:c;29 7302;?n7c<:6;08?l42j3:1(?;8:37f?k42>3907d<98;29 7302;?n7c<:6;68?l41?3:1(?;8:37f?k42>3?07d<96;29 7302;?n7c<:6;48?l41=3:1(?;8:37f?k42>3=07d<94;29 7302;?n7c<:6;:8?l41;3:1(?;8:37f?k42>3307d<92;29 7302;?n7c<:6;c8?l4193:1(?;8:37f?k42>3h07d<90;29 7302;?n7c<:6;a8?l42i3:1(?;8:37f?k42>3n07o=?6;295?6=8r.9h44>969K753<@;no7b?66;29?xd48>0;6<4?:1y'6a?=:ml0D>>:;I0g`>i5==0;66sm6cf94?3=83:p(?j6:0;0?M57=2B9hi5G679'5d2=92c=n7>5;h52>5<<a>31<75f24:94?=h:k?1<75rb7`e>5<2290;w)<k9;3:7>N48<1C>ij4H748 4g3281b:o4?::k45?6=3`=26=44i37;>5<<g;h>6=44}c4ag?6=<3:1<v*=d882=7=O;9?0D?jk;I45?!7f<3;0e;l50;9j3<<722c9954?::m1f0<722wi:ok50;694?6|,;n26<7=;I131>N5lm1C:;5+1`695>o1j3:17d96:188m73?2900c?l::188yg24>3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f13729086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn9=j:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi88850;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa016=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`712<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th?8?4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk>>47>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c670?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb57:>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj=>=6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e<<k1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm45:94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl;5c83>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd3<h0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg22k3:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo:;c;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn9;k:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f12b290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa00c=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi8>650;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th?9<4?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`77d<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c666?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk>8o7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj=?86=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb577>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj=?m6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f107290>6=4?{%0g=?7>;2B8<85G2ef8 4g32j1b:o4?::k45?6=3`=26=44i37;>5<<g;h>6=44}c651?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:96;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>=57>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg21?3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c65<?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:9a;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>=n7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg21k3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c655?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:94;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>=>7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg21;3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1e5?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb52a>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:l26=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e<8;1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm3g`94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl;1383>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd4nm0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg26;3:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo=if;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn9?;:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f166290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa043=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi8==50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th?=;4?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`740<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c623?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk>;;7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj=;36=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb52:>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm40;94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;o91<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd38j0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<f483>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo:?d;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg5a?3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f16b29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn9>i:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f17f290>6=4?{%0g=?7>;2B8<85G2ef8 4g32j1b:o4?::k45?6=3`=26=44i37;>5<<g;h>6=44}c62f?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:=0;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>9=7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg25<3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c616?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:=3;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>997>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg25>3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c613?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:>c;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>:j7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg26l3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c62a?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=97;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn>6>:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f60a290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa7=1=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi?:?50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th8454?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`036<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c1;=?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk9<97>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj:2j6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb254>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm39`94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;>31<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd40j0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<7c83>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo=7d;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg50l3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f6>b29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>9i:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi?5h50;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa73?=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`0<7<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th8:o4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk93?7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c15`?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb2:7>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:2>6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb2;3>5<2290;w)<k9;3:7>N48<1C>ij4$0c7>f=n>k0;66g81;29?l1>2900e?;7:188k7d22900qo=61;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk92:7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg5>?3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1:e?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=68;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk9257>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg5>j3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1:g?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=6d;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk92>7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg5>=3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1:7?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=64;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk9jo7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj:i=6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb2`7>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm3ba94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;k<1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd4km0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<b983>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo=le;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg5ei3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f6ea29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>ll:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi?i>50;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa7gc=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`0`4<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th8o=4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk9o>7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c1`6?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb2f0>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:i?6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e;m>1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm3`g94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl<c683>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd4j90;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg5d03:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo=m2;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn>m6:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f6ef29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>j::186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:n=6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f6be290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb2f`>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn>jk:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:nn6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f6ba290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb2g3>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn>k>:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:o96=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f6b0290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb2f;>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn>j6:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:nj6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f646290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa76d=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi??750;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th88<4?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`06g<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c176?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk99h7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj:>86=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb20e>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm35694?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;:;1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd4<<0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<3283>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo=;6;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg54=3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f62029086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>=8:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi?9650;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa76?=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`00<<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th8>>4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk98o7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c111?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb21g>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:8<6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e;:o1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm32d94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;=h1<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa71e=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm34394?3=83:p(?j6:0;3?M57=2B9hi5+1`695>o1j3:17d9>:188m20=831b;44?::m1f0<722wi?8<50;794?6|,;n26<7?;I131>N5lm1/=l:51:k5f?6=3`=:6=44i6494?=n?00;66a=b483>>{e;<91<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa702=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm34794?3=83:p(?j6:0;3?M57=2B9hi5+1`695>o1j3:17d9>:188m20=831b;44?::m1f0<722wi?8850;794?6|,;n26<7?;I131>N5lm1/=l:51:k5f?6=3`=:6=44i6494?=n?00;66a=b483>>{e;<=1<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa70>=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm35f94?3=83:p(?j6:0;3?M57=2B9hi5+1`695>o1j3:17d9>:188m20=831b;44?::m1f0<722wi?9k50;794?6|,;n26<7?;I131>N5lm1/=l:51:k5f?6=3`=:6=44i6494?=n?00;66a=b483>>{e;=l1<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa706=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm65594?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl94983>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo8;9;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn;:n:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi:9l50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th=8n4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk<?h7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj?<96=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e>?91<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd1>=0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg01=3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f301290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa231=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`52=<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c474?6=<3:1<v*=d882=7=O;9?0D?jk;%3b0?e<a?h1<75f7883>>o5=10;66a=b483>>{e>121<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd1000;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg0?i3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f3>e290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa2=e=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`5<a<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c4;a?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb7c0>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm6`694?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl9a483>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo8n6;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn;o8:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi:l650;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th=m44?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk<3=7>54;294~"5l00:5?5G3178L7bc3-;j87?4i7`94?=n?00;66g=5983>>i5j<0;66sm6cc94?2=83:p(?j6:0;1?M57=2B9hi5+1`69g>o1j3:17d96:188m73?2900c?l::188yg3c?3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f0b?290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa1a?=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`6`d<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c7gf?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb4f`>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm5ef94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl:f383>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo;i3;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn8h;:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi9k;50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th>j;4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk?m;7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj<l36=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e>9n1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd18l0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg07n3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f377290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa247=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`557<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c427?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb70;>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm63;94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl92`83>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo8=b;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn;<l:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi:?j50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th=>h4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk?3m7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj<k>6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb4:f>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm5`c94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e=1l1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd2ik0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl:9183>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo;nc;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg3>93:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f0gc29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn87=:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi9lk50;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa1<5=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`6ec<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th>594?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk?i<7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c7:1?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb4`2>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj<3=6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e=k81<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm59`94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl:a783>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd20j0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg3f?3:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo;7d;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn8o7:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f0g>29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn8m>:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<i96=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f0e0290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb4a;>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn8m6:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<ij6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f0ee290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb4a`>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn8mk:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<in6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f0e4290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb4a7>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn8m::186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<i=6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f264290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg12l3:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd1m:0;694?:1y'6a?=9o<0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?;?1<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>=m6=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk<n:7>54;294~"5l00:j;5G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<?<4?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;5l50;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn;h=:187>5<7s-8o57?i6:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo9<4;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl88d83>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm6g794?2=83:p(?j6:0d5?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb616>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5;b?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`5b3<72=0;6=u+2e;95c0<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa<35=83>1<7>t$3f:>4?63A9;96F=de9'5d2=92c=n7>5;h52>5<<a>31<75`2c794?=zj1<96=4;:183\7f!4c13;2=6F<049K6ab<,8k?6<5f6c83>>o093:17d96:188k7d22900qo691;290?6=8r.9h44>909K753<@;no7)?n4;38m3d=831b;<4?::k4=?6=3f8i97>5;|`:7f<72j81<7>t$3f:>7d13A9;96F=de9Y2d<ds;31>l4=d;0f>63=;?08;7=7:23977<4139j6p*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=380(<k9:39'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?j7;3;b>"6m10:4k5+2c59606<,;h36?;?;o0`f?7<f;ih6<5+2e09606<,8k96>5+1`197>"5mj09io5+2df96`d<f;on6<5a2dd95>h6;k0;7c?:d;28 7b6282m7)<k5;08 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)<k6;08m3b=831b:h4?::k40?6=3`=>6=44i0:a>5<<a;io6=44i3af>5<<a82h6=44i3f4>5<<a;n36=44o7d94?=h?90;66g;a;29 7302=30b?;9:198m1>=83.99:4;9:l113<632c?;7>5$374>1?<f;?=6?54i5494?"5=>0?56`=5780?>o3=3:1(?;8:5;8j7312=10e8=50;&112<312d99;4:;:k66?6=,;?<6974n375>3=<a<;1<7*=5687=>h5=?0<76g:0;29 7302=30b?;9:998m1`=83.99:4;9:l113<>32c?i7>5$374>1?<f;?=6l54i5f94?"5=>0?56`=578a?>o3k3:1(?;8:5;8j7312j10e9l50;&112<312d99;4k;:k70?6=,;?<6974n375>`=<a<k1<7*=5686=>h5=?0;76g:8;29 7302<30b?;9:098m01=83.99:4:9:l113<532c>:7>5$374>0?<f;?=6>54i4794?"5=>0>56`=5787?>o1;3:1(?;8:4;8j7312<10e;<50;&112<212d99;49;:k55?6=,;?<6874n375>2=<a?:1<7*=5686=>h5=?0376g:f;29 7302<30b?;9:898m0c=83.99:4:9:l113<f32c>h7>5$374>0?<f;?=6o54i4a94?"5=>0>56`=578`?>o2j3:1(?;8:4;8j7312m10e8:50;&112<212d99;4j;:k`2?6=,;?<6n;4n375>5=<aj>1<7*=568`1>h5=?0:76gl2;29 7302j?0b?;9:398mf7=83.99:4l5:l113<432ch<7>5$374>f3<f;?=6954icd94?"5=>0h96`=5786?>oem3:1(?;8:b78j7312?10eoj50;&112<d=2d99;48;:kag?6=,;?<6n;4n375>==<akh1<7*=568`1>h5=?0276gma;29 7302j?0b?;9:`98mg?=83.99:4l5:l113<e32ci;7>5$374>f3<f;?=6n54ic494?"5=>0h96`=578g?>oe=3:1(?;8:b78j7312l10eo:50;&112<d=2d99;4i;:ka7?6=,;?<6n;4n375>46<3`h96=4+2459g0=i:<<1=<54ic394?"5=>0h96`=57826>=nj90;6)<:7;a6?k42>3;876gnf;29 7302j?0b?;9:068?lgb290/>895c49m600=9<10enj50;&112<d=2d99;4>6:9jgf<72-8>;7m:;o062?7032chn7>5$374>f3<f;?=6<64;hab>5<#:<=1o85a24495<=<aj31<7*=568`1>h5=?0:m65fc983>!42?3i>7c<:6;3a?>od?3:1(?;8:b78j73128i07dm<:18'601=k<1e>8851e98mg>=83.99:4l5:l113<6m21bmi4?:%063?e23g8>:7?i;:kf3?6=,;?<6h84n375>5=<al?1<7*=568f2>h5=?0:76gj3;29 7302l<0b?;9:398m`4=83.99:4j6:l113<432cn=7>5$374>`0<f;?=6954id294?"5=>0n:6`=5786?>ocn3:1(?;8:d48j7312?10eik50;&112<b>2d99;48;:kg`?6=,;?<6h84n375>==<ami1<7*=568f2>h5=?0276gkb;29 7302l<0b?;9:`98mag=83.99:4j6:l113<e32co47>5$374>`0<f;?=6n54ie594?"5=>0n:6`=578g?>oc>3:1(?;8:d48j7312l10ei;50;&112<b>2d99;4i;:kg0?6=,;?<6h84n375>46<3`n86=4+2459a3=i:<<1=<54ie094?"5=>0n:6`=57826>=nl80;6)<:7;g5?k42>3;876gk0;29 7302l<0b?;9:068?lea290/>895e79m600=9<10ehk50;&112<b>2d99;4>6:9jaa<72-8>;7k9;o062?7032cno7>5$374>`0<f;?=6<64;hga>5<#:<=1i;5a24495<=<alk1<7*=568f2>h5=?0:m65fe883>!42?3o=7c<:6;3a?>ob03:1(?;8:d48j73128i07dk;:18'601=m?1e>8851e98ma?=83.99:4j6:l113<6m21boh4?:%063?c13g8>:7?i;:k251<72-8>;7?>3:l113<732c:=?4?:%063?76;2d99;4>;:k254<72-8>;7?>3:l113<532c:==4?:%063?76;2d99;4<;:k25<<72-8>;7?>8:l113<732c:=:4?:%063?7602d99;4>;:k253<72-8>;7?>8:l113<532c:=84?:%063?7602d99;4<;:k1a0<72-8>;7<j4:l113<732c9i>4?:%063?4b<2d99;4>;:k1a7<72-8>;7<j4:l113<532c9i<4?:%063?4b<2d99;4<;:k1ad<72-8>;7<j9:l113<732c9i54?:%063?4b12d99;4>;:k1a2<72-8>;7<j9:l113<532c9i;4?:%063?4b12d99;4<;:m276<72-8>;7?<2:l113<732e:?<4?:%063?74:2d99;4>;:m26c<72-8>;7?<2:l113<532e:>h4?:%063?74:2d99;4<;:m26a<72-8>;7?<2:l113<332e:>n4?:%063?74:2d99;4:;:m26g<72-8>;7?<2:l113<132e:>l4?:%063?74:2d99;48;:m26<<72-8>;7?<2:l113<?32e:>54?:%063?74:2d99;46;:m262<72-8>;7?<2:l113<f32e:>;4?:%063?74:2d99;4m;:m261<72-8>;7?<2:l113<d32e:>>4?:%063?74:2d99;4k;:m267<72-8>;7?<2:l113<b32e:><4?:%063?74:2d99;4i;:m265<72-8>;7?<2:l113<6821d=<h50;&112<6;;1e>8851098k47b290/>8951208j73128807b?>d;29 73028997c<:6;30?>i69j0;6)<:7;306>h5=?0:865`10`94?"5=>0:??5a244950=<g89j6=4+2459564<f;?=6<84;n30=?6=,;?<6<==;o062?7032e:?54?:%063?74:2d99;4>8:9l561=83.99:4>339m600=9010c<=9:18'601=9:80b?;9:0c8?j74=3:1(?;8:011?k42>3;i76a>3583>!42?3;8>6`=5782g>=h9::1<7*=568277=i:<<1=i54o006>5<#:<=1=><4n375>4c<3f;:m7>5$374>4553g8>:7?i;:m210<72-8>;7?:4:l113<732e:9>4?:%063?72<2d99;4>;:m214<72-8>;7?:4:l113<532e:9=4?:%063?72<2d99;4<;:m20c<72-8>;7?:4:l113<332e:8h4?:%063?72<2d99;4:;:m20a<72-8>;7?:4:l113<132e:8n4?:%063?72<2d99;48;:m20g<72-8>;7?:4:l113<?32e:8l4?:%063?72<2d99;46;:m20<<72-8>;7?:4:l113<f32e:854?:%063?72<2d99;4m;:m203<72-8>;7?:4:l113<d32e:884?:%063?72<2d99;4k;:m201<72-8>;7?:4:l113<b32e:8>4?:%063?72<2d99;4i;:m207<72-8>;7?:4:l113<6821d=9?50;&112<6==1e>8851098k427290/>8951468j73128807b?<f;29 73028??7c<:6;30?>i6;l0;6)<:7;360>h5=?0:865`12f94?"5=>0:995a244950=<g8?h6=4+2459502<f;?=6<84;n36f?6=,;?<6<;;;o062?7032e:9l4?:%063?72<2d99;4>8:9l50?=83.99:4>559m600=9010c<;7:18'601=9<>0b?;9:0c8?j72?3:1(?;8:077?k42>3;i76a>5783>!42?3;>86`=5782g>=h9<81<7*=568211=i:<<1=i54o064>5<#:<=1=8:4n375>4c<3f;8o7>5$374>4333g8>:7?i;:m227<72-8>;7?91:l113<732e::=4?:%063?7192d99;4>;:m21c<72-8>;7?91:l113<532e:9h4?:%063?7192d99;4<;:m222<72-8>;7?96:l113<732e::84?:%063?71>2d99;4>;:m221<72-8>;7?96:l113<532e::>4?:%063?71>2d99;4<;:\7fa=11=83i96=4?{%0g=?4e>2B8<85G2ef8^3g=kr826?o52e81a?522:<1?:4<8;12>64=;008m7s+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<097)?j6;08 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>e682<c=#9l21=5h4$3`4>7373-8i47<:0:l1gg<63g8ho7?4$3f1>7373-;j>7=4$0c0>6=#:li1>hl4$3gg>7ce3g8ni7?4n3ge>4=i9:h1<6`>5e83?!4c93;3j6*=d481?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*=d781?l0c2900e;k50;9j31<722c<97>5;h3;f?6=3`8hh7>5;h0`a?6=3`;3o7>5;h0g3?6=3`8o47>5;n4e>5<<g>:1<75f4`83>!42?3>27c<:6;28?l2?290/>895489m600=921b8:4?:%063?2>3g8>:7<4;h65>5<#:<=1845a24497>=n<<0;6)<:7;6:?k42>3>07d;<:18'601=<01e>8855:9j17<72-8>;7:6;o062?0<3`?:6=4+24590<=i:<<1;65f5183>!42?3>27c<:6;:8?l2a290/>895489m600=121b8h4?:%063?2>3g8>:7o4;h6g>5<#:<=1845a2449f>=n<j0;6)<:7;6:?k42>3i07d:m:18'601=<01e>885d:9j01<72-8>;7:6;o062?c<3`?j6=4+24591<=i:<<1<65f5983>!42?3?27c<:6;38?l30290/>895589m600=:21b9;4?:%063?3>3g8>:7=4;h76>5<#:<=1945a24490>=n>:0;6)<:7;7:?k42>3?07d8=:18'601==01e>8856:9j24<72-8>;7;6;o062?1<3`<;6=4+24591<=i:<<1465f5g83>!42?3?27c<:6;;8?l3b290/>895589m600=i21b9i4?:%063?3>3g8>:7l4;h7`>5<#:<=1945a2449g>=n=k0;6)<:7;7:?k42>3n07d;;:18'601==01e>885e:9jg3<72-8>;7m:;o062?6<3`i?6=4+2459g0=i:<<1=65fc383>!42?3i>7c<:6;08?le6290/>895c49m600=;21bo=4?:%063?e23g8>:7:4;h`e>5<#:<=1o85a24491>=njl0;6)<:7;a6?k42>3<07dlk:18'601=k<1e>8857:9jff<72-8>;7m:;o062?><3`hi6=4+2459g0=i:<<1565fb`83>!42?3i>7c<:6;c8?ld>290/>895c49m600=j21bn:4?:%063?e23g8>:7m4;h`5>5<#:<=1o85a2449`>=nj<0;6)<:7;a6?k42>3o07dl;:18'601=k<1e>885f:9jf6<72-8>;7m:;o062?7732ci>7>5$374>f3<f;?=6<?4;h`2>5<#:<=1o85a244957=<ak:1<7*=568`1>h5=?0:?65fag83>!42?3i>7c<:6;37?>ofm3:1(?;8:b78j73128?07dmk:18'601=k<1e>8851798mfe=83.99:4l5:l113<6?21boo4?:%063?e23g8>:7?7;:k`e?6=,;?<6n;4n375>4?<3`i26=4+2459g0=i:<<1=l54ib:94?"5=>0h96`=5782f>=nk>0;6)<:7;a6?k42>3;h76gl3;29 7302j?0b?;9:0f8?ld?290/>895c49m600=9l10elj50;&112<d=2d99;4>f:9ja2<72-8>;7k9;o062?6<3`o>6=4+2459a3=i:<<1=65fe283>!42?3o=7c<:6;08?lc5290/>895e79m600=;21bi<4?:%063?c13g8>:7:4;hg3>5<#:<=1i;5a24491>=nlo0;6)<:7;g5?k42>3<07djj:18'601=m?1e>8857:9j`a<72-8>;7k9;o062?><3`nh6=4+2459a3=i:<<1565fdc83>!42?3o=7c<:6;c8?lbf290/>895e79m600=j21bh54?:%063?c13g8>:7m4;hf4>5<#:<=1i;5a2449`>=nl?0;6)<:7;g5?k42>3o07dj::18'601=m?1e>885f:9j`1<72-8>;7k9;o062?7732co?7>5$374>`0<f;?=6<?4;hf1>5<#:<=1i;5a244957=<am;1<7*=568f2>h5=?0:?65fd183>!42?3o=7c<:6;37?>odn3:1(?;8:d48j73128?07dkj:18'601=m?1e>8851798m`b=83.99:4j6:l113<6?21bin4?:%063?c13g8>:7?7;:kff?6=,;?<6h84n375>4?<3`oj6=4+2459a3=i:<<1=l54id;94?"5=>0n:6`=5782f>=nm10;6)<:7;g5?k42>3;h76gj4;29 7302l<0b?;9:0f8?lb>290/>895e79m600=9l10enk50;&112<b>2d99;4>f:9j542=83.99:4>129m600=821b=<<50;&112<69:1e>8851:9j547=83.99:4>129m600=:21b=<>50;&112<69:1e>8853:9j54?=83.99:4>199m600=821b=<950;&112<6911e>8851:9j540=83.99:4>199m600=:21b=<;50;&112<6911e>8853:9j6`3=83.99:4=e59m600=821b>h=50;&112<5m=1e>8851:9j6`4=83.99:4=e59m600=:21b>h?50;&112<5m=1e>8853:9j6`g=83.99:4=e89m600=821b>h650;&112<5m01e>8851:9j6`1=83.99:4=e89m600=:21b>h850;&112<5m01e>8853:9l565=83.99:4>339m600=821d=>?50;&112<6;;1e>8851:9l57`=83.99:4>339m600=:21d=?k50;&112<6;;1e>8853:9l57b=83.99:4>339m600=<21d=?m50;&112<6;;1e>8855:9l57d=83.99:4>339m600=>21d=?o50;&112<6;;1e>8857:9l57?=83.99:4>339m600=021d=?650;&112<6;;1e>8859:9l571=83.99:4>339m600=i21d=?850;&112<6;;1e>885b:9l572=83.99:4>339m600=k21d=?=50;&112<6;;1e>885d:9l574=83.99:4>339m600=m21d=??50;&112<6;;1e>885f:9l576=83.99:4>339m600=9910c<?i:18'601=9:80b?;9:038?j76m3:1(?;8:011?k42>3;976a>1e83>!42?3;8>6`=57827>=h98i1<7*=568277=i:<<1=954o03a>5<#:<=1=><4n375>43<3f;8m7>5$374>4553g8>:7?9;:m27<<72-8>;7?<2:l113<6?21d=>650;&112<6;;1e>8851998k450290/>8951208j73128307b?<6;29 73028997c<:6;3b?>i6;<0;6)<:7;306>h5=?0:n65`12694?"5=>0:??5a24495f=<g89;6=4+2459564<f;?=6<j4;n311?6=,;?<6<==;o062?7b32e:=l4?:%063?74:2d99;4>f:9l503=83.99:4>559m600=821d=8=50;&112<6==1e>8851:9l507=83.99:4>559m600=:21d=8>50;&112<6==1e>8853:9l51`=83.99:4>559m600=<21d=9k50;&112<6==1e>8855:9l51b=83.99:4>559m600=>21d=9m50;&112<6==1e>8857:9l51d=83.99:4>559m600=021d=9o50;&112<6==1e>8859:9l51?=83.99:4>559m600=i21d=9650;&112<6==1e>885b:9l510=83.99:4>559m600=k21d=9;50;&112<6==1e>885d:9l512=83.99:4>559m600=m21d=9=50;&112<6==1e>885f:9l514=83.99:4>559m600=9910c<:>:18'601=9<>0b?;9:038?j7383:1(?;8:077?k42>3;976a>3g83>!42?3;>86`=57827>=h9:o1<7*=568211=i:<<1=954o01g>5<#:<=1=8:4n375>43<3f;>o7>5$374>4333g8>:7?9;:m21g<72-8>;7?:4:l113<6?21d=8o50;&112<6==1e>8851998k43>290/>8951468j73128307b?:8;29 73028??7c<:6;3b?>i6=>0;6)<:7;360>h5=?0:n65`14494?"5=>0:995a24495f=<g8?96=4+2459502<f;?=6<j4;n373?6=,;?<6<;;;o062?7b32e:?n4?:%063?72<2d99;4>f:9l534=83.99:4>609m600=821d=;>50;&112<6>81e>8851:9l50`=83.99:4>609m600=:21d=8k50;&112<6>81e>8853:9l531=83.99:4>679m600=821d=;;50;&112<6>?1e>8851:9l532=83.99:4>679m600=:21d=;=50;&112<6>?1e>8853:9~f<36290h>7>50z&1`<<5j?1C?=;4H3fg?_0f2jq957<n:3f96`<4=39=6>9539805?552:31?l4r$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1>6*>e781?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1d595=`<,8o36<6i;%0a3?4282.9n54=519m6fd=92d9on4>;%0g6?4282.:m?4<;%3b7?5<,;oh6?km;%0f`?4bj2d9ih4>;o0fb?7<f89i6=5a14f94>"5l80:4k5+2e796>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+2e496>o1l3:17d8j:188m22=831b;84?::k2<g<722c9oi4?::k1g`<722c:4n4?::k1`2<722c9h54?::m5b?6=3f=;6=44i5c94?"5=>0?56`=5783?>o303:1(?;8:5;8j7312810e9950;&112<312d99;4=;:k72?6=,;?<6974n375>6=<a=?1<7*=5687=>h5=?0?76g:3;29 7302=30b?;9:498m04=83.99:4;9:l113<132c>=7>5$374>1?<f;?=6:54i4294?"5=>0?56`=578;?>o3n3:1(?;8:5;8j7312010e9k50;&112<312d99;4n;:k7`?6=,;?<6974n375>g=<a=i1<7*=5687=>h5=?0h76g;b;29 7302=30b?;9:e98m12=83.99:4;9:l113<b32c>m7>5$374>0?<f;?=6=54i4:94?"5=>0>56`=5782?>o2?3:1(?;8:4;8j7312;10e8850;&112<212d99;4<;:k61?6=,;?<6874n375>1=<a?91<7*=5686=>h5=?0>76g92;29 7302<30b?;9:798m37=83.99:4:9:l113<032c=<7>5$374>0?<f;?=6554i4d94?"5=>0>56`=578:?>o2m3:1(?;8:4;8j7312h10e8j50;&112<212d99;4m;:k6g?6=,;?<6874n375>f=<a<h1<7*=5686=>h5=?0o76g:4;29 7302<30b?;9:d98mf0=83.99:4l5:l113<732ch87>5$374>f3<f;?=6<54ib094?"5=>0h96`=5781?>od93:1(?;8:b78j7312:10en>50;&112<d=2d99;4;;:kab?6=,;?<6n;4n375>0=<ako1<7*=568`1>h5=?0=76gmd;29 7302j?0b?;9:698mge=83.99:4l5:l113<?32cin7>5$374>f3<f;?=6454icc94?"5=>0h96`=578b?>oe13:1(?;8:b78j7312k10eo950;&112<d=2d99;4l;:ka2?6=,;?<6n;4n375>a=<ak?1<7*=568`1>h5=?0n76gm4;29 7302j?0b?;9:g98mg5=83.99:4l5:l113<6821bn?4?:%063?e23g8>:7?>;:ka5?6=,;?<6n;4n375>44<3`h;6=4+2459g0=i:<<1=>54i`d94?"5=>0h96`=57820>=nil0;6)<:7;a6?k42>3;>76gld;29 7302j?0b?;9:048?led290/>895c49m600=9>10enl50;&112<d=2d99;4>8:9jgd<72-8>;7m:;o062?7>32ch57>5$374>f3<f;?=6<o4;ha;>5<#:<=1o85a24495g=<aj=1<7*=568`1>h5=?0:o65fc283>!42?3i>7c<:6;3g?>oe03:1(?;8:b78j73128o07dok:18'601=k<1e>8851g98m`1=83.99:4j6:l113<732cn97>5$374>`0<f;?=6<54id194?"5=>0n:6`=5781?>ob:3:1(?;8:d48j7312:10eh?50;&112<b>2d99;4;;:kf4?6=,;?<6h84n375>0=<aml1<7*=568f2>h5=?0=76gke;29 7302l<0b?;9:698mab=83.99:4j6:l113<?32coo7>5$374>`0<f;?=6454ie`94?"5=>0n:6`=578b?>oci3:1(?;8:d48j7312k10ei650;&112<b>2d99;4l;:kg3?6=,;?<6h84n375>a=<am<1<7*=568f2>h5=?0n76gk5;29 7302l<0b?;9:g98ma2=83.99:4j6:l113<6821bh>4?:%063?c13g8>:7?>;:kg6?6=,;?<6h84n375>44<3`n:6=4+2459a3=i:<<1=>54ie294?"5=>0n:6`=57820>=nko0;6)<:7;g5?k42>3;>76gje;29 7302l<0b?;9:048?lcc290/>895e79m600=9>10ehm50;&112<b>2d99;4>8:9jag<72-8>;7k9;o062?7>32cnm7>5$374>`0<f;?=6<o4;hg:>5<#:<=1i;5a24495g=<al21<7*=568f2>h5=?0:o65fe583>!42?3o=7c<:6;3g?>oc13:1(?;8:d48j73128o07dmj:18'601=m?1e>8851g98m473290/>8951018j7312910e<?=:18'601=9890b?;9:098m476290/>8951018j7312;10e<??:18'601=9890b?;9:298m47>290/>89510:8j7312910e<?8:18'601=9820b?;9:098m471290/>89510:8j7312;10e<?::18'601=9820b?;9:298m7c2290/>8952d68j7312910e?k<:18'601=:l>0b?;9:098m7c5290/>8952d68j7312;10e?k>:18'601=:l>0b?;9:298m7cf290/>8952d;8j7312910e?k7:18'601=:l30b?;9:098m7c0290/>8952d;8j7312;10e?k9:18'601=:l30b?;9:298k454290/>8951208j7312910c<=>:18'601=9:80b?;9:098k44a290/>8951208j7312;10c<<j:18'601=9:80b?;9:298k44c290/>8951208j7312=10c<<l:18'601=9:80b?;9:498k44e290/>8951208j7312?10c<<n:18'601=9:80b?;9:698k44>290/>8951208j7312110c<<7:18'601=9:80b?;9:898k440290/>8951208j7312h10c<<9:18'601=9:80b?;9:c98k443290/>8951208j7312j10c<<<:18'601=9:80b?;9:e98k445290/>8951208j7312l10c<<>:18'601=9:80b?;9:g98k447290/>8951208j73128:07b?>f;29 73028997c<:6;32?>i69l0;6)<:7;306>h5=?0:>65`10f94?"5=>0:??5a244956=<g8;h6=4+2459564<f;?=6<:4;n32f?6=,;?<6<==;o062?7232e:?l4?:%063?74:2d99;4>6:9l56?=83.99:4>339m600=9>10c<=7:18'601=9:80b?;9:0:8?j74?3:1(?;8:011?k42>3;276a>3783>!42?3;8>6`=5782e>=h9:?1<7*=568277=i:<<1=o54o017>5<#:<=1=><4n375>4e<3f;8<7>5$374>4553g8>:7?k;:m260<72-8>;7?<2:l113<6m21d=<o50;&112<6;;1e>8851g98k432290/>8951468j7312910c<;<:18'601=9<>0b?;9:098k436290/>8951468j7312;10c<;?:18'601=9<>0b?;9:298k42a290/>8951468j7312=10c<:j:18'601=9<>0b?;9:498k42c290/>8951468j7312?10c<:l:18'601=9<>0b?;9:698k42e290/>8951468j7312110c<:n:18'601=9<>0b?;9:898k42>290/>8951468j7312h10c<:7:18'601=9<>0b?;9:c98k421290/>8951468j7312j10c<:::18'601=9<>0b?;9:e98k423290/>8951468j7312l10c<:<:18'601=9<>0b?;9:g98k425290/>8951468j73128:07b?;1;29 73028??7c<:6;32?>i6<90;6)<:7;360>h5=?0:>65`12d94?"5=>0:995a244956=<g89n6=4+2459502<f;?=6<:4;n30`?6=,;?<6<;;;o062?7232e:9n4?:%063?72<2d99;4>6:9l50d=83.99:4>559m600=9>10c<;n:18'601=9<>0b?;9:0:8?j7213:1(?;8:077?k42>3;276a>5983>!42?3;>86`=5782e>=h9<=1<7*=568211=i:<<1=o54o075>5<#:<=1=8:4n375>4e<3f;>>7>5$374>4333g8>:7?k;:m202<72-8>;7?:4:l113<6m21d=>m50;&112<6==1e>8851g98k405290/>8951738j7312910c<8?:18'601=9?;0b?;9:098k43a290/>8951738j7312;10c<;j:18'601=9?;0b?;9:298k400290/>8951748j7312910c<8::18'601=9?<0b?;9:098k403290/>8951748j7312;10c<8<:18'601=9?<0b?;9:298yg?2:3:1o?4?:1y'6a?=:k<0D>>:;I0g`>\1i3ip>44=a;0g>7c=;<08:7=8:2:974<4:3926>o5}%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6?5+1d496>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0g4>4>a3-;n47?7f:&1f2<5=91/>o652428j7ee281e>nm51:&1`7<5=91/=l<53:&2e6<43-8no7<jb:&1aa<5mk1e>hk51:l1ac<63g;8n7>4n07g>5=#:m;1=5h4$3f6>7=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$3f5>7=n>m0;66g9e;29?l132900e:;50;9j5=d=831b>nj50;9j6fc=831b=5m50;9j6a1=831b>i650;9l2c<722e<<7>5;h6b>5<#:<=1845a24494>=n<10;6)<:7;6:?k42>3;07d:8:18'601=<01e>8852:9j03<72-8>;7:6;o062?5<3`>>6=4+24590<=i:<<1865f5283>!42?3>27c<:6;78?l35290/>895489m600=>21b9<4?:%063?2>3g8>:794;h73>5<#:<=1845a2449<>=n<o0;6)<:7;6:?k42>3307d:j:18'601=<01e>885a:9j0a<72-8>;7:6;o062?d<3`>h6=4+24590<=i:<<1o65f4c83>!42?3>27c<:6;f8?l23290/>895489m600=m21b9l4?:%063?3>3g8>:7>4;h7;>5<#:<=1945a24495>=n=>0;6)<:7;7:?k42>3807d;9:18'601==01e>8853:9j10<72-8>;7;6;o062?2<3`<86=4+24591<=i:<<1965f6383>!42?3?27c<:6;48?l06290/>895589m600=?21b:=4?:%063?3>3g8>:764;h7e>5<#:<=1945a2449=>=n=l0;6)<:7;7:?k42>3k07d;k:18'601==01e>885b:9j1f<72-8>;7;6;o062?e<3`?i6=4+24591<=i:<<1h65f5583>!42?3?27c<:6;g8?le1290/>895c49m600=821bo94?:%063?e23g8>:7?4;ha1>5<#:<=1o85a24496>=nk80;6)<:7;a6?k42>3907dm?:18'601=k<1e>8854:9jfc<72-8>;7m:;o062?3<3`hn6=4+2459g0=i:<<1:65fbe83>!42?3i>7c<:6;58?ldd290/>895c49m600=021bno4?:%063?e23g8>:774;h`b>5<#:<=1o85a2449e>=nj00;6)<:7;a6?k42>3h07dl8:18'601=k<1e>885c:9jf3<72-8>;7m:;o062?b<3`h>6=4+2459g0=i:<<1i65fb583>!42?3i>7c<:6;d8?ld4290/>895c49m600=9910eo<50;&112<d=2d99;4>1:9jf4<72-8>;7m:;o062?7532ci<7>5$374>f3<f;?=6<=4;hce>5<#:<=1o85a244951=<aho1<7*=568`1>h5=?0:965fce83>!42?3i>7c<:6;35?>odk3:1(?;8:b78j73128=07dmm:18'601=k<1e>8851998mfg=83.99:4l5:l113<6121bo44?:%063?e23g8>:7?n;:k`<?6=,;?<6n;4n375>4d<3`i<6=4+2459g0=i:<<1=n54ib194?"5=>0h96`=5782`>=nj10;6)<:7;a6?k42>3;n76gnd;29 7302j?0b?;9:0d8?lc0290/>895e79m600=821bi84?:%063?c13g8>:7?4;hg0>5<#:<=1i;5a24496>=nm;0;6)<:7;g5?k42>3907dk>:18'601=m?1e>8854:9ja5<72-8>;7k9;o062?3<3`nm6=4+2459a3=i:<<1:65fdd83>!42?3o=7c<:6;58?lbc290/>895e79m600=021bhn4?:%063?c13g8>:774;hfa>5<#:<=1i;5a2449e>=nlh0;6)<:7;g5?k42>3h07dj7:18'601=m?1e>885c:9j`2<72-8>;7k9;o062?b<3`n=6=4+2459a3=i:<<1i65fd483>!42?3o=7c<:6;d8?lb3290/>895e79m600=9910ei=50;&112<b>2d99;4>1:9j`7<72-8>;7k9;o062?7532co=7>5$374>`0<f;?=6<=4;hf3>5<#:<=1i;5a244951=<ajl1<7*=568f2>h5=?0:965fed83>!42?3o=7c<:6;35?>obl3:1(?;8:d48j73128=07dkl:18'601=m?1e>8851998m`d=83.99:4j6:l113<6121bil4?:%063?c13g8>:7?n;:kf=?6=,;?<6h84n375>4d<3`o36=4+2459a3=i:<<1=n54id694?"5=>0n:6`=5782`>=nl00;6)<:7;g5?k42>3;n76gle;29 7302l<0b?;9:0d8?l76<3:1(?;8:030?k42>3:07d?>2;29 73028;87c<:6;38?l7693:1(?;8:030?k42>3807d?>0;29 73028;87c<:6;18?l7613:1(?;8:03;?k42>3:07d?>7;29 73028;37c<:6;38?l76>3:1(?;8:03;?k42>3807d?>5;29 73028;37c<:6;18?l4b=3:1(?;8:3g7?k42>3:07d<j3;29 7302;o?7c<:6;38?l4b:3:1(?;8:3g7?k42>3807d<j1;29 7302;o?7c<:6;18?l4bi3:1(?;8:3g:?k42>3:07d<j8;29 7302;o27c<:6;38?l4b?3:1(?;8:3g:?k42>3807d<j6;29 7302;o27c<:6;18?j74;3:1(?;8:011?k42>3:07b?<1;29 73028997c<:6;38?j75n3:1(?;8:011?k42>3807b?=e;29 73028997c<:6;18?j75l3:1(?;8:011?k42>3>07b?=c;29 73028997c<:6;78?j75j3:1(?;8:011?k42>3<07b?=a;29 73028997c<:6;58?j7513:1(?;8:011?k42>3207b?=8;29 73028997c<:6;;8?j75?3:1(?;8:011?k42>3k07b?=6;29 73028997c<:6;`8?j75<3:1(?;8:011?k42>3i07b?=3;29 73028997c<:6;f8?j75:3:1(?;8:011?k42>3o07b?=1;29 73028997c<:6;d8?j7583:1(?;8:011?k42>3;;76a>1g83>!42?3;8>6`=57825>=h98o1<7*=568277=i:<<1=?54o03g>5<#:<=1=><4n375>45<3f;:o7>5$374>4553g8>:7?;;:m25g<72-8>;7?<2:l113<6=21d=>o50;&112<6;;1e>8851798k45>290/>8951208j73128=07b?<8;29 73028997c<:6;3;?>i6;>0;6)<:7;306>h5=?0:565`12494?"5=>0:??5a24495d=<g89>6=4+2459564<f;?=6<l4;n300?6=,;?<6<==;o062?7d32e:?=4?:%063?74:2d99;4>d:9l573=83.99:4>339m600=9l10c<?n:18'601=9:80b?;9:0d8?j72=3:1(?;8:077?k42>3:07b?:3;29 73028??7c<:6;38?j7293:1(?;8:077?k42>3807b?:0;29 73028??7c<:6;18?j73n3:1(?;8:077?k42>3>07b?;e;29 73028??7c<:6;78?j73l3:1(?;8:077?k42>3<07b?;c;29 73028??7c<:6;58?j73j3:1(?;8:077?k42>3207b?;a;29 73028??7c<:6;;8?j7313:1(?;8:077?k42>3k07b?;8;29 73028??7c<:6;`8?j73>3:1(?;8:077?k42>3i07b?;5;29 73028??7c<:6;f8?j73<3:1(?;8:077?k42>3o07b?;3;29 73028??7c<:6;d8?j73:3:1(?;8:077?k42>3;;76a>4083>!42?3;>86`=57825>=h9=:1<7*=568211=i:<<1=?54o01e>5<#:<=1=8:4n375>45<3f;8i7>5$374>4333g8>:7?;;:m27a<72-8>;7?:4:l113<6=21d=8m50;&112<6==1e>8851798k43e290/>8951468j73128=07b?:a;29 73028??7c<:6;3;?>i6=00;6)<:7;360>h5=?0:565`14:94?"5=>0:995a24495d=<g8?<6=4+2459502<f;?=6<l4;n362?6=,;?<6<;;;o062?7d32e:9?4?:%063?72<2d99;4>d:9l511=83.99:4>559m600=9l10c<=l:18'601=9<>0b?;9:0d8?j71:3:1(?;8:042?k42>3:07b?90;29 73028<:7c<:6;38?j72n3:1(?;8:042?k42>3807b?:e;29 73028<:7c<:6;18?j71?3:1(?;8:045?k42>3:07b?95;29 73028<=7c<:6;38?j71<3:1(?;8:045?k42>3807b?93;29 73028<=7c<:6;18?xd>=:0;6n<50;2x 7b>2;h=7E=?5:J1`a=]>h0hw?752`81`?4b2:?1?;4<7;1;>67=;;0857=n:|&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97<4$0g5>7=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3f3?7?n2.:i54>8g9'6g1=:<:0(?l7:373?k4dj3;0b?ml:09'6a4=:<:0(<o=:29'5d5=;2.9in4=ec9'6`b=:lh0b?kj:09m6``=92d:?o4?;o36`?6<,;n:6<6i;%0g1?4<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%0g2?4<a?n1<75f6d83>>o0<3:17d9::188m4>e2900e?mk:188m7eb2900e<6l:188m7b02900e?j7:188k3`=831d;=4?::k7e?6=,;?<6974n375>5=<a=21<7*=5687=>h5=?0:76g;7;29 7302=30b?;9:398m10=83.99:4;9:l113<432c?97>5$374>1?<f;?=6954i4194?"5=>0?56`=5786?>o2:3:1(?;8:5;8j7312?10e8?50;&112<312d99;48;:k64?6=,;?<6974n375>==<a=l1<7*=5687=>h5=?0276g;e;29 7302=30b?;9:`98m1b=83.99:4;9:l113<e32c?o7>5$374>1?<f;?=6n54i5`94?"5=>0?56`=578g?>o3<3:1(?;8:5;8j7312l10e8o50;&112<212d99;4?;:k6<?6=,;?<6874n375>4=<a<=1<7*=5686=>h5=?0976g:6;29 7302<30b?;9:298m03=83.99:4:9:l113<332c=?7>5$374>0?<f;?=6854i7094?"5=>0>56`=5785?>o193:1(?;8:4;8j7312>10e;>50;&112<212d99;47;:k6b?6=,;?<6874n375><=<a<o1<7*=5686=>h5=?0j76g:d;29 7302<30b?;9:c98m0e=83.99:4:9:l113<d32c>n7>5$374>0?<f;?=6i54i4694?"5=>0>56`=578f?>od>3:1(?;8:b78j7312910en:50;&112<d=2d99;4>;:k`6?6=,;?<6n;4n375>7=<aj;1<7*=568`1>h5=?0876gl0;29 7302j?0b?;9:598mg`=83.99:4l5:l113<232cii7>5$374>f3<f;?=6;54icf94?"5=>0h96`=5784?>oek3:1(?;8:b78j7312110eol50;&112<d=2d99;46;:kae?6=,;?<6n;4n375>d=<ak31<7*=568`1>h5=?0i76gm7;29 7302j?0b?;9:b98mg0=83.99:4l5:l113<c32ci97>5$374>f3<f;?=6h54ic694?"5=>0h96`=578e?>oe;3:1(?;8:b78j73128:07dl=:18'601=k<1e>8851098mg7=83.99:4l5:l113<6:21bn=4?:%063?e23g8>:7?<;:kbb?6=,;?<6n;4n375>42<3`kn6=4+2459g0=i:<<1=854ibf94?"5=>0h96`=57822>=nkj0;6)<:7;a6?k42>3;<76glb;29 7302j?0b?;9:0:8?lef290/>895c49m600=9010en750;&112<d=2d99;4>a:9jg=<72-8>;7m:;o062?7e32ch;7>5$374>f3<f;?=6<m4;ha0>5<#:<=1o85a24495a=<ak21<7*=568`1>h5=?0:i65fae83>!42?3i>7c<:6;3e?>ob?3:1(?;8:d48j7312910eh;50;&112<b>2d99;4>;:kf7?6=,;?<6h84n375>7=<al81<7*=568f2>h5=?0876gj1;29 7302l<0b?;9:598m`6=83.99:4j6:l113<232coj7>5$374>`0<f;?=6;54ieg94?"5=>0n:6`=5784?>ocl3:1(?;8:d48j7312110eim50;&112<b>2d99;46;:kgf?6=,;?<6h84n375>d=<amk1<7*=568f2>h5=?0i76gk8;29 7302l<0b?;9:b98ma1=83.99:4j6:l113<c32co:7>5$374>`0<f;?=6h54ie794?"5=>0n:6`=578e?>oc<3:1(?;8:d48j73128:07dj<:18'601=m?1e>8851098ma4=83.99:4j6:l113<6:21bh<4?:%063?c13g8>:7?<;:kg4?6=,;?<6h84n375>42<3`im6=4+2459a3=i:<<1=854idg94?"5=>0n:6`=57822>=nmm0;6)<:7;g5?k42>3;<76gjc;29 7302l<0b?;9:0:8?lce290/>895e79m600=9010eho50;&112<b>2d99;4>a:9ja<<72-8>;7k9;o062?7e32cn47>5$374>`0<f;?=6<m4;hg7>5<#:<=1i;5a24495a=<am31<7*=568f2>h5=?0:i65fcd83>!42?3o=7c<:6;3e?>o69=0;6)<:7;327>h5=?0;76g>1383>!42?3;:?6`=5782?>o6980;6)<:7;327>h5=?0976g>1183>!42?3;:?6`=5780?>o6900;6)<:7;32<>h5=?0;76g>1683>!42?3;:46`=5782?>o69?0;6)<:7;32<>h5=?0976g>1483>!42?3;:46`=5780?>o5m<0;6)<:7;0f0>h5=?0;76g=e283>!42?38n86`=5782?>o5m;0;6)<:7;0f0>h5=?0976g=e083>!42?38n86`=5780?>o5mh0;6)<:7;0f=>h5=?0;76g=e983>!42?38n56`=5782?>o5m>0;6)<:7;0f=>h5=?0976g=e783>!42?38n56`=5780?>i6;:0;6)<:7;306>h5=?0;76a>3083>!42?3;8>6`=5782?>i6:o0;6)<:7;306>h5=?0976a>2d83>!42?3;8>6`=5780?>i6:m0;6)<:7;306>h5=?0?76a>2b83>!42?3;8>6`=5786?>i6:k0;6)<:7;306>h5=?0=76a>2`83>!42?3;8>6`=5784?>i6:00;6)<:7;306>h5=?0376a>2983>!42?3;8>6`=578:?>i6:>0;6)<:7;306>h5=?0j76a>2783>!42?3;8>6`=578a?>i6:=0;6)<:7;306>h5=?0h76a>2283>!42?3;8>6`=578g?>i6:;0;6)<:7;306>h5=?0n76a>2083>!42?3;8>6`=578e?>i6:90;6)<:7;306>h5=?0:<65`10d94?"5=>0:??5a244954=<g8;n6=4+2459564<f;?=6<<4;n32`?6=,;?<6<==;o062?7432e:=n4?:%063?74:2d99;4>4:9l54d=83.99:4>339m600=9<10c<=n:18'601=9:80b?;9:048?j7413:1(?;8:011?k42>3;<76a>3983>!42?3;8>6`=5782<>=h9:=1<7*=568277=i:<<1=454o015>5<#:<=1=><4n375>4g<3f;897>5$374>4553g8>:7?m;:m271<72-8>;7?<2:l113<6k21d=>>50;&112<6;;1e>8851e98k442290/>8951208j73128o07b?>a;29 73028997c<:6;3e?>i6=<0;6)<:7;360>h5=?0;76a>5283>!42?3;>86`=5782?>i6=80;6)<:7;360>h5=?0976a>5183>!42?3;>86`=5780?>i6<o0;6)<:7;360>h5=?0?76a>4d83>!42?3;>86`=5786?>i6<m0;6)<:7;360>h5=?0=76a>4b83>!42?3;>86`=5784?>i6<k0;6)<:7;360>h5=?0376a>4`83>!42?3;>86`=578:?>i6<00;6)<:7;360>h5=?0j76a>4983>!42?3;>86`=578a?>i6<?0;6)<:7;360>h5=?0h76a>4483>!42?3;>86`=578g?>i6<=0;6)<:7;360>h5=?0n76a>4283>!42?3;>86`=578e?>i6<;0;6)<:7;360>h5=?0:<65`15394?"5=>0:995a244954=<g8>;6=4+2459502<f;?=6<<4;n30b?6=,;?<6<;;;o062?7432e:?h4?:%063?72<2d99;4>4:9l56b=83.99:4>559m600=9<10c<;l:18'601=9<>0b?;9:048?j72j3:1(?;8:077?k42>3;<76a>5`83>!42?3;>86`=5782<>=h9<31<7*=568211=i:<<1=454o07;>5<#:<=1=8:4n375>4g<3f;>;7>5$374>4333g8>:7?m;:m213<72-8>;7?:4:l113<6k21d=8<50;&112<6==1e>8851e98k420290/>8951468j73128o07b?<c;29 73028??7c<:6;3e?>i6>;0;6)<:7;355>h5=?0;76a>6183>!42?3;==6`=5782?>i6=o0;6)<:7;355>h5=?0976a>5d83>!42?3;==6`=5780?>i6>>0;6)<:7;352>h5=?0;76a>6483>!42?3;=:6`=5782?>i6>=0;6)<:7;352>h5=?0976a>6283>!42?3;=:6`=5780?>{e1<>1<7m=:183\7f!4c138i:6F<049K6ab<R?k1ov<6:3c96a<5m39>6>853680<?562:81?44<a;\7f'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=380(<k9:39'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?j7;3;b>"6m10:4k5+2c59606<,;h36?;?;o0`f??<f;ih645+2e09606<,8k96>5+1`197>"5mj09io5+2df96`d<f;on645a2dd9=>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43g;8n7>4n07g>5=#:m;1=5h4$3f6>7=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&1`3<53`<o6=44i7g94?=n?=0;66g85;29?l7?j3:17d<ld;29?l4dm3:17d?7c;29?l4c?3:17d<k8;29?j0a2900c:>50;9j0d<72-8>;7:6;o062?6<3`>36=4+24590<=i:<<1=65f4683>!42?3>27c<:6;08?l21290/>895489m600=;21b884?:%063?2>3g8>:7:4;h70>5<#:<=1845a24491>=n=;0;6)<:7;6:?k42>3<07d;>:18'601=<01e>8857:9j15<72-8>;7:6;o062?><3`>m6=4+24590<=i:<<1565f4d83>!42?3>27c<:6;c8?l2c290/>895489m600=j21b8n4?:%063?2>3g8>:7m4;h6a>5<#:<=1845a2449`>=n<=0;6)<:7;6:?k42>3o07d;n:18'601==01e>8850:9j1=<72-8>;7;6;o062?7<3`?<6=4+24591<=i:<<1>65f5783>!42?3?27c<:6;18?l32290/>895589m600=<21b:>4?:%063?3>3g8>:7;4;h41>5<#:<=1945a24492>=n>80;6)<:7;7:?k42>3=07d8?:18'601==01e>8858:9j1c<72-8>;7;6;o062??<3`?n6=4+24591<=i:<<1m65f5e83>!42?3?27c<:6;`8?l3d290/>895589m600=k21b9o4?:%063?3>3g8>:7j4;h77>5<#:<=1945a2449a>=nk?0;6)<:7;a6?k42>3:07dm;:18'601=k<1e>8851:9jg7<72-8>;7m:;o062?4<3`i:6=4+2459g0=i:<<1?65fc183>!42?3i>7c<:6;68?lda290/>895c49m600==21bnh4?:%063?e23g8>:784;h`g>5<#:<=1o85a24493>=njj0;6)<:7;a6?k42>3207dlm:18'601=k<1e>8859:9jfd<72-8>;7m:;o062?g<3`h26=4+2459g0=i:<<1n65fb683>!42?3i>7c<:6;a8?ld1290/>895c49m600=l21bn84?:%063?e23g8>:7k4;h`7>5<#:<=1o85a2449b>=nj:0;6)<:7;a6?k42>3;;76gm2;29 7302j?0b?;9:038?ld6290/>895c49m600=9;10eo>50;&112<d=2d99;4>3:9jec<72-8>;7m:;o062?7332cji7>5$374>f3<f;?=6<;4;hag>5<#:<=1o85a244953=<aji1<7*=568`1>h5=?0:;65fcc83>!42?3i>7c<:6;3;?>odi3:1(?;8:b78j73128307dm6:18'601=k<1e>8851`98mf>=83.99:4l5:l113<6j21bo:4?:%063?e23g8>:7?l;:k`7?6=,;?<6n;4n375>4b<3`h36=4+2459g0=i:<<1=h54i`f94?"5=>0h96`=5782b>=nm>0;6)<:7;g5?k42>3:07dk::18'601=m?1e>8851:9ja6<72-8>;7k9;o062?4<3`o96=4+2459a3=i:<<1?65fe083>!42?3o=7c<:6;68?lc7290/>895e79m600==21bhk4?:%063?c13g8>:784;hff>5<#:<=1i;5a24493>=nlm0;6)<:7;g5?k42>3207djl:18'601=m?1e>8859:9j`g<72-8>;7k9;o062?g<3`nj6=4+2459a3=i:<<1n65fd983>!42?3o=7c<:6;a8?lb0290/>895e79m600=l21bh;4?:%063?c13g8>:7k4;hf6>5<#:<=1i;5a2449b>=nl=0;6)<:7;g5?k42>3;;76gk3;29 7302l<0b?;9:038?lb5290/>895e79m600=9;10ei?50;&112<b>2d99;4>3:9j`5<72-8>;7k9;o062?7332chj7>5$374>`0<f;?=6<;4;hgf>5<#:<=1i;5a244953=<aln1<7*=568f2>h5=?0:;65feb83>!42?3o=7c<:6;3;?>obj3:1(?;8:d48j73128307dkn:18'601=m?1e>8851`98m`?=83.99:4j6:l113<6j21bi54?:%063?c13g8>:7?l;:kf0?6=,;?<6h84n375>4b<3`n26=4+2459a3=i:<<1=h54ibg94?"5=>0n:6`=5782b>=n98>1<7*=568256=i:<<1<65f10094?"5=>0:=>5a24495>=n98;1<7*=568256=i:<<1>65f10294?"5=>0:=>5a24497>=n9831<7*=56825==i:<<1<65f10594?"5=>0:=55a24495>=n98<1<7*=56825==i:<<1>65f10794?"5=>0:=55a24497>=n:l?1<7*=5681a1=i:<<1<65f2d194?"5=>09i95a24495>=n:l81<7*=5681a1=i:<<1>65f2d394?"5=>09i95a24497>=n:lk1<7*=5681a<=i:<<1<65f2d:94?"5=>09i45a24495>=n:l=1<7*=5681a<=i:<<1>65f2d494?"5=>09i45a24497>=h9:91<7*=568277=i:<<1<65`12394?"5=>0:??5a24495>=h9;l1<7*=568277=i:<<1>65`13g94?"5=>0:??5a24497>=h9;n1<7*=568277=i:<<1865`13a94?"5=>0:??5a24491>=h9;h1<7*=568277=i:<<1:65`13c94?"5=>0:??5a24493>=h9;31<7*=568277=i:<<1465`13:94?"5=>0:??5a2449=>=h9;=1<7*=568277=i:<<1m65`13494?"5=>0:??5a2449f>=h9;>1<7*=568277=i:<<1o65`13194?"5=>0:??5a2449`>=h9;81<7*=568277=i:<<1i65`13394?"5=>0:??5a2449b>=h9;:1<7*=568277=i:<<1==54o03e>5<#:<=1=><4n375>47<3f;:i7>5$374>4553g8>:7?=;:m25a<72-8>;7?<2:l113<6;21d=<m50;&112<6;;1e>8851598k47e290/>8951208j73128?07b?<a;29 73028997c<:6;35?>i6;00;6)<:7;306>h5=?0:;65`12:94?"5=>0:??5a24495==<g89<6=4+2459564<f;?=6<74;n302?6=,;?<6<==;o062?7f32e:?84?:%063?74:2d99;4>b:9l562=83.99:4>339m600=9j10c<=?:18'601=9:80b?;9:0f8?j75=3:1(?;8:011?k42>3;n76a>1`83>!42?3;8>6`=5782b>=h9<?1<7*=568211=i:<<1<65`14194?"5=>0:995a24495>=h9<;1<7*=568211=i:<<1>65`14294?"5=>0:995a24497>=h9=l1<7*=568211=i:<<1865`15g94?"5=>0:995a24491>=h9=n1<7*=568211=i:<<1:65`15a94?"5=>0:995a24493>=h9=h1<7*=568211=i:<<1465`15c94?"5=>0:995a2449=>=h9=31<7*=568211=i:<<1m65`15:94?"5=>0:995a2449f>=h9=<1<7*=568211=i:<<1o65`15794?"5=>0:995a2449`>=h9=>1<7*=568211=i:<<1i65`15194?"5=>0:995a2449b>=h9=81<7*=568211=i:<<1==54o062>5<#:<=1=8:4n375>47<3f;?<7>5$374>4333g8>:7?=;:m27c<72-8>;7?:4:l113<6;21d=>k50;&112<6==1e>8851598k45c290/>8951468j73128?07b?:c;29 73028??7c<:6;35?>i6=k0;6)<:7;360>h5=?0:;65`14c94?"5=>0:995a24495==<g8?26=4+2459502<f;?=6<74;n36<?6=,;?<6<;;;o062?7f32e:9:4?:%063?72<2d99;4>b:9l500=83.99:4>559m600=9j10c<;=:18'601=9<>0b?;9:0f8?j73?3:1(?;8:077?k42>3;n76a>3b83>!42?3;>86`=5782b>=h9?81<7*=568224=i:<<1<65`17294?"5=>0::<5a24495>=h9<l1<7*=568224=i:<<1>65`14g94?"5=>0::<5a24497>=h9?=1<7*=568223=i:<<1<65`17794?"5=>0::;5a24495>=h9?>1<7*=568223=i:<<1>65`17194?"5=>0::;5a24497>=zj0?>6=4l2;294~"5l009n;5G3178L7bc3S<j6nu=9;0b>7b=:l0897=9:2597=<493996>753`8~ 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<097)?j6;08 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>e682<c=#9l21=5h4$3`4>7373-8i47<:0:l1gg<>3g8ho774$3f1>7373-;j>7=4$0c0>6=#:li1>hl4$3gg>7ce3g8ni774n3ge><=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2d:?o4?;o36`?6<,;n:6<6i;%0g1?4<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'6a0=:2c=h7>5;h4f>5<<a>>1<75f7483>>o60k0;66g=ce83>>o5kl0;66g>8b83>>o5l>0;66g=d983>>i1n3:17b9?:188m1g=83.99:4;9:l113<732c?47>5$374>1?<f;?=6<54i5594?"5=>0?56`=5781?>o3>3:1(?;8:5;8j7312:10e9;50;&112<312d99;4;;:k67?6=,;?<6974n375>0=<a<81<7*=5687=>h5=?0=76g:1;29 7302=30b?;9:698m06=83.99:4;9:l113<?32c?j7>5$374>1?<f;?=6454i5g94?"5=>0?56`=578b?>o3l3:1(?;8:5;8j7312k10e9m50;&112<312d99;4l;:k7f?6=,;?<6974n375>a=<a=>1<7*=5687=>h5=?0n76g:a;29 7302<30b?;9:198m0>=83.99:4:9:l113<632c>;7>5$374>0?<f;?=6?54i4494?"5=>0>56`=5780?>o2=3:1(?;8:4;8j7312=10e;=50;&112<212d99;4:;:k56?6=,;?<6874n375>3=<a?;1<7*=5686=>h5=?0<76g90;29 7302<30b?;9:998m0`=83.99:4:9:l113<>32c>i7>5$374>0?<f;?=6l54i4f94?"5=>0>56`=578a?>o2k3:1(?;8:4;8j7312j10e8l50;&112<212d99;4k;:k60?6=,;?<6874n375>`=<aj<1<7*=568`1>h5=?0;76gl4;29 7302j?0b?;9:098mf4=83.99:4l5:l113<532ch=7>5$374>f3<f;?=6>54ib294?"5=>0h96`=5787?>oen3:1(?;8:b78j7312<10eok50;&112<d=2d99;49;:ka`?6=,;?<6n;4n375>2=<aki1<7*=568`1>h5=?0376gmb;29 7302j?0b?;9:898mgg=83.99:4l5:l113<f32ci57>5$374>f3<f;?=6o54ic594?"5=>0h96`=578`?>oe>3:1(?;8:b78j7312m10eo;50;&112<d=2d99;4j;:ka0?6=,;?<6n;4n375>c=<ak91<7*=568`1>h5=?0:<65fb383>!42?3i>7c<:6;32?>oe93:1(?;8:b78j73128807dl?:18'601=k<1e>8851298md`=83.99:4l5:l113<6<21bmh4?:%063?e23g8>:7?:;:k``?6=,;?<6n;4n375>40<3`ih6=4+2459g0=i:<<1=:54ib`94?"5=>0h96`=5782<>=nkh0;6)<:7;a6?k42>3;276gl9;29 7302j?0b?;9:0c8?le?290/>895c49m600=9k10en950;&112<d=2d99;4>c:9jg6<72-8>;7m:;o062?7c32ci47>5$374>f3<f;?=6<k4;hcg>5<#:<=1o85a24495c=<al=1<7*=568f2>h5=?0;76gj5;29 7302l<0b?;9:098m`5=83.99:4j6:l113<532cn>7>5$374>`0<f;?=6>54id394?"5=>0n:6`=5787?>ob83:1(?;8:d48j7312<10eih50;&112<b>2d99;49;:kga?6=,;?<6h84n375>2=<amn1<7*=568f2>h5=?0376gkc;29 7302l<0b?;9:898mad=83.99:4j6:l113<f32com7>5$374>`0<f;?=6o54ie:94?"5=>0n:6`=578`?>oc?3:1(?;8:d48j7312m10ei850;&112<b>2d99;4j;:kg1?6=,;?<6h84n375>c=<am>1<7*=568f2>h5=?0:<65fd283>!42?3o=7c<:6;32?>oc:3:1(?;8:d48j73128807dj>:18'601=m?1e>8851298ma6=83.99:4j6:l113<6<21bok4?:%063?c13g8>:7?:;:kfa?6=,;?<6h84n375>40<3`oo6=4+2459a3=i:<<1=:54ida94?"5=>0n:6`=5782<>=nmk0;6)<:7;g5?k42>3;276gja;29 7302l<0b?;9:0c8?lc>290/>895e79m600=9k10eh650;&112<b>2d99;4>c:9ja1<72-8>;7k9;o062?7c32co57>5$374>`0<f;?=6<k4;haf>5<#:<=1i;5a24495c=<a8;?6=4+2459545<f;?=6=54i031>5<#:<=1=<=4n375>4=<a8;:6=4+2459545<f;?=6?54i033>5<#:<=1=<=4n375>6=<a8;26=4+245954><f;?=6=54i034>5<#:<=1=<64n375>4=<a8;=6=4+245954><f;?=6?54i036>5<#:<=1=<64n375>6=<a;o>6=4+24596`2<f;?=6=54i3g0>5<#:<=1>h:4n375>4=<a;o96=4+24596`2<f;?=6?54i3g2>5<#:<=1>h:4n375>6=<a;oj6=4+24596`?<f;?=6=54i3g;>5<#:<=1>h74n375>4=<a;o<6=4+24596`?<f;?=6?54i3g5>5<#:<=1>h74n375>6=<g8986=4+2459564<f;?=6=54o012>5<#:<=1=><4n375>4=<g88m6=4+2459564<f;?=6?54o00f>5<#:<=1=><4n375>6=<g88o6=4+2459564<f;?=6954o00`>5<#:<=1=><4n375>0=<g88i6=4+2459564<f;?=6;54o00b>5<#:<=1=><4n375>2=<g8826=4+2459564<f;?=6554o00;>5<#:<=1=><4n375><=<g88<6=4+2459564<f;?=6l54o005>5<#:<=1=><4n375>g=<g88?6=4+2459564<f;?=6n54o000>5<#:<=1=><4n375>a=<g8896=4+2459564<f;?=6h54o002>5<#:<=1=><4n375>c=<g88;6=4+2459564<f;?=6<>4;n32b?6=,;?<6<==;o062?7632e:=h4?:%063?74:2d99;4>2:9l54b=83.99:4>339m600=9:10c<?l:18'601=9:80b?;9:068?j76j3:1(?;8:011?k42>3;>76a>3`83>!42?3;8>6`=57822>=h9:31<7*=568277=i:<<1=:54o01;>5<#:<=1=><4n375>4><3f;8;7>5$374>4553g8>:7?6;:m273<72-8>;7?<2:l113<6i21d=>;50;&112<6;;1e>8851c98k453290/>8951208j73128i07b?<0;29 73028997c<:6;3g?>i6:<0;6)<:7;306>h5=?0:i65`10c94?"5=>0:??5a24495c=<g8?>6=4+2459502<f;?=6=54o070>5<#:<=1=8:4n375>4=<g8?:6=4+2459502<f;?=6?54o073>5<#:<=1=8:4n375>6=<g8>m6=4+2459502<f;?=6954o06f>5<#:<=1=8:4n375>0=<g8>o6=4+2459502<f;?=6;54o06`>5<#:<=1=8:4n375>2=<g8>i6=4+2459502<f;?=6554o06b>5<#:<=1=8:4n375><=<g8>26=4+2459502<f;?=6l54o06;>5<#:<=1=8:4n375>g=<g8>=6=4+2459502<f;?=6n54o066>5<#:<=1=8:4n375>a=<g8>?6=4+2459502<f;?=6h54o060>5<#:<=1=8:4n375>c=<g8>96=4+2459502<f;?=6<>4;n375?6=,;?<6<;;;o062?7632e:8=4?:%063?72<2d99;4>2:9l56`=83.99:4>559m600=9:10c<=j:18'601=9<>0b?;9:068?j74l3:1(?;8:077?k42>3;>76a>5b83>!42?3;>86`=57822>=h9<h1<7*=568211=i:<<1=:54o07b>5<#:<=1=8:4n375>4><3f;>57>5$374>4333g8>:7?6;:m21=<72-8>;7?:4:l113<6i21d=8950;&112<6==1e>8851c98k431290/>8951468j73128i07b?:2;29 73028??7c<:6;3g?>i6<>0;6)<:7;360>h5=?0:i65`12a94?"5=>0:995a24495c=<g8<96=4+2459537<f;?=6=54o043>5<#:<=1=;?4n375>4=<g8?m6=4+2459537<f;?=6?54o07f>5<#:<=1=;?4n375>6=<g8<<6=4+2459530<f;?=6=54o046>5<#:<=1=;84n375>4=<g8<?6=4+2459530<f;?=6?54o040>5<#:<=1=;84n375>6=<uk3>:7>5c383>5}#:m31>o84H226?M4cl2P=m7mt2881e?4c2;o1?84<6;14>6>=;808>7=6:2c9y!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1>6*>e781?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1d595=`<,8o36<6i;%0a3?4282.9n54=519m6fd=12d9on46;%0g6?4282.:m?4<;%3b7?5<,;oh6?km;%0f`?4bj2d9ih46;o0fb??<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1e=>l50:l21a<73-8o=7?7f:&1`0<53-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 7b12;1b:i4?::k5a?6=3`=?6=44i6794?=n91h1<75f2bf94?=n:jo1<75f19a94?=n:m=1<75f2e:94?=h>o0;66a80;29?l2f290/>895489m600=821b854?:%063?2>3g8>:7?4;h64>5<#:<=1845a24496>=n<?0;6)<:7;6:?k42>3907d:::18'601=<01e>8854:9j16<72-8>;7:6;o062?3<3`?96=4+24590<=i:<<1:65f5083>!42?3>27c<:6;58?l37290/>895489m600=021b8k4?:%063?2>3g8>:774;h6f>5<#:<=1845a2449e>=n<m0;6)<:7;6:?k42>3h07d:l:18'601=<01e>885c:9j0g<72-8>;7:6;o062?b<3`>?6=4+24590<=i:<<1i65f5`83>!42?3?27c<:6;28?l3?290/>895589m600=921b9:4?:%063?3>3g8>:7<4;h75>5<#:<=1945a24497>=n=<0;6)<:7;7:?k42>3>07d8<:18'601==01e>8855:9j27<72-8>;7;6;o062?0<3`<:6=4+24591<=i:<<1;65f6183>!42?3?27c<:6;:8?l3a290/>895589m600=121b9h4?:%063?3>3g8>:7o4;h7g>5<#:<=1945a2449f>=n=j0;6)<:7;7:?k42>3i07d;m:18'601==01e>885d:9j11<72-8>;7;6;o062?c<3`i=6=4+2459g0=i:<<1<65fc583>!42?3i>7c<:6;38?le5290/>895c49m600=:21bo<4?:%063?e23g8>:7=4;ha3>5<#:<=1o85a24490>=njo0;6)<:7;a6?k42>3?07dlj:18'601=k<1e>8856:9jfa<72-8>;7m:;o062?1<3`hh6=4+2459g0=i:<<1465fbc83>!42?3i>7c<:6;;8?ldf290/>895c49m600=i21bn44?:%063?e23g8>:7l4;h`4>5<#:<=1o85a2449g>=nj?0;6)<:7;a6?k42>3n07dl::18'601=k<1e>885e:9jf1<72-8>;7m:;o062?`<3`h86=4+2459g0=i:<<1==54ic094?"5=>0h96`=57825>=nj80;6)<:7;a6?k42>3;976gm0;29 7302j?0b?;9:018?lga290/>895c49m600=9=10elk50;&112<d=2d99;4>5:9jga<72-8>;7m:;o062?7132cho7>5$374>f3<f;?=6<94;haa>5<#:<=1o85a24495==<ajk1<7*=568`1>h5=?0:565fc883>!42?3i>7c<:6;3b?>od03:1(?;8:b78j73128h07dm8:18'601=k<1e>8851b98mf5=83.99:4l5:l113<6l21bn54?:%063?e23g8>:7?j;:kb`?6=,;?<6n;4n375>4`<3`o<6=4+2459a3=i:<<1<65fe483>!42?3o=7c<:6;38?lc4290/>895e79m600=:21bi?4?:%063?c13g8>:7=4;hg2>5<#:<=1i;5a24490>=nm90;6)<:7;g5?k42>3?07dji:18'601=m?1e>8856:9j``<72-8>;7k9;o062?1<3`no6=4+2459a3=i:<<1465fdb83>!42?3o=7c<:6;;8?lbe290/>895e79m600=i21bhl4?:%063?c13g8>:7l4;hf;>5<#:<=1i;5a2449g>=nl>0;6)<:7;g5?k42>3n07dj9:18'601=m?1e>885e:9j`0<72-8>;7k9;o062?`<3`n?6=4+2459a3=i:<<1==54ie194?"5=>0n:6`=57825>=nl;0;6)<:7;g5?k42>3;976gk1;29 7302l<0b?;9:018?lb7290/>895e79m600=9=10enh50;&112<b>2d99;4>5:9ja`<72-8>;7k9;o062?7132cnh7>5$374>`0<f;?=6<94;hg`>5<#:<=1i;5a24495==<alh1<7*=568f2>h5=?0:565fe`83>!42?3o=7c<:6;3b?>ob13:1(?;8:d48j73128h07dk7:18'601=m?1e>8851b98m`2=83.99:4j6:l113<6l21bh44?:%063?c13g8>:7?j;:k`a?6=,;?<6h84n375>4`<3`;:87>5$374>4743g8>:7>4;h326?6=,;?<6<?<;o062?7<3`;:=7>5$374>4743g8>:7<4;h324?6=,;?<6<?<;o062?5<3`;:57>5$374>47?3g8>:7>4;h323?6=,;?<6<?7;o062?7<3`;::7>5$374>47?3g8>:7<4;h321?6=,;?<6<?7;o062?5<3`8n97>5$374>7c33g8>:7>4;h0f7?6=,;?<6?k;;o062?7<3`8n>7>5$374>7c33g8>:7<4;h0f5?6=,;?<6?k;;o062?5<3`8nm7>5$374>7c>3g8>:7>4;h0f<?6=,;?<6?k6;o062?7<3`8n;7>5$374>7c>3g8>:7<4;h0f2?6=,;?<6?k6;o062?5<3f;8?7>5$374>4553g8>:7>4;n305?6=,;?<6<==;o062?7<3f;9j7>5$374>4553g8>:7<4;n31a?6=,;?<6<==;o062?5<3f;9h7>5$374>4553g8>:7:4;n31g?6=,;?<6<==;o062?3<3f;9n7>5$374>4553g8>:784;n31e?6=,;?<6<==;o062?1<3f;957>5$374>4553g8>:764;n31<?6=,;?<6<==;o062??<3f;9;7>5$374>4553g8>:7o4;n312?6=,;?<6<==;o062?d<3f;987>5$374>4553g8>:7m4;n317?6=,;?<6<==;o062?b<3f;9>7>5$374>4553g8>:7k4;n315?6=,;?<6<==;o062?`<3f;9<7>5$374>4553g8>:7??;:m25c<72-8>;7?<2:l113<6921d=<k50;&112<6;;1e>8851398k47c290/>8951208j73128907b?>c;29 73028997c<:6;37?>i69k0;6)<:7;306>h5=?0:965`12c94?"5=>0:??5a244953=<g8926=4+2459564<f;?=6<94;n30<?6=,;?<6<==;o062?7?32e:?:4?:%063?74:2d99;4>9:9l560=83.99:4>339m600=9h10c<=::18'601=9:80b?;9:0`8?j74<3:1(?;8:011?k42>3;h76a>3183>!42?3;8>6`=5782`>=h9;?1<7*=568277=i:<<1=h54o03b>5<#:<=1=><4n375>4`<3f;>97>5$374>4333g8>:7>4;n367?6=,;?<6<;;;o062?7<3f;>=7>5$374>4333g8>:7<4;n364?6=,;?<6<;;;o062?5<3f;?j7>5$374>4333g8>:7:4;n37a?6=,;?<6<;;;o062?3<3f;?h7>5$374>4333g8>:784;n37g?6=,;?<6<;;;o062?1<3f;?n7>5$374>4333g8>:764;n37e?6=,;?<6<;;;o062??<3f;?57>5$374>4333g8>:7o4;n37<?6=,;?<6<;;;o062?d<3f;?:7>5$374>4333g8>:7m4;n371?6=,;?<6<;;;o062?b<3f;?87>5$374>4333g8>:7k4;n377?6=,;?<6<;;;o062?`<3f;?>7>5$374>4333g8>:7??;:m204<72-8>;7?:4:l113<6921d=9>50;&112<6==1e>8851398k45a290/>8951468j73128907b?<e;29 73028??7c<:6;37?>i6;m0;6)<:7;360>h5=?0:965`14a94?"5=>0:995a244953=<g8?i6=4+2459502<f;?=6<94;n36e?6=,;?<6<;;;o062?7?32e:944?:%063?72<2d99;4>9:9l50>=83.99:4>559m600=9h10c<;8:18'601=9<>0b?;9:0`8?j72>3:1(?;8:077?k42>3;h76a>5383>!42?3;>86`=5782`>=h9==1<7*=568211=i:<<1=h54o01`>5<#:<=1=8:4n375>4`<3f;=>7>5$374>4063g8>:7>4;n354?6=,;?<6<8>;o062?7<3f;>j7>5$374>4063g8>:7<4;n36a?6=,;?<6<8>;o062?5<3f;=;7>5$374>4013g8>:7>4;n351?6=,;?<6<89;o062?7<3f;=87>5$374>4013g8>:7<4;n357?6=,;?<6<89;o062?5<3th29:4?:b094?6|,;n26?l9;I131>N5lm1Q:l4l{3;96d<5l38n6>;537803?5?2:;1??4<9;1b>x"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6?5+1d496>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0g4>4>a3-;n47?7f:&1f2<5=91/>o652428j7ee201e>nm59:&1`7<5=91/=l<53:&2e6<43-8no7<jb:&1aa<5mk1e>hk59:l1ac<>3-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390b<=m:19m50b=82.9h<4>8g9'6a3=:2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!4c>380e;j50;9j2`<722c<87>5;h56>5<<a82i6=44i3ag>5<<a;in6=44i0:`>5<<a;n<6=44i3f;>5<<g?l1<75`7183>>o3i3:1(?;8:5;8j7312910e9650;&112<312d99;4>;:k73?6=,;?<6974n375>7=<a=<1<7*=5687=>h5=?0876g;5;29 7302=30b?;9:598m05=83.99:4;9:l113<232c>>7>5$374>1?<f;?=6;54i4394?"5=>0?56`=5784?>o283:1(?;8:5;8j7312110e9h50;&112<312d99;46;:k7a?6=,;?<6974n375>d=<a=n1<7*=5687=>h5=?0i76g;c;29 7302=30b?;9:b98m1d=83.99:4;9:l113<c32c?87>5$374>1?<f;?=6h54i4c94?"5=>0>56`=5783?>o203:1(?;8:4;8j7312810e8950;&112<212d99;4=;:k62?6=,;?<6874n375>6=<a<?1<7*=5686=>h5=?0?76g93;29 7302<30b?;9:498m34=83.99:4:9:l113<132c==7>5$374>0?<f;?=6:54i7294?"5=>0>56`=578;?>o2n3:1(?;8:4;8j7312010e8k50;&112<212d99;4n;:k6`?6=,;?<6874n375>g=<a<i1<7*=5686=>h5=?0h76g:b;29 7302<30b?;9:e98m02=83.99:4:9:l113<b32ch:7>5$374>f3<f;?=6=54ib694?"5=>0h96`=5782?>od:3:1(?;8:b78j7312;10en?50;&112<d=2d99;4<;:k`4?6=,;?<6n;4n375>1=<akl1<7*=568`1>h5=?0>76gme;29 7302j?0b?;9:798mgb=83.99:4l5:l113<032cio7>5$374>f3<f;?=6554ic`94?"5=>0h96`=578:?>oei3:1(?;8:b78j7312h10eo750;&112<d=2d99;4m;:ka3?6=,;?<6n;4n375>f=<ak<1<7*=568`1>h5=?0o76gm5;29 7302j?0b?;9:d98mg2=83.99:4l5:l113<a32ci?7>5$374>f3<f;?=6<>4;h`1>5<#:<=1o85a244954=<ak;1<7*=568`1>h5=?0:>65fb183>!42?3i>7c<:6;30?>ofn3:1(?;8:b78j73128>07doj:18'601=k<1e>8851498mfb=83.99:4l5:l113<6>21bon4?:%063?e23g8>:7?8;:k`f?6=,;?<6n;4n375>4><3`ij6=4+2459g0=i:<<1=454ib;94?"5=>0h96`=5782e>=nk10;6)<:7;a6?k42>3;i76gl7;29 7302j?0b?;9:0a8?le4290/>895c49m600=9m10eo650;&112<d=2d99;4>e:9jea<72-8>;7m:;o062?7a32cn;7>5$374>`0<f;?=6=54id794?"5=>0n:6`=5782?>ob;3:1(?;8:d48j7312;10eh<50;&112<b>2d99;4<;:kf5?6=,;?<6h84n375>1=<al:1<7*=568f2>h5=?0>76gkf;29 7302l<0b?;9:798mac=83.99:4j6:l113<032coh7>5$374>`0<f;?=6554iea94?"5=>0n:6`=578:?>ocj3:1(?;8:d48j7312h10eio50;&112<b>2d99;4m;:kg<?6=,;?<6h84n375>f=<am=1<7*=568f2>h5=?0o76gk6;29 7302l<0b?;9:d98ma3=83.99:4j6:l113<a32co87>5$374>`0<f;?=6<>4;hf0>5<#:<=1i;5a244954=<am81<7*=568f2>h5=?0:>65fd083>!42?3o=7c<:6;30?>oc83:1(?;8:d48j73128>07dmi:18'601=m?1e>8851498m`c=83.99:4j6:l113<6>21bii4?:%063?c13g8>:7?8;:kfg?6=,;?<6h84n375>4><3`oi6=4+2459a3=i:<<1=454idc94?"5=>0n:6`=5782e>=nm00;6)<:7;g5?k42>3;i76gj8;29 7302l<0b?;9:0a8?lc3290/>895e79m600=9m10ei750;&112<b>2d99;4>e:9jg`<72-8>;7k9;o062?7a32c:=94?:%063?76;2d99;4?;:k257<72-8>;7?>3:l113<632c:=<4?:%063?76;2d99;4=;:k255<72-8>;7?>3:l113<432c:=44?:%063?7602d99;4?;:k252<72-8>;7?>8:l113<632c:=;4?:%063?7602d99;4=;:k250<72-8>;7?>8:l113<432c9i84?:%063?4b<2d99;4?;:k1a6<72-8>;7<j4:l113<632c9i?4?:%063?4b<2d99;4=;:k1a4<72-8>;7<j4:l113<432c9il4?:%063?4b12d99;4?;:k1a=<72-8>;7<j9:l113<632c9i:4?:%063?4b12d99;4=;:k1a3<72-8>;7<j9:l113<432e:?>4?:%063?74:2d99;4?;:m274<72-8>;7?<2:l113<632e:>k4?:%063?74:2d99;4=;:m26`<72-8>;7?<2:l113<432e:>i4?:%063?74:2d99;4;;:m26f<72-8>;7?<2:l113<232e:>o4?:%063?74:2d99;49;:m26d<72-8>;7?<2:l113<032e:>44?:%063?74:2d99;47;:m26=<72-8>;7?<2:l113<>32e:>:4?:%063?74:2d99;4n;:m263<72-8>;7?<2:l113<e32e:>94?:%063?74:2d99;4l;:m266<72-8>;7?<2:l113<c32e:>?4?:%063?74:2d99;4j;:m264<72-8>;7?<2:l113<a32e:>=4?:%063?74:2d99;4>0:9l54`=83.99:4>339m600=9810c<?j:18'601=9:80b?;9:008?j76l3:1(?;8:011?k42>3;876a>1b83>!42?3;8>6`=57820>=h98h1<7*=568277=i:<<1=854o01b>5<#:<=1=><4n375>40<3f;857>5$374>4553g8>:7?8;:m27=<72-8>;7?<2:l113<6021d=>950;&112<6;;1e>8851898k451290/>8951208j73128k07b?<5;29 73028997c<:6;3a?>i6;=0;6)<:7;306>h5=?0:o65`12294?"5=>0:??5a24495a=<g88>6=4+2459564<f;?=6<k4;n32e?6=,;?<6<==;o062?7a32e:984?:%063?72<2d99;4?;:m216<72-8>;7?:4:l113<632e:9<4?:%063?72<2d99;4=;:m215<72-8>;7?:4:l113<432e:8k4?:%063?72<2d99;4;;:m20`<72-8>;7?:4:l113<232e:8i4?:%063?72<2d99;49;:m20f<72-8>;7?:4:l113<032e:8o4?:%063?72<2d99;47;:m20d<72-8>;7?:4:l113<>32e:844?:%063?72<2d99;4n;:m20=<72-8>;7?:4:l113<e32e:8;4?:%063?72<2d99;4l;:m200<72-8>;7?:4:l113<c32e:894?:%063?72<2d99;4j;:m206<72-8>;7?:4:l113<a32e:8?4?:%063?72<2d99;4>0:9l517=83.99:4>559m600=9810c<:?:18'601=9<>0b?;9:008?j74n3:1(?;8:077?k42>3;876a>3d83>!42?3;>86`=57820>=h9:n1<7*=568211=i:<<1=854o07`>5<#:<=1=8:4n375>40<3f;>n7>5$374>4333g8>:7?8;:m21d<72-8>;7?:4:l113<6021d=8750;&112<6==1e>8851898k43?290/>8951468j73128k07b?:7;29 73028??7c<:6;3a?>i6=?0;6)<:7;360>h5=?0:o65`14094?"5=>0:995a24495a=<g8><6=4+2459502<f;?=6<k4;n30g?6=,;?<6<;;;o062?7a32e::?4?:%063?7192d99;4?;:m225<72-8>;7?91:l113<632e:9k4?:%063?7192d99;4=;:m21`<72-8>;7?91:l113<432e:::4?:%063?71>2d99;4?;:m220<72-8>;7?96:l113<632e::94?:%063?71>2d99;4=;:m226<72-8>;7?96:l113<432wi58650;a1>5<7s-8o57<m6:J040=O:mn0V;o5cz0:>7g=:m09i7=::24972<4039:6><53880e?{#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97<4$0g5>7=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3f3?7?n2.:i54>8g9'6g1=:<:0(?l7:373?k4dj330b?ml:89'6a4=:<:0(<o=:29'5d5=;2.9in4=ec9'6`b=:lh0b?kj:89m6``=12.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087c?<b;28j43c291/>i?519d8 7b22;1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"5l?097d8k:188m3c=831b;94?::k41?6=3`;3n7>5;h0``?6=3`8hi7>5;h3;g?6=3`8o;7>5;h0g<?6=3f<m6=44o6294?=n<h0;6)<:7;6:?k42>3:07d:7:18'601=<01e>8851:9j02<72-8>;7:6;o062?4<3`>=6=4+24590<=i:<<1?65f4483>!42?3>27c<:6;68?l34290/>895489m600==21b9?4?:%063?2>3g8>:784;h72>5<#:<=1845a24493>=n=90;6)<:7;6:?k42>3207d:i:18'601=<01e>8859:9j0`<72-8>;7:6;o062?g<3`>o6=4+24590<=i:<<1n65f4b83>!42?3>27c<:6;a8?l2e290/>895489m600=l21b894?:%063?2>3g8>:7k4;h7b>5<#:<=1945a24494>=n=10;6)<:7;7:?k42>3;07d;8:18'601==01e>8852:9j13<72-8>;7;6;o062?5<3`?>6=4+24591<=i:<<1865f6283>!42?3?27c<:6;78?l05290/>895589m600=>21b:<4?:%063?3>3g8>:794;h43>5<#:<=1945a2449<>=n=o0;6)<:7;7:?k42>3307d;j:18'601==01e>885a:9j1a<72-8>;7;6;o062?d<3`?h6=4+24591<=i:<<1o65f5c83>!42?3?27c<:6;f8?l33290/>895589m600=m21bo;4?:%063?e23g8>:7>4;ha7>5<#:<=1o85a24495>=nk;0;6)<:7;a6?k42>3807dm>:18'601=k<1e>8853:9jg5<72-8>;7m:;o062?2<3`hm6=4+2459g0=i:<<1965fbd83>!42?3i>7c<:6;48?ldc290/>895c49m600=?21bnn4?:%063?e23g8>:764;h`a>5<#:<=1o85a2449=>=njh0;6)<:7;a6?k42>3k07dl6:18'601=k<1e>885b:9jf2<72-8>;7m:;o062?e<3`h=6=4+2459g0=i:<<1h65fb483>!42?3i>7c<:6;g8?ld3290/>895c49m600=n21bn>4?:%063?e23g8>:7??;:ka6?6=,;?<6n;4n375>47<3`h:6=4+2459g0=i:<<1=?54ic294?"5=>0h96`=57827>=nio0;6)<:7;a6?k42>3;?76gne;29 7302j?0b?;9:078?lec290/>895c49m600=9?10enm50;&112<d=2d99;4>7:9jgg<72-8>;7m:;o062?7?32chm7>5$374>f3<f;?=6<74;ha:>5<#:<=1o85a24495d=<aj21<7*=568`1>h5=?0:n65fc683>!42?3i>7c<:6;3`?>od;3:1(?;8:b78j73128n07dl7:18'601=k<1e>8851d98mdb=83.99:4l5:l113<6n21bi:4?:%063?c13g8>:7>4;hg6>5<#:<=1i;5a24495>=nm:0;6)<:7;g5?k42>3807dk=:18'601=m?1e>8853:9ja4<72-8>;7k9;o062?2<3`o;6=4+2459a3=i:<<1965fdg83>!42?3o=7c<:6;48?lbb290/>895e79m600=?21bhi4?:%063?c13g8>:764;hf`>5<#:<=1i;5a2449=>=nlk0;6)<:7;g5?k42>3k07djn:18'601=m?1e>885b:9j`=<72-8>;7k9;o062?e<3`n<6=4+2459a3=i:<<1h65fd783>!42?3o=7c<:6;g8?lb2290/>895e79m600=n21bh94?:%063?c13g8>:7??;:kg7?6=,;?<6h84n375>47<3`n96=4+2459a3=i:<<1=?54ie394?"5=>0n:6`=57827>=nl90;6)<:7;g5?k42>3;?76glf;29 7302l<0b?;9:078?lcb290/>895e79m600=9?10ehj50;&112<b>2d99;4>7:9jaf<72-8>;7k9;o062?7?32cnn7>5$374>`0<f;?=6<74;hgb>5<#:<=1i;5a24495d=<al31<7*=568f2>h5=?0:n65fe983>!42?3o=7c<:6;3`?>ob<3:1(?;8:d48j73128n07dj6:18'601=m?1e>8851d98mfc=83.99:4j6:l113<6n21b=<:50;&112<69:1e>8850:9j544=83.99:4>129m600=921b=<?50;&112<69:1e>8852:9j546=83.99:4>129m600=;21b=<750;&112<6911e>8850:9j541=83.99:4>199m600=921b=<850;&112<6911e>8852:9j543=83.99:4>199m600=;21b>h;50;&112<5m=1e>8850:9j6`5=83.99:4=e59m600=921b>h<50;&112<5m=1e>8852:9j6`7=83.99:4=e59m600=;21b>ho50;&112<5m01e>8850:9j6`>=83.99:4=e89m600=921b>h950;&112<5m01e>8852:9j6`0=83.99:4=e89m600=;21d=>=50;&112<6;;1e>8850:9l567=83.99:4>339m600=921d=?h50;&112<6;;1e>8852:9l57c=83.99:4>339m600=;21d=?j50;&112<6;;1e>8854:9l57e=83.99:4>339m600==21d=?l50;&112<6;;1e>8856:9l57g=83.99:4>339m600=?21d=?750;&112<6;;1e>8858:9l57>=83.99:4>339m600=121d=?950;&112<6;;1e>885a:9l570=83.99:4>339m600=j21d=?:50;&112<6;;1e>885c:9l575=83.99:4>339m600=l21d=?<50;&112<6;;1e>885e:9l577=83.99:4>339m600=n21d=?>50;&112<6;;1e>8851198k47a290/>8951208j73128;07b?>e;29 73028997c<:6;31?>i69m0;6)<:7;306>h5=?0:?65`10a94?"5=>0:??5a244951=<g8;i6=4+2459564<f;?=6<;4;n30e?6=,;?<6<==;o062?7132e:?44?:%063?74:2d99;4>7:9l56>=83.99:4>339m600=9110c<=8:18'601=9:80b?;9:0;8?j74>3:1(?;8:011?k42>3;j76a>3483>!42?3;8>6`=5782f>=h9:>1<7*=568277=i:<<1=n54o013>5<#:<=1=><4n375>4b<3f;997>5$374>4553g8>:7?j;:m25d<72-8>;7?<2:l113<6n21d=8;50;&112<6==1e>8850:9l505=83.99:4>559m600=921d=8?50;&112<6==1e>8852:9l506=83.99:4>559m600=;21d=9h50;&112<6==1e>8854:9l51c=83.99:4>559m600==21d=9j50;&112<6==1e>8856:9l51e=83.99:4>559m600=?21d=9l50;&112<6==1e>8858:9l51g=83.99:4>559m600=121d=9750;&112<6==1e>885a:9l51>=83.99:4>559m600=j21d=9850;&112<6==1e>885c:9l513=83.99:4>559m600=l21d=9:50;&112<6==1e>885e:9l515=83.99:4>559m600=n21d=9<50;&112<6==1e>8851198k426290/>8951468j73128;07b?;0;29 73028??7c<:6;31?>i6;o0;6)<:7;360>h5=?0:?65`12g94?"5=>0:995a244951=<g89o6=4+2459502<f;?=6<;4;n36g?6=,;?<6<;;;o062?7132e:9o4?:%063?72<2d99;4>7:9l50g=83.99:4>559m600=9110c<;6:18'601=9<>0b?;9:0;8?j7203:1(?;8:077?k42>3;j76a>5683>!42?3;>86`=5782f>=h9<<1<7*=568211=i:<<1=n54o071>5<#:<=1=8:4n375>4b<3f;?;7>5$374>4333g8>:7?j;:m27f<72-8>;7?:4:l113<6n21d=;<50;&112<6>81e>8850:9l536=83.99:4>609m600=921d=8h50;&112<6>81e>8852:9l50c=83.99:4>609m600=;21d=;950;&112<6>?1e>8850:9l533=83.99:4>679m600=921d=;:50;&112<6>?1e>8852:9l535=83.99:4>679m600=;21vn4=k:18`6?6=8r.9h44=b79K753<@;no7W8n:by1=?4f2;n1>h4<5;15>61=;108=7==:2;97d<z,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84=;%3f2?4<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&2a2<60o1/=h6519d8 7d02;?;7)<m8;064>h5kk027c<lc;;8 7b52;?;7)?n2;18 4g42:1/>hm52d`8 7cc2;oi7c<je;;8j7ca201/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6`>3c83?k72l3:0(?j>:0:e?!4c=380(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#:m<1>6g9d;29?l0b2900e::50;9j30<722c:4o4?::k1ga<722c9oh4?::k2<f<722c9h:4?::k1`=<722e=j7>5;n53>5<<a=k1<7*=5687=>h5=?0;76g;8;29 7302=30b?;9:098m11=83.99:4;9:l113<532c?:7>5$374>1?<f;?=6>54i5794?"5=>0?56`=5787?>o2;3:1(?;8:5;8j7312<10e8<50;&112<312d99;49;:k65?6=,;?<6974n375>2=<a<:1<7*=5687=>h5=?0376g;f;29 7302=30b?;9:898m1c=83.99:4;9:l113<f32c?h7>5$374>1?<f;?=6o54i5a94?"5=>0?56`=578`?>o3j3:1(?;8:5;8j7312m10e9:50;&112<312d99;4j;:k6e?6=,;?<6874n375>5=<a<21<7*=5686=>h5=?0:76g:7;29 7302<30b?;9:398m00=83.99:4:9:l113<432c>97>5$374>0?<f;?=6954i7194?"5=>0>56`=5786?>o1:3:1(?;8:4;8j7312?10e;?50;&112<212d99;48;:k54?6=,;?<6874n375>==<a<l1<7*=5686=>h5=?0276g:e;29 7302<30b?;9:`98m0b=83.99:4:9:l113<e32c>o7>5$374>0?<f;?=6n54i4`94?"5=>0>56`=578g?>o2<3:1(?;8:4;8j7312l10en850;&112<d=2d99;4?;:k`0?6=,;?<6n;4n375>4=<aj81<7*=568`1>h5=?0976gl1;29 7302j?0b?;9:298mf6=83.99:4l5:l113<332cij7>5$374>f3<f;?=6854icg94?"5=>0h96`=5785?>oel3:1(?;8:b78j7312>10eom50;&112<d=2d99;47;:kaf?6=,;?<6n;4n375><=<akk1<7*=568`1>h5=?0j76gm9;29 7302j?0b?;9:c98mg1=83.99:4l5:l113<d32ci:7>5$374>f3<f;?=6i54ic794?"5=>0h96`=578f?>oe<3:1(?;8:b78j7312o10eo=50;&112<d=2d99;4>0:9jf7<72-8>;7m:;o062?7632ci=7>5$374>f3<f;?=6<<4;h`3>5<#:<=1o85a244956=<ahl1<7*=568`1>h5=?0:865fad83>!42?3i>7c<:6;36?>odl3:1(?;8:b78j73128<07dml:18'601=k<1e>8851698mfd=83.99:4l5:l113<6021bol4?:%063?e23g8>:7?6;:k`=?6=,;?<6n;4n375>4g<3`i36=4+2459g0=i:<<1=o54ib594?"5=>0h96`=5782g>=nk:0;6)<:7;a6?k42>3;o76gm8;29 7302j?0b?;9:0g8?lgc290/>895c49m600=9o10eh950;&112<b>2d99;4?;:kf1?6=,;?<6h84n375>4=<al91<7*=568f2>h5=?0976gj2;29 7302l<0b?;9:298m`7=83.99:4j6:l113<332cn<7>5$374>`0<f;?=6854ied94?"5=>0n:6`=5785?>ocm3:1(?;8:d48j7312>10eij50;&112<b>2d99;47;:kgg?6=,;?<6h84n375><=<amh1<7*=568f2>h5=?0j76gka;29 7302l<0b?;9:c98ma>=83.99:4j6:l113<d32co;7>5$374>`0<f;?=6i54ie494?"5=>0n:6`=578f?>oc=3:1(?;8:d48j7312o10ei:50;&112<b>2d99;4>0:9j`6<72-8>;7k9;o062?7632co>7>5$374>`0<f;?=6<<4;hf2>5<#:<=1i;5a244956=<am:1<7*=568f2>h5=?0:865fcg83>!42?3o=7c<:6;36?>obm3:1(?;8:d48j73128<07dkk:18'601=m?1e>8851698m`e=83.99:4j6:l113<6021bio4?:%063?c13g8>:7?6;:kfe?6=,;?<6h84n375>4g<3`o26=4+2459a3=i:<<1=o54id:94?"5=>0n:6`=5782g>=nm=0;6)<:7;g5?k42>3;o76gk9;29 7302l<0b?;9:0g8?leb290/>895e79m600=9o10e<?;:18'601=9890b?;9:198m475290/>8951018j7312810e<?>:18'601=9890b?;9:398m477290/>8951018j7312:10e<?6:18'601=9820b?;9:198m470290/>89510:8j7312810e<?9:18'601=9820b?;9:398m472290/>89510:8j7312:10e?k::18'601=:l>0b?;9:198m7c4290/>8952d68j7312810e?k=:18'601=:l>0b?;9:398m7c6290/>8952d68j7312:10e?kn:18'601=:l30b?;9:198m7c?290/>8952d;8j7312810e?k8:18'601=:l30b?;9:398m7c1290/>8952d;8j7312:10c<=<:18'601=9:80b?;9:198k456290/>8951208j7312810c<<i:18'601=9:80b?;9:398k44b290/>8951208j7312:10c<<k:18'601=9:80b?;9:598k44d290/>8951208j7312<10c<<m:18'601=9:80b?;9:798k44f290/>8951208j7312>10c<<6:18'601=9:80b?;9:998k44?290/>8951208j7312010c<<8:18'601=9:80b?;9:`98k441290/>8951208j7312k10c<<;:18'601=9:80b?;9:b98k444290/>8951208j7312m10c<<=:18'601=9:80b?;9:d98k446290/>8951208j7312o10c<<?:18'601=9:80b?;9:028?j76n3:1(?;8:011?k42>3;:76a>1d83>!42?3;8>6`=57826>=h98n1<7*=568277=i:<<1=>54o03`>5<#:<=1=><4n375>42<3f;:n7>5$374>4553g8>:7?:;:m27d<72-8>;7?<2:l113<6>21d=>750;&112<6;;1e>8851698k45?290/>8951208j73128207b?<7;29 73028997c<:6;3:?>i6;?0;6)<:7;306>h5=?0:m65`12794?"5=>0:??5a24495g=<g89?6=4+2459564<f;?=6<m4;n304?6=,;?<6<==;o062?7c32e:>84?:%063?74:2d99;4>e:9l54g=83.99:4>339m600=9o10c<;::18'601=9<>0b?;9:198k434290/>8951468j7312810c<;>:18'601=9<>0b?;9:398k437290/>8951468j7312:10c<:i:18'601=9<>0b?;9:598k42b290/>8951468j7312<10c<:k:18'601=9<>0b?;9:798k42d290/>8951468j7312>10c<:m:18'601=9<>0b?;9:998k42f290/>8951468j7312010c<:6:18'601=9<>0b?;9:`98k42?290/>8951468j7312k10c<:9:18'601=9<>0b?;9:b98k422290/>8951468j7312m10c<:;:18'601=9<>0b?;9:d98k424290/>8951468j7312o10c<:=:18'601=9<>0b?;9:028?j7393:1(?;8:077?k42>3;:76a>4183>!42?3;>86`=57826>=h9:l1<7*=568211=i:<<1=>54o01f>5<#:<=1=8:4n375>42<3f;8h7>5$374>4333g8>:7?:;:m21f<72-8>;7?:4:l113<6>21d=8l50;&112<6==1e>8851698k43f290/>8951468j73128207b?:9;29 73028??7c<:6;3:?>i6=10;6)<:7;360>h5=?0:m65`14594?"5=>0:995a24495g=<g8?=6=4+2459502<f;?=6<m4;n366?6=,;?<6<;;;o062?7c32e:8:4?:%063?72<2d99;4>e:9l56e=83.99:4>559m600=9o10c<8=:18'601=9?;0b?;9:198k407290/>8951738j7312810c<;i:18'601=9?;0b?;9:398k43b290/>8951738j7312:10c<88:18'601=9?<0b?;9:198k402290/>8951748j7312810c<8;:18'601=9?<0b?;9:398k404290/>8951748j7312:10qo7<e;29g7<729q/>i752c48L6623A8oh6T9a;ax6<<5i38o6?k534802?502:21?<4<2;1:>6g=u-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;52:&2a3<53-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5`1=91l0(<k7:0:e?!4e?38><6*=b98115=i:jh156`=cb8:?!4c:38><6*>a380?!7f;390(?kl:3ga?!4bl38nn6`=ed8:?k4bn330(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5a12`94>h6=m0;7)<k1;3;b>"5l<097)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,;n=6?5f6e83>>o1m3:17d9;:188m23=831b=5l50;9j6fb=831b>nk50;9j5=e=831b>i950;9j6a>=831d:k4?::m44?6=3`>j6=4+24590<=i:<<1<65f4983>!42?3>27c<:6;38?l20290/>895489m600=:21b8;4?:%063?2>3g8>:7=4;h66>5<#:<=1845a24490>=n=:0;6)<:7;6:?k42>3?07d;=:18'601=<01e>8856:9j14<72-8>;7:6;o062?1<3`?;6=4+24590<=i:<<1465f4g83>!42?3>27c<:6;;8?l2b290/>895489m600=i21b8i4?:%063?2>3g8>:7l4;h6`>5<#:<=1845a2449g>=n<k0;6)<:7;6:?k42>3n07d:;:18'601=<01e>885e:9j1d<72-8>;7;6;o062?6<3`?36=4+24591<=i:<<1=65f5683>!42?3?27c<:6;08?l31290/>895589m600=;21b984?:%063?3>3g8>:7:4;h40>5<#:<=1945a24491>=n>;0;6)<:7;7:?k42>3<07d8>:18'601==01e>8857:9j25<72-8>;7;6;o062?><3`?m6=4+24591<=i:<<1565f5d83>!42?3?27c<:6;c8?l3c290/>895589m600=j21b9n4?:%063?3>3g8>:7m4;h7a>5<#:<=1945a2449`>=n==0;6)<:7;7:?k42>3o07dm9:18'601=k<1e>8850:9jg1<72-8>;7m:;o062?7<3`i96=4+2459g0=i:<<1>65fc083>!42?3i>7c<:6;18?le7290/>895c49m600=<21bnk4?:%063?e23g8>:7;4;h`f>5<#:<=1o85a24492>=njm0;6)<:7;a6?k42>3=07dll:18'601=k<1e>8858:9jfg<72-8>;7m:;o062??<3`hj6=4+2459g0=i:<<1m65fb883>!42?3i>7c<:6;`8?ld0290/>895c49m600=k21bn;4?:%063?e23g8>:7j4;h`6>5<#:<=1o85a2449a>=nj=0;6)<:7;a6?k42>3l07dl<:18'601=k<1e>8851198mg4=83.99:4l5:l113<6921bn<4?:%063?e23g8>:7?=;:ka4?6=,;?<6n;4n375>45<3`km6=4+2459g0=i:<<1=954i`g94?"5=>0h96`=57821>=nkm0;6)<:7;a6?k42>3;=76glc;29 7302j?0b?;9:058?lee290/>895c49m600=9110eno50;&112<d=2d99;4>9:9jg<<72-8>;7m:;o062?7f32ch47>5$374>f3<f;?=6<l4;ha4>5<#:<=1o85a24495f=<aj91<7*=568`1>h5=?0:h65fb983>!42?3i>7c<:6;3f?>ofl3:1(?;8:b78j73128l07dk8:18'601=m?1e>8850:9ja0<72-8>;7k9;o062?7<3`o86=4+2459a3=i:<<1>65fe383>!42?3o=7c<:6;18?lc6290/>895e79m600=<21bi=4?:%063?c13g8>:7;4;hfe>5<#:<=1i;5a24492>=nll0;6)<:7;g5?k42>3=07djk:18'601=m?1e>8858:9j`f<72-8>;7k9;o062??<3`ni6=4+2459a3=i:<<1m65fd`83>!42?3o=7c<:6;`8?lb?290/>895e79m600=k21bh:4?:%063?c13g8>:7j4;hf5>5<#:<=1i;5a2449a>=nl<0;6)<:7;g5?k42>3l07dj;:18'601=m?1e>8851198ma5=83.99:4j6:l113<6921bh?4?:%063?c13g8>:7?=;:kg5?6=,;?<6h84n375>45<3`n;6=4+2459a3=i:<<1=954ibd94?"5=>0n:6`=57821>=nml0;6)<:7;g5?k42>3;=76gjd;29 7302l<0b?;9:058?lcd290/>895e79m600=9110ehl50;&112<b>2d99;4>9:9jad<72-8>;7k9;o062?7f32cn57>5$374>`0<f;?=6<l4;hg;>5<#:<=1i;5a24495f=<al>1<7*=568f2>h5=?0:h65fd883>!42?3o=7c<:6;3f?>odm3:1(?;8:d48j73128l07d?>4;29 73028;87c<:6;28?l76:3:1(?;8:030?k42>3;07d?>1;29 73028;87c<:6;08?l7683:1(?;8:030?k42>3907d?>9;29 73028;37c<:6;28?l76?3:1(?;8:03;?k42>3;07d?>6;29 73028;37c<:6;08?l76=3:1(?;8:03;?k42>3907d<j5;29 7302;o?7c<:6;28?l4b;3:1(?;8:3g7?k42>3;07d<j2;29 7302;o?7c<:6;08?l4b93:1(?;8:3g7?k42>3907d<ja;29 7302;o27c<:6;28?l4b03:1(?;8:3g:?k42>3;07d<j7;29 7302;o27c<:6;08?l4b>3:1(?;8:3g:?k42>3907b?<3;29 73028997c<:6;28?j7493:1(?;8:011?k42>3;07b?=f;29 73028997c<:6;08?j75m3:1(?;8:011?k42>3907b?=d;29 73028997c<:6;68?j75k3:1(?;8:011?k42>3?07b?=b;29 73028997c<:6;48?j75i3:1(?;8:011?k42>3=07b?=9;29 73028997c<:6;:8?j7503:1(?;8:011?k42>3307b?=7;29 73028997c<:6;c8?j75>3:1(?;8:011?k42>3h07b?=4;29 73028997c<:6;a8?j75;3:1(?;8:011?k42>3n07b?=2;29 73028997c<:6;g8?j7593:1(?;8:011?k42>3l07b?=0;29 73028997c<:6;33?>i69o0;6)<:7;306>h5=?0:=65`10g94?"5=>0:??5a244957=<g8;o6=4+2459564<f;?=6<=4;n32g?6=,;?<6<==;o062?7332e:=o4?:%063?74:2d99;4>5:9l56g=83.99:4>339m600=9?10c<=6:18'601=9:80b?;9:058?j7403:1(?;8:011?k42>3;376a>3683>!42?3;8>6`=5782=>=h9:<1<7*=568277=i:<<1=l54o016>5<#:<=1=><4n375>4d<3f;887>5$374>4553g8>:7?l;:m275<72-8>;7?<2:l113<6l21d=?;50;&112<6;;1e>8851d98k47f290/>8951208j73128l07b?:5;29 73028??7c<:6;28?j72;3:1(?;8:077?k42>3;07b?:1;29 73028??7c<:6;08?j7283:1(?;8:077?k42>3907b?;f;29 73028??7c<:6;68?j73m3:1(?;8:077?k42>3?07b?;d;29 73028??7c<:6;48?j73k3:1(?;8:077?k42>3=07b?;b;29 73028??7c<:6;:8?j73i3:1(?;8:077?k42>3307b?;9;29 73028??7c<:6;c8?j7303:1(?;8:077?k42>3h07b?;6;29 73028??7c<:6;a8?j73=3:1(?;8:077?k42>3n07b?;4;29 73028??7c<:6;g8?j73;3:1(?;8:077?k42>3l07b?;2;29 73028??7c<:6;33?>i6<80;6)<:7;360>h5=?0:=65`15294?"5=>0:995a244957=<g89m6=4+2459502<f;?=6<=4;n30a?6=,;?<6<;;;o062?7332e:?i4?:%063?72<2d99;4>5:9l50e=83.99:4>559m600=9?10c<;m:18'601=9<>0b?;9:058?j72i3:1(?;8:077?k42>3;376a>5883>!42?3;>86`=5782=>=h9<21<7*=568211=i:<<1=l54o074>5<#:<=1=8:4n375>4d<3f;>:7>5$374>4333g8>:7?l;:m217<72-8>;7?:4:l113<6l21d=9950;&112<6==1e>8851d98k45d290/>8951468j73128l07b?92;29 73028<:7c<:6;28?j7183:1(?;8:042?k42>3;07b?:f;29 73028<:7c<:6;08?j72m3:1(?;8:042?k42>3907b?97;29 73028<=7c<:6;28?j71=3:1(?;8:045?k42>3;07b?94;29 73028<=7c<:6;08?j71;3:1(?;8:045?k42>3907pl63g83>f4=83:p(?j6:3`5?M57=2B9hi5U6`8`\7f7?=:h09h7<j:27973<4?3936>?53380=?5f2t.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::39'5`0=:2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4c0282m7)?j8;3;b>"5j>099=5+2c:9606<f;ii645a2ba9=>"5l;099=5+1`097>"6i:087)<jc;0ff>"5mm09io5a2dg9=>h5mo027)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4n01a>5=i9<n1<6*=d082<c=#:m?1>6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-8o:7<4i7f94?=n>l0;66g84;29?l122900e<6m:188m7ec2900e?mj:188m4>d2900e?j8:188m7b?2900c;h50;9l35<722c?m7>5$374>1?<f;?=6=54i5:94?"5=>0?56`=5782?>o3?3:1(?;8:5;8j7312;10e9850;&112<312d99;4<;:k71?6=,;?<6974n375>1=<a<91<7*=5687=>h5=?0>76g:2;29 7302=30b?;9:798m07=83.99:4;9:l113<032c><7>5$374>1?<f;?=6554i5d94?"5=>0?56`=578:?>o3m3:1(?;8:5;8j7312h10e9j50;&112<312d99;4m;:k7g?6=,;?<6974n375>f=<a=h1<7*=5687=>h5=?0o76g;4;29 7302=30b?;9:d98m0g=83.99:4:9:l113<732c>47>5$374>0?<f;?=6<54i4594?"5=>0>56`=5781?>o2>3:1(?;8:4;8j7312:10e8;50;&112<212d99;4;;:k57?6=,;?<6874n375>0=<a?81<7*=5686=>h5=?0=76g91;29 7302<30b?;9:698m36=83.99:4:9:l113<?32c>j7>5$374>0?<f;?=6454i4g94?"5=>0>56`=578b?>o2l3:1(?;8:4;8j7312k10e8m50;&112<212d99;4l;:k6f?6=,;?<6874n375>a=<a<>1<7*=5686=>h5=?0n76gl6;29 7302j?0b?;9:198mf2=83.99:4l5:l113<632ch>7>5$374>f3<f;?=6?54ib394?"5=>0h96`=5780?>od83:1(?;8:b78j7312=10eoh50;&112<d=2d99;4:;:kaa?6=,;?<6n;4n375>3=<akn1<7*=568`1>h5=?0<76gmc;29 7302j?0b?;9:998mgd=83.99:4l5:l113<>32cim7>5$374>f3<f;?=6l54ic;94?"5=>0h96`=578a?>oe?3:1(?;8:b78j7312j10eo850;&112<d=2d99;4k;:ka1?6=,;?<6n;4n375>`=<ak>1<7*=568`1>h5=?0m76gm3;29 7302j?0b?;9:028?ld5290/>895c49m600=9810eo?50;&112<d=2d99;4>2:9jf5<72-8>;7m:;o062?7432cjj7>5$374>f3<f;?=6<:4;hcf>5<#:<=1o85a244950=<ajn1<7*=568`1>h5=?0::65fcb83>!42?3i>7c<:6;34?>odj3:1(?;8:b78j73128207dmn:18'601=k<1e>8851898mf?=83.99:4l5:l113<6i21bo54?:%063?e23g8>:7?m;:k`3?6=,;?<6n;4n375>4e<3`i86=4+2459g0=i:<<1=i54ic:94?"5=>0h96`=5782a>=nim0;6)<:7;a6?k42>3;m76gj7;29 7302l<0b?;9:198m`3=83.99:4j6:l113<632cn?7>5$374>`0<f;?=6?54id094?"5=>0n:6`=5780?>ob93:1(?;8:d48j7312=10eh>50;&112<b>2d99;4:;:kgb?6=,;?<6h84n375>3=<amo1<7*=568f2>h5=?0<76gkd;29 7302l<0b?;9:998mae=83.99:4j6:l113<>32con7>5$374>`0<f;?=6l54iec94?"5=>0n:6`=578a?>oc03:1(?;8:d48j7312j10ei950;&112<b>2d99;4k;:kg2?6=,;?<6h84n375>`=<am?1<7*=568f2>h5=?0m76gk4;29 7302l<0b?;9:028?lb4290/>895e79m600=9810ei<50;&112<b>2d99;4>2:9j`4<72-8>;7k9;o062?7432co<7>5$374>`0<f;?=6<:4;hae>5<#:<=1i;5a244950=<alo1<7*=568f2>h5=?0::65fee83>!42?3o=7c<:6;34?>obk3:1(?;8:d48j73128207dkm:18'601=m?1e>8851898m`g=83.99:4j6:l113<6i21bi44?:%063?c13g8>:7?m;:kf<?6=,;?<6h84n375>4e<3`o?6=4+2459a3=i:<<1=i54ie;94?"5=>0n:6`=5782a>=nkl0;6)<:7;g5?k42>3;m76g>1583>!42?3;:?6`=5783?>o69;0;6)<:7;327>h5=?0:76g>1083>!42?3;:?6`=5781?>o6990;6)<:7;327>h5=?0876g>1883>!42?3;:46`=5783?>o69>0;6)<:7;32<>h5=?0:76g>1783>!42?3;:46`=5781?>o69<0;6)<:7;32<>h5=?0876g=e483>!42?38n86`=5783?>o5m:0;6)<:7;0f0>h5=?0:76g=e383>!42?38n86`=5781?>o5m80;6)<:7;0f0>h5=?0876g=e`83>!42?38n56`=5783?>o5m10;6)<:7;0f=>h5=?0:76g=e683>!42?38n56`=5781?>o5m?0;6)<:7;0f=>h5=?0876a>3283>!42?3;8>6`=5783?>i6;80;6)<:7;306>h5=?0:76a>2g83>!42?3;8>6`=5781?>i6:l0;6)<:7;306>h5=?0876a>2e83>!42?3;8>6`=5787?>i6:j0;6)<:7;306>h5=?0>76a>2c83>!42?3;8>6`=5785?>i6:h0;6)<:7;306>h5=?0<76a>2883>!42?3;8>6`=578;?>i6:10;6)<:7;306>h5=?0276a>2683>!42?3;8>6`=578b?>i6:?0;6)<:7;306>h5=?0i76a>2583>!42?3;8>6`=578`?>i6::0;6)<:7;306>h5=?0o76a>2383>!42?3;8>6`=578f?>i6:80;6)<:7;306>h5=?0m76a>2183>!42?3;8>6`=57824>=h98l1<7*=568277=i:<<1=<54o03f>5<#:<=1=><4n375>44<3f;:h7>5$374>4553g8>:7?<;:m25f<72-8>;7?<2:l113<6<21d=<l50;&112<6;;1e>8851498k45f290/>8951208j73128<07b?<9;29 73028997c<:6;34?>i6;10;6)<:7;306>h5=?0:465`12594?"5=>0:??5a24495<=<g89=6=4+2459564<f;?=6<o4;n301?6=,;?<6<==;o062?7e32e:?94?:%063?74:2d99;4>c:9l566=83.99:4>339m600=9m10c<<::18'601=9:80b?;9:0g8?j76i3:1(?;8:011?k42>3;m76a>5483>!42?3;>86`=5783?>i6=:0;6)<:7;360>h5=?0:76a>5083>!42?3;>86`=5781?>i6=90;6)<:7;360>h5=?0876a>4g83>!42?3;>86`=5787?>i6<l0;6)<:7;360>h5=?0>76a>4e83>!42?3;>86`=5785?>i6<j0;6)<:7;360>h5=?0<76a>4c83>!42?3;>86`=578;?>i6<h0;6)<:7;360>h5=?0276a>4883>!42?3;>86`=578b?>i6<10;6)<:7;360>h5=?0i76a>4783>!42?3;>86`=578`?>i6<<0;6)<:7;360>h5=?0o76a>4583>!42?3;>86`=578f?>i6<:0;6)<:7;360>h5=?0m76a>4383>!42?3;>86`=57824>=h9=;1<7*=568211=i:<<1=<54o063>5<#:<=1=8:4n375>44<3f;8j7>5$374>4333g8>:7?<;:m27`<72-8>;7?:4:l113<6<21d=>j50;&112<6==1e>8851498k43d290/>8951468j73128<07b?:b;29 73028??7c<:6;34?>i6=h0;6)<:7;360>h5=?0:465`14;94?"5=>0:995a24495<=<g8?36=4+2459502<f;?=6<o4;n363?6=,;?<6<;;;o062?7e32e:9;4?:%063?72<2d99;4>c:9l504=83.99:4>559m600=9m10c<:8:18'601=9<>0b?;9:0g8?j74k3:1(?;8:077?k42>3;m76a>6383>!42?3;==6`=5783?>i6>90;6)<:7;355>h5=?0:76a>5g83>!42?3;==6`=5781?>i6=l0;6)<:7;355>h5=?0876a>6683>!42?3;=:6`=5783?>i6><0;6)<:7;352>h5=?0:76a>6583>!42?3;=:6`=5781?>i6>:0;6)<:7;352>h5=?0876sm95294?e5290;w)<k9;0a2>N48<1C>ij4Z7c9g~4>2;k1>i4=e;16>60=;>0847=>:2097<<4i3w/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;08 4c12;1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!7b?3;3j6*>e982<c=#:k=1>8>4$3`;>7373g8hn774n3a`><=#:m81>8>4$0c1>6=#9h91?6*=eb81ag=#:ln1>hl4n3gf><=i:ll156*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;o30f?6<f8?o6=5+2e395=`<,;n>6?5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.9h;4=;h4g>5<<a?o1<75f7583>>o0=3:17d?7b;29?l4dl3:17d<le;29?l7?k3:17d<k7;29?l4c03:17b8i:188k26=831b8l4?:%063?2>3g8>:7>4;h6;>5<#:<=1845a24495>=n<>0;6)<:7;6:?k42>3807d:9:18'601=<01e>8853:9j00<72-8>;7:6;o062?2<3`?86=4+24590<=i:<<1965f5383>!42?3>27c<:6;48?l36290/>895489m600=?21b9=4?:%063?2>3g8>:764;h6e>5<#:<=1845a2449=>=n<l0;6)<:7;6:?k42>3k07d:k:18'601=<01e>885b:9j0f<72-8>;7:6;o062?e<3`>i6=4+24590<=i:<<1h65f4583>!42?3>27c<:6;g8?l3f290/>895589m600=821b954?:%063?3>3g8>:7?4;h74>5<#:<=1945a24496>=n=?0;6)<:7;7:?k42>3907d;::18'601==01e>8854:9j26<72-8>;7;6;o062?3<3`<96=4+24591<=i:<<1:65f6083>!42?3?27c<:6;58?l07290/>895589m600=021b9k4?:%063?3>3g8>:774;h7f>5<#:<=1945a2449e>=n=m0;6)<:7;7:?k42>3h07d;l:18'601==01e>885c:9j1g<72-8>;7;6;o062?b<3`??6=4+24591<=i:<<1i65fc783>!42?3i>7c<:6;28?le3290/>895c49m600=921bo?4?:%063?e23g8>:7<4;ha2>5<#:<=1o85a24497>=nk90;6)<:7;a6?k42>3>07dli:18'601=k<1e>8855:9jf`<72-8>;7m:;o062?0<3`ho6=4+2459g0=i:<<1;65fbb83>!42?3i>7c<:6;:8?lde290/>895c49m600=121bnl4?:%063?e23g8>:7o4;h`:>5<#:<=1o85a2449f>=nj>0;6)<:7;a6?k42>3i07dl9:18'601=k<1e>885d:9jf0<72-8>;7m:;o062?c<3`h?6=4+2459g0=i:<<1j65fb283>!42?3i>7c<:6;33?>oe:3:1(?;8:b78j73128;07dl>:18'601=k<1e>8851398mg6=83.99:4l5:l113<6;21bmk4?:%063?e23g8>:7?;;:kba?6=,;?<6n;4n375>43<3`io6=4+2459g0=i:<<1=;54iba94?"5=>0h96`=57823>=nkk0;6)<:7;a6?k42>3;376gla;29 7302j?0b?;9:0;8?le>290/>895c49m600=9h10en650;&112<d=2d99;4>b:9jg2<72-8>;7m:;o062?7d32ch?7>5$374>f3<f;?=6<j4;h`;>5<#:<=1o85a24495`=<ahn1<7*=568`1>h5=?0:j65fe683>!42?3o=7c<:6;28?lc2290/>895e79m600=921bi>4?:%063?c13g8>:7<4;hg1>5<#:<=1i;5a24497>=nm80;6)<:7;g5?k42>3>07dk?:18'601=m?1e>8855:9j`c<72-8>;7k9;o062?0<3`nn6=4+2459a3=i:<<1;65fde83>!42?3o=7c<:6;:8?lbd290/>895e79m600=121bho4?:%063?c13g8>:7o4;hfb>5<#:<=1i;5a2449f>=nl10;6)<:7;g5?k42>3i07dj8:18'601=m?1e>885d:9j`3<72-8>;7k9;o062?c<3`n>6=4+2459a3=i:<<1j65fd583>!42?3o=7c<:6;33?>oc;3:1(?;8:d48j73128;07dj=:18'601=m?1e>8851398ma7=83.99:4j6:l113<6;21bh=4?:%063?c13g8>:7?;;:k`b?6=,;?<6h84n375>43<3`on6=4+2459a3=i:<<1=;54idf94?"5=>0n:6`=57823>=nmj0;6)<:7;g5?k42>3;376gjb;29 7302l<0b?;9:0;8?lcf290/>895e79m600=9h10eh750;&112<b>2d99;4>b:9ja=<72-8>;7k9;o062?7d32cn87>5$374>`0<f;?=6<j4;hf:>5<#:<=1i;5a24495`=<ajo1<7*=568f2>h5=?0:j65f10694?"5=>0:=>5a24494>=n9881<7*=568256=i:<<1=65f10394?"5=>0:=>5a24496>=n98:1<7*=568256=i:<<1?65f10;94?"5=>0:=55a24494>=n98=1<7*=56825==i:<<1=65f10494?"5=>0:=55a24496>=n98?1<7*=56825==i:<<1?65f2d794?"5=>09i95a24494>=n:l91<7*=5681a1=i:<<1=65f2d094?"5=>09i95a24496>=n:l;1<7*=5681a1=i:<<1?65f2dc94?"5=>09i45a24494>=n:l21<7*=5681a<=i:<<1=65f2d594?"5=>09i45a24496>=n:l<1<7*=5681a<=i:<<1?65`12194?"5=>0:??5a24494>=h9:;1<7*=568277=i:<<1=65`13d94?"5=>0:??5a24496>=h9;o1<7*=568277=i:<<1?65`13f94?"5=>0:??5a24490>=h9;i1<7*=568277=i:<<1965`13`94?"5=>0:??5a24492>=h9;k1<7*=568277=i:<<1;65`13;94?"5=>0:??5a2449<>=h9;21<7*=568277=i:<<1565`13594?"5=>0:??5a2449e>=h9;<1<7*=568277=i:<<1n65`13694?"5=>0:??5a2449g>=h9;91<7*=568277=i:<<1h65`13094?"5=>0:??5a2449a>=h9;;1<7*=568277=i:<<1j65`13294?"5=>0:??5a244955=<g8;m6=4+2459564<f;?=6<?4;n32a?6=,;?<6<==;o062?7532e:=i4?:%063?74:2d99;4>3:9l54e=83.99:4>339m600=9=10c<?m:18'601=9:80b?;9:078?j74i3:1(?;8:011?k42>3;=76a>3883>!42?3;8>6`=57823>=h9:21<7*=568277=i:<<1=554o014>5<#:<=1=><4n375>4?<3f;8:7>5$374>4553g8>:7?n;:m270<72-8>;7?<2:l113<6j21d=>:50;&112<6;;1e>8851b98k457290/>8951208j73128n07b?=5;29 73028997c<:6;3f?>i69h0;6)<:7;306>h5=?0:j65`14794?"5=>0:995a24494>=h9<91<7*=568211=i:<<1=65`14394?"5=>0:995a24496>=h9<:1<7*=568211=i:<<1?65`15d94?"5=>0:995a24490>=h9=o1<7*=568211=i:<<1965`15f94?"5=>0:995a24492>=h9=i1<7*=568211=i:<<1;65`15`94?"5=>0:995a2449<>=h9=k1<7*=568211=i:<<1565`15;94?"5=>0:995a2449e>=h9=21<7*=568211=i:<<1n65`15494?"5=>0:995a2449g>=h9=?1<7*=568211=i:<<1h65`15694?"5=>0:995a2449a>=h9=91<7*=568211=i:<<1j65`15094?"5=>0:995a244955=<g8>:6=4+2459502<f;?=6<?4;n374?6=,;?<6<;;;o062?7532e:?k4?:%063?72<2d99;4>3:9l56c=83.99:4>559m600=9=10c<=k:18'601=9<>0b?;9:078?j72k3:1(?;8:077?k42>3;=76a>5c83>!42?3;>86`=57823>=h9<k1<7*=568211=i:<<1=554o07:>5<#:<=1=8:4n375>4?<3f;>47>5$374>4333g8>:7?n;:m212<72-8>;7?:4:l113<6j21d=8850;&112<6==1e>8851b98k435290/>8951468j73128n07b?;7;29 73028??7c<:6;3f?>i6;j0;6)<:7;360>h5=?0:j65`17094?"5=>0::<5a24494>=h9?:1<7*=568224=i:<<1=65`14d94?"5=>0::<5a24496>=h9<o1<7*=568224=i:<<1?65`17594?"5=>0::;5a24494>=h9??1<7*=568223=i:<<1=65`17694?"5=>0::;5a24496>=h9?91<7*=568223=i:<<1?65rb862>5<d:3:1<v*=d881f3=O;9?0D?jk;[4b>f}5138j6?j52d801?512:=1?54<1;11>6?=;h0v(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e481?!7b>380(<7l:29'5<b=;2.:5h4<;%3:b?5<,8k;6>5+1`397>"6m>0:4k5+1d:95=`<,;h<6?;?;%0a<?4282d9oo46;o0`g??<,;n96?;?;%3b6?5<,8k86>5+2da96`d<,;oo6?km;o0fa??<f;om645+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:l27g<73g;>h7>4$3f2>4>a3-8o97<4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/>i852:k5`?6=3`<n6=44i6694?=n?<0;66g>8c83>>o5km0;66g=cd83>>o60j0;66g=d683>>o5l10;66a9f;29?j172900e9o50;&112<312d99;4?;:k7<?6=,;?<6974n375>4=<a==1<7*=5687=>h5=?0976g;6;29 7302=30b?;9:298m13=83.99:4;9:l113<332c>?7>5$374>1?<f;?=6854i4094?"5=>0?56`=5785?>o293:1(?;8:5;8j7312>10e8>50;&112<312d99;47;:k7b?6=,;?<6974n375><=<a=o1<7*=5687=>h5=?0j76g;d;29 7302=30b?;9:c98m1e=83.99:4;9:l113<d32c?n7>5$374>1?<f;?=6i54i5694?"5=>0?56`=578f?>o2i3:1(?;8:4;8j7312910e8650;&112<212d99;4>;:k63?6=,;?<6874n375>7=<a<<1<7*=5686=>h5=?0876g:5;29 7302<30b?;9:598m35=83.99:4:9:l113<232c=>7>5$374>0?<f;?=6;54i7394?"5=>0>56`=5784?>o183:1(?;8:4;8j7312110e8h50;&112<212d99;46;:k6a?6=,;?<6874n375>d=<a<n1<7*=5686=>h5=?0i76g:c;29 7302<30b?;9:b98m0d=83.99:4:9:l113<c32c>87>5$374>0?<f;?=6h54ib494?"5=>0h96`=5783?>od<3:1(?;8:b78j7312810en<50;&112<d=2d99;4=;:k`5?6=,;?<6n;4n375>6=<aj:1<7*=568`1>h5=?0?76gmf;29 7302j?0b?;9:498mgc=83.99:4l5:l113<132cih7>5$374>f3<f;?=6:54ica94?"5=>0h96`=578;?>oej3:1(?;8:b78j7312010eoo50;&112<d=2d99;4n;:ka=?6=,;?<6n;4n375>g=<ak=1<7*=568`1>h5=?0h76gm6;29 7302j?0b?;9:e98mg3=83.99:4l5:l113<b32ci87>5$374>f3<f;?=6k54ic194?"5=>0h96`=57824>=nj;0;6)<:7;a6?k42>3;:76gm1;29 7302j?0b?;9:008?ld7290/>895c49m600=9:10elh50;&112<d=2d99;4>4:9je`<72-8>;7m:;o062?7232chh7>5$374>f3<f;?=6<84;ha`>5<#:<=1o85a244952=<ajh1<7*=568`1>h5=?0:465fc`83>!42?3i>7c<:6;3:?>od13:1(?;8:b78j73128k07dm7:18'601=k<1e>8851c98mf1=83.99:4l5:l113<6k21bo>4?:%063?e23g8>:7?k;:ka<?6=,;?<6n;4n375>4c<3`ko6=4+2459g0=i:<<1=k54id594?"5=>0n:6`=5783?>ob=3:1(?;8:d48j7312810eh=50;&112<b>2d99;4=;:kf6?6=,;?<6h84n375>6=<al;1<7*=568f2>h5=?0?76gj0;29 7302l<0b?;9:498ma`=83.99:4j6:l113<132coi7>5$374>`0<f;?=6:54ief94?"5=>0n:6`=578;?>ock3:1(?;8:d48j7312010eil50;&112<b>2d99;4n;:kge?6=,;?<6h84n375>g=<am21<7*=568f2>h5=?0h76gk7;29 7302l<0b?;9:e98ma0=83.99:4j6:l113<b32co97>5$374>`0<f;?=6k54ie694?"5=>0n:6`=57824>=nl:0;6)<:7;g5?k42>3;:76gk2;29 7302l<0b?;9:008?lb6290/>895e79m600=9:10ei>50;&112<b>2d99;4>4:9jgc<72-8>;7k9;o062?7232cni7>5$374>`0<f;?=6<84;hgg>5<#:<=1i;5a244952=<ali1<7*=568f2>h5=?0:465fec83>!42?3o=7c<:6;3:?>obi3:1(?;8:d48j73128k07dk6:18'601=m?1e>8851c98m`>=83.99:4j6:l113<6k21bi94?:%063?c13g8>:7?k;:kg=?6=,;?<6h84n375>4c<3`in6=4+2459a3=i:<<1=k54i037>5<#:<=1=<=4n375>5=<a8;96=4+2459545<f;?=6<54i032>5<#:<=1=<=4n375>7=<a8;;6=4+2459545<f;?=6>54i03:>5<#:<=1=<64n375>5=<a8;<6=4+245954><f;?=6<54i035>5<#:<=1=<64n375>7=<a8;>6=4+245954><f;?=6>54i3g6>5<#:<=1>h:4n375>5=<a;o86=4+24596`2<f;?=6<54i3g1>5<#:<=1>h:4n375>7=<a;o:6=4+24596`2<f;?=6>54i3gb>5<#:<=1>h74n375>5=<a;o36=4+24596`?<f;?=6<54i3g4>5<#:<=1>h74n375>7=<a;o=6=4+24596`?<f;?=6>54o010>5<#:<=1=><4n375>5=<g89:6=4+2459564<f;?=6<54o00e>5<#:<=1=><4n375>7=<g88n6=4+2459564<f;?=6>54o00g>5<#:<=1=><4n375>1=<g88h6=4+2459564<f;?=6854o00a>5<#:<=1=><4n375>3=<g88j6=4+2459564<f;?=6:54o00:>5<#:<=1=><4n375>==<g8836=4+2459564<f;?=6454o004>5<#:<=1=><4n375>d=<g88=6=4+2459564<f;?=6o54o007>5<#:<=1=><4n375>f=<g8886=4+2459564<f;?=6i54o001>5<#:<=1=><4n375>`=<g88:6=4+2459564<f;?=6k54o003>5<#:<=1=><4n375>46<3f;:j7>5$374>4553g8>:7?>;:m25`<72-8>;7?<2:l113<6:21d=<j50;&112<6;;1e>8851298k47d290/>8951208j73128>07b?>b;29 73028997c<:6;36?>i6;h0;6)<:7;306>h5=?0::65`12;94?"5=>0:??5a244952=<g8936=4+2459564<f;?=6<64;n303?6=,;?<6<==;o062?7>32e:?;4?:%063?74:2d99;4>a:9l563=83.99:4>339m600=9k10c<=;:18'601=9:80b?;9:0a8?j7483:1(?;8:011?k42>3;o76a>2483>!42?3;8>6`=5782a>=h98k1<7*=568277=i:<<1=k54o076>5<#:<=1=8:4n375>5=<g8?86=4+2459502<f;?=6<54o072>5<#:<=1=8:4n375>7=<g8?;6=4+2459502<f;?=6>54o06e>5<#:<=1=8:4n375>1=<g8>n6=4+2459502<f;?=6854o06g>5<#:<=1=8:4n375>3=<g8>h6=4+2459502<f;?=6:54o06a>5<#:<=1=8:4n375>==<g8>j6=4+2459502<f;?=6454o06:>5<#:<=1=8:4n375>d=<g8>36=4+2459502<f;?=6o54o065>5<#:<=1=8:4n375>f=<g8>>6=4+2459502<f;?=6i54o067>5<#:<=1=8:4n375>`=<g8>86=4+2459502<f;?=6k54o061>5<#:<=1=8:4n375>46<3f;?=7>5$374>4333g8>:7?>;:m205<72-8>;7?:4:l113<6:21d=>h50;&112<6==1e>8851298k45b290/>8951468j73128>07b?<d;29 73028??7c<:6;36?>i6=j0;6)<:7;360>h5=?0::65`14`94?"5=>0:995a244952=<g8?j6=4+2459502<f;?=6<64;n36=?6=,;?<6<;;;o062?7>32e:954?:%063?72<2d99;4>a:9l501=83.99:4>559m600=9k10c<;9:18'601=9<>0b?;9:0a8?j72:3:1(?;8:077?k42>3;o76a>4683>!42?3;>86`=5782a>=h9:i1<7*=568211=i:<<1=k54o041>5<#:<=1=;?4n375>5=<g8<;6=4+2459537<f;?=6<54o07e>5<#:<=1=;?4n375>7=<g8?n6=4+2459537<f;?=6>54o044>5<#:<=1=;84n375>5=<g8<>6=4+2459530<f;?=6<54o047>5<#:<=1=;84n375>7=<g8<86=4+2459530<f;?=6>54}c;76?6=k;0;6=u+2e;96g0<@::>7E<kd:X5e?e|:009m7<k:3g970<4>39<6>6530806?5>2:k1q)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d796>"6m?097)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#9l=1=5h4$0g;>4>a3-8i;7<:0:&1f=<5=91e>nl59:l1gf<>3-8o>7<:0:&2e7<43-;j?7=4$3g`>7ce3-8nh7<jb:l1a`<>3g8nj774$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29m56d=82d:9i4?;%0g5?7?n2.9h84=;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(?j9:39j2a<722c=i7>5;h57>5<<a>?1<75f19`94?=n:jn1<75f2bg94?=n91i1<75f2e594?=n:m21<75`6g83>>i083:17d:n:18'601=<01e>8850:9j0=<72-8>;7:6;o062?7<3`><6=4+24590<=i:<<1>65f4783>!42?3>27c<:6;18?l22290/>895489m600=<21b9>4?:%063?2>3g8>:7;4;h71>5<#:<=1845a24492>=n=80;6)<:7;6:?k42>3=07d;?:18'601=<01e>8858:9j0c<72-8>;7:6;o062??<3`>n6=4+24590<=i:<<1m65f4e83>!42?3>27c<:6;`8?l2d290/>895489m600=k21b8o4?:%063?2>3g8>:7j4;h67>5<#:<=1845a2449a>=n=h0;6)<:7;7:?k42>3:07d;7:18'601==01e>8851:9j12<72-8>;7;6;o062?4<3`?=6=4+24591<=i:<<1?65f5483>!42?3?27c<:6;68?l04290/>895589m600==21b:?4?:%063?3>3g8>:784;h42>5<#:<=1945a24493>=n>90;6)<:7;7:?k42>3207d;i:18'601==01e>8859:9j1`<72-8>;7;6;o062?g<3`?o6=4+24591<=i:<<1n65f5b83>!42?3?27c<:6;a8?l3e290/>895589m600=l21b994?:%063?3>3g8>:7k4;ha5>5<#:<=1o85a24494>=nk=0;6)<:7;a6?k42>3;07dm=:18'601=k<1e>8852:9jg4<72-8>;7m:;o062?5<3`i;6=4+2459g0=i:<<1865fbg83>!42?3i>7c<:6;78?ldb290/>895c49m600=>21bni4?:%063?e23g8>:794;h``>5<#:<=1o85a2449<>=njk0;6)<:7;a6?k42>3307dln:18'601=k<1e>885a:9jf<<72-8>;7m:;o062?d<3`h<6=4+2459g0=i:<<1o65fb783>!42?3i>7c<:6;f8?ld2290/>895c49m600=m21bn94?:%063?e23g8>:7h4;h`0>5<#:<=1o85a244955=<ak81<7*=568`1>h5=?0:=65fb083>!42?3i>7c<:6;31?>oe83:1(?;8:b78j73128907doi:18'601=k<1e>8851598mdc=83.99:4l5:l113<6=21boi4?:%063?e23g8>:7?9;:k`g?6=,;?<6n;4n375>41<3`ii6=4+2459g0=i:<<1=554ibc94?"5=>0h96`=5782=>=nk00;6)<:7;a6?k42>3;j76gl8;29 7302j?0b?;9:0`8?le0290/>895c49m600=9j10en=50;&112<d=2d99;4>d:9jf=<72-8>;7m:;o062?7b32cjh7>5$374>f3<f;?=6<h4;hg4>5<#:<=1i;5a24494>=nm<0;6)<:7;g5?k42>3;07dk<:18'601=m?1e>8852:9ja7<72-8>;7k9;o062?5<3`o:6=4+2459a3=i:<<1865fe183>!42?3o=7c<:6;78?lba290/>895e79m600=>21bhh4?:%063?c13g8>:794;hfg>5<#:<=1i;5a2449<>=nlj0;6)<:7;g5?k42>3307djm:18'601=m?1e>885a:9j`d<72-8>;7k9;o062?d<3`n36=4+2459a3=i:<<1o65fd683>!42?3o=7c<:6;f8?lb1290/>895e79m600=m21bh84?:%063?c13g8>:7h4;hf7>5<#:<=1i;5a244955=<am91<7*=568f2>h5=?0:=65fd383>!42?3o=7c<:6;31?>oc93:1(?;8:d48j73128907dj?:18'601=m?1e>8851598mf`=83.99:4j6:l113<6=21bih4?:%063?c13g8>:7?9;:kf`?6=,;?<6h84n375>41<3`oh6=4+2459a3=i:<<1=554id`94?"5=>0n:6`=5782=>=nmh0;6)<:7;g5?k42>3;j76gj9;29 7302l<0b?;9:0`8?lc?290/>895e79m600=9j10eh:50;&112<b>2d99;4>d:9j`<<72-8>;7k9;o062?7b32chi7>5$374>`0<f;?=6<h4;h320?6=,;?<6<?<;o062?6<3`;:>7>5$374>4743g8>:7?4;h325?6=,;?<6<?<;o062?4<3`;:<7>5$374>4743g8>:7=4;h32=?6=,;?<6<?7;o062?6<3`;:;7>5$374>47?3g8>:7?4;h322?6=,;?<6<?7;o062?4<3`;:97>5$374>47?3g8>:7=4;h0f1?6=,;?<6?k;;o062?6<3`8n?7>5$374>7c33g8>:7?4;h0f6?6=,;?<6?k;;o062?4<3`8n=7>5$374>7c33g8>:7=4;h0fe?6=,;?<6?k6;o062?6<3`8n47>5$374>7c>3g8>:7?4;h0f3?6=,;?<6?k6;o062?4<3`8n:7>5$374>7c>3g8>:7=4;n307?6=,;?<6<==;o062?6<3f;8=7>5$374>4553g8>:7?4;n31b?6=,;?<6<==;o062?4<3f;9i7>5$374>4553g8>:7=4;n31`?6=,;?<6<==;o062?2<3f;9o7>5$374>4553g8>:7;4;n31f?6=,;?<6<==;o062?0<3f;9m7>5$374>4553g8>:794;n31=?6=,;?<6<==;o062?><3f;947>5$374>4553g8>:774;n313?6=,;?<6<==;o062?g<3f;9:7>5$374>4553g8>:7l4;n310?6=,;?<6<==;o062?e<3f;9?7>5$374>4553g8>:7j4;n316?6=,;?<6<==;o062?c<3f;9=7>5$374>4553g8>:7h4;n314?6=,;?<6<==;o062?7732e:=k4?:%063?74:2d99;4>1:9l54c=83.99:4>339m600=9;10c<?k:18'601=9:80b?;9:018?j76k3:1(?;8:011?k42>3;?76a>1c83>!42?3;8>6`=57821>=h9:k1<7*=568277=i:<<1=;54o01:>5<#:<=1=><4n375>41<3f;847>5$374>4553g8>:7?7;:m272<72-8>;7?<2:l113<6121d=>850;&112<6;;1e>8851`98k452290/>8951208j73128h07b?<4;29 73028997c<:6;3`?>i6;90;6)<:7;306>h5=?0:h65`13794?"5=>0:??5a24495`=<g8;j6=4+2459564<f;?=6<h4;n361?6=,;?<6<;;;o062?6<3f;>?7>5$374>4333g8>:7?4;n365?6=,;?<6<;;;o062?4<3f;><7>5$374>4333g8>:7=4;n37b?6=,;?<6<;;;o062?2<3f;?i7>5$374>4333g8>:7;4;n37`?6=,;?<6<;;;o062?0<3f;?o7>5$374>4333g8>:794;n37f?6=,;?<6<;;;o062?><3f;?m7>5$374>4333g8>:774;n37=?6=,;?<6<;;;o062?g<3f;?47>5$374>4333g8>:7l4;n372?6=,;?<6<;;;o062?e<3f;?97>5$374>4333g8>:7j4;n370?6=,;?<6<;;;o062?c<3f;??7>5$374>4333g8>:7h4;n376?6=,;?<6<;;;o062?7732e:8<4?:%063?72<2d99;4>1:9l516=83.99:4>559m600=9;10c<=i:18'601=9<>0b?;9:018?j74m3:1(?;8:077?k42>3;?76a>3e83>!42?3;>86`=57821>=h9<i1<7*=568211=i:<<1=;54o07a>5<#:<=1=8:4n375>41<3f;>m7>5$374>4333g8>:7?7;:m21<<72-8>;7?:4:l113<6121d=8650;&112<6==1e>8851`98k430290/>8951468j73128h07b?:6;29 73028??7c<:6;3`?>i6=;0;6)<:7;360>h5=?0:h65`15594?"5=>0:995a24495`=<g89h6=4+2459502<f;?=6<h4;n356?6=,;?<6<8>;o062?6<3f;=<7>5$374>4063g8>:7?4;n36b?6=,;?<6<8>;o062?4<3f;>i7>5$374>4063g8>:7=4;n353?6=,;?<6<89;o062?6<3f;=97>5$374>4013g8>:7?4;n350?6=,;?<6<89;o062?4<3f;=?7>5$374>4013g8>:7=4;|`:06<72j81<7>t$3f:>7d13A9;96F=de9Y2d<ds;31>l4=d;0f>63=;?08;7=7:23977<4139j6p*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>7=#9l<1>6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8o<6<6i;%3f<?7?n2.9n:4=519'6g>=:<:0b?mm:89m6fe=12.9h?4=519'5d4=;2.:m>4<;%0fg?4bj2.9ii4=ec9m6`c=12d9ik46;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18j45e291e=8j50:&1`4<60o1/>i;52:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)<k6;08m3b=831b:h4?::k40?6=3`=>6=44i0:a>5<<a;io6=44i3af>5<<a82h6=44i3f4>5<<a;n36=44o7d94?=h?90;66g;a;29 7302=30b?;9:198m1>=83.99:4;9:l113<632c?;7>5$374>1?<f;?=6?54i5494?"5=>0?56`=5780?>o3=3:1(?;8:5;8j7312=10e8=50;&112<312d99;4:;:k66?6=,;?<6974n375>3=<a<;1<7*=5687=>h5=?0<76g:0;29 7302=30b?;9:998m1`=83.99:4;9:l113<>32c?i7>5$374>1?<f;?=6l54i5f94?"5=>0?56`=578a?>o3k3:1(?;8:5;8j7312j10e9l50;&112<312d99;4k;:k70?6=,;?<6974n375>`=<a<k1<7*=5686=>h5=?0;76g:8;29 7302<30b?;9:098m01=83.99:4:9:l113<532c>:7>5$374>0?<f;?=6>54i4794?"5=>0>56`=5787?>o1;3:1(?;8:4;8j7312<10e;<50;&112<212d99;49;:k55?6=,;?<6874n375>2=<a?:1<7*=5686=>h5=?0376g:f;29 7302<30b?;9:898m0c=83.99:4:9:l113<f32c>h7>5$374>0?<f;?=6o54i4a94?"5=>0>56`=578`?>o2j3:1(?;8:4;8j7312m10e8:50;&112<212d99;4j;:k`2?6=,;?<6n;4n375>5=<aj>1<7*=568`1>h5=?0:76gl2;29 7302j?0b?;9:398mf7=83.99:4l5:l113<432ch<7>5$374>f3<f;?=6954icd94?"5=>0h96`=5786?>oem3:1(?;8:b78j7312?10eoj50;&112<d=2d99;48;:kag?6=,;?<6n;4n375>==<akh1<7*=568`1>h5=?0276gma;29 7302j?0b?;9:`98mg?=83.99:4l5:l113<e32ci;7>5$374>f3<f;?=6n54ic494?"5=>0h96`=578g?>oe=3:1(?;8:b78j7312l10eo:50;&112<d=2d99;4i;:ka7?6=,;?<6n;4n375>46<3`h96=4+2459g0=i:<<1=<54ic394?"5=>0h96`=57826>=nj90;6)<:7;a6?k42>3;876gnf;29 7302j?0b?;9:068?lgb290/>895c49m600=9<10enj50;&112<d=2d99;4>6:9jgf<72-8>;7m:;o062?7032chn7>5$374>f3<f;?=6<64;hab>5<#:<=1o85a24495<=<aj31<7*=568`1>h5=?0:m65fc983>!42?3i>7c<:6;3a?>od?3:1(?;8:b78j73128i07dm<:18'601=k<1e>8851e98mg>=83.99:4l5:l113<6m21bmi4?:%063?e23g8>:7?i;:kf3?6=,;?<6h84n375>5=<al?1<7*=568f2>h5=?0:76gj3;29 7302l<0b?;9:398m`4=83.99:4j6:l113<432cn=7>5$374>`0<f;?=6954id294?"5=>0n:6`=5786?>ocn3:1(?;8:d48j7312?10eik50;&112<b>2d99;48;:kg`?6=,;?<6h84n375>==<ami1<7*=568f2>h5=?0276gkb;29 7302l<0b?;9:`98mag=83.99:4j6:l113<e32co47>5$374>`0<f;?=6n54ie594?"5=>0n:6`=578g?>oc>3:1(?;8:d48j7312l10ei;50;&112<b>2d99;4i;:kg0?6=,;?<6h84n375>46<3`n86=4+2459a3=i:<<1=<54ie094?"5=>0n:6`=57826>=nl80;6)<:7;g5?k42>3;876gk0;29 7302l<0b?;9:068?lea290/>895e79m600=9<10ehk50;&112<b>2d99;4>6:9jaa<72-8>;7k9;o062?7032cno7>5$374>`0<f;?=6<64;hga>5<#:<=1i;5a24495<=<alk1<7*=568f2>h5=?0:m65fe883>!42?3o=7c<:6;3a?>ob03:1(?;8:d48j73128i07dk;:18'601=m?1e>8851e98ma?=83.99:4j6:l113<6m21boh4?:%063?c13g8>:7?i;:k251<72-8>;7?>3:l113<732c:=?4?:%063?76;2d99;4>;:k254<72-8>;7?>3:l113<532c:==4?:%063?76;2d99;4<;:k25<<72-8>;7?>8:l113<732c:=:4?:%063?7602d99;4>;:k253<72-8>;7?>8:l113<532c:=84?:%063?7602d99;4<;:k1a0<72-8>;7<j4:l113<732c9i>4?:%063?4b<2d99;4>;:k1a7<72-8>;7<j4:l113<532c9i<4?:%063?4b<2d99;4<;:k1ad<72-8>;7<j9:l113<732c9i54?:%063?4b12d99;4>;:k1a2<72-8>;7<j9:l113<532c9i;4?:%063?4b12d99;4<;:m276<72-8>;7?<2:l113<732e:?<4?:%063?74:2d99;4>;:m26c<72-8>;7?<2:l113<532e:>h4?:%063?74:2d99;4<;:m26a<72-8>;7?<2:l113<332e:>n4?:%063?74:2d99;4:;:m26g<72-8>;7?<2:l113<132e:>l4?:%063?74:2d99;48;:m26<<72-8>;7?<2:l113<?32e:>54?:%063?74:2d99;46;:m262<72-8>;7?<2:l113<f32e:>;4?:%063?74:2d99;4m;:m261<72-8>;7?<2:l113<d32e:>>4?:%063?74:2d99;4k;:m267<72-8>;7?<2:l113<b32e:><4?:%063?74:2d99;4i;:m265<72-8>;7?<2:l113<6821d=<h50;&112<6;;1e>8851098k47b290/>8951208j73128807b?>d;29 73028997c<:6;30?>i69j0;6)<:7;306>h5=?0:865`10`94?"5=>0:??5a244950=<g89j6=4+2459564<f;?=6<84;n30=?6=,;?<6<==;o062?7032e:?54?:%063?74:2d99;4>8:9l561=83.99:4>339m600=9010c<=9:18'601=9:80b?;9:0c8?j74=3:1(?;8:011?k42>3;i76a>3583>!42?3;8>6`=5782g>=h9::1<7*=568277=i:<<1=i54o006>5<#:<=1=><4n375>4c<3f;:m7>5$374>4553g8>:7?i;:m210<72-8>;7?:4:l113<732e:9>4?:%063?72<2d99;4>;:m214<72-8>;7?:4:l113<532e:9=4?:%063?72<2d99;4<;:m20c<72-8>;7?:4:l113<332e:8h4?:%063?72<2d99;4:;:m20a<72-8>;7?:4:l113<132e:8n4?:%063?72<2d99;48;:m20g<72-8>;7?:4:l113<?32e:8l4?:%063?72<2d99;46;:m20<<72-8>;7?:4:l113<f32e:854?:%063?72<2d99;4m;:m203<72-8>;7?:4:l113<d32e:884?:%063?72<2d99;4k;:m201<72-8>;7?:4:l113<b32e:8>4?:%063?72<2d99;4i;:m207<72-8>;7?:4:l113<6821d=9?50;&112<6==1e>8851098k427290/>8951468j73128807b?<f;29 73028??7c<:6;30?>i6;l0;6)<:7;360>h5=?0:865`12f94?"5=>0:995a244950=<g8?h6=4+2459502<f;?=6<84;n36f?6=,;?<6<;;;o062?7032e:9l4?:%063?72<2d99;4>8:9l50?=83.99:4>559m600=9010c<;7:18'601=9<>0b?;9:0c8?j72?3:1(?;8:077?k42>3;i76a>5783>!42?3;>86`=5782g>=h9<81<7*=568211=i:<<1=i54o064>5<#:<=1=8:4n375>4c<3f;8o7>5$374>4333g8>:7?i;:m227<72-8>;7?91:l113<732e::=4?:%063?7192d99;4>;:m21c<72-8>;7?91:l113<532e:9h4?:%063?7192d99;4<;:m222<72-8>;7?96:l113<732e::84?:%063?71>2d99;4>;:m221<72-8>;7?96:l113<532e::>4?:%063?71>2d99;4<;:\7fa=12=83i96=4?{%0g=?4e>2B8<85G2ef8^3g=kr826?o52e81a?522:<1?:4<8;12>64=;008m7s+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?4<,8o=6?5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-;n;7?7f:&2a=<60o1/>o952428 7d?2;?;7c<lb;;8j7ed201/>i<52428 4g52:1/=l=53:&1af<5mk1/>hj52d`8j7cb201e>hh59:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?k74j3:0b<;k:19'6a7=91l0(?j::39'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*=d781?l0c2900e;k50;9j31<722c<97>5;h3;f?6=3`8hh7>5;h0`a?6=3`;3o7>5;h0g3?6=3`8o47>5;n4e>5<<g>:1<75f4`83>!42?3>27c<:6;28?l2?290/>895489m600=921b8:4?:%063?2>3g8>:7<4;h65>5<#:<=1845a24497>=n<<0;6)<:7;6:?k42>3>07d;<:18'601=<01e>8855:9j17<72-8>;7:6;o062?0<3`?:6=4+24590<=i:<<1;65f5183>!42?3>27c<:6;:8?l2a290/>895489m600=121b8h4?:%063?2>3g8>:7o4;h6g>5<#:<=1845a2449f>=n<j0;6)<:7;6:?k42>3i07d:m:18'601=<01e>885d:9j01<72-8>;7:6;o062?c<3`?j6=4+24591<=i:<<1<65f5983>!42?3?27c<:6;38?l30290/>895589m600=:21b9;4?:%063?3>3g8>:7=4;h76>5<#:<=1945a24490>=n>:0;6)<:7;7:?k42>3?07d8=:18'601==01e>8856:9j24<72-8>;7;6;o062?1<3`<;6=4+24591<=i:<<1465f5g83>!42?3?27c<:6;;8?l3b290/>895589m600=i21b9i4?:%063?3>3g8>:7l4;h7`>5<#:<=1945a2449g>=n=k0;6)<:7;7:?k42>3n07d;;:18'601==01e>885e:9jg3<72-8>;7m:;o062?6<3`i?6=4+2459g0=i:<<1=65fc383>!42?3i>7c<:6;08?le6290/>895c49m600=;21bo=4?:%063?e23g8>:7:4;h`e>5<#:<=1o85a24491>=njl0;6)<:7;a6?k42>3<07dlk:18'601=k<1e>8857:9jff<72-8>;7m:;o062?><3`hi6=4+2459g0=i:<<1565fb`83>!42?3i>7c<:6;c8?ld>290/>895c49m600=j21bn:4?:%063?e23g8>:7m4;h`5>5<#:<=1o85a2449`>=nj<0;6)<:7;a6?k42>3o07dl;:18'601=k<1e>885f:9jf6<72-8>;7m:;o062?7732ci>7>5$374>f3<f;?=6<?4;h`2>5<#:<=1o85a244957=<ak:1<7*=568`1>h5=?0:?65fag83>!42?3i>7c<:6;37?>ofm3:1(?;8:b78j73128?07dmk:18'601=k<1e>8851798mfe=83.99:4l5:l113<6?21boo4?:%063?e23g8>:7?7;:k`e?6=,;?<6n;4n375>4?<3`i26=4+2459g0=i:<<1=l54ib:94?"5=>0h96`=5782f>=nk>0;6)<:7;a6?k42>3;h76gl3;29 7302j?0b?;9:0f8?ld?290/>895c49m600=9l10elj50;&112<d=2d99;4>f:9ja2<72-8>;7k9;o062?6<3`o>6=4+2459a3=i:<<1=65fe283>!42?3o=7c<:6;08?lc5290/>895e79m600=;21bi<4?:%063?c13g8>:7:4;hg3>5<#:<=1i;5a24491>=nlo0;6)<:7;g5?k42>3<07djj:18'601=m?1e>8857:9j`a<72-8>;7k9;o062?><3`nh6=4+2459a3=i:<<1565fdc83>!42?3o=7c<:6;c8?lbf290/>895e79m600=j21bh54?:%063?c13g8>:7m4;hf4>5<#:<=1i;5a2449`>=nl?0;6)<:7;g5?k42>3o07dj::18'601=m?1e>885f:9j`1<72-8>;7k9;o062?7732co?7>5$374>`0<f;?=6<?4;hf1>5<#:<=1i;5a244957=<am;1<7*=568f2>h5=?0:?65fd183>!42?3o=7c<:6;37?>odn3:1(?;8:d48j73128?07dkj:18'601=m?1e>8851798m`b=83.99:4j6:l113<6?21bin4?:%063?c13g8>:7?7;:kff?6=,;?<6h84n375>4?<3`oj6=4+2459a3=i:<<1=l54id;94?"5=>0n:6`=5782f>=nm10;6)<:7;g5?k42>3;h76gj4;29 7302l<0b?;9:0f8?lb>290/>895e79m600=9l10enk50;&112<b>2d99;4>f:9j542=83.99:4>129m600=821b=<<50;&112<69:1e>8851:9j547=83.99:4>129m600=:21b=<>50;&112<69:1e>8853:9j54?=83.99:4>199m600=821b=<950;&112<6911e>8851:9j540=83.99:4>199m600=:21b=<;50;&112<6911e>8853:9j6`3=83.99:4=e59m600=821b>h=50;&112<5m=1e>8851:9j6`4=83.99:4=e59m600=:21b>h?50;&112<5m=1e>8853:9j6`g=83.99:4=e89m600=821b>h650;&112<5m01e>8851:9j6`1=83.99:4=e89m600=:21b>h850;&112<5m01e>8853:9l565=83.99:4>339m600=821d=>?50;&112<6;;1e>8851:9l57`=83.99:4>339m600=:21d=?k50;&112<6;;1e>8853:9l57b=83.99:4>339m600=<21d=?m50;&112<6;;1e>8855:9l57d=83.99:4>339m600=>21d=?o50;&112<6;;1e>8857:9l57?=83.99:4>339m600=021d=?650;&112<6;;1e>8859:9l571=83.99:4>339m600=i21d=?850;&112<6;;1e>885b:9l572=83.99:4>339m600=k21d=?=50;&112<6;;1e>885d:9l574=83.99:4>339m600=m21d=??50;&112<6;;1e>885f:9l576=83.99:4>339m600=9910c<?i:18'601=9:80b?;9:038?j76m3:1(?;8:011?k42>3;976a>1e83>!42?3;8>6`=57827>=h98i1<7*=568277=i:<<1=954o03a>5<#:<=1=><4n375>43<3f;8m7>5$374>4553g8>:7?9;:m27<<72-8>;7?<2:l113<6?21d=>650;&112<6;;1e>8851998k450290/>8951208j73128307b?<6;29 73028997c<:6;3b?>i6;<0;6)<:7;306>h5=?0:n65`12694?"5=>0:??5a24495f=<g89;6=4+2459564<f;?=6<j4;n311?6=,;?<6<==;o062?7b32e:=l4?:%063?74:2d99;4>f:9l503=83.99:4>559m600=821d=8=50;&112<6==1e>8851:9l507=83.99:4>559m600=:21d=8>50;&112<6==1e>8853:9l51`=83.99:4>559m600=<21d=9k50;&112<6==1e>8855:9l51b=83.99:4>559m600=>21d=9m50;&112<6==1e>8857:9l51d=83.99:4>559m600=021d=9o50;&112<6==1e>8859:9l51?=83.99:4>559m600=i21d=9650;&112<6==1e>885b:9l510=83.99:4>559m600=k21d=9;50;&112<6==1e>885d:9l512=83.99:4>559m600=m21d=9=50;&112<6==1e>885f:9l514=83.99:4>559m600=9910c<:>:18'601=9<>0b?;9:038?j7383:1(?;8:077?k42>3;976a>3g83>!42?3;>86`=57827>=h9:o1<7*=568211=i:<<1=954o01g>5<#:<=1=8:4n375>43<3f;>o7>5$374>4333g8>:7?9;:m21g<72-8>;7?:4:l113<6?21d=8o50;&112<6==1e>8851998k43>290/>8951468j73128307b?:8;29 73028??7c<:6;3b?>i6=>0;6)<:7;360>h5=?0:n65`14494?"5=>0:995a24495f=<g8?96=4+2459502<f;?=6<j4;n373?6=,;?<6<;;;o062?7b32e:?n4?:%063?72<2d99;4>f:9l534=83.99:4>609m600=821d=;>50;&112<6>81e>8851:9l50`=83.99:4>609m600=:21d=8k50;&112<6>81e>8853:9l531=83.99:4>679m600=821d=;;50;&112<6>?1e>8851:9l532=83.99:4>679m600=:21d=;=50;&112<6>?1e>8853:9~f<22290h>7>50z&1`<<5j?1C?=;4H3fg?_0f2jq957<n:3f96`<4=39=6>9539805?552:31?l4r$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<53-;n:7<4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.:i:4>8g9'5`>=91l0(?l8:373?!4e038><6`=cc8:?k4dk330(?j=:373?!7f:390(<o<:29'6`e=:lh0(?kk:3ga?k4bm330b?ki:89'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>h6;k0;7c?:d;28 7b6282m7)<k5;08 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+2e496>o1l3:17d8j:188m22=831b;84?::k2<g<722c9oi4?::k1g`<722c:4n4?::k1`2<722c9h54?::m5b?6=3f=;6=44i5c94?"5=>0?56`=5783?>o303:1(?;8:5;8j7312810e9950;&112<312d99;4=;:k72?6=,;?<6974n375>6=<a=?1<7*=5687=>h5=?0?76g:3;29 7302=30b?;9:498m04=83.99:4;9:l113<132c>=7>5$374>1?<f;?=6:54i4294?"5=>0?56`=578;?>o3n3:1(?;8:5;8j7312010e9k50;&112<312d99;4n;:k7`?6=,;?<6974n375>g=<a=i1<7*=5687=>h5=?0h76g;b;29 7302=30b?;9:e98m12=83.99:4;9:l113<b32c>m7>5$374>0?<f;?=6=54i4:94?"5=>0>56`=5782?>o2?3:1(?;8:4;8j7312;10e8850;&112<212d99;4<;:k61?6=,;?<6874n375>1=<a?91<7*=5686=>h5=?0>76g92;29 7302<30b?;9:798m37=83.99:4:9:l113<032c=<7>5$374>0?<f;?=6554i4d94?"5=>0>56`=578:?>o2m3:1(?;8:4;8j7312h10e8j50;&112<212d99;4m;:k6g?6=,;?<6874n375>f=<a<h1<7*=5686=>h5=?0o76g:4;29 7302<30b?;9:d98mf0=83.99:4l5:l113<732ch87>5$374>f3<f;?=6<54ib094?"5=>0h96`=5781?>od93:1(?;8:b78j7312:10en>50;&112<d=2d99;4;;:kab?6=,;?<6n;4n375>0=<ako1<7*=568`1>h5=?0=76gmd;29 7302j?0b?;9:698mge=83.99:4l5:l113<?32cin7>5$374>f3<f;?=6454icc94?"5=>0h96`=578b?>oe13:1(?;8:b78j7312k10eo950;&112<d=2d99;4l;:ka2?6=,;?<6n;4n375>a=<ak?1<7*=568`1>h5=?0n76gm4;29 7302j?0b?;9:g98mg5=83.99:4l5:l113<6821bn?4?:%063?e23g8>:7?>;:ka5?6=,;?<6n;4n375>44<3`h;6=4+2459g0=i:<<1=>54i`d94?"5=>0h96`=57820>=nil0;6)<:7;a6?k42>3;>76gld;29 7302j?0b?;9:048?led290/>895c49m600=9>10enl50;&112<d=2d99;4>8:9jgd<72-8>;7m:;o062?7>32ch57>5$374>f3<f;?=6<o4;ha;>5<#:<=1o85a24495g=<aj=1<7*=568`1>h5=?0:o65fc283>!42?3i>7c<:6;3g?>oe03:1(?;8:b78j73128o07dok:18'601=k<1e>8851g98m`1=83.99:4j6:l113<732cn97>5$374>`0<f;?=6<54id194?"5=>0n:6`=5781?>ob:3:1(?;8:d48j7312:10eh?50;&112<b>2d99;4;;:kf4?6=,;?<6h84n375>0=<aml1<7*=568f2>h5=?0=76gke;29 7302l<0b?;9:698mab=83.99:4j6:l113<?32coo7>5$374>`0<f;?=6454ie`94?"5=>0n:6`=578b?>oci3:1(?;8:d48j7312k10ei650;&112<b>2d99;4l;:kg3?6=,;?<6h84n375>a=<am<1<7*=568f2>h5=?0n76gk5;29 7302l<0b?;9:g98ma2=83.99:4j6:l113<6821bh>4?:%063?c13g8>:7?>;:kg6?6=,;?<6h84n375>44<3`n:6=4+2459a3=i:<<1=>54ie294?"5=>0n:6`=57820>=nko0;6)<:7;g5?k42>3;>76gje;29 7302l<0b?;9:048?lcc290/>895e79m600=9>10ehm50;&112<b>2d99;4>8:9jag<72-8>;7k9;o062?7>32cnm7>5$374>`0<f;?=6<o4;hg:>5<#:<=1i;5a24495g=<al21<7*=568f2>h5=?0:o65fe583>!42?3o=7c<:6;3g?>oc13:1(?;8:d48j73128o07dmj:18'601=m?1e>8851g98m473290/>8951018j7312910e<?=:18'601=9890b?;9:098m476290/>8951018j7312;10e<??:18'601=9890b?;9:298m47>290/>89510:8j7312910e<?8:18'601=9820b?;9:098m471290/>89510:8j7312;10e<?::18'601=9820b?;9:298m7c2290/>8952d68j7312910e?k<:18'601=:l>0b?;9:098m7c5290/>8952d68j7312;10e?k>:18'601=:l>0b?;9:298m7cf290/>8952d;8j7312910e?k7:18'601=:l30b?;9:098m7c0290/>8952d;8j7312;10e?k9:18'601=:l30b?;9:298k454290/>8951208j7312910c<=>:18'601=9:80b?;9:098k44a290/>8951208j7312;10c<<j:18'601=9:80b?;9:298k44c290/>8951208j7312=10c<<l:18'601=9:80b?;9:498k44e290/>8951208j7312?10c<<n:18'601=9:80b?;9:698k44>290/>8951208j7312110c<<7:18'601=9:80b?;9:898k440290/>8951208j7312h10c<<9:18'601=9:80b?;9:c98k443290/>8951208j7312j10c<<<:18'601=9:80b?;9:e98k445290/>8951208j7312l10c<<>:18'601=9:80b?;9:g98k447290/>8951208j73128:07b?>f;29 73028997c<:6;32?>i69l0;6)<:7;306>h5=?0:>65`10f94?"5=>0:??5a244956=<g8;h6=4+2459564<f;?=6<:4;n32f?6=,;?<6<==;o062?7232e:?l4?:%063?74:2d99;4>6:9l56?=83.99:4>339m600=9>10c<=7:18'601=9:80b?;9:0:8?j74?3:1(?;8:011?k42>3;276a>3783>!42?3;8>6`=5782e>=h9:?1<7*=568277=i:<<1=o54o017>5<#:<=1=><4n375>4e<3f;8<7>5$374>4553g8>:7?k;:m260<72-8>;7?<2:l113<6m21d=<o50;&112<6;;1e>8851g98k432290/>8951468j7312910c<;<:18'601=9<>0b?;9:098k436290/>8951468j7312;10c<;?:18'601=9<>0b?;9:298k42a290/>8951468j7312=10c<:j:18'601=9<>0b?;9:498k42c290/>8951468j7312?10c<:l:18'601=9<>0b?;9:698k42e290/>8951468j7312110c<:n:18'601=9<>0b?;9:898k42>290/>8951468j7312h10c<:7:18'601=9<>0b?;9:c98k421290/>8951468j7312j10c<:::18'601=9<>0b?;9:e98k423290/>8951468j7312l10c<:<:18'601=9<>0b?;9:g98k425290/>8951468j73128:07b?;1;29 73028??7c<:6;32?>i6<90;6)<:7;360>h5=?0:>65`12d94?"5=>0:995a244956=<g89n6=4+2459502<f;?=6<:4;n30`?6=,;?<6<;;;o062?7232e:9n4?:%063?72<2d99;4>6:9l50d=83.99:4>559m600=9>10c<;n:18'601=9<>0b?;9:0:8?j7213:1(?;8:077?k42>3;276a>5983>!42?3;>86`=5782e>=h9<=1<7*=568211=i:<<1=o54o075>5<#:<=1=8:4n375>4e<3f;>>7>5$374>4333g8>:7?k;:m202<72-8>;7?:4:l113<6m21d=>m50;&112<6==1e>8851g98k405290/>8951738j7312910c<8?:18'601=9?;0b?;9:098k43a290/>8951738j7312;10c<;j:18'601=9?;0b?;9:298k400290/>8951748j7312910c<8::18'601=9?<0b?;9:098k403290/>8951748j7312;10c<8<:18'601=9?<0b?;9:298yg?3>3:1o?4?:1y'6a?=:k<0D>>:;I0g`>\1i3ip>44=a;0g>7c=;<08:7=8:2:974<4:3926>o5}%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=:2.:i;4=;%3:g?5<,83o6>5+18g97>"61o087)?n0;18 4g62:1/=h9519d8 4c?282m7)<m7;064>"5j1099=5a2b`9=>h5kj027)<k2;064>"6i;087)?n3;18 7cd2;oi7)<jd;0ff>h5ml027c<jf;;8 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=i9:h1<6`>5e83?!4c93;3j6*=d481?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$3f5>7=n>m0;66g9e;29?l132900e:;50;9j5=d=831b>nj50;9j6fc=831b=5m50;9j6a1=831b>i650;9l2c<722e<<7>5;h6b>5<#:<=1845a24494>=n<10;6)<:7;6:?k42>3;07d:8:18'601=<01e>8852:9j03<72-8>;7:6;o062?5<3`>>6=4+24590<=i:<<1865f5283>!42?3>27c<:6;78?l35290/>895489m600=>21b9<4?:%063?2>3g8>:794;h73>5<#:<=1845a2449<>=n<o0;6)<:7;6:?k42>3307d:j:18'601=<01e>885a:9j0a<72-8>;7:6;o062?d<3`>h6=4+24590<=i:<<1o65f4c83>!42?3>27c<:6;f8?l23290/>895489m600=m21b9l4?:%063?3>3g8>:7>4;h7;>5<#:<=1945a24495>=n=>0;6)<:7;7:?k42>3807d;9:18'601==01e>8853:9j10<72-8>;7;6;o062?2<3`<86=4+24591<=i:<<1965f6383>!42?3?27c<:6;48?l06290/>895589m600=?21b:=4?:%063?3>3g8>:764;h7e>5<#:<=1945a2449=>=n=l0;6)<:7;7:?k42>3k07d;k:18'601==01e>885b:9j1f<72-8>;7;6;o062?e<3`?i6=4+24591<=i:<<1h65f5583>!42?3?27c<:6;g8?le1290/>895c49m600=821bo94?:%063?e23g8>:7?4;ha1>5<#:<=1o85a24496>=nk80;6)<:7;a6?k42>3907dm?:18'601=k<1e>8854:9jfc<72-8>;7m:;o062?3<3`hn6=4+2459g0=i:<<1:65fbe83>!42?3i>7c<:6;58?ldd290/>895c49m600=021bno4?:%063?e23g8>:774;h`b>5<#:<=1o85a2449e>=nj00;6)<:7;a6?k42>3h07dl8:18'601=k<1e>885c:9jf3<72-8>;7m:;o062?b<3`h>6=4+2459g0=i:<<1i65fb583>!42?3i>7c<:6;d8?ld4290/>895c49m600=9910eo<50;&112<d=2d99;4>1:9jf4<72-8>;7m:;o062?7532ci<7>5$374>f3<f;?=6<=4;hce>5<#:<=1o85a244951=<aho1<7*=568`1>h5=?0:965fce83>!42?3i>7c<:6;35?>odk3:1(?;8:b78j73128=07dmm:18'601=k<1e>8851998mfg=83.99:4l5:l113<6121bo44?:%063?e23g8>:7?n;:k`<?6=,;?<6n;4n375>4d<3`i<6=4+2459g0=i:<<1=n54ib194?"5=>0h96`=5782`>=nj10;6)<:7;a6?k42>3;n76gnd;29 7302j?0b?;9:0d8?lc0290/>895e79m600=821bi84?:%063?c13g8>:7?4;hg0>5<#:<=1i;5a24496>=nm;0;6)<:7;g5?k42>3907dk>:18'601=m?1e>8854:9ja5<72-8>;7k9;o062?3<3`nm6=4+2459a3=i:<<1:65fdd83>!42?3o=7c<:6;58?lbc290/>895e79m600=021bhn4?:%063?c13g8>:774;hfa>5<#:<=1i;5a2449e>=nlh0;6)<:7;g5?k42>3h07dj7:18'601=m?1e>885c:9j`2<72-8>;7k9;o062?b<3`n=6=4+2459a3=i:<<1i65fd483>!42?3o=7c<:6;d8?lb3290/>895e79m600=9910ei=50;&112<b>2d99;4>1:9j`7<72-8>;7k9;o062?7532co=7>5$374>`0<f;?=6<=4;hf3>5<#:<=1i;5a244951=<ajl1<7*=568f2>h5=?0:965fed83>!42?3o=7c<:6;35?>obl3:1(?;8:d48j73128=07dkl:18'601=m?1e>8851998m`d=83.99:4j6:l113<6121bil4?:%063?c13g8>:7?n;:kf=?6=,;?<6h84n375>4d<3`o36=4+2459a3=i:<<1=n54id694?"5=>0n:6`=5782`>=nl00;6)<:7;g5?k42>3;n76gle;29 7302l<0b?;9:0d8?l76<3:1(?;8:030?k42>3:07d?>2;29 73028;87c<:6;38?l7693:1(?;8:030?k42>3807d?>0;29 73028;87c<:6;18?l7613:1(?;8:03;?k42>3:07d?>7;29 73028;37c<:6;38?l76>3:1(?;8:03;?k42>3807d?>5;29 73028;37c<:6;18?l4b=3:1(?;8:3g7?k42>3:07d<j3;29 7302;o?7c<:6;38?l4b:3:1(?;8:3g7?k42>3807d<j1;29 7302;o?7c<:6;18?l4bi3:1(?;8:3g:?k42>3:07d<j8;29 7302;o27c<:6;38?l4b?3:1(?;8:3g:?k42>3807d<j6;29 7302;o27c<:6;18?j74;3:1(?;8:011?k42>3:07b?<1;29 73028997c<:6;38?j75n3:1(?;8:011?k42>3807b?=e;29 73028997c<:6;18?j75l3:1(?;8:011?k42>3>07b?=c;29 73028997c<:6;78?j75j3:1(?;8:011?k42>3<07b?=a;29 73028997c<:6;58?j7513:1(?;8:011?k42>3207b?=8;29 73028997c<:6;;8?j75?3:1(?;8:011?k42>3k07b?=6;29 73028997c<:6;`8?j75<3:1(?;8:011?k42>3i07b?=3;29 73028997c<:6;f8?j75:3:1(?;8:011?k42>3o07b?=1;29 73028997c<:6;d8?j7583:1(?;8:011?k42>3;;76a>1g83>!42?3;8>6`=57825>=h98o1<7*=568277=i:<<1=?54o03g>5<#:<=1=><4n375>45<3f;:o7>5$374>4553g8>:7?;;:m25g<72-8>;7?<2:l113<6=21d=>o50;&112<6;;1e>8851798k45>290/>8951208j73128=07b?<8;29 73028997c<:6;3;?>i6;>0;6)<:7;306>h5=?0:565`12494?"5=>0:??5a24495d=<g89>6=4+2459564<f;?=6<l4;n300?6=,;?<6<==;o062?7d32e:?=4?:%063?74:2d99;4>d:9l573=83.99:4>339m600=9l10c<?n:18'601=9:80b?;9:0d8?j72=3:1(?;8:077?k42>3:07b?:3;29 73028??7c<:6;38?j7293:1(?;8:077?k42>3807b?:0;29 73028??7c<:6;18?j73n3:1(?;8:077?k42>3>07b?;e;29 73028??7c<:6;78?j73l3:1(?;8:077?k42>3<07b?;c;29 73028??7c<:6;58?j73j3:1(?;8:077?k42>3207b?;a;29 73028??7c<:6;;8?j7313:1(?;8:077?k42>3k07b?;8;29 73028??7c<:6;`8?j73>3:1(?;8:077?k42>3i07b?;5;29 73028??7c<:6;f8?j73<3:1(?;8:077?k42>3o07b?;3;29 73028??7c<:6;d8?j73:3:1(?;8:077?k42>3;;76a>4083>!42?3;>86`=57825>=h9=:1<7*=568211=i:<<1=?54o01e>5<#:<=1=8:4n375>45<3f;8i7>5$374>4333g8>:7?;;:m27a<72-8>;7?:4:l113<6=21d=8m50;&112<6==1e>8851798k43e290/>8951468j73128=07b?:a;29 73028??7c<:6;3;?>i6=00;6)<:7;360>h5=?0:565`14:94?"5=>0:995a24495d=<g8?<6=4+2459502<f;?=6<l4;n362?6=,;?<6<;;;o062?7d32e:9?4?:%063?72<2d99;4>d:9l511=83.99:4>559m600=9l10c<=l:18'601=9<>0b?;9:0d8?j71:3:1(?;8:042?k42>3:07b?90;29 73028<:7c<:6;38?j72n3:1(?;8:042?k42>3807b?:e;29 73028<:7c<:6;18?j71?3:1(?;8:045?k42>3:07b?95;29 73028<=7c<:6;38?j71<3:1(?;8:045?k42>3807b?93;29 73028<=7c<:6;18?xd><10;6n<50;2x 7b>2;h=7E=?5:J1`a=]>h0hw?752`81`?4b2:?1?;4<7;1;>67=;;0857=n:|&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22;1/=h852:&2=f<43-;2h7=4$0;f>6=#90l1?6*>a180?!7f9390(<k8:0:e?!7b03;3j6*=b68115=#:k21>8>4n3aa><=i:ji156*=d38115=#9h81?6*>a280?!4bk38nn6*=ee81ag=i:lo156`=eg8:?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<f89i6=5a14f94>"5l80:4k5+2e796>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%0g2?4<a?n1<75f6d83>>o0<3:17d9::188m4>e2900e?mk:188m7eb2900e<6l:188m7b02900e?j7:188k3`=831d;=4?::k7e?6=,;?<6974n375>5=<a=21<7*=5687=>h5=?0:76g;7;29 7302=30b?;9:398m10=83.99:4;9:l113<432c?97>5$374>1?<f;?=6954i4194?"5=>0?56`=5786?>o2:3:1(?;8:5;8j7312?10e8?50;&112<312d99;48;:k64?6=,;?<6974n375>==<a=l1<7*=5687=>h5=?0276g;e;29 7302=30b?;9:`98m1b=83.99:4;9:l113<e32c?o7>5$374>1?<f;?=6n54i5`94?"5=>0?56`=578g?>o3<3:1(?;8:5;8j7312l10e8o50;&112<212d99;4?;:k6<?6=,;?<6874n375>4=<a<=1<7*=5686=>h5=?0976g:6;29 7302<30b?;9:298m03=83.99:4:9:l113<332c=?7>5$374>0?<f;?=6854i7094?"5=>0>56`=5785?>o193:1(?;8:4;8j7312>10e;>50;&112<212d99;47;:k6b?6=,;?<6874n375><=<a<o1<7*=5686=>h5=?0j76g:d;29 7302<30b?;9:c98m0e=83.99:4:9:l113<d32c>n7>5$374>0?<f;?=6i54i4694?"5=>0>56`=578f?>od>3:1(?;8:b78j7312910en:50;&112<d=2d99;4>;:k`6?6=,;?<6n;4n375>7=<aj;1<7*=568`1>h5=?0876gl0;29 7302j?0b?;9:598mg`=83.99:4l5:l113<232cii7>5$374>f3<f;?=6;54icf94?"5=>0h96`=5784?>oek3:1(?;8:b78j7312110eol50;&112<d=2d99;46;:kae?6=,;?<6n;4n375>d=<ak31<7*=568`1>h5=?0i76gm7;29 7302j?0b?;9:b98mg0=83.99:4l5:l113<c32ci97>5$374>f3<f;?=6h54ic694?"5=>0h96`=578e?>oe;3:1(?;8:b78j73128:07dl=:18'601=k<1e>8851098mg7=83.99:4l5:l113<6:21bn=4?:%063?e23g8>:7?<;:kbb?6=,;?<6n;4n375>42<3`kn6=4+2459g0=i:<<1=854ibf94?"5=>0h96`=57822>=nkj0;6)<:7;a6?k42>3;<76glb;29 7302j?0b?;9:0:8?lef290/>895c49m600=9010en750;&112<d=2d99;4>a:9jg=<72-8>;7m:;o062?7e32ch;7>5$374>f3<f;?=6<m4;ha0>5<#:<=1o85a24495a=<ak21<7*=568`1>h5=?0:i65fae83>!42?3i>7c<:6;3e?>ob?3:1(?;8:d48j7312910eh;50;&112<b>2d99;4>;:kf7?6=,;?<6h84n375>7=<al81<7*=568f2>h5=?0876gj1;29 7302l<0b?;9:598m`6=83.99:4j6:l113<232coj7>5$374>`0<f;?=6;54ieg94?"5=>0n:6`=5784?>ocl3:1(?;8:d48j7312110eim50;&112<b>2d99;46;:kgf?6=,;?<6h84n375>d=<amk1<7*=568f2>h5=?0i76gk8;29 7302l<0b?;9:b98ma1=83.99:4j6:l113<c32co:7>5$374>`0<f;?=6h54ie794?"5=>0n:6`=578e?>oc<3:1(?;8:d48j73128:07dj<:18'601=m?1e>8851098ma4=83.99:4j6:l113<6:21bh<4?:%063?c13g8>:7?<;:kg4?6=,;?<6h84n375>42<3`im6=4+2459a3=i:<<1=854idg94?"5=>0n:6`=57822>=nmm0;6)<:7;g5?k42>3;<76gjc;29 7302l<0b?;9:0:8?lce290/>895e79m600=9010eho50;&112<b>2d99;4>a:9ja<<72-8>;7k9;o062?7e32cn47>5$374>`0<f;?=6<m4;hg7>5<#:<=1i;5a24495a=<am31<7*=568f2>h5=?0:i65fcd83>!42?3o=7c<:6;3e?>o69=0;6)<:7;327>h5=?0;76g>1383>!42?3;:?6`=5782?>o6980;6)<:7;327>h5=?0976g>1183>!42?3;:?6`=5780?>o6900;6)<:7;32<>h5=?0;76g>1683>!42?3;:46`=5782?>o69?0;6)<:7;32<>h5=?0976g>1483>!42?3;:46`=5780?>o5m<0;6)<:7;0f0>h5=?0;76g=e283>!42?38n86`=5782?>o5m;0;6)<:7;0f0>h5=?0976g=e083>!42?38n86`=5780?>o5mh0;6)<:7;0f=>h5=?0;76g=e983>!42?38n56`=5782?>o5m>0;6)<:7;0f=>h5=?0976g=e783>!42?38n56`=5780?>i6;:0;6)<:7;306>h5=?0;76a>3083>!42?3;8>6`=5782?>i6:o0;6)<:7;306>h5=?0976a>2d83>!42?3;8>6`=5780?>i6:m0;6)<:7;306>h5=?0?76a>2b83>!42?3;8>6`=5786?>i6:k0;6)<:7;306>h5=?0=76a>2`83>!42?3;8>6`=5784?>i6:00;6)<:7;306>h5=?0376a>2983>!42?3;8>6`=578:?>i6:>0;6)<:7;306>h5=?0j76a>2783>!42?3;8>6`=578a?>i6:=0;6)<:7;306>h5=?0h76a>2283>!42?3;8>6`=578g?>i6:;0;6)<:7;306>h5=?0n76a>2083>!42?3;8>6`=578e?>i6:90;6)<:7;306>h5=?0:<65`10d94?"5=>0:??5a244954=<g8;n6=4+2459564<f;?=6<<4;n32`?6=,;?<6<==;o062?7432e:=n4?:%063?74:2d99;4>4:9l54d=83.99:4>339m600=9<10c<=n:18'601=9:80b?;9:048?j7413:1(?;8:011?k42>3;<76a>3983>!42?3;8>6`=5782<>=h9:=1<7*=568277=i:<<1=454o015>5<#:<=1=><4n375>4g<3f;897>5$374>4553g8>:7?m;:m271<72-8>;7?<2:l113<6k21d=>>50;&112<6;;1e>8851e98k442290/>8951208j73128o07b?>a;29 73028997c<:6;3e?>i6=<0;6)<:7;360>h5=?0;76a>5283>!42?3;>86`=5782?>i6=80;6)<:7;360>h5=?0976a>5183>!42?3;>86`=5780?>i6<o0;6)<:7;360>h5=?0?76a>4d83>!42?3;>86`=5786?>i6<m0;6)<:7;360>h5=?0=76a>4b83>!42?3;>86`=5784?>i6<k0;6)<:7;360>h5=?0376a>4`83>!42?3;>86`=578:?>i6<00;6)<:7;360>h5=?0j76a>4983>!42?3;>86`=578a?>i6<?0;6)<:7;360>h5=?0h76a>4483>!42?3;>86`=578g?>i6<=0;6)<:7;360>h5=?0n76a>4283>!42?3;>86`=578e?>i6<;0;6)<:7;360>h5=?0:<65`15394?"5=>0:995a244954=<g8>;6=4+2459502<f;?=6<<4;n30b?6=,;?<6<;;;o062?7432e:?h4?:%063?72<2d99;4>4:9l56b=83.99:4>559m600=9<10c<;l:18'601=9<>0b?;9:048?j72j3:1(?;8:077?k42>3;<76a>5`83>!42?3;>86`=5782<>=h9<31<7*=568211=i:<<1=454o07;>5<#:<=1=8:4n375>4g<3f;>;7>5$374>4333g8>:7?m;:m213<72-8>;7?:4:l113<6k21d=8<50;&112<6==1e>8851e98k420290/>8951468j73128o07b?<c;29 73028??7c<:6;3e?>i6>;0;6)<:7;355>h5=?0;76a>6183>!42?3;==6`=5782?>i6=o0;6)<:7;355>h5=?0976a>5d83>!42?3;==6`=5780?>i6>>0;6)<:7;352>h5=?0;76a>6483>!42?3;=:6`=5782?>i6>=0;6)<:7;352>h5=?0976a>6283>!42?3;=:6`=5780?>{e1=31<7m=:183\7f!4c138i:6F<049K6ab<R?k1ov<6:3c96a<5m39>6>853680<?562:81?44<a;\7f'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=380(<k9:39'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?j7;3;b>"6m10:4k5+2c59606<,;h36?;?;o0`f??<f;ih645+2e09606<,8k96>5+1`197>"5mj09io5+2df96`d<f;on645a2dd9=>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43g;8n7>4n07g>5=#:m;1=5h4$3f6>7=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&1`3<53`<o6=44i7g94?=n?=0;66g85;29?l7?j3:17d<ld;29?l4dm3:17d?7c;29?l4c?3:17d<k8;29?j0a2900c:>50;9j0d<72-8>;7:6;o062?6<3`>36=4+24590<=i:<<1=65f4683>!42?3>27c<:6;08?l21290/>895489m600=;21b884?:%063?2>3g8>:7:4;h70>5<#:<=1845a24491>=n=;0;6)<:7;6:?k42>3<07d;>:18'601=<01e>8857:9j15<72-8>;7:6;o062?><3`>m6=4+24590<=i:<<1565f4d83>!42?3>27c<:6;c8?l2c290/>895489m600=j21b8n4?:%063?2>3g8>:7m4;h6a>5<#:<=1845a2449`>=n<=0;6)<:7;6:?k42>3o07d;n:18'601==01e>8850:9j1=<72-8>;7;6;o062?7<3`?<6=4+24591<=i:<<1>65f5783>!42?3?27c<:6;18?l32290/>895589m600=<21b:>4?:%063?3>3g8>:7;4;h41>5<#:<=1945a24492>=n>80;6)<:7;7:?k42>3=07d8?:18'601==01e>8858:9j1c<72-8>;7;6;o062??<3`?n6=4+24591<=i:<<1m65f5e83>!42?3?27c<:6;`8?l3d290/>895589m600=k21b9o4?:%063?3>3g8>:7j4;h77>5<#:<=1945a2449a>=nk?0;6)<:7;a6?k42>3:07dm;:18'601=k<1e>8851:9jg7<72-8>;7m:;o062?4<3`i:6=4+2459g0=i:<<1?65fc183>!42?3i>7c<:6;68?lda290/>895c49m600==21bnh4?:%063?e23g8>:784;h`g>5<#:<=1o85a24493>=njj0;6)<:7;a6?k42>3207dlm:18'601=k<1e>8859:9jfd<72-8>;7m:;o062?g<3`h26=4+2459g0=i:<<1n65fb683>!42?3i>7c<:6;a8?ld1290/>895c49m600=l21bn84?:%063?e23g8>:7k4;h`7>5<#:<=1o85a2449b>=nj:0;6)<:7;a6?k42>3;;76gm2;29 7302j?0b?;9:038?ld6290/>895c49m600=9;10eo>50;&112<d=2d99;4>3:9jec<72-8>;7m:;o062?7332cji7>5$374>f3<f;?=6<;4;hag>5<#:<=1o85a244953=<aji1<7*=568`1>h5=?0:;65fcc83>!42?3i>7c<:6;3;?>odi3:1(?;8:b78j73128307dm6:18'601=k<1e>8851`98mf>=83.99:4l5:l113<6j21bo:4?:%063?e23g8>:7?l;:k`7?6=,;?<6n;4n375>4b<3`h36=4+2459g0=i:<<1=h54i`f94?"5=>0h96`=5782b>=nm>0;6)<:7;g5?k42>3:07dk::18'601=m?1e>8851:9ja6<72-8>;7k9;o062?4<3`o96=4+2459a3=i:<<1?65fe083>!42?3o=7c<:6;68?lc7290/>895e79m600==21bhk4?:%063?c13g8>:784;hff>5<#:<=1i;5a24493>=nlm0;6)<:7;g5?k42>3207djl:18'601=m?1e>8859:9j`g<72-8>;7k9;o062?g<3`nj6=4+2459a3=i:<<1n65fd983>!42?3o=7c<:6;a8?lb0290/>895e79m600=l21bh;4?:%063?c13g8>:7k4;hf6>5<#:<=1i;5a2449b>=nl=0;6)<:7;g5?k42>3;;76gk3;29 7302l<0b?;9:038?lb5290/>895e79m600=9;10ei?50;&112<b>2d99;4>3:9j`5<72-8>;7k9;o062?7332chj7>5$374>`0<f;?=6<;4;hgf>5<#:<=1i;5a244953=<aln1<7*=568f2>h5=?0:;65feb83>!42?3o=7c<:6;3;?>obj3:1(?;8:d48j73128307dkn:18'601=m?1e>8851`98m`?=83.99:4j6:l113<6j21bi54?:%063?c13g8>:7?l;:kf0?6=,;?<6h84n375>4b<3`n26=4+2459a3=i:<<1=h54ibg94?"5=>0n:6`=5782b>=n98>1<7*=568256=i:<<1<65f10094?"5=>0:=>5a24495>=n98;1<7*=568256=i:<<1>65f10294?"5=>0:=>5a24497>=n9831<7*=56825==i:<<1<65f10594?"5=>0:=55a24495>=n98<1<7*=56825==i:<<1>65f10794?"5=>0:=55a24497>=n:l?1<7*=5681a1=i:<<1<65f2d194?"5=>09i95a24495>=n:l81<7*=5681a1=i:<<1>65f2d394?"5=>09i95a24497>=n:lk1<7*=5681a<=i:<<1<65f2d:94?"5=>09i45a24495>=n:l=1<7*=5681a<=i:<<1>65f2d494?"5=>09i45a24497>=h9:91<7*=568277=i:<<1<65`12394?"5=>0:??5a24495>=h9;l1<7*=568277=i:<<1>65`13g94?"5=>0:??5a24497>=h9;n1<7*=568277=i:<<1865`13a94?"5=>0:??5a24491>=h9;h1<7*=568277=i:<<1:65`13c94?"5=>0:??5a24493>=h9;31<7*=568277=i:<<1465`13:94?"5=>0:??5a2449=>=h9;=1<7*=568277=i:<<1m65`13494?"5=>0:??5a2449f>=h9;>1<7*=568277=i:<<1o65`13194?"5=>0:??5a2449`>=h9;81<7*=568277=i:<<1i65`13394?"5=>0:??5a2449b>=h9;:1<7*=568277=i:<<1==54o03e>5<#:<=1=><4n375>47<3f;:i7>5$374>4553g8>:7?=;:m25a<72-8>;7?<2:l113<6;21d=<m50;&112<6;;1e>8851598k47e290/>8951208j73128?07b?<a;29 73028997c<:6;35?>i6;00;6)<:7;306>h5=?0:;65`12:94?"5=>0:??5a24495==<g89<6=4+2459564<f;?=6<74;n302?6=,;?<6<==;o062?7f32e:?84?:%063?74:2d99;4>b:9l562=83.99:4>339m600=9j10c<=?:18'601=9:80b?;9:0f8?j75=3:1(?;8:011?k42>3;n76a>1`83>!42?3;8>6`=5782b>=h9<?1<7*=568211=i:<<1<65`14194?"5=>0:995a24495>=h9<;1<7*=568211=i:<<1>65`14294?"5=>0:995a24497>=h9=l1<7*=568211=i:<<1865`15g94?"5=>0:995a24491>=h9=n1<7*=568211=i:<<1:65`15a94?"5=>0:995a24493>=h9=h1<7*=568211=i:<<1465`15c94?"5=>0:995a2449=>=h9=31<7*=568211=i:<<1m65`15:94?"5=>0:995a2449f>=h9=<1<7*=568211=i:<<1o65`15794?"5=>0:995a2449`>=h9=>1<7*=568211=i:<<1i65`15194?"5=>0:995a2449b>=h9=81<7*=568211=i:<<1==54o062>5<#:<=1=8:4n375>47<3f;?<7>5$374>4333g8>:7?=;:m27c<72-8>;7?:4:l113<6;21d=>k50;&112<6==1e>8851598k45c290/>8951468j73128?07b?:c;29 73028??7c<:6;35?>i6=k0;6)<:7;360>h5=?0:;65`14c94?"5=>0:995a24495==<g8?26=4+2459502<f;?=6<74;n36<?6=,;?<6<;;;o062?7f32e:9:4?:%063?72<2d99;4>b:9l500=83.99:4>559m600=9j10c<;=:18'601=9<>0b?;9:0f8?j73?3:1(?;8:077?k42>3;n76a>3b83>!42?3;>86`=5782b>=h9?81<7*=568224=i:<<1<65`17294?"5=>0::<5a24495>=h9<l1<7*=568224=i:<<1>65`14g94?"5=>0::<5a24497>=h9?=1<7*=568223=i:<<1<65`17794?"5=>0::;5a24495>=h9?>1<7*=568223=i:<<1>65`17194?"5=>0::;5a24497>=zj0>j6=4l2;294~"5l009n;5G3178L7bc3S<j6nu=9;0b>7b=:l0897=9:2597=<493996>753`8~ 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<097)?j6;08 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>e682<c=#9l21=5h4$3`4>7373-8i47<:0:l1gg<>3g8ho774$3f1>7373-;j>7=4$0c0>6=#:li1>hl4$3gg>7ce3g8ni774n3ge><=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2d:?o4?;o36`?6<,;n:6<6i;%0g1?4<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'6a0=:2c=h7>5;h4f>5<<a>>1<75f7483>>o60k0;66g=ce83>>o5kl0;66g>8b83>>o5l>0;66g=d983>>i1n3:17b9?:188m1g=83.99:4;9:l113<732c?47>5$374>1?<f;?=6<54i5594?"5=>0?56`=5781?>o3>3:1(?;8:5;8j7312:10e9;50;&112<312d99;4;;:k67?6=,;?<6974n375>0=<a<81<7*=5687=>h5=?0=76g:1;29 7302=30b?;9:698m06=83.99:4;9:l113<?32c?j7>5$374>1?<f;?=6454i5g94?"5=>0?56`=578b?>o3l3:1(?;8:5;8j7312k10e9m50;&112<312d99;4l;:k7f?6=,;?<6974n375>a=<a=>1<7*=5687=>h5=?0n76g:a;29 7302<30b?;9:198m0>=83.99:4:9:l113<632c>;7>5$374>0?<f;?=6?54i4494?"5=>0>56`=5780?>o2=3:1(?;8:4;8j7312=10e;=50;&112<212d99;4:;:k56?6=,;?<6874n375>3=<a?;1<7*=5686=>h5=?0<76g90;29 7302<30b?;9:998m0`=83.99:4:9:l113<>32c>i7>5$374>0?<f;?=6l54i4f94?"5=>0>56`=578a?>o2k3:1(?;8:4;8j7312j10e8l50;&112<212d99;4k;:k60?6=,;?<6874n375>`=<aj<1<7*=568`1>h5=?0;76gl4;29 7302j?0b?;9:098mf4=83.99:4l5:l113<532ch=7>5$374>f3<f;?=6>54ib294?"5=>0h96`=5787?>oen3:1(?;8:b78j7312<10eok50;&112<d=2d99;49;:ka`?6=,;?<6n;4n375>2=<aki1<7*=568`1>h5=?0376gmb;29 7302j?0b?;9:898mgg=83.99:4l5:l113<f32ci57>5$374>f3<f;?=6o54ic594?"5=>0h96`=578`?>oe>3:1(?;8:b78j7312m10eo;50;&112<d=2d99;4j;:ka0?6=,;?<6n;4n375>c=<ak91<7*=568`1>h5=?0:<65fb383>!42?3i>7c<:6;32?>oe93:1(?;8:b78j73128807dl?:18'601=k<1e>8851298md`=83.99:4l5:l113<6<21bmh4?:%063?e23g8>:7?:;:k``?6=,;?<6n;4n375>40<3`ih6=4+2459g0=i:<<1=:54ib`94?"5=>0h96`=5782<>=nkh0;6)<:7;a6?k42>3;276gl9;29 7302j?0b?;9:0c8?le?290/>895c49m600=9k10en950;&112<d=2d99;4>c:9jg6<72-8>;7m:;o062?7c32ci47>5$374>f3<f;?=6<k4;hcg>5<#:<=1o85a24495c=<al=1<7*=568f2>h5=?0;76gj5;29 7302l<0b?;9:098m`5=83.99:4j6:l113<532cn>7>5$374>`0<f;?=6>54id394?"5=>0n:6`=5787?>ob83:1(?;8:d48j7312<10eih50;&112<b>2d99;49;:kga?6=,;?<6h84n375>2=<amn1<7*=568f2>h5=?0376gkc;29 7302l<0b?;9:898mad=83.99:4j6:l113<f32com7>5$374>`0<f;?=6o54ie:94?"5=>0n:6`=578`?>oc?3:1(?;8:d48j7312m10ei850;&112<b>2d99;4j;:kg1?6=,;?<6h84n375>c=<am>1<7*=568f2>h5=?0:<65fd283>!42?3o=7c<:6;32?>oc:3:1(?;8:d48j73128807dj>:18'601=m?1e>8851298ma6=83.99:4j6:l113<6<21bok4?:%063?c13g8>:7?:;:kfa?6=,;?<6h84n375>40<3`oo6=4+2459a3=i:<<1=:54ida94?"5=>0n:6`=5782<>=nmk0;6)<:7;g5?k42>3;276gja;29 7302l<0b?;9:0c8?lc>290/>895e79m600=9k10eh650;&112<b>2d99;4>c:9ja1<72-8>;7k9;o062?7c32co57>5$374>`0<f;?=6<k4;haf>5<#:<=1i;5a24495c=<a8;?6=4+2459545<f;?=6=54i031>5<#:<=1=<=4n375>4=<a8;:6=4+2459545<f;?=6?54i033>5<#:<=1=<=4n375>6=<a8;26=4+245954><f;?=6=54i034>5<#:<=1=<64n375>4=<a8;=6=4+245954><f;?=6?54i036>5<#:<=1=<64n375>6=<a;o>6=4+24596`2<f;?=6=54i3g0>5<#:<=1>h:4n375>4=<a;o96=4+24596`2<f;?=6?54i3g2>5<#:<=1>h:4n375>6=<a;oj6=4+24596`?<f;?=6=54i3g;>5<#:<=1>h74n375>4=<a;o<6=4+24596`?<f;?=6?54i3g5>5<#:<=1>h74n375>6=<g8986=4+2459564<f;?=6=54o012>5<#:<=1=><4n375>4=<g88m6=4+2459564<f;?=6?54o00f>5<#:<=1=><4n375>6=<g88o6=4+2459564<f;?=6954o00`>5<#:<=1=><4n375>0=<g88i6=4+2459564<f;?=6;54o00b>5<#:<=1=><4n375>2=<g8826=4+2459564<f;?=6554o00;>5<#:<=1=><4n375><=<g88<6=4+2459564<f;?=6l54o005>5<#:<=1=><4n375>g=<g88?6=4+2459564<f;?=6n54o000>5<#:<=1=><4n375>a=<g8896=4+2459564<f;?=6h54o002>5<#:<=1=><4n375>c=<g88;6=4+2459564<f;?=6<>4;n32b?6=,;?<6<==;o062?7632e:=h4?:%063?74:2d99;4>2:9l54b=83.99:4>339m600=9:10c<?l:18'601=9:80b?;9:068?j76j3:1(?;8:011?k42>3;>76a>3`83>!42?3;8>6`=57822>=h9:31<7*=568277=i:<<1=:54o01;>5<#:<=1=><4n375>4><3f;8;7>5$374>4553g8>:7?6;:m273<72-8>;7?<2:l113<6i21d=>;50;&112<6;;1e>8851c98k453290/>8951208j73128i07b?<0;29 73028997c<:6;3g?>i6:<0;6)<:7;306>h5=?0:i65`10c94?"5=>0:??5a24495c=<g8?>6=4+2459502<f;?=6=54o070>5<#:<=1=8:4n375>4=<g8?:6=4+2459502<f;?=6?54o073>5<#:<=1=8:4n375>6=<g8>m6=4+2459502<f;?=6954o06f>5<#:<=1=8:4n375>0=<g8>o6=4+2459502<f;?=6;54o06`>5<#:<=1=8:4n375>2=<g8>i6=4+2459502<f;?=6554o06b>5<#:<=1=8:4n375><=<g8>26=4+2459502<f;?=6l54o06;>5<#:<=1=8:4n375>g=<g8>=6=4+2459502<f;?=6n54o066>5<#:<=1=8:4n375>a=<g8>?6=4+2459502<f;?=6h54o060>5<#:<=1=8:4n375>c=<g8>96=4+2459502<f;?=6<>4;n375?6=,;?<6<;;;o062?7632e:8=4?:%063?72<2d99;4>2:9l56`=83.99:4>559m600=9:10c<=j:18'601=9<>0b?;9:068?j74l3:1(?;8:077?k42>3;>76a>5b83>!42?3;>86`=57822>=h9<h1<7*=568211=i:<<1=:54o07b>5<#:<=1=8:4n375>4><3f;>57>5$374>4333g8>:7?6;:m21=<72-8>;7?:4:l113<6i21d=8950;&112<6==1e>8851c98k431290/>8951468j73128i07b?:2;29 73028??7c<:6;3g?>i6<>0;6)<:7;360>h5=?0:i65`12a94?"5=>0:995a24495c=<g8<96=4+2459537<f;?=6=54o043>5<#:<=1=;?4n375>4=<g8?m6=4+2459537<f;?=6?54o07f>5<#:<=1=;?4n375>6=<g8<<6=4+2459530<f;?=6=54o046>5<#:<=1=;84n375>4=<g8<?6=4+2459530<f;?=6?54o040>5<#:<=1=;84n375>6=<uk3?n7>5c383>5}#:m31>o84H226?M4cl2P=m7mt2881e?4c2;o1?84<6;14>6>=;808>7=6:2c9y!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1>6*>e781?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1d595=`<,8o36<6i;%0a3?4282.9n54=519m6fd=12d9on46;%0g6?4282.:m?4<;%3b7?5<,;oh6?km;%0f`?4bj2d9ih46;o0fb??<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1e=>l50:l21a<73-8o=7?7f:&1`0<53-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 7b12;1b:i4?::k5a?6=3`=?6=44i6794?=n91h1<75f2bf94?=n:jo1<75f19a94?=n:m=1<75f2e:94?=h>o0;66a80;29?l2f290/>895489m600=821b854?:%063?2>3g8>:7?4;h64>5<#:<=1845a24496>=n<?0;6)<:7;6:?k42>3907d:::18'601=<01e>8854:9j16<72-8>;7:6;o062?3<3`?96=4+24590<=i:<<1:65f5083>!42?3>27c<:6;58?l37290/>895489m600=021b8k4?:%063?2>3g8>:774;h6f>5<#:<=1845a2449e>=n<m0;6)<:7;6:?k42>3h07d:l:18'601=<01e>885c:9j0g<72-8>;7:6;o062?b<3`>?6=4+24590<=i:<<1i65f5`83>!42?3?27c<:6;28?l3?290/>895589m600=921b9:4?:%063?3>3g8>:7<4;h75>5<#:<=1945a24497>=n=<0;6)<:7;7:?k42>3>07d8<:18'601==01e>8855:9j27<72-8>;7;6;o062?0<3`<:6=4+24591<=i:<<1;65f6183>!42?3?27c<:6;:8?l3a290/>895589m600=121b9h4?:%063?3>3g8>:7o4;h7g>5<#:<=1945a2449f>=n=j0;6)<:7;7:?k42>3i07d;m:18'601==01e>885d:9j11<72-8>;7;6;o062?c<3`i=6=4+2459g0=i:<<1<65fc583>!42?3i>7c<:6;38?le5290/>895c49m600=:21bo<4?:%063?e23g8>:7=4;ha3>5<#:<=1o85a24490>=njo0;6)<:7;a6?k42>3?07dlj:18'601=k<1e>8856:9jfa<72-8>;7m:;o062?1<3`hh6=4+2459g0=i:<<1465fbc83>!42?3i>7c<:6;;8?ldf290/>895c49m600=i21bn44?:%063?e23g8>:7l4;h`4>5<#:<=1o85a2449g>=nj?0;6)<:7;a6?k42>3n07dl::18'601=k<1e>885e:9jf1<72-8>;7m:;o062?`<3`h86=4+2459g0=i:<<1==54ic094?"5=>0h96`=57825>=nj80;6)<:7;a6?k42>3;976gm0;29 7302j?0b?;9:018?lga290/>895c49m600=9=10elk50;&112<d=2d99;4>5:9jga<72-8>;7m:;o062?7132cho7>5$374>f3<f;?=6<94;haa>5<#:<=1o85a24495==<ajk1<7*=568`1>h5=?0:565fc883>!42?3i>7c<:6;3b?>od03:1(?;8:b78j73128h07dm8:18'601=k<1e>8851b98mf5=83.99:4l5:l113<6l21bn54?:%063?e23g8>:7?j;:kb`?6=,;?<6n;4n375>4`<3`o<6=4+2459a3=i:<<1<65fe483>!42?3o=7c<:6;38?lc4290/>895e79m600=:21bi?4?:%063?c13g8>:7=4;hg2>5<#:<=1i;5a24490>=nm90;6)<:7;g5?k42>3?07dji:18'601=m?1e>8856:9j``<72-8>;7k9;o062?1<3`no6=4+2459a3=i:<<1465fdb83>!42?3o=7c<:6;;8?lbe290/>895e79m600=i21bhl4?:%063?c13g8>:7l4;hf;>5<#:<=1i;5a2449g>=nl>0;6)<:7;g5?k42>3n07dj9:18'601=m?1e>885e:9j`0<72-8>;7k9;o062?`<3`n?6=4+2459a3=i:<<1==54ie194?"5=>0n:6`=57825>=nl;0;6)<:7;g5?k42>3;976gk1;29 7302l<0b?;9:018?lb7290/>895e79m600=9=10enh50;&112<b>2d99;4>5:9ja`<72-8>;7k9;o062?7132cnh7>5$374>`0<f;?=6<94;hg`>5<#:<=1i;5a24495==<alh1<7*=568f2>h5=?0:565fe`83>!42?3o=7c<:6;3b?>ob13:1(?;8:d48j73128h07dk7:18'601=m?1e>8851b98m`2=83.99:4j6:l113<6l21bh44?:%063?c13g8>:7?j;:k`a?6=,;?<6h84n375>4`<3`;:87>5$374>4743g8>:7>4;h326?6=,;?<6<?<;o062?7<3`;:=7>5$374>4743g8>:7<4;h324?6=,;?<6<?<;o062?5<3`;:57>5$374>47?3g8>:7>4;h323?6=,;?<6<?7;o062?7<3`;::7>5$374>47?3g8>:7<4;h321?6=,;?<6<?7;o062?5<3`8n97>5$374>7c33g8>:7>4;h0f7?6=,;?<6?k;;o062?7<3`8n>7>5$374>7c33g8>:7<4;h0f5?6=,;?<6?k;;o062?5<3`8nm7>5$374>7c>3g8>:7>4;h0f<?6=,;?<6?k6;o062?7<3`8n;7>5$374>7c>3g8>:7<4;h0f2?6=,;?<6?k6;o062?5<3f;8?7>5$374>4553g8>:7>4;n305?6=,;?<6<==;o062?7<3f;9j7>5$374>4553g8>:7<4;n31a?6=,;?<6<==;o062?5<3f;9h7>5$374>4553g8>:7:4;n31g?6=,;?<6<==;o062?3<3f;9n7>5$374>4553g8>:784;n31e?6=,;?<6<==;o062?1<3f;957>5$374>4553g8>:764;n31<?6=,;?<6<==;o062??<3f;9;7>5$374>4553g8>:7o4;n312?6=,;?<6<==;o062?d<3f;987>5$374>4553g8>:7m4;n317?6=,;?<6<==;o062?b<3f;9>7>5$374>4553g8>:7k4;n315?6=,;?<6<==;o062?`<3f;9<7>5$374>4553g8>:7??;:m25c<72-8>;7?<2:l113<6921d=<k50;&112<6;;1e>8851398k47c290/>8951208j73128907b?>c;29 73028997c<:6;37?>i69k0;6)<:7;306>h5=?0:965`12c94?"5=>0:??5a244953=<g8926=4+2459564<f;?=6<94;n30<?6=,;?<6<==;o062?7?32e:?:4?:%063?74:2d99;4>9:9l560=83.99:4>339m600=9h10c<=::18'601=9:80b?;9:0`8?j74<3:1(?;8:011?k42>3;h76a>3183>!42?3;8>6`=5782`>=h9;?1<7*=568277=i:<<1=h54o03b>5<#:<=1=><4n375>4`<3f;>97>5$374>4333g8>:7>4;n367?6=,;?<6<;;;o062?7<3f;>=7>5$374>4333g8>:7<4;n364?6=,;?<6<;;;o062?5<3f;?j7>5$374>4333g8>:7:4;n37a?6=,;?<6<;;;o062?3<3f;?h7>5$374>4333g8>:784;n37g?6=,;?<6<;;;o062?1<3f;?n7>5$374>4333g8>:764;n37e?6=,;?<6<;;;o062??<3f;?57>5$374>4333g8>:7o4;n37<?6=,;?<6<;;;o062?d<3f;?:7>5$374>4333g8>:7m4;n371?6=,;?<6<;;;o062?b<3f;?87>5$374>4333g8>:7k4;n377?6=,;?<6<;;;o062?`<3f;?>7>5$374>4333g8>:7??;:m204<72-8>;7?:4:l113<6921d=9>50;&112<6==1e>8851398k45a290/>8951468j73128907b?<e;29 73028??7c<:6;37?>i6;m0;6)<:7;360>h5=?0:965`14a94?"5=>0:995a244953=<g8?i6=4+2459502<f;?=6<94;n36e?6=,;?<6<;;;o062?7?32e:944?:%063?72<2d99;4>9:9l50>=83.99:4>559m600=9h10c<;8:18'601=9<>0b?;9:0`8?j72>3:1(?;8:077?k42>3;h76a>5383>!42?3;>86`=5782`>=h9==1<7*=568211=i:<<1=h54o01`>5<#:<=1=8:4n375>4`<3f;=>7>5$374>4063g8>:7>4;n354?6=,;?<6<8>;o062?7<3f;>j7>5$374>4063g8>:7<4;n36a?6=,;?<6<8>;o062?5<3f;=;7>5$374>4013g8>:7>4;n351?6=,;?<6<89;o062?7<3f;=87>5$374>4013g8>:7<4;n357?6=,;?<6<89;o062?5<3th28n4?:b094?6|,;n26?l9;I131>N5lm1Q:l4l{3;96d<5l38n6>;537803?5?2:;1??4<9;1b>x"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6?5+1d496>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0g4>4>a3-;n47?7f:&1f2<5=91/>o652428j7ee201e>nm59:&1`7<5=91/=l<53:&2e6<43-8no7<jb:&1aa<5mk1e>hk59:l1ac<>3-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390b<=m:19m50b=82.9h<4>8g9'6a3=:2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!4c>380e;j50;9j2`<722c<87>5;h56>5<<a82i6=44i3ag>5<<a;in6=44i0:`>5<<a;n<6=44i3f;>5<<g?l1<75`7183>>o3i3:1(?;8:5;8j7312910e9650;&112<312d99;4>;:k73?6=,;?<6974n375>7=<a=<1<7*=5687=>h5=?0876g;5;29 7302=30b?;9:598m05=83.99:4;9:l113<232c>>7>5$374>1?<f;?=6;54i4394?"5=>0?56`=5784?>o283:1(?;8:5;8j7312110e9h50;&112<312d99;46;:k7a?6=,;?<6974n375>d=<a=n1<7*=5687=>h5=?0i76g;c;29 7302=30b?;9:b98m1d=83.99:4;9:l113<c32c?87>5$374>1?<f;?=6h54i4c94?"5=>0>56`=5783?>o203:1(?;8:4;8j7312810e8950;&112<212d99;4=;:k62?6=,;?<6874n375>6=<a<?1<7*=5686=>h5=?0?76g93;29 7302<30b?;9:498m34=83.99:4:9:l113<132c==7>5$374>0?<f;?=6:54i7294?"5=>0>56`=578;?>o2n3:1(?;8:4;8j7312010e8k50;&112<212d99;4n;:k6`?6=,;?<6874n375>g=<a<i1<7*=5686=>h5=?0h76g:b;29 7302<30b?;9:e98m02=83.99:4:9:l113<b32ch:7>5$374>f3<f;?=6=54ib694?"5=>0h96`=5782?>od:3:1(?;8:b78j7312;10en?50;&112<d=2d99;4<;:k`4?6=,;?<6n;4n375>1=<akl1<7*=568`1>h5=?0>76gme;29 7302j?0b?;9:798mgb=83.99:4l5:l113<032cio7>5$374>f3<f;?=6554ic`94?"5=>0h96`=578:?>oei3:1(?;8:b78j7312h10eo750;&112<d=2d99;4m;:ka3?6=,;?<6n;4n375>f=<ak<1<7*=568`1>h5=?0o76gm5;29 7302j?0b?;9:d98mg2=83.99:4l5:l113<a32ci?7>5$374>f3<f;?=6<>4;h`1>5<#:<=1o85a244954=<ak;1<7*=568`1>h5=?0:>65fb183>!42?3i>7c<:6;30?>ofn3:1(?;8:b78j73128>07doj:18'601=k<1e>8851498mfb=83.99:4l5:l113<6>21bon4?:%063?e23g8>:7?8;:k`f?6=,;?<6n;4n375>4><3`ij6=4+2459g0=i:<<1=454ib;94?"5=>0h96`=5782e>=nk10;6)<:7;a6?k42>3;i76gl7;29 7302j?0b?;9:0a8?le4290/>895c49m600=9m10eo650;&112<d=2d99;4>e:9jea<72-8>;7m:;o062?7a32cn;7>5$374>`0<f;?=6=54id794?"5=>0n:6`=5782?>ob;3:1(?;8:d48j7312;10eh<50;&112<b>2d99;4<;:kf5?6=,;?<6h84n375>1=<al:1<7*=568f2>h5=?0>76gkf;29 7302l<0b?;9:798mac=83.99:4j6:l113<032coh7>5$374>`0<f;?=6554iea94?"5=>0n:6`=578:?>ocj3:1(?;8:d48j7312h10eio50;&112<b>2d99;4m;:kg<?6=,;?<6h84n375>f=<am=1<7*=568f2>h5=?0o76gk6;29 7302l<0b?;9:d98ma3=83.99:4j6:l113<a32co87>5$374>`0<f;?=6<>4;hf0>5<#:<=1i;5a244954=<am81<7*=568f2>h5=?0:>65fd083>!42?3o=7c<:6;30?>oc83:1(?;8:d48j73128>07dmi:18'601=m?1e>8851498m`c=83.99:4j6:l113<6>21bii4?:%063?c13g8>:7?8;:kfg?6=,;?<6h84n375>4><3`oi6=4+2459a3=i:<<1=454idc94?"5=>0n:6`=5782e>=nm00;6)<:7;g5?k42>3;i76gj8;29 7302l<0b?;9:0a8?lc3290/>895e79m600=9m10ei750;&112<b>2d99;4>e:9jg`<72-8>;7k9;o062?7a32c:=94?:%063?76;2d99;4?;:k257<72-8>;7?>3:l113<632c:=<4?:%063?76;2d99;4=;:k255<72-8>;7?>3:l113<432c:=44?:%063?7602d99;4?;:k252<72-8>;7?>8:l113<632c:=;4?:%063?7602d99;4=;:k250<72-8>;7?>8:l113<432c9i84?:%063?4b<2d99;4?;:k1a6<72-8>;7<j4:l113<632c9i?4?:%063?4b<2d99;4=;:k1a4<72-8>;7<j4:l113<432c9il4?:%063?4b12d99;4?;:k1a=<72-8>;7<j9:l113<632c9i:4?:%063?4b12d99;4=;:k1a3<72-8>;7<j9:l113<432e:?>4?:%063?74:2d99;4?;:m274<72-8>;7?<2:l113<632e:>k4?:%063?74:2d99;4=;:m26`<72-8>;7?<2:l113<432e:>i4?:%063?74:2d99;4;;:m26f<72-8>;7?<2:l113<232e:>o4?:%063?74:2d99;49;:m26d<72-8>;7?<2:l113<032e:>44?:%063?74:2d99;47;:m26=<72-8>;7?<2:l113<>32e:>:4?:%063?74:2d99;4n;:m263<72-8>;7?<2:l113<e32e:>94?:%063?74:2d99;4l;:m266<72-8>;7?<2:l113<c32e:>?4?:%063?74:2d99;4j;:m264<72-8>;7?<2:l113<a32e:>=4?:%063?74:2d99;4>0:9l54`=83.99:4>339m600=9810c<?j:18'601=9:80b?;9:008?j76l3:1(?;8:011?k42>3;876a>1b83>!42?3;8>6`=57820>=h98h1<7*=568277=i:<<1=854o01b>5<#:<=1=><4n375>40<3f;857>5$374>4553g8>:7?8;:m27=<72-8>;7?<2:l113<6021d=>950;&112<6;;1e>8851898k451290/>8951208j73128k07b?<5;29 73028997c<:6;3a?>i6;=0;6)<:7;306>h5=?0:o65`12294?"5=>0:??5a24495a=<g88>6=4+2459564<f;?=6<k4;n32e?6=,;?<6<==;o062?7a32e:984?:%063?72<2d99;4?;:m216<72-8>;7?:4:l113<632e:9<4?:%063?72<2d99;4=;:m215<72-8>;7?:4:l113<432e:8k4?:%063?72<2d99;4;;:m20`<72-8>;7?:4:l113<232e:8i4?:%063?72<2d99;49;:m20f<72-8>;7?:4:l113<032e:8o4?:%063?72<2d99;47;:m20d<72-8>;7?:4:l113<>32e:844?:%063?72<2d99;4n;:m20=<72-8>;7?:4:l113<e32e:8;4?:%063?72<2d99;4l;:m200<72-8>;7?:4:l113<c32e:894?:%063?72<2d99;4j;:m206<72-8>;7?:4:l113<a32e:8?4?:%063?72<2d99;4>0:9l517=83.99:4>559m600=9810c<:?:18'601=9<>0b?;9:008?j74n3:1(?;8:077?k42>3;876a>3d83>!42?3;>86`=57820>=h9:n1<7*=568211=i:<<1=854o07`>5<#:<=1=8:4n375>40<3f;>n7>5$374>4333g8>:7?8;:m21d<72-8>;7?:4:l113<6021d=8750;&112<6==1e>8851898k43?290/>8951468j73128k07b?:7;29 73028??7c<:6;3a?>i6=?0;6)<:7;360>h5=?0:o65`14094?"5=>0:995a24495a=<g8><6=4+2459502<f;?=6<k4;n30g?6=,;?<6<;;;o062?7a32e::?4?:%063?7192d99;4?;:m225<72-8>;7?91:l113<632e:9k4?:%063?7192d99;4=;:m21`<72-8>;7?91:l113<432e:::4?:%063?71>2d99;4?;:m220<72-8>;7?96:l113<632e::94?:%063?71>2d99;4=;:m226<72-8>;7?96:l113<432wi59j50;a1>5<7s-8o57<m6:J040=O:mn0V;o5cz0:>7g=:m09i7=::24972<4039:6><53880e?{#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97<4$0g5>7=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3f3?7?n2.:i54>8g9'6g1=:<:0(?l7:373?k4dj330b?ml:89'6a4=:<:0(<o=:29'5d5=;2.9in4=ec9'6`b=:lh0b?kj:89m6``=12.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087c?<b;28j43c291/>i?519d8 7b22;1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"5l?097d8k:188m3c=831b;94?::k41?6=3`;3n7>5;h0``?6=3`8hi7>5;h3;g?6=3`8o;7>5;h0g<?6=3f<m6=44o6294?=n<h0;6)<:7;6:?k42>3:07d:7:18'601=<01e>8851:9j02<72-8>;7:6;o062?4<3`>=6=4+24590<=i:<<1?65f4483>!42?3>27c<:6;68?l34290/>895489m600==21b9?4?:%063?2>3g8>:784;h72>5<#:<=1845a24493>=n=90;6)<:7;6:?k42>3207d:i:18'601=<01e>8859:9j0`<72-8>;7:6;o062?g<3`>o6=4+24590<=i:<<1n65f4b83>!42?3>27c<:6;a8?l2e290/>895489m600=l21b894?:%063?2>3g8>:7k4;h7b>5<#:<=1945a24494>=n=10;6)<:7;7:?k42>3;07d;8:18'601==01e>8852:9j13<72-8>;7;6;o062?5<3`?>6=4+24591<=i:<<1865f6283>!42?3?27c<:6;78?l05290/>895589m600=>21b:<4?:%063?3>3g8>:794;h43>5<#:<=1945a2449<>=n=o0;6)<:7;7:?k42>3307d;j:18'601==01e>885a:9j1a<72-8>;7;6;o062?d<3`?h6=4+24591<=i:<<1o65f5c83>!42?3?27c<:6;f8?l33290/>895589m600=m21bo;4?:%063?e23g8>:7>4;ha7>5<#:<=1o85a24495>=nk;0;6)<:7;a6?k42>3807dm>:18'601=k<1e>8853:9jg5<72-8>;7m:;o062?2<3`hm6=4+2459g0=i:<<1965fbd83>!42?3i>7c<:6;48?ldc290/>895c49m600=?21bnn4?:%063?e23g8>:764;h`a>5<#:<=1o85a2449=>=njh0;6)<:7;a6?k42>3k07dl6:18'601=k<1e>885b:9jf2<72-8>;7m:;o062?e<3`h=6=4+2459g0=i:<<1h65fb483>!42?3i>7c<:6;g8?ld3290/>895c49m600=n21bn>4?:%063?e23g8>:7??;:ka6?6=,;?<6n;4n375>47<3`h:6=4+2459g0=i:<<1=?54ic294?"5=>0h96`=57827>=nio0;6)<:7;a6?k42>3;?76gne;29 7302j?0b?;9:078?lec290/>895c49m600=9?10enm50;&112<d=2d99;4>7:9jgg<72-8>;7m:;o062?7?32chm7>5$374>f3<f;?=6<74;ha:>5<#:<=1o85a24495d=<aj21<7*=568`1>h5=?0:n65fc683>!42?3i>7c<:6;3`?>od;3:1(?;8:b78j73128n07dl7:18'601=k<1e>8851d98mdb=83.99:4l5:l113<6n21bi:4?:%063?c13g8>:7>4;hg6>5<#:<=1i;5a24495>=nm:0;6)<:7;g5?k42>3807dk=:18'601=m?1e>8853:9ja4<72-8>;7k9;o062?2<3`o;6=4+2459a3=i:<<1965fdg83>!42?3o=7c<:6;48?lbb290/>895e79m600=?21bhi4?:%063?c13g8>:764;hf`>5<#:<=1i;5a2449=>=nlk0;6)<:7;g5?k42>3k07djn:18'601=m?1e>885b:9j`=<72-8>;7k9;o062?e<3`n<6=4+2459a3=i:<<1h65fd783>!42?3o=7c<:6;g8?lb2290/>895e79m600=n21bh94?:%063?c13g8>:7??;:kg7?6=,;?<6h84n375>47<3`n96=4+2459a3=i:<<1=?54ie394?"5=>0n:6`=57827>=nl90;6)<:7;g5?k42>3;?76glf;29 7302l<0b?;9:078?lcb290/>895e79m600=9?10ehj50;&112<b>2d99;4>7:9jaf<72-8>;7k9;o062?7?32cnn7>5$374>`0<f;?=6<74;hgb>5<#:<=1i;5a24495d=<al31<7*=568f2>h5=?0:n65fe983>!42?3o=7c<:6;3`?>ob<3:1(?;8:d48j73128n07dj6:18'601=m?1e>8851d98mfc=83.99:4j6:l113<6n21b=<:50;&112<69:1e>8850:9j544=83.99:4>129m600=921b=<?50;&112<69:1e>8852:9j546=83.99:4>129m600=;21b=<750;&112<6911e>8850:9j541=83.99:4>199m600=921b=<850;&112<6911e>8852:9j543=83.99:4>199m600=;21b>h;50;&112<5m=1e>8850:9j6`5=83.99:4=e59m600=921b>h<50;&112<5m=1e>8852:9j6`7=83.99:4=e59m600=;21b>ho50;&112<5m01e>8850:9j6`>=83.99:4=e89m600=921b>h950;&112<5m01e>8852:9j6`0=83.99:4=e89m600=;21d=>=50;&112<6;;1e>8850:9l567=83.99:4>339m600=921d=?h50;&112<6;;1e>8852:9l57c=83.99:4>339m600=;21d=?j50;&112<6;;1e>8854:9l57e=83.99:4>339m600==21d=?l50;&112<6;;1e>8856:9l57g=83.99:4>339m600=?21d=?750;&112<6;;1e>8858:9l57>=83.99:4>339m600=121d=?950;&112<6;;1e>885a:9l570=83.99:4>339m600=j21d=?:50;&112<6;;1e>885c:9l575=83.99:4>339m600=l21d=?<50;&112<6;;1e>885e:9l577=83.99:4>339m600=n21d=?>50;&112<6;;1e>8851198k47a290/>8951208j73128;07b?>e;29 73028997c<:6;31?>i69m0;6)<:7;306>h5=?0:?65`10a94?"5=>0:??5a244951=<g8;i6=4+2459564<f;?=6<;4;n30e?6=,;?<6<==;o062?7132e:?44?:%063?74:2d99;4>7:9l56>=83.99:4>339m600=9110c<=8:18'601=9:80b?;9:0;8?j74>3:1(?;8:011?k42>3;j76a>3483>!42?3;8>6`=5782f>=h9:>1<7*=568277=i:<<1=n54o013>5<#:<=1=><4n375>4b<3f;997>5$374>4553g8>:7?j;:m25d<72-8>;7?<2:l113<6n21d=8;50;&112<6==1e>8850:9l505=83.99:4>559m600=921d=8?50;&112<6==1e>8852:9l506=83.99:4>559m600=;21d=9h50;&112<6==1e>8854:9l51c=83.99:4>559m600==21d=9j50;&112<6==1e>8856:9l51e=83.99:4>559m600=?21d=9l50;&112<6==1e>8858:9l51g=83.99:4>559m600=121d=9750;&112<6==1e>885a:9l51>=83.99:4>559m600=j21d=9850;&112<6==1e>885c:9l513=83.99:4>559m600=l21d=9:50;&112<6==1e>885e:9l515=83.99:4>559m600=n21d=9<50;&112<6==1e>8851198k426290/>8951468j73128;07b?;0;29 73028??7c<:6;31?>i6;o0;6)<:7;360>h5=?0:?65`12g94?"5=>0:995a244951=<g89o6=4+2459502<f;?=6<;4;n36g?6=,;?<6<;;;o062?7132e:9o4?:%063?72<2d99;4>7:9l50g=83.99:4>559m600=9110c<;6:18'601=9<>0b?;9:0;8?j7203:1(?;8:077?k42>3;j76a>5683>!42?3;>86`=5782f>=h9<<1<7*=568211=i:<<1=n54o071>5<#:<=1=8:4n375>4b<3f;?;7>5$374>4333g8>:7?j;:m27f<72-8>;7?:4:l113<6n21d=;<50;&112<6>81e>8850:9l536=83.99:4>609m600=921d=8h50;&112<6>81e>8852:9l50c=83.99:4>609m600=;21d=;950;&112<6>?1e>8850:9l533=83.99:4>679m600=921d=;:50;&112<6>?1e>8852:9l535=83.99:4>679m600=;21vn4:j:18`6?6=8r.9h44=b79K753<@;no7W8n:by1=?4f2;n1>h4<5;15>61=;108=7==:2;97d<z,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84=;%3f2?4<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&2a2<60o1/=h6519d8 7d02;?;7)<m8;064>h5kk027c<lc;;8 7b52;?;7)?n2;18 4g42:1/>hm52d`8 7cc2;oi7c<je;;8j7ca201/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6`>3c83?k72l3:0(?j>:0:e?!4c=380(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#:m<1>6g9d;29?l0b2900e::50;9j30<722c:4o4?::k1ga<722c9oh4?::k2<f<722c9h:4?::k1`=<722e=j7>5;n53>5<<a=k1<7*=5687=>h5=?0;76g;8;29 7302=30b?;9:098m11=83.99:4;9:l113<532c?:7>5$374>1?<f;?=6>54i5794?"5=>0?56`=5787?>o2;3:1(?;8:5;8j7312<10e8<50;&112<312d99;49;:k65?6=,;?<6974n375>2=<a<:1<7*=5687=>h5=?0376g;f;29 7302=30b?;9:898m1c=83.99:4;9:l113<f32c?h7>5$374>1?<f;?=6o54i5a94?"5=>0?56`=578`?>o3j3:1(?;8:5;8j7312m10e9:50;&112<312d99;4j;:k6e?6=,;?<6874n375>5=<a<21<7*=5686=>h5=?0:76g:7;29 7302<30b?;9:398m00=83.99:4:9:l113<432c>97>5$374>0?<f;?=6954i7194?"5=>0>56`=5786?>o1:3:1(?;8:4;8j7312?10e;?50;&112<212d99;48;:k54?6=,;?<6874n375>==<a<l1<7*=5686=>h5=?0276g:e;29 7302<30b?;9:`98m0b=83.99:4:9:l113<e32c>o7>5$374>0?<f;?=6n54i4`94?"5=>0>56`=578g?>o2<3:1(?;8:4;8j7312l10en850;&112<d=2d99;4?;:k`0?6=,;?<6n;4n375>4=<aj81<7*=568`1>h5=?0976gl1;29 7302j?0b?;9:298mf6=83.99:4l5:l113<332cij7>5$374>f3<f;?=6854icg94?"5=>0h96`=5785?>oel3:1(?;8:b78j7312>10eom50;&112<d=2d99;47;:kaf?6=,;?<6n;4n375><=<akk1<7*=568`1>h5=?0j76gm9;29 7302j?0b?;9:c98mg1=83.99:4l5:l113<d32ci:7>5$374>f3<f;?=6i54ic794?"5=>0h96`=578f?>oe<3:1(?;8:b78j7312o10eo=50;&112<d=2d99;4>0:9jf7<72-8>;7m:;o062?7632ci=7>5$374>f3<f;?=6<<4;h`3>5<#:<=1o85a244956=<ahl1<7*=568`1>h5=?0:865fad83>!42?3i>7c<:6;36?>odl3:1(?;8:b78j73128<07dml:18'601=k<1e>8851698mfd=83.99:4l5:l113<6021bol4?:%063?e23g8>:7?6;:k`=?6=,;?<6n;4n375>4g<3`i36=4+2459g0=i:<<1=o54ib594?"5=>0h96`=5782g>=nk:0;6)<:7;a6?k42>3;o76gm8;29 7302j?0b?;9:0g8?lgc290/>895c49m600=9o10eh950;&112<b>2d99;4?;:kf1?6=,;?<6h84n375>4=<al91<7*=568f2>h5=?0976gj2;29 7302l<0b?;9:298m`7=83.99:4j6:l113<332cn<7>5$374>`0<f;?=6854ied94?"5=>0n:6`=5785?>ocm3:1(?;8:d48j7312>10eij50;&112<b>2d99;47;:kgg?6=,;?<6h84n375><=<amh1<7*=568f2>h5=?0j76gka;29 7302l<0b?;9:c98ma>=83.99:4j6:l113<d32co;7>5$374>`0<f;?=6i54ie494?"5=>0n:6`=578f?>oc=3:1(?;8:d48j7312o10ei:50;&112<b>2d99;4>0:9j`6<72-8>;7k9;o062?7632co>7>5$374>`0<f;?=6<<4;hf2>5<#:<=1i;5a244956=<am:1<7*=568f2>h5=?0:865fcg83>!42?3o=7c<:6;36?>obm3:1(?;8:d48j73128<07dkk:18'601=m?1e>8851698m`e=83.99:4j6:l113<6021bio4?:%063?c13g8>:7?6;:kfe?6=,;?<6h84n375>4g<3`o26=4+2459a3=i:<<1=o54id:94?"5=>0n:6`=5782g>=nm=0;6)<:7;g5?k42>3;o76gk9;29 7302l<0b?;9:0g8?leb290/>895e79m600=9o10e<?;:18'601=9890b?;9:198m475290/>8951018j7312810e<?>:18'601=9890b?;9:398m477290/>8951018j7312:10e<?6:18'601=9820b?;9:198m470290/>89510:8j7312810e<?9:18'601=9820b?;9:398m472290/>89510:8j7312:10e?k::18'601=:l>0b?;9:198m7c4290/>8952d68j7312810e?k=:18'601=:l>0b?;9:398m7c6290/>8952d68j7312:10e?kn:18'601=:l30b?;9:198m7c?290/>8952d;8j7312810e?k8:18'601=:l30b?;9:398m7c1290/>8952d;8j7312:10c<=<:18'601=9:80b?;9:198k456290/>8951208j7312810c<<i:18'601=9:80b?;9:398k44b290/>8951208j7312:10c<<k:18'601=9:80b?;9:598k44d290/>8951208j7312<10c<<m:18'601=9:80b?;9:798k44f290/>8951208j7312>10c<<6:18'601=9:80b?;9:998k44?290/>8951208j7312010c<<8:18'601=9:80b?;9:`98k441290/>8951208j7312k10c<<;:18'601=9:80b?;9:b98k444290/>8951208j7312m10c<<=:18'601=9:80b?;9:d98k446290/>8951208j7312o10c<<?:18'601=9:80b?;9:028?j76n3:1(?;8:011?k42>3;:76a>1d83>!42?3;8>6`=57826>=h98n1<7*=568277=i:<<1=>54o03`>5<#:<=1=><4n375>42<3f;:n7>5$374>4553g8>:7?:;:m27d<72-8>;7?<2:l113<6>21d=>750;&112<6;;1e>8851698k45?290/>8951208j73128207b?<7;29 73028997c<:6;3:?>i6;?0;6)<:7;306>h5=?0:m65`12794?"5=>0:??5a24495g=<g89?6=4+2459564<f;?=6<m4;n304?6=,;?<6<==;o062?7c32e:>84?:%063?74:2d99;4>e:9l54g=83.99:4>339m600=9o10c<;::18'601=9<>0b?;9:198k434290/>8951468j7312810c<;>:18'601=9<>0b?;9:398k437290/>8951468j7312:10c<:i:18'601=9<>0b?;9:598k42b290/>8951468j7312<10c<:k:18'601=9<>0b?;9:798k42d290/>8951468j7312>10c<:m:18'601=9<>0b?;9:998k42f290/>8951468j7312010c<:6:18'601=9<>0b?;9:`98k42?290/>8951468j7312k10c<:9:18'601=9<>0b?;9:b98k422290/>8951468j7312m10c<:;:18'601=9<>0b?;9:d98k424290/>8951468j7312o10c<:=:18'601=9<>0b?;9:028?j7393:1(?;8:077?k42>3;:76a>4183>!42?3;>86`=57826>=h9:l1<7*=568211=i:<<1=>54o01f>5<#:<=1=8:4n375>42<3f;8h7>5$374>4333g8>:7?:;:m21f<72-8>;7?:4:l113<6>21d=8l50;&112<6==1e>8851698k43f290/>8951468j73128207b?:9;29 73028??7c<:6;3:?>i6=10;6)<:7;360>h5=?0:m65`14594?"5=>0:995a24495g=<g8?=6=4+2459502<f;?=6<m4;n366?6=,;?<6<;;;o062?7c32e:8:4?:%063?72<2d99;4>e:9l56e=83.99:4>559m600=9o10c<8=:18'601=9?;0b?;9:198k407290/>8951738j7312810c<;i:18'601=9?;0b?;9:398k43b290/>8951738j7312:10c<88:18'601=9?<0b?;9:198k402290/>8951748j7312810c<8;:18'601=9?<0b?;9:398k404290/>8951748j7312:10qo7;f;29g7<729q/>i752c48L6623A8oh6T9a;ax6<<5i38o6?k534802?502:21?<4<2;1:>6g=u-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;52:&2a3<53-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5`1=91l0(<k7:0:e?!4e?38><6*=b98115=i:jh156`=cb8:?!4c:38><6*>a380?!7f;390(?kl:3ga?!4bl38nn6`=ed8:?k4bn330(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5a12`94>h6=m0;7)<k1;3;b>"5l<097)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,;n=6?5f6e83>>o1m3:17d9;:188m23=831b=5l50;9j6fb=831b>nk50;9j5=e=831b>i950;9j6a>=831d:k4?::m44?6=3`>j6=4+24590<=i:<<1<65f4983>!42?3>27c<:6;38?l20290/>895489m600=:21b8;4?:%063?2>3g8>:7=4;h66>5<#:<=1845a24490>=n=:0;6)<:7;6:?k42>3?07d;=:18'601=<01e>8856:9j14<72-8>;7:6;o062?1<3`?;6=4+24590<=i:<<1465f4g83>!42?3>27c<:6;;8?l2b290/>895489m600=i21b8i4?:%063?2>3g8>:7l4;h6`>5<#:<=1845a2449g>=n<k0;6)<:7;6:?k42>3n07d:;:18'601=<01e>885e:9j1d<72-8>;7;6;o062?6<3`?36=4+24591<=i:<<1=65f5683>!42?3?27c<:6;08?l31290/>895589m600=;21b984?:%063?3>3g8>:7:4;h40>5<#:<=1945a24491>=n>;0;6)<:7;7:?k42>3<07d8>:18'601==01e>8857:9j25<72-8>;7;6;o062?><3`?m6=4+24591<=i:<<1565f5d83>!42?3?27c<:6;c8?l3c290/>895589m600=j21b9n4?:%063?3>3g8>:7m4;h7a>5<#:<=1945a2449`>=n==0;6)<:7;7:?k42>3o07dm9:18'601=k<1e>8850:9jg1<72-8>;7m:;o062?7<3`i96=4+2459g0=i:<<1>65fc083>!42?3i>7c<:6;18?le7290/>895c49m600=<21bnk4?:%063?e23g8>:7;4;h`f>5<#:<=1o85a24492>=njm0;6)<:7;a6?k42>3=07dll:18'601=k<1e>8858:9jfg<72-8>;7m:;o062??<3`hj6=4+2459g0=i:<<1m65fb883>!42?3i>7c<:6;`8?ld0290/>895c49m600=k21bn;4?:%063?e23g8>:7j4;h`6>5<#:<=1o85a2449a>=nj=0;6)<:7;a6?k42>3l07dl<:18'601=k<1e>8851198mg4=83.99:4l5:l113<6921bn<4?:%063?e23g8>:7?=;:ka4?6=,;?<6n;4n375>45<3`km6=4+2459g0=i:<<1=954i`g94?"5=>0h96`=57821>=nkm0;6)<:7;a6?k42>3;=76glc;29 7302j?0b?;9:058?lee290/>895c49m600=9110eno50;&112<d=2d99;4>9:9jg<<72-8>;7m:;o062?7f32ch47>5$374>f3<f;?=6<l4;ha4>5<#:<=1o85a24495f=<aj91<7*=568`1>h5=?0:h65fb983>!42?3i>7c<:6;3f?>ofl3:1(?;8:b78j73128l07dk8:18'601=m?1e>8850:9ja0<72-8>;7k9;o062?7<3`o86=4+2459a3=i:<<1>65fe383>!42?3o=7c<:6;18?lc6290/>895e79m600=<21bi=4?:%063?c13g8>:7;4;hfe>5<#:<=1i;5a24492>=nll0;6)<:7;g5?k42>3=07djk:18'601=m?1e>8858:9j`f<72-8>;7k9;o062??<3`ni6=4+2459a3=i:<<1m65fd`83>!42?3o=7c<:6;`8?lb?290/>895e79m600=k21bh:4?:%063?c13g8>:7j4;hf5>5<#:<=1i;5a2449a>=nl<0;6)<:7;g5?k42>3l07dj;:18'601=m?1e>8851198ma5=83.99:4j6:l113<6921bh?4?:%063?c13g8>:7?=;:kg5?6=,;?<6h84n375>45<3`n;6=4+2459a3=i:<<1=954ibd94?"5=>0n:6`=57821>=nml0;6)<:7;g5?k42>3;=76gjd;29 7302l<0b?;9:058?lcd290/>895e79m600=9110ehl50;&112<b>2d99;4>9:9jad<72-8>;7k9;o062?7f32cn57>5$374>`0<f;?=6<l4;hg;>5<#:<=1i;5a24495f=<al>1<7*=568f2>h5=?0:h65fd883>!42?3o=7c<:6;3f?>odm3:1(?;8:d48j73128l07d?>4;29 73028;87c<:6;28?l76:3:1(?;8:030?k42>3;07d?>1;29 73028;87c<:6;08?l7683:1(?;8:030?k42>3907d?>9;29 73028;37c<:6;28?l76?3:1(?;8:03;?k42>3;07d?>6;29 73028;37c<:6;08?l76=3:1(?;8:03;?k42>3907d<j5;29 7302;o?7c<:6;28?l4b;3:1(?;8:3g7?k42>3;07d<j2;29 7302;o?7c<:6;08?l4b93:1(?;8:3g7?k42>3907d<ja;29 7302;o27c<:6;28?l4b03:1(?;8:3g:?k42>3;07d<j7;29 7302;o27c<:6;08?l4b>3:1(?;8:3g:?k42>3907b?<3;29 73028997c<:6;28?j7493:1(?;8:011?k42>3;07b?=f;29 73028997c<:6;08?j75m3:1(?;8:011?k42>3907b?=d;29 73028997c<:6;68?j75k3:1(?;8:011?k42>3?07b?=b;29 73028997c<:6;48?j75i3:1(?;8:011?k42>3=07b?=9;29 73028997c<:6;:8?j7503:1(?;8:011?k42>3307b?=7;29 73028997c<:6;c8?j75>3:1(?;8:011?k42>3h07b?=4;29 73028997c<:6;a8?j75;3:1(?;8:011?k42>3n07b?=2;29 73028997c<:6;g8?j7593:1(?;8:011?k42>3l07b?=0;29 73028997c<:6;33?>i69o0;6)<:7;306>h5=?0:=65`10g94?"5=>0:??5a244957=<g8;o6=4+2459564<f;?=6<=4;n32g?6=,;?<6<==;o062?7332e:=o4?:%063?74:2d99;4>5:9l56g=83.99:4>339m600=9?10c<=6:18'601=9:80b?;9:058?j7403:1(?;8:011?k42>3;376a>3683>!42?3;8>6`=5782=>=h9:<1<7*=568277=i:<<1=l54o016>5<#:<=1=><4n375>4d<3f;887>5$374>4553g8>:7?l;:m275<72-8>;7?<2:l113<6l21d=?;50;&112<6;;1e>8851d98k47f290/>8951208j73128l07b?:5;29 73028??7c<:6;28?j72;3:1(?;8:077?k42>3;07b?:1;29 73028??7c<:6;08?j7283:1(?;8:077?k42>3907b?;f;29 73028??7c<:6;68?j73m3:1(?;8:077?k42>3?07b?;d;29 73028??7c<:6;48?j73k3:1(?;8:077?k42>3=07b?;b;29 73028??7c<:6;:8?j73i3:1(?;8:077?k42>3307b?;9;29 73028??7c<:6;c8?j7303:1(?;8:077?k42>3h07b?;6;29 73028??7c<:6;a8?j73=3:1(?;8:077?k42>3n07b?;4;29 73028??7c<:6;g8?j73;3:1(?;8:077?k42>3l07b?;2;29 73028??7c<:6;33?>i6<80;6)<:7;360>h5=?0:=65`15294?"5=>0:995a244957=<g89m6=4+2459502<f;?=6<=4;n30a?6=,;?<6<;;;o062?7332e:?i4?:%063?72<2d99;4>5:9l50e=83.99:4>559m600=9?10c<;m:18'601=9<>0b?;9:058?j72i3:1(?;8:077?k42>3;376a>5883>!42?3;>86`=5782=>=h9<21<7*=568211=i:<<1=l54o074>5<#:<=1=8:4n375>4d<3f;>:7>5$374>4333g8>:7?l;:m217<72-8>;7?:4:l113<6l21d=9950;&112<6==1e>8851d98k45d290/>8951468j73128l07b?92;29 73028<:7c<:6;28?j7183:1(?;8:042?k42>3;07b?:f;29 73028<:7c<:6;08?j72m3:1(?;8:042?k42>3907b?97;29 73028<=7c<:6;28?j71=3:1(?;8:045?k42>3;07b?94;29 73028<=7c<:6;08?j71;3:1(?;8:045?k42>3907pl65183>f4=83:p(?j6:3`5?M57=2B9hi5U6`8`\7f7?=:h09h7<j:27973<4?3936>?53380=?5f2t.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::39'5`0=:2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4c0282m7)?j8;3;b>"5j>099=5+2c:9606<f;ii645a2ba9=>"5l;099=5+1`097>"6i:087)<jc;0ff>"5mm09io5a2dg9=>h5mo027)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4n01a>5=i9<n1<6*=d082<c=#:m?1>6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-8o:7<4i7f94?=n>l0;66g84;29?l122900e<6m:188m7ec2900e?mj:188m4>d2900e?j8:188m7b?2900c;h50;9l35<722c?m7>5$374>1?<f;?=6=54i5:94?"5=>0?56`=5782?>o3?3:1(?;8:5;8j7312;10e9850;&112<312d99;4<;:k71?6=,;?<6974n375>1=<a<91<7*=5687=>h5=?0>76g:2;29 7302=30b?;9:798m07=83.99:4;9:l113<032c><7>5$374>1?<f;?=6554i5d94?"5=>0?56`=578:?>o3m3:1(?;8:5;8j7312h10e9j50;&112<312d99;4m;:k7g?6=,;?<6974n375>f=<a=h1<7*=5687=>h5=?0o76g;4;29 7302=30b?;9:d98m0g=83.99:4:9:l113<732c>47>5$374>0?<f;?=6<54i4594?"5=>0>56`=5781?>o2>3:1(?;8:4;8j7312:10e8;50;&112<212d99;4;;:k57?6=,;?<6874n375>0=<a?81<7*=5686=>h5=?0=76g91;29 7302<30b?;9:698m36=83.99:4:9:l113<?32c>j7>5$374>0?<f;?=6454i4g94?"5=>0>56`=578b?>o2l3:1(?;8:4;8j7312k10e8m50;&112<212d99;4l;:k6f?6=,;?<6874n375>a=<a<>1<7*=5686=>h5=?0n76gl6;29 7302j?0b?;9:198mf2=83.99:4l5:l113<632ch>7>5$374>f3<f;?=6?54ib394?"5=>0h96`=5780?>od83:1(?;8:b78j7312=10eoh50;&112<d=2d99;4:;:kaa?6=,;?<6n;4n375>3=<akn1<7*=568`1>h5=?0<76gmc;29 7302j?0b?;9:998mgd=83.99:4l5:l113<>32cim7>5$374>f3<f;?=6l54ic;94?"5=>0h96`=578a?>oe?3:1(?;8:b78j7312j10eo850;&112<d=2d99;4k;:ka1?6=,;?<6n;4n375>`=<ak>1<7*=568`1>h5=?0m76gm3;29 7302j?0b?;9:028?ld5290/>895c49m600=9810eo?50;&112<d=2d99;4>2:9jf5<72-8>;7m:;o062?7432cjj7>5$374>f3<f;?=6<:4;hcf>5<#:<=1o85a244950=<ajn1<7*=568`1>h5=?0::65fcb83>!42?3i>7c<:6;34?>odj3:1(?;8:b78j73128207dmn:18'601=k<1e>8851898mf?=83.99:4l5:l113<6i21bo54?:%063?e23g8>:7?m;:k`3?6=,;?<6n;4n375>4e<3`i86=4+2459g0=i:<<1=i54ic:94?"5=>0h96`=5782a>=nim0;6)<:7;a6?k42>3;m76gj7;29 7302l<0b?;9:198m`3=83.99:4j6:l113<632cn?7>5$374>`0<f;?=6?54id094?"5=>0n:6`=5780?>ob93:1(?;8:d48j7312=10eh>50;&112<b>2d99;4:;:kgb?6=,;?<6h84n375>3=<amo1<7*=568f2>h5=?0<76gkd;29 7302l<0b?;9:998mae=83.99:4j6:l113<>32con7>5$374>`0<f;?=6l54iec94?"5=>0n:6`=578a?>oc03:1(?;8:d48j7312j10ei950;&112<b>2d99;4k;:kg2?6=,;?<6h84n375>`=<am?1<7*=568f2>h5=?0m76gk4;29 7302l<0b?;9:028?lb4290/>895e79m600=9810ei<50;&112<b>2d99;4>2:9j`4<72-8>;7k9;o062?7432co<7>5$374>`0<f;?=6<:4;hae>5<#:<=1i;5a244950=<alo1<7*=568f2>h5=?0::65fee83>!42?3o=7c<:6;34?>obk3:1(?;8:d48j73128207dkm:18'601=m?1e>8851898m`g=83.99:4j6:l113<6i21bi44?:%063?c13g8>:7?m;:kf<?6=,;?<6h84n375>4e<3`o?6=4+2459a3=i:<<1=i54ie;94?"5=>0n:6`=5782a>=nkl0;6)<:7;g5?k42>3;m76g>1583>!42?3;:?6`=5783?>o69;0;6)<:7;327>h5=?0:76g>1083>!42?3;:?6`=5781?>o6990;6)<:7;327>h5=?0876g>1883>!42?3;:46`=5783?>o69>0;6)<:7;32<>h5=?0:76g>1783>!42?3;:46`=5781?>o69<0;6)<:7;32<>h5=?0876g=e483>!42?38n86`=5783?>o5m:0;6)<:7;0f0>h5=?0:76g=e383>!42?38n86`=5781?>o5m80;6)<:7;0f0>h5=?0876g=e`83>!42?38n56`=5783?>o5m10;6)<:7;0f=>h5=?0:76g=e683>!42?38n56`=5781?>o5m?0;6)<:7;0f=>h5=?0876a>3283>!42?3;8>6`=5783?>i6;80;6)<:7;306>h5=?0:76a>2g83>!42?3;8>6`=5781?>i6:l0;6)<:7;306>h5=?0876a>2e83>!42?3;8>6`=5787?>i6:j0;6)<:7;306>h5=?0>76a>2c83>!42?3;8>6`=5785?>i6:h0;6)<:7;306>h5=?0<76a>2883>!42?3;8>6`=578;?>i6:10;6)<:7;306>h5=?0276a>2683>!42?3;8>6`=578b?>i6:?0;6)<:7;306>h5=?0i76a>2583>!42?3;8>6`=578`?>i6::0;6)<:7;306>h5=?0o76a>2383>!42?3;8>6`=578f?>i6:80;6)<:7;306>h5=?0m76a>2183>!42?3;8>6`=57824>=h98l1<7*=568277=i:<<1=<54o03f>5<#:<=1=><4n375>44<3f;:h7>5$374>4553g8>:7?<;:m25f<72-8>;7?<2:l113<6<21d=<l50;&112<6;;1e>8851498k45f290/>8951208j73128<07b?<9;29 73028997c<:6;34?>i6;10;6)<:7;306>h5=?0:465`12594?"5=>0:??5a24495<=<g89=6=4+2459564<f;?=6<o4;n301?6=,;?<6<==;o062?7e32e:?94?:%063?74:2d99;4>c:9l566=83.99:4>339m600=9m10c<<::18'601=9:80b?;9:0g8?j76i3:1(?;8:011?k42>3;m76a>5483>!42?3;>86`=5783?>i6=:0;6)<:7;360>h5=?0:76a>5083>!42?3;>86`=5781?>i6=90;6)<:7;360>h5=?0876a>4g83>!42?3;>86`=5787?>i6<l0;6)<:7;360>h5=?0>76a>4e83>!42?3;>86`=5785?>i6<j0;6)<:7;360>h5=?0<76a>4c83>!42?3;>86`=578;?>i6<h0;6)<:7;360>h5=?0276a>4883>!42?3;>86`=578b?>i6<10;6)<:7;360>h5=?0i76a>4783>!42?3;>86`=578`?>i6<<0;6)<:7;360>h5=?0o76a>4583>!42?3;>86`=578f?>i6<:0;6)<:7;360>h5=?0m76a>4383>!42?3;>86`=57824>=h9=;1<7*=568211=i:<<1=<54o063>5<#:<=1=8:4n375>44<3f;8j7>5$374>4333g8>:7?<;:m27`<72-8>;7?:4:l113<6<21d=>j50;&112<6==1e>8851498k43d290/>8951468j73128<07b?:b;29 73028??7c<:6;34?>i6=h0;6)<:7;360>h5=?0:465`14;94?"5=>0:995a24495<=<g8?36=4+2459502<f;?=6<o4;n363?6=,;?<6<;;;o062?7e32e:9;4?:%063?72<2d99;4>c:9l504=83.99:4>559m600=9m10c<:8:18'601=9<>0b?;9:0g8?j74k3:1(?;8:077?k42>3;m76a>6383>!42?3;==6`=5783?>i6>90;6)<:7;355>h5=?0:76a>5g83>!42?3;==6`=5781?>i6=l0;6)<:7;355>h5=?0876a>6683>!42?3;=:6`=5783?>i6><0;6)<:7;352>h5=?0:76a>6583>!42?3;=:6`=5781?>i6>:0;6)<:7;352>h5=?0876sm61494?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61794?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61694?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61194?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61094?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61394?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61294?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm62a94?3=83:p(?j6:0d0?M57=2B9hi5+1`6907=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb71a>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>14<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c40e?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?253`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`57<<72<0;6=u+2e;95c5<@::>7E<kd:&2e1<3:2c:554?::k2=<<722c:5l4?::k2=g<722e99<4?::\7fa26>=83?1<7>t$3f:>4`43A9;96F=de9'5d2=<;1b=4650;9j5<?=831b=4o50;9j5<d=831d>8?50;9~f350290>6=4?{%0g=?7a;2B8<85G2ef8 4g32=80e<77:188m4?>2900e<7n:188m4?e2900c?;>:188yg04>3:197>50z&1`<<6n:1C?=;4H3fg?!7f<3>97d?68;29?l7>13:17d?6a;29?l7>j3:17b<:1;29?xd1:80;684?:1y'6a?=9o90D>>:;I0g`>"6i=0?>6g>9983>>o6100;66g>9`83>>o61k0;66a=5083>>{e>;:1<7;50;2x 7b>28l87E=?5:J1`a=#9h>18?5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj?;m6=4::183\7f!4c13;m?6F<049K6ab<,8k?69<4i0;;>5<<a8326=44i0;b>5<<a83i6=44o372>5<<uk<:i7>55;294~"5l00:j>5G3178L7bc3-;j87:=;h3:<?6=3`;257>5;h3:e?6=3`;2n7>5;n065?6=3th==i4?:483>5}#:m31=k=4H226?M4cl2.:m94;2:k2==<722c:544?::k2=d<722c:5o4?::m114<722wi:<m50;794?6|,;n26<h<;I131>N5lm1/=l:5439j5<>=831b=4750;9j5<g=831b=4l50;9l607=831vn;?m:186>5<7s-8o57?i3:J040=O:mn0(<o;:508m4??2900e<76:188m4?f2900e<7m:188k7362900qo;jb;291?6=8r.9h44>f29K753<@;no7)?n4;61?l7>03:17d?69;29?l7>i3:17d?6b;29?j4293:17pl:e`83>0<729q/>i751g18L6623A8oh6*>a5876>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm5d;94?3=83:p(?j6:0d0?M57=2B9hi5+1`6907=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb4g;>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>14<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c7f3?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?253`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`6a3<72<0;6=u+2e;95c5<@::>7E<kd:&2e1<3:2c:554?::k2=<<722c:5l4?::k2=g<722e99<4?::\7fa1`3=83?1<7>t$3f:>4`43A9;96F=de9'5d2=<;1b=4650;9j5<?=831b=4o50;9j5<d=831d>8?50;9~f3d0290>6=4?{%0g=?7a;2B8<85G2ef8 4g32=80e<77:188m4?>2900e<7n:188m4?e2900c?;>:188yg00>3:197>50z&1`<<6n:1C?=;4H3fg?!7f<3>:7d?68;29?l7>13:17d?6a;29?l7>j3:17b<:1;29?xd1j?0;684?:1y'6a?=9o90D>>:;I0g`>"6i=0?>6g>9983>>o6100;66g>9`83>>o61k0;66a=5083>>{e>>?1<7;50;2x 7b>28l87E=?5:J1`a=#9h>18<5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj?h>6=4::183\7f!4c13;m?6F<049K6ab<,8k?69<4i0;;>5<<a8326=44i0;b>5<<a83i6=44o372>5<<uk<<87>55;294~"5l00:j>5G3178L7bc3-;j87:>;h3:<?6=3`;257>5;h3:e?6=3`;2n7>5;n065?6=3th=n94?:483>5}#:m31=k=4H226?M4cl2.:m94;1:k2==<722c:544?::k2=d<722c:5o4?::m114<722wi::=50;794?6|,;n26<h<;I131>N5lm1/=l:5409j5<>=831b=4750;9j5<g=831b=4l50;9l607=831vn8j?:180>5<7s-8o57?jf:J040=O:mn0(<o;:3`8m4??2900e<76:188k7362900qo8m3;291?6=8r.9h44>f29K753<@;no7)?n4;62?l7>03:17d?69;29?l7>i3:17d?6b;29?j4293:17pl97383>0<729q/>i751g18L6623A8oh6*>a5875>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6c094?3=83:p(?j6:0d0?M57=2B9hi5+1`6904=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb752>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>17<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c4a5?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?263`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`535<72<0;6=u+2e;95c5<@::>7E<kd:&2e1<392c:554?::k2=<<722c:5l4?::k2=g<722e99<4?::\7fa2<e=83?1<7>t$3f:>4`43A9;96F=de9'5d2=<;1b=4650;9j5<?=831b=4o50;9j5<d=831d>8?50;9~f33e290>6=4?{%0g=?7a;2B8<85G2ef8 4g32=80e<77:188m4?>2900e<7n:188m4?e2900c?;>:188yg0>j3:197>50z&1`<<6n:1C?=;4H3fg?!7f<3>97d?68;29?l7>13:17d?6a;29?l7>j3:17b<:1;29?xd1=h0;684?:1y'6a?=9o90D>>:;I0g`>"6i=0?>6g>9983>>o6100;66g>9`83>>o61k0;66a=5083>>{e>0k1<7;50;2x 7b>28l87E=?5:J1`a=#9h>18?5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj??26=4::183\7f!4c13;m?6F<049K6ab<,8k?69<4i0;;>5<<a8326=44i0;b>5<<a83i6=44o372>5<<uk<257>55;294~"5l00:j>5G3178L7bc3-;j87:=;h3:<?6=3`;257>5;h3:e?6=3`;2n7>5;n065?6=3th=954?:483>5}#:m31=k=4H226?M4cl2.:m94;2:k2==<722c:544?::k2=d<722c:5o4?::m114<722wi:no50;694?6|,;n26<h>;I131>N5lm1/=l:53g9j5<>=831b=4750;9j5<g=831d>8?50;9~f3e>290?6=4?{%0g=?7a92B8<85G2ef8 4g3201b=4650;9j5<?=831b=4o50;9l607=831vn;m7:187>5<7s-8o57?i1:J040=O:mn0(<o;:89j5<>=831b=4750;9j5<g=831d>8?50;9~f3e0290?6=4?{%0g=?7a92B8<85G2ef8 4g32>1b=4650;9j5<?=831b=4o50;9l607=831vn;m9:187>5<7s-8o57?i1:J040=O:mn0(<o;:89j5<>=831b=4750;9j5<g=831d>8?50;9~f3e2290?6=4?{%0g=?7a92B8<85G2ef8 4g32>1b=4650;9j5<?=831b=4o50;9l607=831vn;m;:187>5<7s-8o57?i1:J040=O:mn0(<o;:69j5<>=831b=4750;9j5<g=831d>8?50;9~f3e4290?6=4?{%0g=?7a92B8<85G2ef8 4g32<1b=4650;9j5<?=831b=4o50;9l607=831vn;77:186>5<7s-8o57?i3:J040=O:mn0(<o;:508m4??2900e<76:188m4?f2900e<7m:188k7362900qo8:7;291?6=8r.9h44>f29K753<@;no7)?n4;61?l7>03:17d?69;29?l7>i3:17d?6b;29?j4293:17pl99683>0<729q/>i751g18L6623A8oh6*>a5876>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm64494?3=83:p(?j6:0d0?M57=2B9hi5+1`6907=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb7;5>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>14<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c461?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?253`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`53d<72=0;6=u+2e;95c7<@::>7E<kd:&2e1<1k2c:554?::k2=<<722c:5l4?::m114<722wi::m50;794?6|,;n26<h<;I131>N5lm1/=l:519g8m4??2900e<76:188m4?f2900e<7m:188k7362900qo;lf;297?6=8r.9h44>eg9K753<@;no7)?n4;0a?l7>03:17d?69;29?j4293:17pl9d383>0<729q/>i751g18L6623A8oh6*>a5874>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6e394?3=83:p(?j6:0d0?M57=2B9hi5+1`69e>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6e294?3=83:p(?j6:0d0?M57=2B9hi5+1`69e>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6bd94?3=83:p(?j6:0d0?M57=2B9hi5+1`69<>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6bg94?3=83:p(?j6:0d0?M57=2B9hi5+1`69e>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6bf94?3=83:p(?j6:0d0?M57=2B9hi5+1`690>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6ba94?3=83:p(?j6:0d0?M57=2B9hi5+1`69<>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6b`94?3=83:p(?j6:0d0?M57=2B9hi5+1`692>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm42594?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj=9m6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c675?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th?8>4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa013=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn9:8:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg2313:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl;4c83>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e<=n1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb56e>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk>857>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`77g<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi8>j50;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f6`529096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo=ia;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4nj0;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm3gg94?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj=:;6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c636?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th?<94?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa050=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn9>7:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg27i3:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl<f583>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e;o<1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb2d;>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk9=47>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`035<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi?:<50;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f61329096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo=86;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4?10;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm36c94?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj:=h6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c14a?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th84=4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa73g=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn>8l:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg51m3:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl<ae83>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e;k?1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb2`4>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk9i57>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`0fg<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi?oj50;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f6da29096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo=l1;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4k:0;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm3b794?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj:km6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c1a5?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th8n>4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa774=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn><n:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg55k3:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl<2d83>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e;::1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb211>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk9887>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`073<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi?>650;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f65f29096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo==4;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4:?0;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm33:94?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj=?>6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c624?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th84;4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa7fd=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn>:?:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg?2i3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;3h6g>9983>>o6100;66g>9`83>>i5=80;66sm58594?2=83:p(?j6:0d2?M57=2B9hi5+1`697a=n9021<75f18;94?=n90k1<75`24394?=zj<3h6=4;:183\7f!4c13;m=6F<049K6ab<,8k?69=4i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c7:`?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?243`;247>5;h3:=?6=3`;2m7>5;n065?6=3th>5h4?:583>5}#:m31=k?4H226?M4cl2.:m94;3:k2==<722c:544?::k2=d<722e99<4?::\7fa1<`=83>1<7>t$3f:>4`63A9;96F=de9'5d2=<:1b=4650;9j5<?=831b=4o50;9l607=831vn8o?:187>5<7s-8o57?i1:J040=O:mn0(<o;:518m4??2900e<76:188m4?f2900c?;>:188yg3f93:187>50z&1`<<6n81C?=;4H3fg?!7f<3>87d?68;29?l7>13:17d?6a;29?j4293:17pl:a383>1<729q/>i751g38L6623A8oh6*>a5877>o6110;66g>9883>>o61h0;66a=5083>>{e>:o1<7;50;2x 7b>28l87E=?5:J1`a=#9h>1?o5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj<k86=4;:183\7f!4c13;m=6F<049K6ab<,8k?69=4i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c7b0?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?243`;247>5;h3:=?6=3`;2m7>5;n065?6=3th>554?:583>5}#:m31=k?4H226?M4cl2.:m94;3:k2==<722c:544?::k2=d<722e99<4?::\7fa1<?=83>1<7>t$3f:>4`63A9;96F=de9'5d2=<:1b=4650;9j5<?=831b=4o50;9l607=831vn87n:187>5<7s-8o57?i1:J040=O:mn0(<o;:518m4??2900e<76:188m4?f2900c?;>:188yg1fn3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75094?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=h?7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3d0=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9k2;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?=i1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5ae?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;im50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1dn3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm74694?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=hm7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3a6=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9j4;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?<=1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5g5?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;h950;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1>l3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm78a94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=>47>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`>=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9:9;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?<k1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5:a?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;4h50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1b13:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7`294?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=j=7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`g=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9:b;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?h81<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c56g?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;l=50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1bj3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7`694?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=j97>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`e=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9;3;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?h=1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5b<?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;l750;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1c;3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75694?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=jm7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa313=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9k4;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?hh1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5bg?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;i;50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1fm3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75494?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=jh7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3a0=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9;7;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?m=1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a4?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;o?50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1303:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7e:94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=?57>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3g4=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9m3;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?m31<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a0?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;o;50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg13i3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7ec94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=i:7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3g>=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9m7;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?=h1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a=?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;il50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1ek3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75f94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=in7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3ab=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9me;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?=o1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a`?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;ik50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg13n3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7cd94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=h<7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3a`=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9l2;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?l:1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c564?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;n?50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1d<3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm74394?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=>>7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3f3=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9j1;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?j<1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5`3?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;n650;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg12;3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7d094?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=h57>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`5=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9:5;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?jh1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5`g?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;h;50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1dm3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm74494?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=hh7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`0=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo98d;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl82283>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm76g94?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb607>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5;=?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`4=1<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa37`=83>1<7>t$3f:>4`23A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f25f290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg1>>3:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd0;j0;694?:1y'6a?=9o?0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?0=1<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>9o6=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk=8i7>54;294~"5l00:j85G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<554?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;4750;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:=i:187>5<7s-8o57?i5:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo96a;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl84183>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm75394?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb6;a>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5;4?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`463<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa3=7=83>1<7>t$3f:>4`23A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f240290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg1503:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd00;0;694?:1y'6a?=9o?0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?191<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>826=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk=387>54;294~"5l00:j85G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<>l4?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;?l50;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:6::187>5<7s-8o57?i5:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo976;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl82b83>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm79594?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb60g>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c51a?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`4<=<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa3=g=83>1<7>t$3f:>4`23A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f257290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg1?k3:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd0;;0;694?:1y'6a?=9o?0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?:91<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>2o6=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk=2<7>54;294~"5l00:j85G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<?;4?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;4?50;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:=8:187>5<7s-8o57?i5:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo9<8;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl89383>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm78194?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb61:>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5:1?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`47g<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa2`2=83>1<7>t$3f:>4`13A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f3c2290?6=4?{%0g=?7a>2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg0a83:187>50z&1`<<6n?1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd1nk0;694?:1y'6a?=9o<0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e>on1<7:50;2x 7b>28l=7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj?ln6=4;:183\7f!4c13;m:6F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk<mj7>54;294~"5l00:j;5G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<<=4?:583>5}#:m31=k84H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;=?50;694?6|,;n26<h9;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:>=:187>5<7s-8o57?i6:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo8j7;290?6=8r.9h44>f79K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl9e983>1<729q/>i751g48L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm6d;94?2=83:p(?j6:0d5?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb7gb>5<3290;w)<k9;3e2>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c4ff?6=<3:1<v*=d882b3=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`5af<72=0;6=u+2e;95c0<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa2`b=83>1<7>t$3f:>4`13A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f3cb290?6=4?{%0g=?7a>2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg0bn3:187>50z&1`<<6n?1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd1n80;694?:1y'6a?=9o<0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e>o91<7:50;2x 7b>28l=7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj?l?6=4;:183\7f!4c13;m:6F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk<m;7>54;294~"5l00:j;5G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th=j54?:583>5}#:m31=k84H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi:k750;694?6|,;n26<h9;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn;hn:187>5<7s-8o57?i6:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo8ic;290?6=8r.9h44>f79K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl97c83>0<729q/>i751g18L6623A8oh6*>a581g>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm56c94?3=83:p(?j6:0d0?M57=2B9hi5+1`69f>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm58`94?2=83:p(?j6:0d2?M57=2B9hi5+1`6906=n9021<75f18;94?=n90k1<75`24394?=zj?=o6=4;:183\7f!4c13;m>6F<049K6ab<,8k?6ll4i0;;>5<<a8326=44i0;b>5<<g8oi6=44}c40b?6=;3:1<v*=d882b5=O;9?0D?jk;%3b0?5b3`;247>5;h3:=?6=3f;nn7>5;|q;f?6=:9qU4o52382934=:;0;1;<52384934=:;0=1;<5238c934=:;021;<5238;934=:;0h1;<5238a934=:;0n1;<52380934=:;0?1;<52381934=:;0>1;<5235`934=:;=i1;<52343934=:;<81;<52341934=:;<>1;<52347934=:;<<1;<52345934=:;<21;<5235f934=:;=o1;<5235d934=:;<:1;<5259c937=:=h?1;?525bd9607<uz;287>57z\;e>X61=16:5?52c7890b728327088a;3:=>;1?k0:545256c95<d<uz=86=469z\47>;1jm0=n639bg85f>;1jj0=n639bd85f>;3=o0=n63;6185f>;3><0=n63;6785f>;3>00=n63;6685f>;3>10=n63;6`85f>;3>k0=n63;6b85f>;3>80=n63;6585f>;3>;0=n63;6285f>;39h0=n63;1c85f>;3:90=n63;2085f>;3:=0=n63;2385f>;3::0=n63;2485f>;3:?0=n63;2685f>;39j0=n63;1g85f>;39m0=n63;1d85f>;4190=n63<9085f>;41?0=n63<9685f>;41h0=n63<9985f>;4100=n63<9c85f>;41j0=n63<9e85f>;41;0=n63<9485f>;41:0=n63<9585f>;4l<0=n63<d785f>;4lk0=n63<db85f>;4lm0=n63<dd85f>;4lo0=n63<e185f>;4m80=n63<e385f>;4l>0=n63<d985f>;4l00=n63<d`85f>;4<k0=n63<4b85f>;4=80=n63<5385f>;4=:0=n63<5585f>;4=<0=n63<5785f>;4=>0=n63<5985f>;4<m0=n63<4d85f>;4<o0=n63<5185f>;1<90=n6398085f>;1jh0=n63:c085f>;2k;0=n63:c685f>;2k10=n63:c885f>;2kh0=n63:cc85f>;2kj0=n63:ce85f>;2kl0=n63:c285f>;2k=0=n63:c485f>;2k?0=n6376285f>;?>;0=n6376085f>;>;j0<86363b841>;><>0<863646841>;>=80<863650841>;>=;0<863653841>;>=:0<863652841>;>==0<863655841>;>=<0<863654841>;>=?0<863657841>;>=>0<863656841>;>=10<863659841>;>;m0<86363e841>;>;l0<86363d841>;>;o0<86363g841>;><90<863641841>;><80<863640841>;><;0<863643841>;><:0<863642841>;><=0<863645841>;><<0<863644841>;><?0<863647841>;><10<863649841>;><00<863648841>;><h0<86364`841>;><k0<86364c841>;><j0<86364b841>;><m0<86364e841>;><l0<86364d841>;><o0<86364g841>;>=90<863651841>{t:j31<7?:{_0`=>;2ko0:555294c95<><5<3<6<76;<7:g?7>127>5i4>989>1<c=9030187i:0;:?83f83;2563:a082=<=:=h81=474=4c0>4?>34?j87?69:?6==<61016947518;890?f28327088b;3:f>;2?h0:545258`95<?<5?=o6<77;<40b?7>12wx>oo50;;:a~X1=2T9j=5Q2e`8Z32<V;?87S<ke:\11<=Y:1=0R?h8;_0e1>X5n=1U>k=4^223?[4an2T9jh5Q2gf8Z7`d3W8mn6P=f`9]6c?<V;l37S<i2:\1fc=Y:kn0R?ll;_0af>X5k11U>n94^3a5?[4d=2T9o95Q2b18Z7e53W8h=6P=c19]6gg<5::=6<79;<4a`?1>34<ij796;<602?gd34>8i7ol;<674?gd34>?>7ol;<670?gd34>?:7ol;<67<?gd34>?m7ol;<67g?gd34>?i7ol;<60<?gd34>8m7ol;<60g?gd349m=7ol;<1e=?gd349mn7ol;<1e`?gd349mj7ol;<635?gd34>;?7ol;<631?gd34>;;7ol;<63=?gd349m?7ol;<1e1?gd349m;7ol;<153?gd349=j7ol;<145?gd349<?7ol;<141?gd349<;7ol;<14=?gd349<n7ol;<14`?gd349<j7ol;<15=?gd349=n7ol;<15`?gd349jo7ol;<1a0?gd349i:7ol;<1a<?gd349im7ol;<1ag?gd349ii7ol;<1`4?gd349h>7ol;<1`0?gd349ji7ol;<1a4?gd349i>7ol;<115?gd349957ol;<11f?gd3499h7ol;<11b?gd3498=7ol;<107?gd349897ol;<103?gd349857ol;<117?gd349997ol;<113?gd34<?;7ol;<47<?gd34<?57ol;<47e?gd34<?n7ol;<47g?gd34<?h7ol;<456?gd34<=?7ol;<450?gd34<=97ol;<452?gd34<=;7ol;<45<?gd34<347ol;<4;=?gd34<3m7ol;<4;f?gd34<3o7ol;<4;`?gd34<3i7ol;<4b7?gd34<j87ol;<4b1?gd34<j:7ol;<4b3?gd34<j47ol;<4b=?gd34<im796;<7g3?gd34?o47ol;<7g=?gd34?om7ol;<7gf?gd34?oo7ol;<7g`?gd34?m>7ol;<7e7?gd34?m87ol;<7e1?gd34?m:7ol;<7e3?gd34?m47ol;<43`?gd34<;i7ol;<43b?gd34<:<7ol;<425?gd34<:>7ol;<427?gd34<947ol;<41=?gd34<9m7ol;<41f?gd34<9o7ol;<41`?gd34<9i7ol;<;0g?0c3438o78j;<;0g?4dl272?n4=cd9>=6e=<h165>m55`9>=6e=k?165>m5c59>=6e=k;165>m5c09>=6e=k9165>m5bg9>=6e=jl165>m5be9>=6e=jj165>m5bc9>=6e=jh165>m5b89>=6e=j>165>m5b79>=6e=j<165>m5b59>=6e=j:165>m5b39>=6e=j8165>m5b19>=6e=io165>m5ad9>=6e=km165>m5cb9>=6e=kk165>m5c`9>=6e=k0165>m5c99>=6e=k>165>m5c29>=6e=j1165>m5e69>=6e=m<165>m5e29>=6e=m;165>m5e09>=6e=m9165>m5dg9>=6e=ll165>m5de9>=6e=lj165>m5dc9>=6e=lh165>m5d99>=6e=l>165>m5d79>=6e=l<165>m5d59>=6e=l:165>m5d39>=6e=l8165>m5d19>=6e=ko165>m5ed9>=6e=mm165>m5eb9>=6e=mk165>m5e`9>=6e=m0165>m5e99>=6e=m=165>m5d89>=6e=kl165>m510689<5d28;9707<c;325>;>;j0:==5292a954?<509h6<?8;<;0g?76>272?n4>149>=6e=:lk014=l:3g;?8?4k38n;6363b81a3=:1==1:i5295592`=:1==1>nj4=864>7eb343?;7:n;<;73?3f343?;7m9;<;73?e3343?;7m=;<;73?e6343?;7m?;<;73?da343?;7lj;<;73?dc343?;7ll;<;73?de343?;7ln;<;73?d>343?;7l8;<;73?d1343?;7l:;<;73?d3343?;7l<;<;73?d5343?;7l>;<;73?d7343?;7oi;<;73?gb343?;7mk;<;73?ed343?;7mm;<;73?ef343?;7m6;<;73?e?343?;7m8;<;73?e4343?;7l7;<;73?c0343?;7k:;<;73?c4343?;7k=;<;73?c6343?;7k?;<;73?ba343?;7jj;<;73?bc343?;7jl;<;73?be343?;7jn;<;73?b?343?;7j8;<;73?b1343?;7j:;<;73?b3343?;7j<;<;73?b5343?;7j>;<;73?b7343?;7mi;<;73?cb343?;7kk;<;73?cd343?;7km;<;73?cf343?;7k6;<;73?c?343?;7k;;<;73?b>343?;7mj;<;73?76<2728:4>139>=11=98;014:8:033?8?3?3;:5636468252=:1==1=<84=864>472343?;7<ja:?:02<5m11659952d589<202;o=707:1;4g?8?293<n707:1;0``>;>=809oh5294390d=:1<;19l529439g3=:1<;1o9529439g7=:1<;1o<529439g5=:1<;1nk529439f`=:1<;1ni529439ff=:1<;1no529439fd=:1<;1n4529439f2=:1<;1n;529439f0=:1<;1n9529439f6=:1<;1n?529439f4=:1<;1n=529439ec=:1<;1mh529439ga=:1<;1on529439gg=:1<;1ol529439g<=:1<;1o5529439g2=:1<;1o>529439f==:1<;1i:529439a0=:1<;1i>529439a7=:1<;1i<529439a5=:1<;1hk529439``=:1<;1hi529439`f=:1<;1ho529439`d=:1<;1h5529439`2=:1<;1h;529439`0=:1<;1h9529439`6=:1<;1h?529439`4=:1<;1h=529439gc=:1<;1ih529439aa=:1<;1in529439ag=:1<;1il529439a<=:1<;1i5529439a1=:1<;1h4529439g`=:1<;1=<:4=872>475343>=7?>1:?:14<6991658?510;89<3628;<707:1;322>;>=80:=85294396`g<50?:6?k7;<;65?4b?2729<4=e79>=04=>m1658<56d9>=04=:jn014;=:3af?8?2:3>j707:2;7b?8?2:3i=707:2;a7?8?2:3i9707:2;a2?8?2:3i;707:2;`e?8?2:3hn707:2;`g?8?2:3hh707:2;`a?8?2:3hj707:2;`:?8?2:3h<707:2;`5?8?2:3h>707:2;`7?8?2:3h8707:2;`1?8?2:3h:707:2;`3?8?2:3km707:2;cf?8?2:3io707:2;a`?8?2:3ii707:2;ab?8?2:3i2707:2;a;?8?2:3i<707:2;a0?8?2:3h3707:2;g4?8?2:3o>707:2;g0?8?2:3o9707:2;g2?8?2:3o;707:2;fe?8?2:3nn707:2;fg?8?2:3nh707:2;fa?8?2:3nj707:2;f;?8?2:3n<707:2;f5?8?2:3n>707:2;f7?8?2:3n8707:2;f1?8?2:3n:707:2;f3?8?2:3im707:2;gf?8?2:3oo707:2;g`?8?2:3oi707:2;gb?8?2:3o2707:2;g;?8?2:3o?707:2;f:?8?2:3in707:2;320>;>=;0:=?529409547<50?96<??;<;66?7612729?4>169>=04=98<014;=:036?8?2:38nm6365381a==:1<81>h94=871>7c1343>?78k;<;67?0b343>?7<ld:?:16<5kl1658=54`9>=05==h1658=5c79>=05=k=1658=5c39>=05=k81658=5c19>=05=jo1658=5bd9>=05=jm1658=5bb9>=05=jk1658=5b`9>=05=j01658=5b69>=05=j?1658=5b49>=05=j=1658=5b29>=05=j;1658=5b09>=05=j91658=5ag9>=05=il1658=5ce9>=05=kj1658=5cc9>=05=kh1658=5c89>=05=k11658=5c69>=05=k:1658=5b99>=05=m>1658=5e49>=05=m:1658=5e39>=05=m81658=5e19>=05=lo1658=5dd9>=05=lm1658=5db9>=05=lk1658=5d`9>=05=l11658=5d69>=05=l?1658=5d49>=05=l=1658=5d29>=05=l;1658=5d09>=05=l91658=5cg9>=05=ml1658=5ee9>=05=mj1658=5ec9>=05=mh1658=5e89>=05=m11658=5e59>=05=l01658=5cd9>=05=98>014;<:031?8?2;3;:=636528255=:1<91=<74=870>470343>?7?>6:?:16<69<1658=52dc89<342;o3707:3;0f3>;>=:09i;5294692a=:1<>1:h5294696fb<50??6?mj;<;60?2f343>87:l;<;60?2e343>87:;;<;60?3f343>87;l;<;60?3e343>87;;;<;60?e1343>87m;;<;60?e5343>87m>;<;60?e7343>87li;<;60?db343>87lk;<;60?dd343>87lm;<;60?df343>87l6;<;60?d0343>87l9;<;60?d2343>87l;;<;60?d4343>87l=;<;60?d6343>87l?;<;60?ga343>87oj;<;60?ec343>87ml;<;60?c0343>87k:;<;60?c4343>87k=;<;60?c6343>87k?;<;60?ba343>87jj;<;60?bc343>87jl;<;60?be343>87jn;<;60?b?343>87j8;<;60?b1343>87j:;<;60?b3343>87j<;<;60?b5343>87j>;<;60?b7343>87mi;<;60?cb343>87kk;<;60?cd343>87km;<;60?cf343>87k6;<;60?c?343>87k;;<;60?b>343>87mj;<;60?76<272994>139>=02=98;014;;:03:?8?2<3;:;636558253=:1<>1=<;4=877>7cf343>87<j8:?:11<5m>1658:52d489<322?n014;::7g89<322;io707:5;0`a>;>=<0?m6365487g>;>=<0?n63654870>;>=<0>m6365486g>;>=<0>n63654860>;>=<0h:636548`0>;>=<0h>636548`5>;>=<0h<636548ab>;>=<0ii636548a`>;>=<0io636548af>;>=<0im636548a=>;>=<0i;636548a2>;>=<0i9636548a0>;>=<0i?636548a6>;>=<0i=636548a4>;>=<0jj636548ba>;>=<0hh636548`g>;>=<0n;636548f1>;>=<0n?636548f6>;>=<0n=636548f4>;>=<0oj636548ga>;>=<0oh636548gg>;>=<0on636548ge>;>=<0o4636548g3>;>=<0o:636548g1>;>=<0o8636548g7>;>=<0o>636548g5>;>=<0o<636548`b>;>=<0ni636548f`>;>=<0no636548ff>;>=<0nm636548f=>;>=<0n4636548f0>;>=<0o5636548`a>;>=<0:=9529479544<50?>6<?>;<;61?761272984>169>=03=98<014;::036?8?2=38nm6365481a==:1<?1>h94=876>7c1343>:78k;<;62?0b343>:7<ld:?:13<5kl1658854`9>=00=<j1658854c9>=00=<=1658855`9>=00==j1658855c9>=00===165885c79>=00=k=165885c39>=00=k8165885c19>=00=jo165885bd9>=00=jm165885bb9>=00=jk165885b`9>=00=j0165885b69>=00=j?165885b49>=00=j=165885b29>=00=j;165885b09>=00=j9165885ag9>=00=il165885ce9>=00=kj165885e69>=00=m<165885e29>=00=m;165885e09>=00=m9165885dg9>=00=ll165885de9>=00=lj165885dc9>=00=lh165885d99>=00=l>165885d79>=00=l<165885d59>=00=l:165885d39>=00=l8165885d19>=00=ko165885ed9>=00=mm165885eb9>=00=mk165885e`9>=00=m0165885e99>=00=m=165885d89>=00=kl16588510689<3128;9707:6;325>;>=?0:=4529449541<50?=6<?9;<;62?76=2729;4=e`9>=00=:l2014;9:3g4?8?2>38n:6365685`>;>=>0=i6365681ga=:1<=1>nk4=874>1g<50?<69m4=874>1d<50?<69:4=874>0g<50?<68m4=874>0d<50?<68:4=874>f0<50?<6n:4=874>f4<50?<6n?4=874>f6<50?<6oh4=874>gc<50?<6oj4=874>ge<50?<6ol4=874>gg<50?<6o74=874>g1<50?<6o84=874>g3<50?<6o:4=874>g5<50?<6o<4=874>g7<50?<6o>4=874>d`<50?<6lk4=874>fb<50?<6nm4=874>`1<50?<6h;4=874>`5<50?<6h<4=874>`7<50?<6h>4=874>a`<50?<6ik4=874>ab<50?<6im4=874>ad<50?<6io4=874>a><50?<6i94=874>a0<50?<6i;4=874>a2<50?<6i=4=874>a4<50?<6i?4=874>a6<50?<6nh4=874>`c<50?<6hj4=874>`e<50?<6hl4=874>`g<50?<6h74=874>`><50?<6h:4=874>a?<50?<6nk4=874>473343>;7?>2:?:12<69816589510;89<3028;<707:7;322>;>=>0:=85294596`g<50?<6?k7;<;63?4b?2729:4=e79>=0>=>m1658656d9>=0>=:jn014;7:3af?8?203>j707:8;6`?8?203>i707:8;67?8?203?j707:8;7`?8?203?i707:8;77?8?203i=707:8;a7?8?203i9707:8;a2?8?203i;707:8;`e?8?203hn707:8;`g?8?203hh707:8;`a?8?203hj707:8;`:?8?203h<707:8;`5?8?203h>707:8;`7?8?203h8707:8;`1?8?203h:707:8;`3?8?203km707:8;cf?8?203io707:8;a`?8?203o<707:8;g6?8?203o8707:8;g1?8?203o:707:8;g3?8?203nm707:8;ff?8?203no707:8;f`?8?203ni707:8;fb?8?203n3707:8;f4?8?203n=707:8;f6?8?203n?707:8;f0?8?203n9707:8;f2?8?203n;707:8;ae?8?203on707:8;gg?8?203oh707:8;ga?8?203oj707:8;g:?8?203o3707:8;g7?8?203n2707:8;af?8?203;:8636598257=:1<21=<?4=87;>47>343>47?>7:?:1=<69?16586510789<3?2;oj707:8;0f<>;>=109i:5294:96`0<509o6;j4=81g>3c<509o6?mk;<;0`?4dm272?i4;a:?:7a<3k272?i4;b:?:7a<3<272?i4:a:?:7a<2k272?i4:b:?:7a<2<272?i4l6:?:7a<d<272?i4l2:?:7a<d9272?i4l0:?:7a<en272?i4me:?:7a<el272?i4mc:?:7a<ej272?i4ma:?:7a<e1272?i4m7:?:7a<e>272?i4m5:?:7a<e<272?i4m3:?:7a<e:272?i4m1:?:7a<e8272?i4nf:?:7a<fm272?i4ld:?:7a<dk272?i4j7:?:7a<b=272?i4j3:?:7a<b:272?i4j1:?:7a<b8272?i4kf:?:7a<cm272?i4kd:?:7a<ck272?i4kb:?:7a<ci272?i4k8:?:7a<c?272?i4k6:?:7a<c=272?i4k4:?:7a<c;272?i4k2:?:7a<c9272?i4k0:?:7a<dn272?i4je:?:7a<bl272?i4jc:?:7a<bj272?i4ja:?:7a<b1272?i4j8:?:7a<b<272?i4k9:?:7a<dm272?i4>159>=6b=988014=k:032?8?4l3;:56363e8252=:1:n1=<84=81g>4723438h7<ja:?:7a<5m1165>j52d589<5c2;o=707<e;4g?8?4m3<n707<e;0``>;>;l09oh5292g90d=:1:o18n5292g90g=:1:o1895292g91d=:1:o19n5292g91g=:1:o1995292g9g3=:1:o1o95292g9g7=:1:o1o<5292g9g5=:1:o1nk5292g9f`=:1:o1ni5292g9ff=:1:o1no5292g9fd=:1:o1n45292g9f2=:1:o1n;5292g9f0=:1:o1n95292g9f6=:1:o1n?5292g9f4=:1:o1n=5292g9ec=:1:o1mh5292g9ga=:1:o1on5292g9a2=:1:o1i85292g9a6=:1:o1i?5292g9a4=:1:o1i=5292g9`c=:1:o1hh5292g9`a=:1:o1hn5292g9`g=:1:o1hl5292g9`==:1:o1h:5292g9`3=:1:o1h85292g9`1=:1:o1h>5292g9`7=:1:o1h<5292g9`5=:1:o1ok5292g9a`=:1:o1ii5292g9af=:1:o1io5292g9ad=:1:o1i45292g9a==:1:o1i95292g9`<=:1:o1oh5292g9542<509n6<?=;<;0a?769272?h4>189>=6c=98=014=j:035?8?4m3;:96363d81ad=:1:o1>h64=81f>7c03438i7<j6:?:7c<1l272?k49e:?:7c<5km165>h52bg89<5a2=k014=i:5a89<5a2=h014=i:5689<5a2<k014=i:4a89<5a2<h014=i:4689<5a2j<014=i:b689<5a2j8014=i:b389<5a2j:014=i:cd89<5a2ko014=i:cf89<5a2ki014=i:c`89<5a2kk014=i:c;89<5a2k=014=i:c489<5a2k?014=i:c689<5a2k9014=i:c089<5a2k;014=i:c289<5a2hl014=i:`g89<5a2jn014=i:ba89<5a2l=014=i:d789<5a2l9014=i:d089<5a2l;014=i:d289<5a2ml014=i:eg89<5a2mn014=i:ea89<5a2mh014=i:ec89<5a2m2014=i:e589<5a2m<014=i:e789<5a2m>014=i:e189<5a2m8014=i:e389<5a2m:014=i:bd89<5a2lo014=i:df89<5a2li014=i:d`89<5a2lk014=i:d;89<5a2l2014=i:d689<5a2m3014=i:bg89<5a28;?707<f;326>;>;o0:=<5292d954?<509m6<?8;<;0b?76>272?k4>149>=6`=:lk014=i:3g;?8?4n38n;6363g81a3=:1=:1:i5295292`=:1=:1>nj4=863>7eb343?<7:n;<;74?2d343?<7:m;<;74?23343?<7;n;<;74?3d343?<7;m;<;74?33343?<7m9;<;74?e3343?<7m=;<;74?e6343?<7m?;<;74?da343?<7lj;<;74?dc343?<7ll;<;74?de343?<7ln;<;74?d>343?<7l8;<;74?d1343?<7l:;<;74?d3343?<7l<;<;74?d5343?<7l>;<;74?d7343?<7oi;<;74?gb343?<7mk;<;74?ed343?<7k8;<;74?c2343?<7k<;<;74?c5343?<7k>;<;74?c7343?<7ji;<;74?bb343?<7jk;<;74?bd343?<7jm;<;74?bf343?<7j7;<;74?b0343?<7j9;<;74?b2343?<7j;;<;74?b4343?<7j=;<;74?b6343?<7j?;<;74?ea343?<7kj;<;74?cc343?<7kl;<;74?ce343?<7kn;<;74?c>343?<7k7;<;74?c3343?<7j6;<;74?eb343?<7?>4:?:05<69;1659>510389<2728;2707;0;323>;><90:=;529529543<50>;6?kn;<;74?4b02728=4=e69>=16=:l<014:>:7f89<262?o014:>:3ag?8?3938hi6364087e>;><80?o6364087f>;><80?86364086e>;><80>o6364086f>;><80>8636408`2>;><80h8636408`6>;><80h=636408`4>;><80ij636408aa>;><80ih636408ag>;><80in636408ae>;><80i5636408a3>;><80i:636408a1>;><80i8636408a7>;><80i>636408a5>;><80i<636408bb>;><80ji636408``>;><80ho636408f3>;><80n9636408f7>;><80n>636408f5>;><80n<636408gb>;><80oi636408g`>;><80oo636408gf>;><80om636408g<>;><80o;636408g2>;><80o9636408g0>;><80o?636408g6>;><80o=636408g4>;><80hj636408fa>;><80nh636408fg>;><80nn636408fe>;><80n5636408f<>;><80n8636408g=>;><80hi636408251=:1=;1=<<4=862>476343?=7?>9:?:04<69>1659?510489<2628;>707;1;0fe>;><809i55295396`1<50>:6?k9;<;76?0c343?>78j;<;76?4dl2728?4=cd9>=14=<h1659<54b9>=14=<k1659<5459>=14==h1659<55b9>=14==k1659<5559>=14=k?1659<5c59>=14=k;1659<5c09>=14=k91659<5bg9>=14=jl1659<5be9>=14=jj1659<5bc9>=14=jh1659<5b89>=14=j>1659<5b79>=14=j<1659<5b59>=14=j:1659<5b39>=14=j81659<5b19>=14=io1659<5ad9>=14=km1659<5cb9>=14=m>1659<5e49>=14=m:1659<5e39>=14=m81659<5e19>=14=lo1659<5dd9>=14=lm1659<5db9>=14=lk1659<5d`9>=14=l11659<5d69>=14=l?1659<5d49>=14=l=1659<5d29>=14=l;1659<5d09>=14=l91659<5cg9>=14=ml1659<5ee9>=14=mj1659<5ec9>=14=mh1659<5e89>=14=m11659<5e59>=14=l01659<5cd9>=14=98>014:=:031?8?3:3;:=63643825<=:1=81=<94=861>471343?>7?>5:?:07<5mh1659<52d:89<252;o<707;2;0f2>;><:0=h6364285a>;><:09oi5295196fc<50>869o4=860>1e<50>869l4=860>12<50>868o4=860>0e<50>868l4=860>02<50>86n84=860>f2<50>86n<4=860>f7<50>86n>4=860>g`<50>86ok4=860>gb<50>86om4=860>gd<50>86oo4=860>g?<50>86o94=860>g0<50>86o;4=860>g2<50>86o=4=860>g4<50>86o?4=860>g6<50>86lh4=860>dc<50>86nj4=860>fe<50>86h94=860>`3<50>86h=4=860>`4<50>86h?4=860>`6<50>86ih4=860>ac<50>86ij4=860>ae<50>86il4=860>ag<50>86i64=860>a1<50>86i84=860>a3<50>86i:4=860>a5<50>86i<4=860>a7<50>86i>4=860>f`<50>86hk4=860>`b<50>86hm4=860>`d<50>86ho4=860>`?<50>86h64=860>`2<50>86i74=860>fc<50>86<?;;<;77?76:2728>4>109>=15=983014:<:034?8?3;3;::636428250=:1=91>ho4=860>7c?343??7<j7:?:06<5m?1659:56e9>=12=>l1659:52bf89<232;in707;4;6b?8?3<3>h707;4;6a?8?3<3>?707;4;7b?8?3<3?h707;4;7a?8?3<3??707;4;a5?8?3<3i?707;4;a1?8?3<3i:707;4;a3?8?3<3hm707;4;`f?8?3<3ho707;4;``?8?3<3hi707;4;`b?8?3<3h2707;4;`4?8?3<3h=707;4;`6?8?3<3h?707;4;`0?8?3<3h9707;4;`2?8?3<3h;707;4;ce?8?3<3kn707;4;ag?8?3<3ih707;4;g4?8?3<3o>707;4;g0?8?3<3o9707;4;g2?8?3<3o;707;4;fe?8?3<3nn707;4;fg?8?3<3nh707;4;fa?8?3<3nj707;4;f;?8?3<3n<707;4;f5?8?3<3n>707;4;f7?8?3<3n8707;4;f1?8?3<3n:707;4;f3?8?3<3im707;4;gf?8?3<3oo707;4;g`?8?3<3oi707;4;gb?8?3<3o2707;4;g;?8?3<3o?707;4;f:?8?3<3in707;4;320>;><=0:=?529569547<50>?6<?6;<;70?76?272894>179>=12=98?014:;:3gb?8?3<38n46364581a2=:1=>1>h84=866>3b<50>>6;k4=866>7ec343?97<le:?:00<3i272884;c:?:00<3j272884;4:?:00<2i272884:c:?:00<2j272884:4:?:00<d>272884l4:?:00<d:272884l1:?:00<d8272884mf:?:00<em272884md:?:00<ek272884mb:?:00<ei272884m9:?:00<e?272884m6:?:00<e=272884m4:?:00<e;272884m2:?:00<e9272884m0:?:00<fn272884ne:?:00<dl272884lc:?:00<b?272884j5:?:00<b;272884j2:?:00<b9272884j0:?:00<cn272884ke:?:00<cl272884kc:?:00<cj272884ka:?:00<c0272884k7:?:00<c>272884k5:?:00<c<272884k3:?:00<c:272884k1:?:00<c8272884lf:?:00<bm272884jd:?:00<bk272884jb:?:00<bi272884j9:?:00<b0272884j4:?:00<c1272884le:?:00<69=1659;510089<2228;:707;5;32=>;><<0:=:529579540<50>>6<?:;<;71?4bi272884=e99>=13=:l=014:::3g5?8?3>3<o707;6;4f?8?3>38hh6364781g`=:1=<18l5295490f=:1=<18o52954901=:1=<19l5295491f=:1=<19o52954911=:1=<1o;529549g1=:1=<1o?529549g4=:1=<1o=529549fc=:1=<1nh529549fa=:1=<1nn529549fg=:1=<1nl529549f<=:1=<1n:529549f3=:1=<1n8529549f1=:1=<1n>529549f7=:1=<1n<529549f5=:1=<1mk529549e`=:1=<1oi529549gf=:1=<1i:529549a0=:1=<1i>529549a7=:1=<1i<529549a5=:1=<1hk529549``=:1=<1hi529549`f=:1=<1ho529549`d=:1=<1h5529549`2=:1=<1h;529549`0=:1=<1h9529549`6=:1=<1h?529549`4=:1=<1h=529549gc=:1=<1ih529549aa=:1=<1in529549ag=:1=<1il529549a<=:1=<1i5529549a1=:1=<1h4529549g`=:1=<1=<:4=865>475343?:7?>1:?:03<69016598510589<2128;=707;6;321>;><?09il5295496`><50>=6?k8;<;72?4b>2728549d:?:0=<1m272854=ce9>=1>=:jo014:7:5c89<2?2=i014:7:5`89<2?2=>014:7:4c89<2?2<i014:7:4`89<2?2<>014:7:b489<2?2j>014:7:b089<2?2j;014:7:b289<2?2kl014:7:cg89<2?2kn014:7:ca89<2?2kh014:7:cc89<2?2k3014:7:c589<2?2k<014:7:c789<2?2k>014:7:c189<2?2k8014:7:c389<2?2k:014:7:`d89<2?2ho014:7:bf89<2?2ji014:7:d589<2?2l?014:7:d189<2?2l8014:7:d389<2?2l:014:7:ed89<2?2mo014:7:ef89<2?2mi014:7:e`89<2?2mk014:7:e:89<2?2m=014:7:e489<2?2m?014:7:e689<2?2m9014:7:e089<2?2m;014:7:e289<2?2jl014:7:dg89<2?2ln014:7:da89<2?2lh014:7:dc89<2?2l3014:7:d:89<2?2l>014:7:e;89<2?2jo014:7:037?8?303;:>636498254=:1=21=<74=86;>470343?47?>6:?:0=<69<1659652dc89<2?2;o3707;8;0f3>;><109i;5295;92a=:1=31:h5295;96fb<50>26?mj;<;7=?2f343?57:l;<;7=?2e343?57:;;<;7=?3f343?57;l;<;7=?3e343?57;;;<;7=?e1343?57m;;<;7=?e5343?57m>;<;7=?e7343?57li;<;7=?db343?57lk;<;7=?dd343?57lm;<;7=?df343?57l6;<;7=?d0343?57l9;<;7=?d2343?57l;;<;7=?d4343?57l=;<;7=?d6343?57l?;<;7=?ga343?57oj;<;7=?ec343?57ml;<;7=?c0343?57k:;<;7=?c4343?57k=;<;7=?c6343?57k?;<;7=?ba343?57jj;<;7=?bc343?57jl;<;7=?be343?57jn;<;7=?b?343?57j8;<;7=?b1343?57j:;<;7=?b3343?57j<;<;7=?b5343?57j>;<;7=?b7343?57mi;<;7=?cb343?57kk;<;7=?cd343?57km;<;7=?cf343?57k6;<;7=?c?343?57k;;<;7=?b>343?57mj;<;7=?76<272844>139>=1?=98;014:6:03:?8?313;:;636488253=:1=31=<;4=86:>7cf343?57<j8:?:0<<5m>1659752d489<2f2?n014:n:7g89<2f2;io707;a;0`a>;><h0?m6364`87g>;><h0?n6364`870>;><h0>m6364`86g>;><h0>n6364`860>;><h0h:6364`8`0>;><h0h>6364`8`5>;><h0h<6364`8ab>;><h0ii6364`8a`>;><h0io6364`8af>;><h0im6364`8a=>;><h0i;6364`8a2>;><h0i96364`8a0>;><h0i?6364`8a6>;><h0i=6364`8a4>;><h0jj6364`8ba>;><h0hh6364`8`g>;><h0n;6364`8f1>;><h0n?6364`8f6>;><h0n=6364`8f4>;><h0oj6364`8ga>;><h0oh6364`8gg>;><h0on6364`8ge>;><h0o46364`8g3>;><h0o:6364`8g1>;><h0o86364`8g7>;><h0o>6364`8g5>;><h0o<6364`8`b>;><h0ni6364`8f`>;><h0no6364`8ff>;><h0nm6364`8f=>;><h0n46364`8f0>;><h0o56364`8`a>;><h0:=95295c9544<50>j6<?>;<;7e?7612728l4>169>=1g=98<014:n:036?8?3i38nm6364`81a==:1=k1>h94=86b>7c1343?n78k;<;7f?0b343?n7<ld:?:0g<5kl1659l54`9>=1d=<j1659l54c9>=1d=<=1659l55`9>=1d==j1659l55c9>=1d===1659l5c79>=1d=k=1659l5c39>=1d=k81659l5c19>=1d=jo1659l5bd9>=1d=jm1659l5bb9>=1d=jk1659l5b`9>=1d=j01659l5b69>=1d=j?1659l5b49>=1d=j=1659l5b29>=1d=j;1659l5b09>=1d=j91659l5ag9>=1d=il1659l5ce9>=1d=kj1659l5e69>=1d=m<1659l5e29>=1d=m;1659l5e09>=1d=m91659l5dg9>=1d=ll1659l5de9>=1d=lj1659l5dc9>=1d=lh1659l5d99>=1d=l>1659l5d79>=1d=l<1659l5d59>=1d=l:1659l5d39>=1d=l81659l5d19>=1d=ko1659l5ed9>=1d=mm1659l5eb9>=1d=mk1659l5e`9>=1d=m01659l5e99>=1d=m=1659l5d89>=1d=kl1659l510689<2e28;9707;b;325>;><k0:=45295`9541<50>i6<?9;<;7f?76=2728o4=e`9>=1d=:l2014:m:3g4?8?3j38n:6364b85`>;><j0=i6364b81ga=:1=i1>nk4=86`>1g<50>h69m4=86`>1d<50>h69:4=86`>0g<50>h68m4=86`>0d<50>h68:4=86`>f0<50>h6n:4=86`>f4<50>h6n?4=86`>f6<50>h6oh4=86`>gc<50>h6oj4=86`>ge<50>h6ol4=86`>gg<50>h6o74=86`>g1<50>h6o84=86`>g3<50>h6o:4=86`>g5<50>h6o<4=86`>g7<50>h6o>4=86`>d`<50>h6lk4=86`>fb<50>h6nm4=86`>`1<50>h6h;4=86`>`5<50>h6h<4=86`>`7<50>h6h>4=86`>a`<50>h6ik4=86`>ab<50>h6im4=86`>ad<50>h6io4=86`>a><50>h6i94=86`>a0<50>h6i;4=86`>a2<50>h6i=4=86`>a4<50>h6i?4=86`>a6<50>h6nh4=86`>`c<50>h6hj4=86`>`e<50>h6hl4=86`>`g<50>h6h74=86`>`><50>h6h:4=86`>a?<50>h6nk4=86`>473343?o7?>2:?:0f<6981659m510;89<2d28;<707;c;322>;><j0:=85295a96`g<50>h6?k7;<;7g?4b?2728n4=e79>=1b=>m1659j56d9>=1b=:jn014:k:3af?8?3l3>j707;d;6`?8?3l3>i707;d;67?8?3l3?j707;d;7`?8?3l3?i707;d;77?8?3l3i=707;d;a7?8?3l3i9707;d;a2?8?3l3i;707;d;`e?8?3l3hn707;d;`g?8?3l3hh707;d;`a?8?3l3hj707;d;`:?8?3l3h<707;d;`5?8?3l3h>707;d;`7?8?3l3h8707;d;`1?8?3l3h:707;d;`3?8?3l3km707;d;cf?8?3l3io707;d;a`?8?3l3o<707;d;g6?8?3l3o8707;d;g1?8?3l3o:707;d;g3?8?3l3nm707;d;ff?8?3l3no707;d;f`?8?3l3ni707;d;fb?8?3l3n3707;d;f4?8?3l3n=707;d;f6?8?3l3n?707;d;f0?8?3l3n9707;d;f2?8?3l3n;707;d;ae?8?3l3on707;d;gg?8?3l3oh707;d;ga?8?3l3oj707;d;g:?8?3l3o3707;d;g7?8?3l3n2707;d;af?8?3l3;:86364e8257=:1=n1=<?4=86g>47>343?h7?>7:?:0a<69?1659j510789<2c2;oj707;d;0f<>;><m09i:5295f96`0<50>n6;j4=86f>3c<50>n6?mk;<;7a?4dm2728h4;a:?:0`<3k2728h4;b:?:0`<3<2728h4:a:?:0`<2k2728h4:b:?:0`<2<2728h4l6:?:0`<d<2728h4l2:?:0`<d92728h4l0:?:0`<en2728h4me:?:0`<el2728h4mc:?:0`<ej2728h4ma:?:0`<e12728h4m7:?:0`<e>2728h4m5:?:0`<e<2728h4m3:?:0`<e:2728h4m1:?:0`<e82728h4nf:?:0`<fm2728h4ld:?:0`<dk2728h4j7:?:0`<b=2728h4j3:?:0`<b:2728h4j1:?:0`<b82728h4kf:?:0`<cm2728h4kd:?:0`<ck2728h4kb:?:0`<ci2728h4k8:?:0`<c?2728h4k6:?:0`<c=2728h4k4:?:0`<c;2728h4k2:?:0`<c92728h4k0:?:0`<dn2728h4je:?:0`<bl2728h4jc:?:0`<bj2728h4ja:?:0`<b12728h4j8:?:0`<b<2728h4k9:?:0`<dm2728h4>159>=1c=988014:j:032?8?3m3;:56364d8252=:1=o1=<84=86f>472343?i7<ja:?:0`<5m11659k52d589<2b2;o=707;f;4g?8?3n3<n707;f;0``>;><o09oh5295d90d=:1=l18n5295d90g=:1=l1895295d91d=:1=l19n5295d91g=:1=l1995295d9g3=:1=l1o95295d9g7=:1=l1o<5295d9g5=:1=l1nk5295d9f`=:1=l1ni5295d9ff=:1=l1no5295d9fd=:1=l1n45295d9f2=:1=l1n;5295d9f0=:1=l1n95295d9f6=:1=l1n?5295d9f4=:1=l1n=5295d9ec=:1=l1mh5295d9ga=:1=l1on5295d9a2=:1=l1i85295d9a6=:1=l1i?5295d9a4=:1=l1i=5295d9`c=:1=l1hh5295d9`a=:1=l1hn5295d9`g=:1=l1hl5295d9`==:1=l1h:5295d9`3=:1=l1h85295d9`1=:1=l1h>5295d9`7=:1=l1h<5295d9`5=:1=l1ok5295d9a`=:1=l1ii5295d9af=:1=l1io5295d9ad=:1=l1i45295d9a==:1=l1i95295d9`<=:1=l1oh5295d9542<50>m6<?=;<;7b?7692728k4>189>=1`=98=014:i:035?8?3n3;:96364g81ad=:1=l1>h64=86e>7c0343?j7<j6:?:15<1l2729=49e:?:15<5km1658>52bg89<372=k014;?:5a89<372=h014;?:5689<372<k014;?:4a89<372<h014;?:4689<372j<014;?:b689<372j8014;?:b389<372j:014;?:cd89<372ko014;?:cf89<372ki014;?:c`89<372kk014;?:c;89<372k=014;?:c489<372k?014;?:c689<372k9014;?:c089<372k;014;?:c289<372hl014;?:`g89<372jn014;?:ba89<372l=014;?:d789<372l9014;?:d089<372l;014;?:d289<372ml014;?:eg89<372mn014;?:ea89<372mh014;?:ec89<372m2014;?:e589<372m<014;?:e789<372m>014;?:e189<372m8014;?:e389<372m:014;?:bd89<372lo014;?:df89<372li014;?:d`89<372lk014;?:d;89<372l2014;?:d689<372m3014;?:bg89<3728;?707:0;326>;>=90:=<52942954?<50?;6<?8;<;64?76>2729=4>149>=06=:lk014;?:3g;?8?2838n;6365181a3=z{;im6=4:{_0`b>;1jm0995526cd960><5?hh6?;7;<4aa?4202wx=5o50;34\7f[>>3W;3m6394181f0=:=jl1=474=87b>4?>34?2;7?6a:?6=f<61h1694j518c890?b283j70;6f;3:e>;2i90:5l525`395<g<5<k96<7n;<40a?7>127>m>4>9`9>1d2=90k01877:0;b?83>13;2m63:9`82=d=:>>h1=4o4=45b>4?f34?2n7?6a:?53a<6101v\7f5m50;c2\7f[>d34>>j79>;<654?1634>=979>;<652?1634>=579>;<653?1634>=479>;<65e?1634>=n79>;<65g?1634>==79>;<650?1634>=>79>;<657?1634>:m79>;<62f?1634>9<79>;<615?1634>9879>;<616?1634>9?79>;<611?1634>9:79>;<613?1634>:o79>;<62b?1634>:h79>;<62a?16349o979>;<1g2?16349on79>;<1gg?16349oh79>;<1ga?16349oj79>;<1f4?16349n=79>;<1f6?16349o;79>;<1g<?16349o579>;<1ge?163438o7<j5:?:7f<5m:165>m52d089<5d2;o:707;7;0f1>;><>09i>5295596`4<50><6?k>;<;65?4b=2729<4=e29>=07=:l8014;>:3g2?8?2:38n96365381a6=:1<81>h<4=871>7c6343>?7<j5:?:16<5m:1658=52d089<342;o:707:4;0f1>;>==09i>5294696`4<50??6?k>;<;61?4b=272984=e29>=03=:l8014;::3g2?8?2>38n96365781a6=:1<<1>h<4=875>7c6343>;7<j5:?:12<5m:1658952d089<302;o:707:8;0f1>;>=109i>5294:96`4<50?36?k>;<;0`?4b=272?i4=e29>=6b=:l8014=k:3g2?8?4m38n96363d81a6=:1:o1>h<4=81f>7c63438j7<j5:?:7c<5m:165>h52d089<5a2;o:707;0;0f1>;><909i>5295296`4<50>;6?k>;<;75?4b=2728<4=e29>=17=:l8014:>:3g2?8?3:38n96364381a6=:1=81>h<4=861>7c6343??7<j5:?:06<5m:1659=52d089<242;o:707;4;0f1>;><=09i>5295696`4<50>?6?k>;<;71?4b=272884=e29>=13=:l8014:::3g2?8?3>38n96364781a6=:1=<1>h<4=865>7c6343?47<j5:?:0=<5m:1659652d089<2?2;o:707;9;0f1>;><009i>5295;96`4<50>26?k>;<;7e?4b=2728l4=e29>=1g=:l8014:n:3g2?8?3j38n96364c81a6=:1=h1>h<4=86a>7c6343?o7<j5:?:0f<5m:1659m52d089<2d2;o:707;d;0f1>;><m09i>5295f96`4<50>o6?k>;<;7a?4b=2728h4=e29>=1c=:l8014:j:3g2?8?3n38n96364g81a6=:1=l1>h<4=86e>7c6343><7<j5:?:15<5m:1658>52d089<372;o:70;k0;065>;1;l0:5l5rs222>5<3sW9;=63:d182===:>>h1=464=45b>4??3ty<j7>53z\4b>;2k?09n85258`95<><uz=o6=4;{_5g?83?l3kh70;l5;0a1>;21h0:555rs6a94?2|V>i0186l:`a890e32;h>70;69;3:<>{t?k0;69uQ7c9>1=d=ij169n=52c7890??28337p}78;290~X?027>5;4nc:?6g`<5j<169l:518:8yv>0290?wS68;<7:1?gd34?hh7<m5:?6e6<6111v\7f5850;6xZ=0<5<3?6lm4=4a`>7d234?j>7?68:\7fp<0<72=qU48525819ef=:=jh1>o;4=4c2>4??3ty387>54z\;0>;21;0jo63:c`81f0=:=h:1=464}r:0>5<3sW2870;61;c`?83d138i963:9g82===z{181<7:t^90890?72hi018m7:3`6?83>m3;246s|8083>1}Y081695h5ab9>1f1=:k?0187k:0;;?xu?83:18vP70:?6<`<fk27>o?4=b49>1<e=9020q~9n:187\7f[1f34?3m7ol;<7`5?4e=27>5:4>999~wd7=83;<wS:kb:\7ad=Yi816?io52c789<5d2=2014:8:5:89<362=2014;=:5:89<342=201;>9:0;:?83bj3;2m6397782=d=:>0i1=4o4=77a>4?f34<hm7?69:?5g<<61016:n6518;893e02832708l6;3:e>;1k<0:5l526b695<><5?i86<76;<1`f?7>02wx5k4?:05xZ1b>3W>n46P6f:?0`<<5j<165>m5469>=11=<>1658?5469>=04=<>1658=5469>250=902018km:0;;?800>3;2n6399b82===:><h1=464=7ab>4?f34<h57?68:?5g=<61h16:n9518c893e12833708l5;3:=>;1k=0:5l526b195<g<5:h86<77;|q:a?6=9>qU8i64^5g4?[?b349o47<m5:?:7f<3>2728:4;6:?:14<3>2729?4;6:?:16<3>27=<84>989>1`g=90k01;9::0;b?80>j3;246395`82=d=:>jk1=464=7a:>4?f34<h47?68:?5g2<61116:n8518;893e22833708l4;3:=>;1k:0:55523c395<><uz3o6=4=7z\7`2=Y<l<0R4j4=2f4>7d23438o7::;<;73?22343>=7::;<;66?22343>?7::;<;60?2?343>97:7;<;62?2?343>;7:7;<;6<?2?3438h7:7;<;0a?2?3438j7:7;<;74?2?343?=7:7;<;76?2?343??7:7;<;70?2?343?97:7;<;72?2?343?47:7;<;7=?2?343?m7:7;<;7f?2?343?o7:7;<;7`?2?343?i7:7;<;7b?2?343><7:7;<431?7>027>il4>999>223=90h01;7m:0;a?802i3;2463<ag82===z{hk1<7<8{_6f0>X3n:1Uml523d096g3<509h68=4=864>05<50?:68=4=871>05<50?868=4=877>11<50?>6994=875>11<50?<6994=87;>11<509o6994=81f>11<509m6994=863>11<50>:6994=861>11<50>86994=867>11<50>>6994=865>11<50>36994=86:>11<50>j6994=86a>11<50>h6994=86g>11<50>n6994=86e>11<50?;6994=727>4?>34?n57?6a:?531<61h16:4o518c8933>283j70=l5;3:<>{ti00;6?9t^5g0?[2a:2Tj563<e081f0=:1:i19?52955917=:1<;19?52940917=:1<919?52946903=:1<?18;52944903=:1<=18;5294:903=:1:n18;5292g903=:1:l18;52952903=:1=;18;52950903=:1=918;52956903=:1=?18;52954903=:1=218;5295;903=:1=k18;5295`903=:1=i18;5295f903=:1=o18;5295d903=:1<:18;5261695<><5<o26<77;<440?7>j27=5l4>999>20?=90201>m<:0;;?xuf03:1>:uQ4d08Z1`63Wk370=j0;0a1>;>;j0>=63646865>;>=80>=63653865>;>=:0>=63655871>;>=<0?963657871>;>=>0?963659871>;>;m0?96363d871>;>;o0?963641871>;><80?963643871>;><:0?963645871>;><<0?963647871>;><10?963648871>;><h0?96364c871>;><j0?96364e871>;><l0?96364g871>;>=90?96390282=<=:=l21=4o4=750>4?f34<257?6a:?51=<61h16?n?518:8yvg02909;vP;e09]0c6<Vh=01>ji:3`6?8?4k3?;707;7;73?8?293?;707:2;73?8?2;3?;707:4;70?8?2=3?8707:6;70?8?2?3?8707:8;70?8?4l3?8707<e;70?8?4n3?8707;0;70?8?393?8707;2;70?8?3;3?8707;4;70?8?3=3?8707;6;70?8?303?8707;9;70?8?3i3?8707;b;70?8?3k3?8707;d;70?8?3m3?8707;f;70?8?283?8708?3;3:<>;2m10:555266195<d<5?326<77;<46<?7>0278nk4>999~wd0=838<wS:j0:\7ac=Yi?16?ik52c789<5d2=l014:8:5d89<362=l014;=:5d89<342=l014;;:4089<322<8014;9:4089<302<8014;7:4089<5c2<8014=j:4089<5a2<8014:?:4089<262<8014:=:4089<242<8014:;:4089<222<8014:9:4089<2?2<8014:6:4089<2f2<8014:m:4089<2d2<8014:k:4089<2b2<8014:i:4089<372<801;>=:0;:?83b?3;2m6397382=d=:>021=4o4=774>4?f349ih7?68:\7fpe0<72;=pR9ji;_6fa>Xf=278hi4=b49>=6e=<l1659954d9>=07=<l1658<54d9>=05=<l1658:5509>=03==8165885509>=01==8165865509>=6b==8165>k5509>=6`==81659>5509>=17==81659<5509>=15==81659:5509>=13==8165985509>=1>==8165975509>=1g==81659l5509>=1e==81659j5509>=1c==81659h5509>=06==816:=<518:890c0283370882;3:f>;1110:555264595<><5:hi6<77;|qb0?6=:>qU8ik4^5gg?[g3349oo7<m5:?:7f<3l2728:4;d:?:14<3l2729?4;d:?:16<3l272994:0:?:10<282729;4:0:?:12<28272954:0:?:7a<28272?h4:0:?:7c<282728=4:0:?:04<282728?4:0:?:06<28272894:0:?:00<282728;4:0:?:0=<28272844:0:?:0d<282728o4:0:?:0f<282728i4:0:?:0`<282728k4:0:?:15<2827=<<4>989>1`0=90k01;9>:0;b?80>?3;2m6395782=d=:;k31=464}rc0>5<5?rT?hi5Q4da8Zd5<5:ni6?l:;<;0g?2d343?;7:l;<;65?2d343>>7:l;<;67?2d343>87:i;<;61?2a343>:7:i;<;63?2a343>47:i;<;0`?2a3438i7:i;<;0b?2a343?<7:i;<;75?2a343?>7:i;<;77?2a343?87:i;<;71?2a343?:7:i;<;7<?2a343?57:i;<;7e?2a343?n7:i;<;7g?2a343?h7:i;<;7a?2a343?j7:i;<;64?2a34<;=7?68:?6a3<61116::?518`893?02833708:6;3:<>;4j>0:555rs`094?40sW>oo6P;ec9]e7=:;m<1>o;4=81`>1d<50><69l4=872>1d<50?969l4=870>1d<50??69k4=876>1c<50?=69k4=874>1c<50?369k4=81g>1c<509n69k4=81e>1c<50>;69k4=862>1c<50>969k4=860>1c<50>?69k4=866>1c<50>=69k4=86;>1c<50>269k4=86b>1c<50>i69k4=86`>1c<50>o69k4=86f>1c<50>m69k4=873>1c<5?:;6<76;<7f1?7>i27=;=4>9`9>2<0=90k01;;::0;b?85e=3;246s|9b83>71|V=n=7S:j5:\:g>;4l<09n85292a901=:1==18952943901=:1<818952941901=:1<>18i5294790a=:1<<18i5294590a=:1<218i5292f90a=:1:o18i5292d90a=:1=:18i5295390a=:1=818i5295190a=:1=>18i5295790a=:1=<18i5295:90a=:1=318i5295c90a=:1=h18i5295a90a=:1=n18i5295g90a=:1=l18i5294290a=:>9:1=464=4g6>4??34<<<7?6b:?5=3<61116:8;518:896gc28337p};9183>0}Y<0:01>7::3`6?83bj3;2n6397782===:;1<1=464}r6;a?6==rT?4h5238696g3<5<oi6<76;<442?7>1278:h4>999~w1>c290>wS:7d:?0=6<5j<169ho518`89312283370=9c;3:<>{t<1i1<7;t^5:`?85>:38i963:e`82=<=:>>?1=474=24b>4??3ty?544?:4y]0<?<5:3o6?l:;<7f=?7>j27=;94>999>7=6=9020q~:68;291~X31116?4m52c7890c>283270884;3:=>;4?l0:555rs5;4>5<2sW>2;63<9c81f0=:=l21=4l4=750>4??349<o7?68:\7fp0<0=83?pR979;<1:e?4e=27>i54>989>225=90301>9n:0;;?xu31<0;68uQ487896?>2;h>70;j7;3:f>;1?;0:555236:95<><uz>287>55z\7=1=:;021>o;4=4g4>4?>34<<>7?69:?033<6111v\7f97<:186\7f[2>;2785:4=b49>1`0=90h01;9>:0;;?850<3;246s|48094?3|V=3970=66;0a1>;2m?0:545266395<?<5:=96<77;|q7=4<72<qU84?4=2;2>7d234?n97?6b:?535<61116?:>518:8yv2?j3:19vP;8c9>7<6=:k?018k::0;:?80083;2563<6982===z{081<7?7{_6:b>X3il1U5?5234296g3<51<86:74=81`>0><50><6864=872>0><50?96864=870>0><5?9h6<7m;<415?7>j27=n:4>9c9>2<e=90h01;;m:0;a?80c:3;25639d082=<=:>m:1=474=7ae>4?>34<hi7?6a:?5ga<61k16:nm518c893ee283270=;0;3:<>{t190;6<6t^5;g?[2fk2T2<63<4g81f0=:0?81;45292a912=:1==19:52943912=:1<819:52941912=:>:i1=474=702>4?>34<i;7?69:?5=f<61016:8l518;893b52833708k1;3:<>;1l90:5l526bd95<><5?in6<77;<4``?7>127=on4>999>2fd=90201><7:0;;?xu?n3:1=5uQ48a8Z1ge3W2m70=;e;0a1>;?>80<56363b862>;><>0>:63650862>;>=;0>:63652862>;1;k0:5o5263295<d<5?h=6<7m;<4:f?7>127=9l4>9c9>2a4=90k01;j>:0;b?80c83;24639cg82=d=:>jo1=474=7ag>4??34<ho7?69:?5gg<61h16??8518:8yv>b2909;vP;9c9]0dg<V1o01>:k:3`6?8?4k3?>707;7;76?8?293?>707:2;76?8?2;3?>707:4;7;?8?2=3?3707:6;7;?8?2?3?3707:8;7;?8?4l3?3707<e;7;?8?4n3?3707;0;7;?8?393?3707;2;7;?8?3;3?3707;4;7;?8?3=3?3707;6;7;?8?303?3707;9;7;?8?3i3?3707;b;7;?8?3k3?3707;d;7;?8?3m3?3707;f;7;?8?283?3708<b;3:=>;1:90:54526c495<?<5?3i6<7n;<46e?7>1278>94>999~w<d=838<wS:n8:\7f2=Y1k16?8652c789<5d2?9014:8:7189<362?9014;=:7189<342?9014;;:4589<322<=014;9:4589<302<=014;7:4589<5c2<=014=j:4589<5a2<=014:?:4589<262<=014:=:4589<242<=014:;:4589<222<=014:9:4589<2?2<=014:6:4589<2f2<=014:m:4589<2d2<=014:k:4589<2b2<=014:i:4589<372<=01;=n:0;a?806n3;2n639b482=g=:>0k1=4l4=77:>4?e3498m7?68:\7fp=d<72;=pR9o8;_6a2>X>i2789:4=b49>=6e=>;165995639>=07=>;1658<5639>=05=>;1658:5579>=03==?165885579>=01==?165865579>=6b==?165>k5579>=6`==?1659>5579>=17==?1659<5579>=15==?1659:5579>=13==?165985579>=1>==?165975579>=1g==?1659l5579>=1e==?1659j5579>=1c==?1659h5579>=06==?16:>o518;8937a2832708m5;3:=>;11h0:545264;95<?<5:936<77;|q:=?6=:>qU8l84^5`6?[?>349>:7<m5:?:7f<192728:491:?:14<192729?491:?:16<19272994:5:?:10<2=2729;4:5:?:12<2=272954:5:?:7a<2=272?h4:5:?:7c<2=2728=4:5:?:04<2=2728?4:5:?:06<2=272894:5:?:00<2=2728;4:5:?:0=<2=272844:5:?:0d<2=2728o4:5:?:0f<2=2728i4:5:?:0`<2=2728k4:5:?:15<2=27=?44>9c9>24c=90h01;l;:0;b?80>13;2n6395982=g=:;:<1=464}r;;>5<5?rT?m85Q4c68Z<><5:?>6?l:;<;0g?07343?;78?;<;65?07343>>78?;<;67?07343>878<;<;61?04343>:78<;<;63?04343>478<;<;0`?043438i78<;<;0b?04343?<78<;<;75?04343?>78<;<;77?04343?878<;<;71?04343?:78<;<;7<?04343?578<;<;7e?04343?n78<;<;7g?04343?h78<;<;7a?04343?j78<;<;64?0434<857?69:?55`<61016:o:518`893?>2832708:8;3:=>;4;=0:555rs8594?40sW>j86P;b29]=2=:;<>1>o;4=81`>0`<50><68h4=872>0`<50?968h4=870>0`<50??6;<4=876>34<50?=6;<4=874>34<50?36;<4=81g>34<509n6;<4=81e>34<50>;6;<4=862>34<50>96;<4=860>34<50>?6;<4=866>34<50>=6;<4=86;>34<50>26;<4=86b>34<50>i6;<4=86`>34<50>o6;<4=86f>34<50>m6;<4=873>34<5?936<7m;<42`?7>j27=n>4>9`9>2<>=90h01;;8:0;a?854:3;246s|9783>71|V=k87S:m2:\:2>;4=:09n85292a91`=:1==19h5294391`=:1<819h5294191`=:1<>1:<52947924=:1<<1:<52945924=:1<21:<5292f924=:1:o1:<5292d924=:1=:1:<52953924=:1=81:<52951924=:1=>1:<52957924=:1=<1:<5295:924=:1=31:<5295c924=:1=h1:<5295a924=:1=n1:<5295g924=:1=l1:<52942924=:>:21=474=73g>4?>34<i?7?6b:?5==<61016:89518;8965728337p}65;2962}Y<h80R9l>;_;6?852:38i96363b86`>;><>0>h6365086`>;>=;0>h6365286`>;>==0=<63654854>;>=?0=<63656854>;>=10=<6363e854>;>;l0=<6363g854>;><90=<63640854>;><;0=<63642854>;><=0=<63644854>;><?0=<63649854>;><00=<6364`854>;><k0=<6364b854>;><m0=<6364d854>;><o0=<63651854>;1;>0:5o5260a95<d<5?h96<7n;<4:3?7>j27=9;4>9c9>77c=9020q~7;:1813~X3i81U8o>4^86896362;h>707<c;7`?8?3?3?h707:1;7`?8?2:3?h707:3;7`?8?2<3?m707:5;7e?8?2>3?m707:7;7e?8?203?m707<d;7e?8?4m3?m707<f;7e?8?383?m707;1;7e?8?3:3?m707;3;7e?8?3<3?m707;5;7e?8?3>3?m707;8;7e?8?313?m707;a;7e?8?3j3?m707;c;7e?8?3l3?m707;e;7e?8?3n3?m707:0;7e?804?3;256391b82=<=:>k81=4l4=7;4>4?>34<>:7?69:?06f<6111v\7f4=50;04\7f[2f82T?mk5Q929>71e=:k?014=l:4`89<202<h014;>:4`89<352<h014;<:4`89<332<o014;::4g89<312<o014;8:4g89<3?2<o014=k:4g89<5b2<o014=i:4g89<272<o014:>:4g89<252<o014:<:4g89<232<o014:::4g89<212<o014:7:4g89<2>2<o014:n:4g89<2e2<o014:l:4g89<2c2<o014:j:4g89<2a2<o014;?:4g89351283i708>b;3:f>;1j80:5l5268495<d<5??>6<7m;<11e?7>02wx4i4?:35xZ1?f3W>j56P7d:?00g<5j<165>m5559>=11===1658?5559>=04===1658=5559>=02==m1658;55e9>=00==m1658955e9>=0>==m165>j55e9>=6c==m165>h55e9>=16==m1659?55e9>=14==m1659=55e9>=12==m1659;55e9>=10==m1659655e9>=1?==m1659o55e9>=1d==m1659m55e9>=1b==m1659k55e9>=1`==m1658>55e9>260=90301;?m:0;:?80e93;2n6399782=<=:><?1=474=201>4??3ty?ni4?:4y]0gb<5=;m6?l:;<415?7>i27=n:4>9`9>046=9020q~:mb;291~X3jk168<k52c7893462833708m7;3:<>;4n10:555rs5`b>5<2sW>im63;1e81f0=:>;:1=4o4=7`5>4?f349m:7?68:\7fp0g?=83?pR9l6;<62g?4e=27=>=4>999>2g0=90201>h;:0;;?xu3k?0;68uQ4b4891402;h>708>f;3:e>;1j<0:5l5241c95<><uz>h97>55z\7g0=:<;<1>o;4=73e>4??34<i97?68:?74=<6111v\7f9m;:186\7f[2d<27?>84=b49>24c=90k01;l;:0;;?827>3;246s|4b194?3|V=i870:=4;0a1>;19l0:55526c695<?<5=:?6<77;|q7g7<72<qU8n<4=500>7d234<:h7?6a:?5f6<611168=<518:8yv2d93:19vP;c09>074=:k?01;?k:0;;?80e;3;2563;0182===z{=i;6=4:{_6`4>;3:809n85260a95<g<5?h96<77;<1ea?7>02wx8oh50;7xZ1da34>9<7<m5:?55f<61116:o<518;896`d28337p};bd83>0}Y<ko019?m:3`6?806j3;2m639b082===:;ok1=464}r6a<?6==rT?n55240c96g3<5?;i6<77;<4a5?7>1278j?4>999~w1ed290?wS:lc:?721<5j<16:>m518c8913228337p};c`83>1}Y<jk0198<:3`6?804k3;2463;3e82===z{=i26=4;{_6`=>;3>;09n85262`95<g<5=9i6<77;|q7g=<72=qU8n64=542>7d234<8n7?68:?77<<6111v\7f9j::187\7f[2c=27?:n4=b49>26g=90k019:i:0;;?xu3l=0;69uQ4e68910e2;h>708<a;3:<>;3<m0:555rs5f0>5<3sW>o?63;6`81f0=:>:31=4o4=56a>4??3ty?h?4?:5y]0a4<5=<26?l:;<40=?7>027?844>999~w1b6290?wS:k1:?72=<5j<16:>6518c8912028337p};d183>1}Y<m:01988:3`6?80403;2463;4482===z{=im6=4;{_6`b>;3>?09n85262595<g<5=>86<77;|q7g`<72=qU8nk4=546>7d234<8;7?68:?704<6111v\7f9mk:187\7f[2dl27?:=4=b49>260=90k019=i:0;;?xu3k>0;69uQ4b58913a2;h>708<6;3:<>;3;>0:555rs0:2>5<5sW;3=639f58114=z{8=m6=4={_34b>;1n:099<5rs05g>5<5sW;<h639f08114=z{8=h6=4={_34g>;1mo099<5rs05a>5<5sW;<n639ed8114=z{8=j6=4={_34e>;1mm099<5rs05:>5<5sW;<5639eb8114=z{8=36=4={_34<>;1mk099<5rs054>5<5sW;<;639e`8114=z{8==6=4={_342>;1m0099<5rs056>5<5sW;<9639e98114=z{8=?6=4={_340>;1m>099<5rs051>5<5sW;<>638038114=z{8=:6=4={_345>;088099<5rs053>5<5sW;<<638018114=z{8<m6=4={_35b>;1no099<5rs04f>5<5sW;=i639fd8114=z{8<o6=4={_35`>;1nm099<5rs04`>5<5sW;=o639fc8114=z{8<i6=4={_35f>;1n9099<5rs04b>5<5sW;=m639e48114=z{8<26=4={_35=>;1m=099<5rs0:;>5<5sW;34639fb8114=z{82<6=4={_3;3>;1nh099<5rs0:5>5<5sW;3:639f88114=z{82>6=4={_3;1>;1n1099<5rs0:7>5<5sW;38639f68114=z{8286=4={_3;7>;1n?099<5rs0:1>5<5sW;3>639f48114=z{8=n6=4={_34a>;1n;099<5rs050>5<5sW;<?639e78114=z{8<36=4={_35<>;1m:099<5rs02;>5<>sW;;4636488255=:1=k1=<>4=86a>477343?o7?>0:?:0a<6991659k510289<2a28;;707:0;324>{t99<1<77t^025?8?313ii707;a;aa?8?3j3ii707;c;aa?8?3l3ii707;e;aa?8?3n3ii707:0;aa?xu68=0;64uQ11689<2>2jk014:n:bc89<2e2jk014:l:bc89<2c2jk014:j:bc89<2a2jk014;?:bc8yv77;3:15vP>029>=1?=k01659o5c89>=1d=k01659m5c89>=1b=k01659k5c89>=1`=k01658>5c89~w4652902wS??2:?:0<<d02728l4l8:?:0g<d02728n4l8:?:0a<d02728h4l8:?:0c<d02729=4l8:\7fp557=833pR<>>;<;7=?e0343?m7m8;<;7f?e0343?o7m8;<;7`?e0343?i7m8;<;7b?e0343><7m8;|q245<720qU==>4=86:>f5<50>j6n=4=86a>f5<50>h6n=4=86g>f5<50>n6n=4=86e>f5<50?;6n=4}rde>5<>sWlm707;9;`;?8?3i3h3707;b;`;?8?3k3h3707;d;`;?8?3m3h3707;f;`;?8?283h37p}ie;29=~Xam272844nd:?:0d<fl2728o4nd:?:0f<fl2728i4nd:?:0`<fl2728k4nd:?:15<fl2wxji4?:8y]ba=:1=:1=<>4=862>477343?>7?>0:?:06<6991659:510289<2228;;707;6;324>;><10:==5rsga94??|Voi014:?:b`89<262jh014:=:b`89<242jh014:;:b`89<222jh014:9:b`89<2?2jh0q~hm:18:\7f[`e343?<7mn;<;75?ef343?>7mn;<;77?ef343?87mn;<;71?ef343?:7mn;<;7<?ef3tym57>59z\e=>;><90h5636408`=>;><;0h5636428`=>;><=0h5636448`=>;><?0h5636498`=>{tn10;64uQf99>=16=k11659?5c99>=14=k11659=5c99>=12=k11659;5c99>=10=k1165965c99~wc1=833pRk94=863>f1<50>:6n94=861>f1<50>86n94=867>f1<50>>6n94=865>f1<50>36n94}rd5>5<>sWl=707;0;a0?8?393i8707;2;a0?8?3;3i8707;4;a0?8?3=3i8707;6;a0?8?303i87p}i5;29=~Xa=2728=4m8:?:04<e02728?4m8:?:06<e0272894m8:?:00<e02728;4m8:?:0=<e02wxj94?:8y]b1=:1=:1mi529539ea=:1=81mi529519ea=:1=>1mi529579ea=:1=<1mi5295:9ea=z{o91<77t^g189<3328;;707:5;324>;>=?0:==529459546<50?36<??;<;0`?768272?h4>119>=6`=98:0q~h=:18:\7f[`5343>87mm;<;61?ee343>:7mm;<;63?ee343>47mm;<;0`?ee3438i7mm;<;0b?ee3tym=7>59z\e5>;>==0hm636548`e>;>=?0hm636568`e>;>=10hm6363e8`e>;>;l0hm6363g8`e>{tn90;64uQf19>=02=k01658;5c89>=00=k0165895c89>=0>=k0165>j5c89>=6c=k0165>h5c89~w46a2902wS??f:?:11<d0272984l8:?:13<d02729:4l8:?:1=<d0272?i4l8:?:7`<d0272?k4l8:\7fp55c=833pR<>j;<;60?e0343>97m8;<;62?e0343>;7m8;<;6<?e03438h7m8;<;0a?e03438j7m8;|q24a<720qU==j4=877>f5<50?>6n=4=875>f5<50?<6n=4=87;>f5<509o6n=4=81f>f5<509m6n=4}r33g?6=1rT:<n529469f==:1<?1n5529449f==:1<=1n55294:9f==:1:n1n55292g9f==:1:l1n55rs02a>5<>sW;;n636558b`>;>=<0jh636578b`>;>=>0jh636598b`>;>;m0jh6363d8b`>;>;o0jh6s|11c94?4|V8:j707:3;cg?xu6800;6?uQ11;89<352hn0q~??5;296~X68<1658?5ae9~wcg=838pRko4=864>db<uzom6=4={_ge?8?4k3ko7p}<4`83>4>|5::<6?;;;<602?1534>><79=;<1e5?1534>;n79=;<153?153493=79=;<1bg?15349h:79=;<115?153498n79=;<473?1534<=>79=;<4;<?1534<j?79=;<7g3?1534?m>79=;<43`?1534<9479=;<;0g?7?j2728:4>8c9>=07=91h014;=:0:a?8?2;3;3n6s|6ca94?4|5?ho6:?4=7``>7d23ty=ni4?:5`x93dc2;h>708mc;5:?85>838>463<90842>;41?0<:63<96842>;41h0<:63<99842>;4100<:63<9c842>;41j0<:63<9e842>;41;0<:63<94842>;41:0<:63<95842>;4<k0<:63<4b842>;4=80<:63<53842>;4=:0<:63<55842>;4=<0<:63<57842>;4=>0<:63<59842>;4<m0<:63<4d842>;4<o0<:63<51842>;1<90995525b3933=:=j81;;525b5933=:=j21;;525b;933=:=jk1;;525b`933=:=ji1;;525bf933=:=jo1;;525b1933=:=j>1;;525b7933=:=j<1;;5292a96a><50><6?j7;<;65?4c02729?4=d99>=05=:m2014;;:3f;?8?2=38o46365781`==:1<=1>i64=87;>7b?3438h7<k8:?:7`<5l1165>h52e:89<272;n3707;1;0g<>;><;09h55295196a><50>?6?j7;<;71?4c02728;4=d99>=1>=:m2014:6:3f;?8?3i38o46364c81`==:1=i1>i64=86g>7b?343?i7<k8:?:0c<5l11658>52e:89<3f283j7p}9bd83>7}:>kl1;<526cg96g3<uz<ij7>54by>2g`=:k?01;lj:6;8913a2><0198?:37;?821=3==70:96;55?82113==70:97;55?82103==70:9a;55?821j3==70:9c;55?82193==70:94;55?821:3==70:93;55?826i38>463;1c842>;3:90<:63;20842>;3:=0<:63;23842>;3::0<:63;24842>;3:?0<:63;26842>;39j0<:63;1g842>;39m0<:63;1d842>;4l<0<:63<d7842>;4lk0<:63<db842>;4lm0<:63<dd842>;4lo0<:63<e1842>;4m80<:63<e3842>;4l>0<:63<d9842>;4l00<:63<d`842>;1080995526cc960><509h6?j8;<;73?4c?2729<4=d69>=04=:m=014;<:3f4?8?2<38o;6365481`2=:1<<1>i94=874>7b0343>47<k7:?:7a<5l>165>k52e589<5a2;n<707;0;0g3>;><809h:5295096a1<50>86?j8;<;70?4c?272884=d69>=10=:m=014:7:3f4?8?3138o;6364`81`2=:1=h1>i94=86`>7b0343?h7<k7:?:0`<5l>1659h52e589<372;n<7088a;3:e>{t<:=1<7=t=515>7b734>><7?ja:?772<5=81v\7f9=9:180\7f824>38>=63;3d846>;3=?0<>6s|43:94?4|5=?;6?;>;<66b?1>3ty??k4?:2y>06c=:m:019;9:0gb?824n38>=6s|42g94?5|5=9n6?;>;<674?1534>>;79=;|q76<<72;q68885243891072>30q~:;1;297~;3<909h=5244595`g<5=>:6?;>;|q705<72:q689>5243891252>8019;7:608yv25m3:1>v3;568114=:<??1;45rs560>5<4s4>?>7<k0:?71=<6mh1689=52438yv23:3:1?v3;438114=:<=>1;?5244;937=z{=8m6=4={<66<?42927?:;489:\7fp013=839p19:;:3f3?82213;nm63;448114=z{=>?6=4<{<670?42927?8;482:?71d<0:2wx8>>50;0x913>2;?:70:97;5:?xu3<>0;6>u245496a6<5=?j6<kn;<673?4292wx89850;1x91212;?:70:;8;51?822j3=97p};3083>7}:<<k1>8?4=54;>2?<uz>?57>53z?70=<5l91688l51dc8912>2;?:7p};4983>6}:<=21>8?4=56b>24<5=?h6:<4}r606?6=:r7?9o4=509>03?=?01v\7f9:m:180\7f823i38o<63;5b82ad=:<=h1>8?4}r67e?6=;r7?8l4=509>01e=?;1688j5739~w1542909w0::c;065>;3>h0<56s|45f94?5|5=>h6?j?;<66`?7bi27?8i4=509~w12d2908w0:;c;065>;3<l0<>63;5d846>{t<:>1<7<t=57g>73634>=n796;|q70c<72:q689k52e28913b28oj70:;f;065>{t<=o1<7=t=56f>73634>8479=;<665?153ty??84?:3y>00c=:<;0198l:6;8yv2413:1?v3;3981`5=:<<;1=ho4=51:>7363ty??54?:2y>06>=:<;019=n:60891352>80q~:=a;296~;3=8099<5247393<=z{=9i6=4<{<60e?4c827?9?4>e`9>06d=:<;0q~:<a;297~;3;h099<5242a937=:<<91;?5rs50a>5<5s4>>>7<:1:?727<012wx8>j50;1x915d2;n;70::3;3fe>;3;m099<5rs51`>5<5s4>8o7<:1:?711<0:2wx8?m50;0x91342;?:70:93;5:?xu3=<0;6?u244695`g<5=?>6?;>;|q76a<72;q688:5243891032>30q~=i2;297~;4n809h=5241`95`g<5:l96?;>;|q0b4<72:q6?k?5243896`>2>8019?>:608yv5b;3:1>v3;0c8114=:<8k1;45rs2db>5<4s49m57<k0:?754<6mh16?ko52438yv5a13:1?v3<f88114=:;oh1;?52400937=z{:o?6=4={<625?42927?=o489:\7fp7ce=839p1>hm:3f3?826:3;nm63<fb8114=z{:li6=4<{<1ef?429278ji482:?756<0:2wx?h750;0x91752;?:70:=0;5:?xu4nl0;6>u23gf96a6<5=;86<kn;<1ea?4292wx?kj50;1x96`c2;?:70=if;51?826<3=97p}<e`83>7}:<891>8?4=502>2?<uz>;<7>53z?0bc<5l9168<:51dc891672;?:7p}<fg83>6}:;ol1>8?4=522>24<5=;>6:<4}r1ff?6=:r7?=94=509>074=?01v\7f9>=:180\7f827938o<63;1482ad=:<981>8?4}r635?6=;r7?<<4=509>055=?;168<85739~w6cd2909w0:>5;065>;3::0<56s|41694?5|5=:86?j?;<622?7bi27?<94=509~w1642908w0:?3;065>;38<0<>63;16846>{t;ln1<7<t=535>73634>98796;|q743<72:q68=;52e28917028oj70:?6;065>{t<9?1<7=t=526>73634>;;79=;<62<?153ty8ih4?:3y>041=:<;019<::6;8yv2703:1?v3;0681`5=:<821=ho4=52;>7363ty?<:4?:2y>051=:<;019>6:608917>2>80q~=jf;296~;391099<5243493<=z{=:j6=4<{<63=?4c827?=44>e`9>05g=:<;0q~:?9;297~;380099<523g1937=:<9i1;?5rs2d3>5<5s4>:57<:1:?762<012wx?k:50;1x96`42;n;70:?c;3fe>;4n=099<5rs2d0>5<4s49m?7<:1:?0b0<0:27?<i482:\7fp7`3=838p19>l:372?826k3=27p}<f783>6}:;o?1>i>4=52g>4cf349m:7<:1:\7fp7c3=839p1>h::372?85a?3=970:?e;51?xu4m?0;6?u241f9607<5=;o6:74}r1e<?6=;r78j:4=d19>05c=9lk01>h7:372?xu4n>0;6?u23g59607<5=:m6:<4}r1f3?6=:r7?<h4=509>04c=?01v\7f9??:181\7f827n3;nm63;118114=z{:o36=4={<63b?42927?=k489:\7fp73>=839p1>88:3f3?85?93;nm63<698114=z{:<<6=4<{<153?429278:k482:?0<2<0:2wx?8750;0x96>62;?:70=60;5:?xu4?90;6>u237d96a6<5:2<6<kn;<144?4292wx?;h50;1x960a2;?:70=81;51?85?03=97p}<5`83>7}:;1=1>8?4=2;2>2?<uz9<>7>53z?034<5l916?5651dc896152;?:7p}<7083>6}:;>;1>8?4=250>24<5:226:<4}r16b?6=:r78454=509>7<0=?01v\7f>9;:180\7f850;38o<63<8882ad=:;>>1>8?4}r147?6=;r78;>4=509>723=?;16?5o5739~w6072909w0=79;065>;41>0<56s|36494?5|5:=>6?j?;<1;e?7bi278;;4=509~w6122908w0=85;065>;4?>0<>63<8c846>{t;?;1<7<t=2:b>73634924796;|q03=<72:q6?:952e2896>e28oj70=88;065>{t;>=1<7=t=254>736349<579=;<1;g?153ty8:?4?:3y>7=d=:<;01>76:6;8yv50i3:1?v3<7881`5=:;1i1=ho4=25b>7363ty8;44?:2y>72?=:<;01>9m:60896>c2>80q~=93;296~;40j099<5238c93<=z{:=h6=4<{<14f?4c82784i4>e`9>72e=:<;0q~=8b;297~;4?k099<5236f937=:;1o1;?5rs247>5<5s493h7<:1:?0=g<012wx?:k50;1x961c2;n;70=7e;3fe>;4?l099<5rs25g>5<4s49<h7<:1:?03c<0:2784k482:\7fp733=838p1>6j:372?85>k3=27p}<8183>6}:;>l1>i>4=2:e>4cf3493<7<:1:\7fp72`=839p1>9i:372?85113=970=72;51?xu4>?0;6?u239d9607<5:3o6:74}r15e?6=;r78:44=d19>7=4=9lk01>8n:372?xu4>00;6>u237;9607<5:<i6:<4=2:0>24<uz9>n7>52z?0<7<5=816?4<5789~w60d2908w0=9b;0g4>;40:0:il5237a9607<uz9=n7>53z?02g<5=816?;j5739>7=2=?;1v\7f>;l:181\7f85?;38>=63<9284=>{t;?o1<7=t=24g>7b7349387?ja:?02`<5=81v\7f>8k:181\7f851l38>=63<84846>{t;<n1<7<t=2:7>73634928796;|q0<3<72;q6?5;51dc896>12;?:7p}<5d83>7}:;1?1>8?4=2;6>2?<uz9jh7>53z?0ef<5l916?n851dc896gc2;?:7p}<ab83>6}:;hi1>8?4=2`7>24<5:ih6:<4}r1:a?6=:r78o;4=509>7a3=?01v\7f>l::180\7f85e<38o<63<cb82ad=:;k?1>8?4}r1a0?6=;r78n94=509>7g0=?;16?nj5739~w6?a2909w0=lc;065>;4l?0<56s|3c594?5|5:h=6?j?;<1``?7bi278n:4=509~w6d12908w0=m6;065>;4j10<>63<cd846>{t;h>1<7<t=2ag>736349on796;|q0f<<72:q6?o652e2896eb28oj70=m9;065>{t;k21<7=t=2`;>736349im79=;<1`b?153ty8m84?:3y>7fc=:<;01>jl:6;8yv5ej3:1?v3<b`81`5=:;jl1=ho4=2`a>7363ty8nl4?:2y>7gg=:<;01>ll:60896b72>80q~=n6;296~;4ko099<523ef93<=z{:ho6=4<{<1ag?4c8278h=4>e`9>7gb=:<;0q~=mc;297~;4jj099<523cg937=:;m;1;?5rs2c4>5<5s49o<7<:1:?0``<012wx?oh50;1x96db2;n;70=k1;3fe>;4jo099<5rs2`f>5<4s49ii7<:1:?0g5<0:278h?482:\7fp7d>=838p1>j>:372?85cn3=27p}<c083>6}:;j:1>i>4=2f1>4cf349h=7<:1:\7fp7f6=839p1>m?:372?85d:3=970=k3;51?xu4i00;6?u23e09607<5:o;6:74}r1`7?6=;r78o?4=d19>7a5=9lk01>m<:372?xu4k;0;6>u23b09607<5:i?6:<4=2f7>24<uz9jm7>52z?0`6<5=816?h?5789~w6e22908w0=l4;0g4>;4l=0:il523b79607<uz9h87>53z?0g1<5=816?lk5739>7f1=?;1v\7f>om:181\7f85c<38>=63<e384=>{t;hl1<7=t=2cf>7b7349h;7?ja:?0ec<5=81v\7f>oj:180\7f85fm38>=63<b1846>;4k10<>6s|3`294?4|5:i<6?;>;<1g3?1>3ty8n<4?:2y>7g6=:m:01>m7:0gb?85e938>=6s|3c294?5|5:h;6?;>;<1a6?15349h579=;|q0e4<72;q6?n65243896b?2>30q~=m3;297~;4j;09h=523b;95`g<5:h86?;>;|q0f7<72;q6?o<5243896ef2>80q~=n2;296~;4k0099<523e;93<=z{:ii6=4={<1`e?7bi278oo4=509~w6g42909w0=la;065>;4lh0<56s|33094?5|5:8:6?j?;<10f?7bi278>?4=509~w6462908w0==1;065>;4:00<>63<40846>{t;891<7<t=21a>736349?n796;|q06d<72:q6??752e28962628oj70==a;065>{t;;31<7=t=20:>7363499n79=;<176?153ty8=94?:3y>717=:<;01>:l:6;8yv55k3:1?v3<2c81`5=:;=81=ho4=20`>7363ty8>o4?:2y>77d=:<;01><k:60896242>80q~=>9;296~;4<;099<5234393<=z{:8n6=4<{<11`?4c82788>4>e`9>77c=:<;0q~==d;297~;4:m099<5233d937=:;=>1;?5rs23b>5<5s49??7<:1:?017<012wx?>>50;1x964a2;n;70=;4;3fe>;4;9099<5rs20e>5<4s499j7<:1:?074<0:27888482:\7fp74d=838p1>:;:372?852;3=27p}<3383>6}:;:;1>i>4=266>4cf3498>7<:1:\7fp767=839p1>=>:372?854;3=970=;6;51?xu49j0;6?u23579607<5:??6:74}r100?6=;r78?>4=d19>710=9lk01>=;:372?xu4;:0;6>u23219607<5:9>6:<4=264>24<uz9:h7>52z?003<5=816?8;5789~w6512908w0=<5;0g4>;4<>0:il523249607<uz9897>53z?070<5=816?>95739>71>=?;1v\7f>?j:181\7f853?38>=63<5784=>{t;:21<7=t=214>7b7349?47?ja:?07=<5=81v\7f>=8:180\7f854?38>=63<38846>;4<00<>6s|30d94?4|5:>36?;>;<163?1>3ty8?l4?:2y>76?=:m:01>:6:0gb?854i38>=6s|32;94?5|5:926?;>;<117?153498o79=;|q065<72;q6?9752438963?2>30q~==4;297~;4::09h=5232a95`g<5:8?6?;>;|q066<72:q6??=5243896422>801>=k:608yv56=3:1>v3<3b8114=:;=n1;45rs205>5<4s49997<k0:?07a<6mh16??852438yv55=3:1?v3<248114=:;;=1;?5232g937=z{:;=6=4={<10`?4292788h489:\7fp77>=839p1><8:3f3?854m3;nm63<298114=z{:8<6=4={<113?429278?k482:\7fp741=838p1>=j:372?853n3=27p}<4183>7}:;:l1=ho4=263>7363ty8=54?:3y>76`=:<;01>;?:6;8yv03m3:1>v394681`5=:><?1>8?4}r475?6=:r7=8:4=509>21>=?;1v\7f;:i:181\7f803038o<639578114=z{?>96=4={<47<?42927=84482:\7fp206=838p1;:6:3f3?802?38>=6s|65194?4|5?>26?;>;<47e?153ty=9<4?:3y>21g=:m:01;;7:372?xu1<=0;6?u265c9607<5?>i6:<4}r466?6=:r7=8o4=d19>20?=:<;0q~8;5;296~;1<k099<5265a937=z{??86=4={<47g?4c827=9l4=509~w3212909w08;c;065>;1<m0<>6s|64694?4|5?>o6?j?;<46f?4292wx::950;0x932c2;?:708<e;3:<>{t>?31<7<t=741>7b734<<<7<:1:\7fp20e=838p1;8=:372?801;3=97p}96`83>7}:>?91>i>4=752>7363ty=9i4?:3y>235=:<;01;8;:608yv01j3:1>v396581`5=:>>81>8?4}r46a?6=:r7=:94=509>233=?;1v\7f;8l:181\7f801=38o<639728114=z{??m6=4={<451?42927=:;482:\7fp23b=838p1;89:3f3?800<38>=6s|67294?4|5?<=6?;>;<453?153ty=:h4?:3y>231=:m:01;9::372?xu1>80;6?u26759607<5?<36:<4}r45b?6=:r7=:54=d19>220=:<;0q~888;296~;1>1099<5262d95<><uz<8h7>52z?505<0127=?h4=509~w3>a2909w0878;0g4>;11?099<5rs7:1>5<5s4<347<:1:?5<<<0:2wx:4>50;0x93>>2;n;70867;065>{t>191<7<t=7::>73634<3m79=;|q5=4<72;q6:5o52e2893??2;?:7p}98583>7}:>1k1>8?4=7:a>24<uz<2>7>52z?5<g<5l916:4752438yv0?=3:1>v398c8114=:>1i1;?5rs7;0>5<5s4<3o7<k0:?5=d<5=81v\7f;69:181\7f80?k38>=6398e846>{t>0>1<7<t=7:g>7b734<2n7<:1:\7fp2=1=838p1;6k:372?80?m3=97p}99483>7}:>1o1>i>4=7;`>7363ty=n54?:3y>2=c=:<;01;9k:0;b?xu1ih0;6?u26`196a6<5?h:6?;>;|q5=a<72;q6:l=5243893g32>80q~8nb;296~;1i=09h=526c09607<uz<2i7>52z?5e1<5=816:l;5739~w3gd2909w08n5;0g4>;1j:099<5rs7;e>5<5s4<j97<:1:?5e3<0:2wx:lj50;0x93g12;n;708m4;065>{t>h:1<7<t=7c5>73634<j;79=;|q5e`<72;q6:l952e2893d22;?:7p}9a083>7}:>h=1>8?4=7c;>24<uz<jj7>52z?5e=<5l916:o852438yv0f:3:1>v39a98114=:>h31;?5rs7`3>5<5s4<j57<k0:?5f2<5=81v\7f;l6:181\7f80f138>=6397b82===z{?=26=4={<4;5?1>34<<o7<:1:\7fp2gg=838p1;ln:3`6?800i3;246s|5eg94?4|5<n<6?j?;<7f1?4292wx9i?50;0x90b02;?:70;k8;51?xu2lo0;6?u25e:96a6<5<o=6?;>;|q6`7<72;q69i65243890b>2>80q~;j0;296~;2l009h=525d59607<uz?o?7>52z?6`<<5=8169io5739~w0c62909w0;ka;0g4>;2m1099<5rs4f7>5<5s4?om7<:1:?6`g<0:2wx9h<50;0x90be2;n;70;j9;065>{t=m?1<7<t=4fa>73634?oo79=;|q6a6<72;q69im52e2890cf2;?:7p}:d783>7}:=mi1>8?4=4fg>24<uz?n87>52z?6`a<5l9169hl52438yv3a13:1>v3:f381`5=:>9:1>8?4}r7fg?6=:r7>j?4=509>1c5=?;1v\7f8hn:181\7f83a;38o<639008114=z{<oo6=4={<7e7?42927>j9482:\7fp1cd=838p18h;:3f3?807:38>=6s|5dg94?4|5<l?6?;>;<7e1?153ty>jn4?:3y>1c3=:m:01;><:372?xu2mo0;6?u25g79607<5<l=6:<4}r7e`?6=:r7>j;4=d19>252=:<;0q~;i0;296~;2n?099<525g5937=z{<ln6=4={<7e3?4c827=<84=509~w0`62909w0;i7;065>;2n10<>6s|5gd94?4|5<l36?j?;<432?4292wx:<:50;0x936c2;n;708>b;065>{t>9=1<7<t=72g>73634<;i79=;|q550<72;q6:=k52e28937d2;?:7p}90983>7}:>9o1>8?4=72e>24<uz<::7>52z?54c<5l916:<j52438yv0713:1>v390g8114=:>8:1;?5rs734>5<5s4<:<7<k0:?55`<5=81v\7f;>n:181\7f806838>=63910846>{t>821<7<t=732>7b734<:j7<:1:\7fp25d=838p1;?>:372?806:3=97p}91883>7}:>881>i>4=703>7363ty=<n4?:3y>244=:<;01;?<:608yv06i3:1>v391281`5=:>;;1>8?4}r41b?6=:r7=>54=d19>260=:<;0q~8=2;296~;1:1099<5263;937=z{?9;6=4={<41=?4c827=?:4=509~w3442909w08=9;065>;1:h0<>6s|62394?4|5?8j6?j?;<40<?4292wx:?:50;0x934f2;?:708=b;51?xu1;;0;6?u263`96a6<5?926?;>;|q560<72;q6:?l52438934d2>80q~8<3;296~;1:j09h=5262c9607<uz<9:7>52z?56f<5=816:?j5739~w3532909w08=d;0g4>;1;k099<5rs704>5<5s4<9h7<:1:?56`<0:2wx:>;50;0x934b2;n;708<c;065>{t=k31<7=t=4:b>7b734?j97?ja:?6=2<5=81v\7f86n:180\7f83?i38>=63:8d846>;2ih0<>6s|56a94?4|5<k>6?;>;<7`5?1>3ty>nl4?:2y>1=c=:m:018on:0gb?83>k38>=6s|59g94?5|5<2n6?;>;<7;b?1534?jn79=;|q6<7<72;q69lo5243890e52>30q~;mb;297~;20o09h=525``95`g<5<3o6?;>;|q6<c<72:q695h5243890?72>8018ol:608yv3?;3:1>v3:ac8114=:=j=1;45rs4``>5<4s4?2<7<k0:?6ef<6mh1694k52438yv3>83:1?v3:918114=:=0;1;?525`f937=z{<2?6=4={<7bg?42927>o5489:\7fp1gb=839p187>:3f3?83fl3;nm63:9g8114=z{<3:6=4<{<7:5?42927>5?482:?6e`<0:2wx95;50;0x90gc2;?:70;l9;5:?xu2jl0;6>u258096a6<5<kn6<kn;<7b4?4292wx94<50;1x90?52;?:70;63;51?83fn3=97p}:8783>7}:=ho1>8?4=4ab>2?<uz?ij7>53z?6=6<5l9169lh51dc890g62;?:7p}:9283>6}:=091>8?4=4;7>24<5<h;6:<4}r7;3?6=:r7>mk4=509>1fd=?01v\7f8m?:180\7f83><38o<63:b182ad=:=h81>8?4}r7:0?6=;r7>594=509>1<3=?;169o?5739~w0>?2909w0;m0;065>;2kj0<56s|5c194?5|5<3>6?j?;<7a5?7bi27>m>4=509~w0?22908w0;65;065>;21?0<>63:b3846>{t=131<7<t=4`2>73634?hh796;|q6f1<72:q694852e2890d528oj70;n4;065>{t=0<1<7=t=4;5>73634?3n79=;<7b2?153ty>;i4?:3y>1g4=:<;018mj:6;8yv3e=3:1?v3:8c81`5=:=h<1=ho4=4;;>7363ty>4o4?:2y>1=d=:<;0186l:60890g02>80q~;8e;296~;2i?099<525b193<=z{<h=6=4<{<7;g?4c827>m:4>e`9>1<?=:<;0q~;7c;297~;20j099<5259f937=:=h21;?5rs45e>5<5s4?j;7<:1:?6g1<012wx9o950;1x90>c2;n;70;n8;3fe>;21h099<5rs4:g>5<5s4?3h7<:1:?6e<<0:2wx95>50;0x90g?2;?:70;l5;5:?xu2j10;6?u25`;95`g<5<3i6?;>;|q6<4<72;q69l75243890e12>30q~;8b;29b~;2k80<=63:c3845>;2k>0<=63:c9845>;2k00<=63:c`845>;2kk0<=63:cb845>;2km0<=63:cd845>;2k:0<=63:c5845>;2k<0<=63:c7845>;2?h099<5rs933>5<2s4=;?7?68:?446<61016;8j518:8923c2832707<c;30g>{t0<l1<7:>{<537?4c827<9i4=d19>373=:m:01:9i:3f3?814938o<6388c81`5=:?:>1>i>4=6:f>7b734=897<k0:?4<c<5l9164;<52c78921c2;n;709=3;0g4>;0?l09h=5273696a6<5>226?j?;<5:0?4c827<>k4=d19>36g=:m:01:79:3f3?814k38o<6389681`5=:?:n1>i>4=61f>7b734=247<k0:?4=<<5l916;>h52e2892?f2;n;709;0;0g4>;0<809h=5278`96a6<5>2;6?j?;<512?4c827<4<4=d19>371=:m:01:<7:3f3?81?:38o<6388281`5=:?;31>i>4=6:7>7b734=9m7<k0:?46g<5l916;5;52e2892>12;n;709=c;0g4>;00>09h=5273f96a6<5>8n6?j?;<5;<?4c827<4l4=d19>366=:m:01:6l:3f3?814:38o<6383281`5=:?1n1>i>4=6;3>7b734=8:7<k0:?4=4<5l916;>952e28925?2;n;70962;0g4>;01:09h=5272;96a6<5>3>6?j?;<50f?4c82wx;==50;0x92642;?:708j3;3:=>{t?<n1<7<t=67g>73634<n?7?68:\7fp<36=838:w08j3;0g4>;1m?09h=526g096a6<5?l>6?j?;<4e2?4c8273:>4=b49>2`2=:m:01;k::3f3?80a838o<639fc81`5=:>on1>i>4=7df>7b734<mj7<k0:?445<5l916;=?52e2892652;n;708j7;0g4>;1m109h=526d;96a6<5?oj6?j?;<4ff?4c827=in4=d19>2`b=:m:01;kj:3f3?80bn38o<639f081`5=:>o91>i>4=7d7>7b734<m;7<k0:?5b=<5l916:k752e2893`f2;n;708ic;0g4>{t0;k1<7;t=606>4??34=997?69:?43c<61116;:h518;89<20289h7p}80783>7}:?;?1>8?4=7g5>4?>3ty<:=4?:3y>32`=:<;01;k9:0;;?xu?=>0;68u272395<><5>9:6<76;<5;f?7>027<4o4>989>=07=9:i0q~9>2;296~;0;8099<526g095<?<uz==o7>52z?4<g<5=816:k<518:8yv1a83:19v383582===:?:>1=474=6:f>4??34=3i7?69:?:17<6;j1v\7f:?::181\7f814<38>=639f482=<=z{><m6=4={<5;a?42927=j84>999~w2`6290>w09<5;3:<>;0;<0:545279d95<><5>2m6<76;<;67?74k2wx;<850;0x92522;?:708i6;3:=>{t?>:1<7<t=6:e>73634<m:7?68:\7fp=0?=83;:w0693;52?8>1:3=:70691;52?8?4k3;3o6364682<f=:1<;1=5m4=871>4>d343>?7?7c:?5`7<61k16:i?518`893b7283i708lf;3:f>;1kl0:5o526bf95<g<5?ih6<7m;<4`f?7>j2729l4=509~w=3b290=hv376081f0=:?hl1=464=661>4??34=h?7?68:?4e3<61116;i<518:8922d2833709ma;3:<>;0lj0:55527bd95<><5>??6<77;<5`e?7>027<h=4>999>3`2=90201:;8:0;;?81c93;24638e682===:?0n1=464=6;`>4??34=>47?68:?4a=<61116;87518:8923f28337096e;3:<>;01o0:55527d;95<><5>k;6<77;<5b5?7>027<il4>999>30d=90201:o=:0;;?812k3;24638a282===:?lh1=464=6c7>4??34=j97?68:?4af<61116;9=518:892g02833709n8;3:<>;0i00:55527e195<><5>>?6<77;<5be?7>027<884>999>3a2=90201:om:0;;?81fk3;24638d482===:?ho1=464=665>4??34=jh7?68:?4`3<61116;99518:892b02833709m0;3:<>;0j80:555275:95<><5>n36<77;<57=?7>027<n?4>999>3g5=90201:j6:0;;?81e<3;24638b482===:?=k1=464=6fb>4??34=i:7?68:?4f=<61116;o9518:8922e2833709m9;3:<>;0lk0:55527ca95<><5>>o6<77;<5af?7>027<hi4>999>3gc=90201::j:0;;?81el3;24638dd82===:?=l1=464=6`e>4??34=h<7?68:?4`c<61116;n<518:892c72833709:0;3:<>;0k80:55527b695<><5>?:6<77;<566?7>027<o84>999>3`7=90201:m9:0;;?81d?3;24638c982===:?<91=464=6g1>4??34=h57?68:?4a6<61116;8;518:892ee2833709lc;3:<>;0m<0:55527bg95<><5>?=6<77;<5``?7>027<i;4>999~w3b4290?w07:4;3;f>;><90:4o5295;95=d<5?i86?;>;|q5`g<72=q658:519a89<27282h707;9;3;g>;1kk099<5rs82g>5<5s43>87?:a:?4a1<6101v\7f4>l:181\7f8?2<3;>5638db82=<=z{0:i6=4={<;60?72027<h?4>989~w<6f2909w07:4;363>;0m?0:545rs82:>5<5s43>87?:6:?4a0<6101v\7f4>7:181\7f8?2<3;>>638e282=<=z{0:<6=4={<;60?73?27<i?4>989~w<612909w07:4;30g>;0m80:545rs82f>5<5s43>87?93:?4a2<6101v\7f;j;:187\7f8?2=3;3n6364082<g=:1=k1=5l4=7a7>7363ty=hn4?:5y>=03=91i014:>:0:`?8?3i3;3o639cb8114=z{0;=6=4={<;61?72i27<i94>9`9~w<722909w07:5;36=>;0lj0:5l5rs837>5<5s43>97?:8:?4`7<61h1v\7f4?<:181\7f8?2=3;>;638e782=d=z{0;96=4={<;61?72>27<i84>9`9~w<762909w07:5;366>;0m:0:5l5rs833>5<5s43>97?;7:?4a7<61h1v\7f4>i:181\7f8?2=3;8o638e082=d=z{0;<6=4={<;61?71;27<i:4>9`9~w3b2290?w07:6;3;f>;><;0:4o5295`95=d<5?i>6?;>;|q5`a<72=q6588519a89<25282h707;b;3;g>;1km099<5rs83e>5<5s43>:7?:a:?4gc<6101v\7f4?j:181\7f8?2>3;>5638c282=<=z{0;o6=4={<;62?72027<mk4>989~w<7d2909w07:6;363>;0kl0:545rs83a>5<5s43>:7?:6:?4gf<6101v\7f4?n:181\7f8?2>3;>>638c882=<=z{0;26=4={<;62?73?27<o:4>989~w<7?2909w07:6;30g>;0k<0:545rs803>5<5s43>:7?93:?4`4<6101v\7f;j9:187\7f8?2?3;3n6364282<g=:1=i1=5l4=7a5>7363ty=hh4?:5y>=01=91i014:<:0:`?8?3k3;3o639cd8114=z{0836=4={<;63?72i27<ok4>9`9~w<402909w07:7;36=>;0k:0:5l5rs805>5<5s43>;7?:8:?4ec<61h1v\7f4<::181\7f8?2?3;>;638cd82=d=z{08?6=4={<;63?72>27<on4>9`9~w<442909w07:7;366>;0k00:5l5rs801>5<5s43>;7?;7:?4g2<61h1v\7f4<>:181\7f8?2?3;8o638c482=d=z{0826=4={<;63?71;27<h<4>9`9~w3b0290?w07:8;3;f>;><=0:4o5295f95=d<5?i<6?;>;|q5`c<72=q6586519a89<23282h707;d;3;g>;1ko099<5rs812>5<5s43>47?:a:?4gd<6101v\7f4=?:181\7f8?203;>5638b`82=<=z{08m6=4={<;6<?72027<m;4>989~w<4b2909w07:8;363>;0km0:545rs80g>5<5s43>47?:6:?4gg<6101v\7f4<l:181\7f8?203;>>638c982=<=z{08i6=4={<;6<?73?27<o;4>989~w<4f2909w07:8;30g>;0k=0:545rs811>5<5s43>47?93:?4`5<6101v\7f;j7:187\7f8?4l3;3n6364482<g=:1=o1=5l4=7a;>7363ty=i=4?:5y>=6b=91i014:::0:`?8?3m3;3o639d18114=z{09j6=4={<;0`?72i27<ol4>9`9~w<5>2909w07<d;36=>;0jh0:5l5rs81;>5<5s438h7?:8:?4e3<61h1v\7f4=8:181\7f8?4l3;>;638ce82=d=z{09=6=4={<;0`?72>27<oo4>9`9~w<522909w07<d;366>;0k10:5l5rs817>5<5s438h7?;7:?4g3<61h1v\7f4=<:181\7f8?4l3;8o638c582=d=z{09i6=4={<;0`?71;27<h=4>9`9~w3b>290?w07<e;3;f>;><?0:4o5295d95=d<5?i26?;>;|q5a4<72=q65>k519a89<21282h707;f;3;g>;1l8099<5rs94a>5<5s438i7?:a:?411<6101v\7f58n:181\7f8?4m3;>56384b82=<=z{1<26=4={<;0a?72027<8?4>989~w=0?2909w07<e;363>;0=?0:545rs944>5<5s438i7?:6:?410<6101v\7f589:181\7f8?4m3;>>6385282=<=z{1<>6=4={<;0a?73?27<9?4>989~w=032909w07<e;30g>;0=80:545rs94`>5<5s438i7?93:?412<6101v\7f;jn:187\7f8?4n3;3n6364982<g=:1<:1=5l4=7ab>7363ty=i?4?:5y>=6`=91i014:7:0:`?8?283;3o639d38114=z{1=?6=4={<;0b?72i27<994>9`9~w=142909w07<f;36=>;0<j0:5l5rs951>5<5s438j7?:8:?407<61h1v\7f59>:181\7f8?4n3;>;6385782=d=z{1=;6=4={<;0b?72>27<984>9`9~w=0a2909w07<f;366>;0=:0:5l5rs94f>5<5s438j7?;7:?417<61h1v\7f58k:181\7f8?4n3;8o6385082=d=z{1=>6=4={<;0b?71;27<9:4>9`9~w=1c2909w07;0;36e>;0l<0:545rs95`>5<5s43?<7?:9:?4`1<6101v\7f59m:181\7f8?383;>4638d282=<=z{1=j6=4={<;74?72?27<in4>989~w=1>2909w07;0;362>;0mk0:545rs95;>5<5s43?<7?:2:?4ad<6101v\7f598:181\7f8?383;?;638e882=<=z{1==6=4={<;74?74k27<i54>989~w=1b2909w07;0;357>;0l?0:545rs9:5>5<5s43?=7?:a:?4`0<61h1v\7f56::181\7f8?393;>5638d582=d=z{12?6=4={<;75?72027<h>4>9`9~w=>42909w07;1;363>;0mj0:5l5rs9:1>5<5s43?=7?:6:?4ag<61h1v\7f56>:181\7f8?393;>>638e`82=d=z{12;6=4={<;75?73?27<i44>9`9~w=1a2909w07;1;30g>;0m10:5l5rs9:4>5<5s43?=7?93:?4`3<61h1v\7f56i:181\7f8?3:3;>m638ab82=<=z{12n6=4={<;76?72127<ml4>989~w=>c2909w07;2;36<>;0i10:545rs9:`>5<5s43?>7?:7:?4e0<6101v\7f56m:181\7f8?3:3;>:638a282=<=z{12j6=4={<;76?72:27<m<4>989~w=>>2909w07;2;373>;01o0:545rs9:;>5<5s43?>7?<c:?4=a<6101v\7f57?:181\7f8?3:3;=?638ad82=<=z{1336=4={<;77?72i27<mn4>9`9~w=?02909w07;3;36=>;0ih0:5l5rs9;5>5<5s43??7?:8:?4e=<61h1v\7f57::181\7f8?3;3;>;638a482=d=z{13?6=4={<;77?72>27<m>4>9`9~w=?42909w07;3;366>;0i80:5l5rs9;1>5<5s43??7?;7:?4=c<61h1v\7f57>:181\7f8?3;3;8o6389e82=d=z{1326=4={<;77?71;27<mh4>9`9~w=g62909w07;4;36e>;0ik0:545rs9c3>5<5s43?87?:9:?4e<<6101v\7f57i:181\7f8?3<3;>4638a682=<=z{13n6=4={<;70?72?27<m94>989~w=?c2909w07;4;362>;0i;0:545rs9;`>5<5s43?87?:2:?4e5<6101v\7f57m:181\7f8?3<3;?;6389d82=<=z{13j6=4={<;70?74k27<5n4>989~w=g52909w07;4;357>;0im0:545rs9cb>5<5s43?97?:a:?4eg<61h1v\7f5o6:181\7f8?3=3;>5638a882=d=z{1k36=4={<;71?72027<m:4>9`9~w=g02909w07;5;363>;0i=0:5l5rs9c5>5<5s43?97?:6:?4e7<61h1v\7f5o::181\7f8?3=3;>>638a182=d=z{1k?6=4={<;71?73?27<5h4>9`9~w=g42909w07;5;30g>;01j0:5l5rs9ca>5<5s43?97?93:?4ea<61h1v\7f5l<:181\7f8?3>3;>m6384482=<=z{1h96=4={<;72?72127<894>989~w=d62909w07;6;36<>;0<:0:545rs9`3>5<5s43?:7?:7:?41f<6101v\7f5oi:181\7f8?3>3;>:6385c82=<=z{1kn6=4={<;72?72:27<9l4>989~w=gc2909w07;6;373>;0=00:545rs9c`>5<5s43?:7?<c:?41=<6101v\7f5l;:181\7f8?3>3;=?6384782=<=z{1hh6=4={<;7<?72i27<884>9`9~w=de2909w07;8;36=>;0<=0:5l5rs9`b>5<5s43?47?:8:?406<61h1v\7f5l6:181\7f8?303;>;6385b82=d=z{1h36=4={<;7<?72>27<9o4>9`9~w=d02909w07;8;366>;0=h0:5l5rs9`5>5<5s43?47?;7:?41<<61h1v\7f5l::181\7f8?303;8o6385982=d=z{1ho6=4={<;7<?71;27<8;4>9`9~w=e22909w07;9;36e>;0lo0:545rs9a7>5<5s43?57?:9:?4``<6101v\7f5m<:181\7f8?313;>4638de82=<=z{1i96=4={<;7=?72?27<ho4>989~w=e62909w07;9;362>;0lh0:545rs9a3>5<5s43?57?:2:?4`<<6101v\7f5li:181\7f8?313;?;638d982=<=z{1hn6=4={<;7=?74k27<h:4>989~w=e12909w07;9;357>;0m90:545rs9af>5<5s43?m7?:a:?4`c<61h1v\7f5mk:181\7f8?3i3;>5638dd82=d=z{1ih6=4={<;7e?72027<hi4>9`9~w=ee2909w07;a;363>;0lk0:5l5rs9ab>5<5s43?m7?:6:?4`d<61h1v\7f5m6:181\7f8?3i3;>>638d882=d=z{1i36=4={<;7e?73?27<h54>9`9~w=e02909w07;a;30g>;0l>0:5l5rs9ae>5<5s43?m7?93:?4a5<61h1v\7f5j8:181\7f8?3j3;>m638c182=<=z{1n=6=4={<;7f?72127<nh4>989~w=b22909w07;b;36<>;0jj0:545rs9f7>5<5s43?n7?:7:?4f<<6101v\7f5j<:181\7f8?3j3;>:638b682=<=z{1n96=4={<;7f?72:27<n84>989~w=b62909w07;b;373>;0j:0:545rs9f3>5<5s43?n7?<c:?4f4<6101v\7f5j7:181\7f8?3j3;=?638c382=<=z{1o;6=4={<;7g?72i27<o=4>9`9~w=ba2909w07;c;36=>;0jl0:5l5rs9ff>5<5s43?o7?:8:?4ff<61h1v\7f5jk:181\7f8?3k3;>;638b882=d=z{1nh6=4={<;7g?72>27<n:4>9`9~w=be2909w07;c;366>;0j<0:5l5rs9fb>5<5s43?o7?;7:?4f6<61h1v\7f5j6:181\7f8?3k3;8o638b082=d=z{1o:6=4={<;7g?71;27<o?4>9`9~w=c>2909w07;d;36e>;0jo0:545rs9g;>5<5s43?h7?:9:?4fa<6101v\7f5k8:181\7f8?3l3;>4638bc82=<=z{1o=6=4={<;7`?72?27<n54>989~w=c22909w07;d;362>;0j?0:545rs9g7>5<5s43?h7?:2:?4f1<6101v\7f5k<:181\7f8?3l3;?;638b382=<=z{1o96=4={<;7`?74k27<n=4>989~w=cf2909w07;d;357>;0k80:545rs9d1>5<5s43?i7?:a:?4fc<61h1v\7f5h>:181\7f8?3m3;>5638be82=d=z{1l;6=4={<;7a?72027<no4>9`9~w=ca2909w07;e;363>;0j10:5l5rs9gf>5<5s43?i7?:6:?4f3<61h1v\7f5kk:181\7f8?3m3;>>638b582=d=z{1oh6=4={<;7a?73?27<n?4>9`9~w=ce2909w07;e;30g>;0j90:5l5rs9d0>5<5s43?i7?93:?4g4<61h1v\7f5hm:181\7f8?3n3;>m6384g82=<=z{1lj6=4={<;7b?72127<8h4>989~w=`>2909w07;f;36<>;0<m0:545rs9d;>5<5s43?j7?:7:?40g<6101v\7f5h8:181\7f8?3n3;>:6384`82=<=z{1l=6=4={<;7b?72:27<844>989~w=`22909w07;f;373>;0<10:545rs9d7>5<5s43?j7?<c:?402<6101v\7f5hl:181\7f8?3n3;=?6385182=<=z{0:?6=4={<;64?72i27<8k4>9`9~w<642909w07:0;36=>;0<l0:5l5rs821>5<5s43><7?:8:?40a<61h1v\7f4>>:181\7f8?283;>;6384c82=d=z{0:;6=4={<;64?72>27<8l4>9`9~w=`a2909w07:0;366>;0<00:5l5rs9df>5<5s43><7?;7:?40=<61h1v\7f5hk:181\7f8?283;8o6384682=d=z{0:>6=4={<;64?71;27<9=4>9`9~w3>72909w088a;065>;1?j0:5o5rs75f>5<5s4<<o7?69:?53g<5=81v\7f;9i:181\7f800k3;2m6397e82ag=z{8lm6=4={<40a?7>j27=?k4>ec9~w=2e2909w09nf;065>;0?m0:545rs916>5<5s4=?>7<:1:?466<6101v\7f:hk:181\7f81d;38>=6387d82=<=z{1>;6=4={<5b2?42927<>>4>999~w=312909w09k2;065>;0?m0:555rs6gg>5<5s4=?o7<:1:?461<6101v\7f:h<:181\7f81ei38>=6382582===z{1:36=4={<5gg?42927<;h4>999~w=6e2909w09lf;065>;0000:545rs92:>5<5s4=>87<:1:?46c<6101v\7f5>n:181\7f81di38>=6382g82===z{1:n6=4={<5g4?42927<?l4>999~w=6d2909w09j4;065>;0000:555rs92g>5<5s4=>;7<:1:?47d<6101v\7f5>i:181\7f81c938>=6389582=<=z{1;:6=4={<5f3?42927<594>999~w=732909w096d;065>;01?0:545rs930>5<5s4=2o7<:1:?47f<6111v\7f5?=:181\7f812038>=6383b82=<=z{1;>6=4={<5f<?42927<5;4>999~w=712909w09:9;065>;0;m0:545rs93b>5<5s4=>m7<:1:?47`<6101v\7f5?8:181\7f81>m38>=6383e82===z{1;36=4={<5:b?42927<5:4>989~w=7>2909w09j9;065>;01>0:555rs93a>5<5s4=j<7<:1:?47`<6111v\7f5?l:181\7f81f938>=6389982=<=z{1;o6=4={<5fe?42927<554>999~w=7b2909w09:b;065>;0;o0:545rs93e>5<5s4=j>7<:1:?47c<6111v\7f5<=:181\7f812k38>=6384182=<=z{18;6=4={<5b7?42927<544>989~w=462909w09jb;065>;0100:555rs900>5<5s4=j87<:1:?405<6111v\7f5<;:181\7f81f=38>=6389`82=<=z{18>6=4={<5fg?42927<5l4>999~w=412909w09;3;065>;0<80:545rs904>5<5s4=j;7<:1:?404<6111v\7f5<7:181\7f81f038>=6389c82=<=z{18h6=4={<5b=?42927<>;4>999~w=4>2909w09k3;065>;01k0:555rs90a>5<5s4=?87<:1:?463<6101v\7f5<k:181\7f81fi38>=6388182=<=z{18m6=4={<571?42927<>:4>989~w=4b2909w09k4;065>;0090:555rs913>5<5s4=jn7<:1:?462<6111v\7f5=>:181\7f81fk38>=6388082=<=z{1996=4={<5g1?42927<4<4>999~w=512909w09ne;065>;00;0:545rs910>5<5s4=?:7<:1:?46=<6101v\7f5=;:181\7f81fl38>=6382982===z{19<6=4={<5g2?42927<4?4>999~w=5?2909w09;7;065>;0:00:545rs91a>5<5s4=o;7<:1:?4<6<6111v\7f5=6:181\7f81e838>=6382882===z{19j6=4={<5a5?42927<4>4>989~w=5d2909w09;8;065>;0:h0:545rs91e>5<5s4=o47<:1:?4<1<6111v\7f5:>:181\7f813138>=6382c82=<=z{19o6=4={<5a6?42927<>l4>999~w=5b2909w09m3;065>;00=0:545rs967>5<5s4=o57<:1:?4<0<6111v\7f5:=:181\7f81e<38>=6382c82===z{1>86=4={<5a1?42927<484>989~w=222909w09;a;065>;0:j0:545rs96;>5<5s4=om7<:1:?4<3<6111v\7f5:9:181\7f81e>38>=6382b82===z{1>j6=4={<5a<?42927<>i4>999~w=202909w09m7;065>;00?0:545rs96:>5<5s4=?n7<:1:?46a<6101v\7f5:l:181\7f81e138>=6388682=<=z{1>o6=4={<5gf?42927<4:4>999~w=372909w09mc;065>;0010:545rs96f>5<5s4=?h7<:1:?46`<6101v\7f5:i:181\7f81ej38>=6382d82===z{1?:6=4={<5g`?42927<454>999~w=332909w09me;065>;00h0:545rs971>5<5s4=?i7<:1:?475<6101v\7f5;<:181\7f81el38>=6383182===z{1?>6=4={<5ga?42927<4l4>999~w=3?2909w09;f;065>;0;;0:545rs97:>5<5s4=ij7<:1:?477<6111v\7f5;n:181\7f81d838>=6388b82=<=z{1?i6=4={<5gb?42927<4n4>999~w2cb2909w09l2;065>;00m0:545rs6ge>5<5s4=n<7<:1:?4<a<6111v\7f5;l:181\7f812838>=6383282=<=z{1?o6=4={<5`5?42927<?>4>999~w2`32909w09l4;065>;0;?0:555rs6d1>5<5s4=>=7<:1:?473<6101v\7f:h8:181\7f812:38>=6383682=<=z{>l>6=4={<5`1?42927<5=4>989~w2`12909w09j1;065>;0190:555rs6d;>5<5s4=h:7<:1:?472<6111v\7f:h6:181\7f81d?38>=6389082=<=z{>lh6=4={<5`<?42927<?54>999~w2`e2909w09:3;065>;0;10:545rs6db>5<5s4=n>7<:1:?4=4<6111v\7f:hj:181\7f81d138>=6389382=<=z{>lm6=4={<5f7?42927<5?4>999~w=672909w09:5;065>;0;00:545rs922>5<5s4=hn7<:1:?47<<6111v\7f5>=:181\7f81dk38>=6389282=<=z{1:86=4={<5f1?42927<5>4>999~w=612909w09le;065>;01<0:545rs927>5<5s4=>:7<:1:?47g<6101v\7f5>::181\7f81dl38>=6383c82===z{1:<6=4={<5f2?42927<584>999~w23b2909w098d;065>;1m=0:555rs627>5<5s4=9?7<:1:?5a1<6101v\7f:86:181\7f810m38>=639e482===z{>:m6=4={<510?42927=i84>989~w2132909w0979;065>;1n90:555rs654>5<5s4=287<:1:?5bg<6111v\7f:?n:181\7f815n38>=639f182=<=z{>;o6=4={<50e?42927=jo4>989~w21?2909w0966;065>;1nm0:555rs63f>5<5s4=8o7<:1:?5ba<6101v\7f:96:181\7f81>?38>=639fd82===z{>;m6=4={<50`?42927=jh4>989~w2472909w09<e;065>;1no0:545rs65b>5<5s4=247<:1:?5bc<6111v\7f:9m:181\7f81>138>=6380182===z{>8:6=4={<50b?42927<<=4>989~w21d2909w096a;065>;0880:555rs601>5<5s4=?<7<:1:?444<6101v\7f:>::181\7f813938>=6380382=<=z{>?m6=4={<5:f?42927<<?4>999~w2062909w0970;065>;1m>0:555rs624>5<5s4=9:7<:1:?5a2<6101v\7f:8=:181\7f81?938>=639e982===z{>:36=4={<513?42927=i54>989~w26>2909w09=8;065>;1m00:545rs640>5<5s4=3>7<:1:?5a<<6111v\7f:8;:181\7f81?;38>=639e`82===z{>:j6=4={<51=?42927=il4>989~w2022909w0974;065>;1mk0:555rs62a>5<5s4=9m7<:1:?5ag<6101v\7f:>l:181\7f815j38>=639eb82=<=z{><=6=4={<5;1?42927=in4>999~w2002909w0976;065>;1mm0:555rs62g>5<5s4=9o7<:1:?5aa<6101v\7f:87:181\7f81??38>=639ed82===z{>:n6=4={<51`?42927=ih4>989~w2772909w09=e;065>;1mo0:545rs64b>5<5s4=347<:1:?5ac<6111v\7f:8m:181\7f81?i38>=639f082===z{>;:6=4={<504?42927=j<4>989~w20c2909w097c;065>;1n:0:555rs630>5<5s4=8>7<:1:?5b6<6101v\7f:?;:181\7f814;38>=639f582=<=z{><n6=4={<5;`?42927=j94>999~w2162909w0960;065>;1n>0:555rs634>5<5s4=8:7<:1:?5b2<6101v\7f:9=:181\7f81>938>=639f982===z{>;36=4={<503?42927=j54>989~w27>2909w09<8;065>;1n00:545rs650>5<5s4=2>7<:1:?5b<<6111v\7f:9::181\7f81>;38>=639f`82===z{>;i6=4={<50=?42927=jl4>989~w2112909w0965;065>;1nj0:555rs63`>5<5s4=8n7<:1:?5bf<6101vqo=?7;295?6=8r.9h44=dg9K753<@;no7b<:4;29?xu49;0;6?uQ300897bf2>90q~o=b;296~Xf:k16>io52b;8yvg5m3:1?vP;8`9]e7c<5::=6<79;|q04c<72;qU?=h4=3fb>33<uzk9h7>52z\b6a=::mk1>nh4}rc06?6=:rTj??522ec96c6<uz9:=7>52z\054=::mk1:55rs454>5<5sW?<;63=d`82<d=z{h?:6=4={_c65>;5lh08<<5rs`13>5<5sWk8<63=d`81`g=z{::n6=4={_13a>;5lh0=86s|a1f94?4|Vh:o70<ka;0a=>{t1<i1<7<t^87`?84ci38>?6s|a3a94?4|Vh8h70<ka;0`e>{ti:;1<7<t^`12?84ci38oi6s|6c`94?4|V?hi70<ka;3:0>{t1<n1<7<t^87g?84ci38>56s|a2194?4|Vh9870<ka;0e5>{t1k?1<7<t^8`6?84ci383;6s|30294?4|V:;;70<ka;44?xuf=;0;6?uQa40897bf2::97p}n2g83>7}Yi;l01?jn:3f7?xu3090;6?uQ460897bf2>l0q~:8e;296~X3?816>io57e9~w11c2909wS:80:?1`d<0k2wx8:m50;0xZ10a348om79m;|q7<<<72;qU8:o4=3fb>=><uz>347>52z\73<=::mk14:5rs5:4>5<5sW><463=d`8;2>{t<1<1<7<t^554?84ci32>7p};8483>7}Y<><01?jn:968yv2?<3:1>vP;749>6ag=0:1v\7f96<:181\7f[20<279hl472:\7fp0=4=838pR99<;<0ge?>63ty?4<4?:3y]03c<5;nj65>4}r64f?6=:rT?:i522ec93d=z{h><6=4={_c0=>;5lh09j:5rs`66>5<5sWk8463=d`81b0=z{h>?6=4={_c03>;5lh09j95rs`60>5<5sWk8:63=d`81b6=z{h?;6=4={_c75>;5lh08<=5rs`6e>5<5sWk?<63=d`81bc=z{h>n6=4={_c0b>;5lh09jh5rs`6g>5<5sWk8i63=d`81ba=z{h>h6=4={_c0`>;5lh09jn5rs`6a>5<5sWk8o63=d`81bg=z{h>j6=4={_c0f>;5lh09jl5rs`6:>5<5sWk8m63=d`81b<=z{h>36=4={_c01>;5lh09j55rs`61>5<5sWk8863=d`81b7=z{<<n6=4={_77a>;5lh0:4<5rs44`>5<5sW??h63=d`823c=z{<<j6=4={_77f>;5lh0:;i5rs44:>5<5sW??m63=d`823f=z{<<36=4={_77=>;5lh0:;o5rs444>5<5sW??463=d`823d=z{<<=6=4={_773>;5lh0:;45rs446>5<5sW??:63=d`823==z{<<?6=4={_771>;5lh0:;:5rs440>5<5sW??863=d`8233=z{<<96=4={_777>;5lh0:;85rs442>5<5sW??>63=d`8231=z{<?m6=4={_774>;5lh0:;?5rs47f>5<5sW?8j63=d`8234=z{<?o6=4={_70a>;5lh0:;=5rs47`>5<5sW?8h63=d`822c=z{<?i6=4={_70g>;5lh0::h5rs47b>5<5sW?8n63=d`822a=z{<?26=4={_70e>;5lh0::n5rs47;>5<5sW?8563=d`822g=z{<?<6=4={_70<>;5lh0::l5rs475>5<5sW?8;63=d`822<=z{<=>6=4={_760>;5lh0:455rs457>5<5sW?>?63=d`82<2=z{<=86=4={_766>;5lh0:4;5rs451>5<5sW?>=63=d`82<0=z{<=:6=4={_764>;5lh0:495rs453>5<5sW??j63=d`82<6=z{<<m6=4={_77g>;5lh0:4?5rs44a>5<5sW??=63=d`823`=z{<<;6=4={_702>;5lh0:;>5rs476>5<5sW?8963=d`822==z{h8:6=4={_c27>;5lh09nk5rs`3e>5<5sWk:>63=d`81fa=z{h;n6=4={_c25>;5lh09nn5rs`3g>5<5sWk:<63=d`81fg=z{h8j6=4={_c2f>;5lh09o55rs`0:>5<5sWk:m63=d`81g2=z{h836=4={_c2=>;5lh09o;5rs`04>5<5sWk:463=d`81g0=z{h8=6=4={_c23>;5lh09o95rs`06>5<5sWk::63=d`81g6=z{h8?6=4={_c21>;5lh09o?5rs`00>5<5sWk:863=d`81g4=z{h896=4={_c3b>;5lh09o=5rs`3`>5<5sWk;i63=d`81fd=z{02n6=4={_;;4>;5lh09:h5rs8:`>5<5sW3<j63=d`812f=z{02i6=4={_;4a>;5lh09:o5rs8:b>5<5sW3<h63=d`812d=z{03<6=4={_;;<>;5lh09;:5rs8;5>5<5sW33;63=d`8133=z{03>6=4={_;;2>;5lh09;85rs8;7>5<5sW33963=d`8131=z{0386=4={_;;0>;5lh09;>5rs8;1>5<5sW33?63=d`8137=z{03:6=4={_;;6>;5lh09;<5rs8;3>5<5sW33=63=d`8135=z{02m6=4={_;4g>;5lh09:k5rs8::>5<5sW3<n63=d`812<=z{<8o6=4={_73`>;5lh0:<55rs40a>5<5sW?;o63=d`8243=z{<826=4={_73e>;5lh0:<95rs40;>5<5sW?;563=d`8246=z{<8<6=4={_73<>;5lh0:<?5rs405>5<5sW?;;63=d`8244=z{<8>6=4={_732>;5lh0:<=5rs407>5<5sW?;963=d`8eb>{t=;91<7<t^427?84ci3ln7p}:2383>7}Y=9901?jn:gf8yv3593:1>vP:039>6ag=nj1v\7f8<?:181\7f[379279hl4ib:\7fp14c=838pR9hi;<0ge?`>3ty>=i4?:3y]0cc<5;nj6k64}r72g?6=:rT?ji522ec9b2=z{<;i6=4={_6eg>;5lh0m:6s|50c94?4|V=li70<ka;d6?xu2900;6?uQ4gc897bf2o>0q~;>8;296~X3n016>io5f29~w0702909wS:i8:?1`d<a:2wx9<850;0xZ1`0348om7h>;|q650<72;qU8k84=3fb>c6<uz?887>52z\656=::mk1==h4}r707?6=:rT>=?522ec955c<uz?8>7>52z\654=::mk1==j4}r705?6=:rT>==522ec955e<uz?8<7>52z\64c=::mk1==l4}r71b?6=:rT><h522ec955g<uz?9i7>52z\64g=::mk1==74}r71e?6=:rT><=522ec9553<uz?:j7>52z\7b0=::mk1jl5rs437>5<5sW>m863=d`8fb>{ti991<7<t^8d6?84ci38jn6s|a1394?4|V0l?70<ka;0b=>{ti9:1<7<t^8d0?84ci38j46s|9gd94?4|V0l970<ka;0b3>{ti9i1<7<t^8dg?84ci38i86s|a1`94?4|V0lh70<ka;0a7>{ti9k1<7<t^8da?84ci38i>6s|a1;94?4|V0lj70<ka;0a5>{ti921<7<t^8d:?84ci38i<6s|a1594?4|V0l370<ka;0bb>{ti9<1<7<t^8d4?84ci38ji6s|a1794?4|V0l=70<ka;0b`>{ti9>1<7<t^8d2?84ci38jo6s|9gg94?4|V0l;70<ka;0b2>{t1l<1<7<t^8f;?84ci382o6s|9d694?4|V0n<70<ka;0:e>{t1l91<7<t^8f5?84ci38256s|9d094?4|V0n>70<ka;0:<>{t1ll1<7<t^8g3?84ci38j96s|9dg94?4|V0nm70<ka;0b0>{t1ln1<7<t^8ff?84ci38j?6s|9da94?4|V0no70<ka;0b6>{t1lh1<7<t^8f`?84ci38j=6s|9dc94?4|V0ni70<ka;0b4>{t1l31<7<t^8fb?84ci382j6s|9d:94?4|V0n270<ka;0:a>{t1l=1<7<t^8f7?84ci382h6s|9d394?4|V0n870<ka;0:3>{t1j31<7<t^8`a?84ci383h6s|9b594?4|V0hj70<ka;0;f>{t1j<1<7<t^8`:?84ci383m6s|9b794?4|V0h370<ka;0;=>{t1m81<7<t^8a0?84ci382:6s|9e394?4|V0i970<ka;0:1>{t1m:1<7<t^8a2?84ci38286s|9bd94?4|V0i;70<ka;0:7>{t1jo1<7<t^8`e?84ci382>6s|9bf94?4|V0hn70<ka;0:5>{t1ji1<7<t^8`g?84ci382<6s|9b`94?4|V0hh70<ka;0;b>{t1jk1<7<t^8`4?84ci383i6s|9b694?4|V0h=70<ka;0;<>{t1hh1<7<t^8;g?84ci38<h6s|9`;94?4|V03h70<ka;04f>{t1h21<7<t^8;a?84ci38<m6s|9`594?4|V03j70<ka;04=>{t1k>1<7<t^8c6?84ci383:6s|9c194?4|V0k?70<ka;0;1>{t1k81<7<t^8c0?84ci38386s|9c394?4|V0k970<ka;0;7>{t1k:1<7<t^8c2?84ci383>6s|9`d94?4|V0k;70<ka;0;5>{t1ho1<7<t^8;e?84ci383<6s|9`f94?4|V03n70<ka;04b>{t1hi1<7<t^8;:?84ci38<i6s|9`494?4|V03370<ka;04<>{t1>;1<7<t^840?84ci38>j6s|97d94?4|V0<970<ka;06`>{t1?o1<7<t^842?84ci38>o6s|97f94?4|V0<;70<ka;06f>{t1>k1<7<t^84a?84ci38=46s|96;94?4|V0<j70<ka;053>{t1>21<7<t^84:?84ci38=:6s|96594?4|V0<370<ka;051>{t1><1<7<t^844?84ci38=86s|96794?4|V0<=70<ka;057>{t1>>1<7<t^846?84ci38=>6s|96194?4|V0<?70<ka;055>{t1>81<7<t^87e?84ci38=<6s|97a94?4|V0?n70<ka;06e>{t::=1<7?t=224>7333twx?<<50;0xZ67534<26>?=;%0gg?44?2wx9?j50;0xZ06c34<268>k;%0gg?4312wx9?l50;0xZ06d34<268>l;%0gg?43i2wx9?750;0xZ06f34<268>n;%0gg?43j2wx9?650;0xZ06>34<268>6;%0gg?43k2wx9?950;0xZ06?34<268>7;%0gg?43l2wx9?850;0xZ06034<268>8;%0gg?7a12wx9?;50;0xZ06134<268>9;%0gg?7ai2wx9?:50;0xZ06234<268>:;%0gg?7aj2wx9?=50;0xZ06334<268>;;%0gg?4782wx9?<50;0xZ06434<268><;%0gg?47i2wx9??50;0xZ06534<268>=;%0gg?46=2wx9?>50;0xZ06634<268>>;%0gg?4582wx9<k50;0xZ1`a34<269hi;%0gg?45j2wx9<j50;0xZ1`b34<269hj;%0gg?44=2wx9<m50;0xZ1`c34<269hk;%0gg?44>2wx9<l50;0xZ1`d34<269hl;%0gg?4402wx9<o50;0xZ1`e34<269hm;%0gg?4412wx9<750;0xZ1`f34<269hn;%0gg?44i2wx9<650;0xZ1`>34<269h6;%0gg?44j2wx9<950;0xZ1`?34<269h7;%0gg?44k2wx9<850;0xZ1`034<269h8;%0gg?44l2wx9<;50;0xZ1`134<269h9;%0gg?44m2wx9>:50;0xZ07434<268?<;%0gg?44n2wx9>=50;0xZ07534<268?=;%0gg?4382wx9><50;0xZ07634<268?>;%0gg?4392wx9>?50;0xZ07734<268??;%0gg?43:2wx9>>50;0xZ06a34<268>i;%0gg?43;2wx9?h50;0xZ06b34<268>j;%0gg?43<2wx9?k50;0xZ06e34<268>m;%0gg?43=2wx9?o50;0xZ06734<268>?;%0gg?43>2wx9<h50;0xZ1`234<269h:;%0gg?43?2wx9<:50;0xZ1`334<269h;;%0gg?4302wxm?l50;0xZd4e34<26l<m;%0gg?7ak2wxm?j50;0xZd4c34<26l<k;%0gg?7al2wxm8?50;0xZd3634<26l;>;%0gg?7am2wx85>50;0xZ11534<2699=;%0gg?4792wx8:k50;0xZ11634<2699>;%0gg?47:2wx8:j50;0xZ11734<2699?;%0gg?47;2wx8:m50;0xZ10a34<2698i;%0gg?47<2wx85750;0xZ11f34<2699n;%0gg?47=2wx85650;0xZ11>34<26996;%0gg?47>2wx85950;0xZ11?34<26997;%0gg?47?2wx85850;0xZ11034<26998;%0gg?4702wx85;50;0xZ11134<26999;%0gg?4712wx85:50;0xZ11234<2699:;%0gg?47j2wx85=50;0xZ11334<2699;;%0gg?47k2wx85<50;0xZ11434<2699<;%0gg?47l2wx85?50;0xZ10b34<2698j;%0gg?47m2wx8:l50;0xZ10c34<2698k;%0gg?47n2wx9;k50;0xZ02b34<268:j;%0gg?4682wx9;m50;0xZ02c34<268:k;%0gg?4692wx9;o50;0xZ02e34<268:m;%0gg?46:2wx9;750;0xZ02f34<268:n;%0gg?46;2wx9;650;0xZ02>34<268:6;%0gg?46<2wx9;950;0xZ02?34<268:7;%0gg?46>2wx9;850;0xZ02034<268:8;%0gg?46?2wx9;;50;0xZ02134<268:9;%0gg?4602wx9;:50;0xZ02234<268::;%0gg?4612wx9;=50;0xZ02334<268:;;%0gg?46i2wx9;<50;0xZ02434<268:<;%0gg?46j2wx9;?50;0xZ02534<268:=;%0gg?46k2wx98h50;0xZ02734<268:?;%0gg?46l2wx98k50;0xZ05a34<268=i;%0gg?46m2wx98j50;0xZ05b34<268=j;%0gg?46n2wx98m50;0xZ05c34<268=k;%0gg?4592wx98l50;0xZ05d34<268=l;%0gg?45:2wx98o50;0xZ05e34<268=m;%0gg?45;2wx98750;0xZ05f34<268=n;%0gg?45<2wx98650;0xZ05>34<268=6;%0gg?45=2wx98950;0xZ05?34<268=7;%0gg?45>2wx98850;0xZ05034<268=8;%0gg?45?2wx9:;50;0xZ03334<268;;;%0gg?4502wx9::50;0xZ03434<268;<;%0gg?4512wx9:=50;0xZ03534<268;=;%0gg?45i2wx9:<50;0xZ03634<268;>;%0gg?45k2wx9:?50;0xZ03734<268;?;%0gg?45l2wx9:>50;0xZ02a34<268:i;%0gg?45m2wx9;h50;0xZ02d34<268:l;%0gg?45n2wx9;l50;0xZ02634<268:>;%0gg?4482wx9;>50;0xZ05134<268=9;%0gg?4492wx98;50;0xZ05234<268=:;%0gg?44:2wx9:950;0xZ01034<26898;%0gg?44;2wx:ol50;0xZ3de34<26;lm;%0gg?44<2wvb?o=7;297~N5lm1vb?o=8;297~N5lm1vb?o=9;297~N5lm1vb?o=a;297~N5lm1vb?o=b;297~N5lm1vb?o=c;297~N5lm1vb?o=d;297~N5lm1vb?o=e;297~N5lm1vb?o=f;297~N5lm1vb?o<0;297~N5lm1vb?o<1;297~N5lm1vb?o<2;297~N5lm1vb?o<3;297~N5lm1vb?o<4;297~N5lm1vb?o<5;297~N5lm1vb?o<6;297~N5lm1vb?o<7;297~N5lm1vb?o<8;297~N5lm1vb?o<9;297~N5lm1vb?o<a;297~N5lm1vb?o<b;297~N5lm1vb?o<c;297~N5lm1vb?o<d;297~N5lm1vb?o<e;297~N5lm1vb?o<f;297~N5lm1vb?o;0;297~N5lm1vb?o;1;297~N5lm1vb?o;2;297~N5lm1vb?o;3;297~N5lm1vb?o;4;297~N5lm1vb?o;5;297~N5lm1vb?o;6;297~N5lm1vb?o;7;297~N5lm1vb?o;8;297~N5lm1vb?o;9;297~N5lm1vb?o;a;297~N5lm1vb?o;b;297~N5lm1vb?o;c;297~N5lm1vb?o;d;297~N5lm1vb?o;e;297~N5lm1vb?o;f;297~N5lm1vb?o:0;297~N5lm1vb?o:1;297~N5lm1vb?o:2;297~N5lm1vb?o:3;297~N5lm1vb?o:4;297~N5lm1vb?o:5;297~N5lm1vb?o:6;297~N5lm1vb?o:7;297~N5lm1vb?o:8;297~N5lm1vb?o:9;297~N5lm1vb?o:a;297~N5lm1vb?o:b;297~N5lm1vb?o:c;297~N5lm1vb?o:d;297~N5lm1vb?o:e;297~N5lm1vb?o:f;297~N5lm1vb?o90;297~N5lm1vb?o91;297~N5lm1vb?o92;297~N5lm1vb?o93;297~N5lm1vb?o94;297~N5lm1vb?o95;297~N5lm1vb?o96;297~N5lm1vb?o97;297~N5lm1vb?o98;297~N5lm1vb?o99;297~N5lm1vb?o9a;297~N5lm1vb?o9b;297~N5lm1vb?o9c;297~N5lm1vb?o9d;297~N5lm1vb?o9e;297~N5lm1vb?o9f;297~N5lm1vb?o80;297~N5lm1vb?o81;297~N5lm1vb?o82;297~N5lm1vb?o83;297~N5lm1vb?o84;297~N5lm1vb?o85;297~N5lm1vb?o86;297~N5lm1vb?o87;297~N5lm1vb?o88;297~N5lm1vb?o89;297~N5lm1vb?o8a;297~N5lm1vb?7:4;295~N5lm1vb?7:c;2960}O:mn0qc<65983>4}O:mn0qc<65e83>4}O:mn0qc<65g83>4}O:mn0qc<66283>4}O:mn0qc<66483>4}O:mn0qc<66783>4}O:mn0qc<66683>4}O:mn0qc<6b383>4}O:mn0qc<6b283>4}O:mn0qc<6b583>4}O:mn0qc<6b483>4}O:mn0qc<6b783>4}O:mn0qc<6b683>4}O:mn0qc<6b983>4}O:mn0qc<6b883>4}O:mn0qc<6b`83>4}O:mn0qc<6bc83>4}O:mn0qc<6bb83>4}O:mn0qc<6be83>4}O:mn0qc<6bd83>4}O:mn0qc<6bg83>4}O:mn0qc<6e183>4}O:mn0qc<6e083>4}O:mn0qc<6e383>4}O:mn0qc<6e283>4}O:mn0qc<6e583>4}O:mn0qc<6e483>4}O:mn0qc<6e783>4}O:mn0qc<6e683>4}O:mn0qc<6e983>4}O:mn0qc<6e883>4}O:mn0qc<6e`83>4}O:mn0qc<6ec83>4}O:mn0qc<6eb83>4}O:mn0qc<6ee83>4}O:mn0qc<6ed83>4}O:mn0qc<6eg83>4}O:mn0qc<6f183>4}O:mn0qc<6f083>4}O:mn0qc<6f383>4}O:mn0qc<6f283>4}O:mn0qc<6f583>4}O:mn0qc<6f483>4}O:mn0qc<6f783>4}O:mn0qc<6f683>4}O:mn0qc<6f983>4}O:mn0qc<6f883>4}O:mn0qc<6f`83>4}O:mn0qc<6fc83>4}O:mn0qc<6fb83>4}O:mn0qc<6fe83>4}O:mn0qc<6fd83>4}O:mn0qc<6fg83>4}O:mn0qc<n0183>4}O:mn0qc<n0083>4}O:mn0qc<n0383>4}O:mn0qc<n0283>4}O:mn0qc<n0583>4}O:mn0qc<n0483>4}O:mn0qc<n0783>4}O:mn0qc<n0683>4}O:mn0qc<n0983>4}O:mn0qc<n0883>4}O:mn0qc<n0`83>4}O:mn0qc<n0c83>4}O:mn0qc<n0b83>4}O:mn0qc<n0e83>4}O:mn0qc<n0d83>4}O:mn0qc<n0g83>4}O:mn0qc<n1183>4}O:mn0qc<n1083>4}O:mn0qc<n1383>4}O:mn0qc<n1283>4}O:mn0qc<n1583>4}O:mn0qc<n1483>4}O:mn0qc<n1783>4}O:mn0qc<n1683>4}O:mn0qc<n1983>4}O:mn0qc<n1883>4}O:mn0qc<n1`83>4}O:mn0qc<n1c83>4}O:mn0qc<n1b83>4}O:mn0qc<n1e83>4}O:mn0qc<n1d83>4}O:mn0qc<n1g83>4}O:mn0qc<n2183>4}O:mn0qc<n2083>4}O:mn0qc<n2383>4}O:mn0qc<n2283>4}O:mn0qc<n2583>4}O:mn0qc<n2483>4}O:mn0qc<:a683>4}O:mn0qc<:ad83>4}O:mn0qc<:bd83>4}O:mn0qc<:bg83>4}O:mn0qc<:c183>4}O:mn0qc<:c083>4}O:mn0qc<:c383>4}O:mn0qc<:c283>4}O:mn0qc<:c583>4}O:mn0qc<:c483>4}O:mn0qc<:c783>4}O:mn0qc<:c683>4}O:mn0qc<:c983>4}O:mn0qc<:c883>4}O:mn0qc<:c`83>4}O:mn0qc<:cc83>4}O:mn0qc<:cb83>4}O:mn0qc<:ce83>4}O:mn0qc<:cd83>4}O:mn0qc<:cg83>4}O:mn0qc<:d183>4}O:mn0qc<:d083>4}O:mn0qc<:d383>4}O:mn0qc<:d283>4}O:mn0qc<:d583>4}O:mn0qc<:d483>4}O:mn0qc<:d783>4}O:mn0qc<:d683>4}O:mn0qc<:d983>4}O:mn0qc<:d883>4}O:mn0qc<:d`83>4}O:mn0qc<:dc83>4}O:mn0qc<:db83>4}O:mn0qc<:de83>4}O:mn0qc<:dd83>4}O:mn0qc<:dg83>4}O:mn0qc<:e183>4}O:mn0qc<:e083>4}O:mn0qc<:e383>4}O:mn0qc<:e283>4}O:mn0qc<:e583>4}O:mn0qc<:e483>4}O:mn0qc<:e783>4}O:mn0qc<:e683>4}O:mn0qc<:e983>4}O:mn0qc<:e883>4}O:mn0qc<:e`83>4}O:mn0qc<:ec83>4}O:mn0qc<:eb83>4}O:mn0qc<:ee83>4}O:mn0qc<:ed83>4}O:mn0qc<:eg83>4}O:mn0qc<:f183>4}O:mn0qc<:f083>4}O:mn0qc<:f383>4}O:mn0qc<:f283>4}O:mn0qc<:f583>4}O:mn0qc<:f483>4}O:mn0qc<:f783>4}O:mn0qc<:f683>4}O:mn0qc<:f983>4}O:mn0qc<:f883>4}O:mn0qc<:f`83>4}O:mn0qc<:fc83>4}O:mn0qc<:fb83>4}O:mn0qc<:fe83>4}O:mn0qc<:fd83>4}O:mn0qc<:fg83>4}O:mn0qc<90183>4}O:mn0qc<90083>4}O:mn0qc<90383>4}O:mn0qc<90283>4}O:mn0qc<94583>4}O:mn0qc<94483>4}O:mn0qc<94783>4}O:mn0qc<94683>4}O:mn0qc<94983>4}O:mn0qc<94883>4}O:mn0qc<94`83>4}O:mn0qc<94c83>4}O:mn0qc<94b83>4}O:mn0qc<94e83>4}O:mn0qc<94d83>4}O:mn0qc<94g83>4}O:mn0qc<95183>4}O:mn0qc<95083>4}O:mn0qc<95383>4}O:mn0qc<95283>4}O:mn0qc<95583>4}O:mn0qc<95483>4}O:mn0qc<95783>4}O:mn0qc<95683>4}O:mn0qc<95983>4}O:mn0qc<95883>4}O:mn0qc<95`83>4}O:mn0qc<95c83>4}O:mn0qc<95b83>4}O:mn0qc<95e83>4}O:mn0qc<95d83>4}O:mn0qc<95g83>4}O:mn0qc<96183>4}O:mn0qc<96083>4}O:mn0qc<96383>4}O:mn0qc<96283>4}O:mn0qc<96583>4}O:mn0qc<96483>4}O:mn0qc<96783>4}O:mn0qc<96683>4}O:mn0qc<96983>4}O:mn0qc<96883>4}O:mn0qc<96`83>4}O:mn0qc<96c83>4}O:mn0qc<96b83>4}O:mn0qc<96e83>4}O:mn0qc<96d83>4}O:mn0qc<96g83>4}O:mn0qc<97183>4}O:mn0qc<97083>4}O:mn0qc<97383>4}O:mn0qc<97283>4}O:mn0qc<97583>4}O:mn0qc<97483>4}O:mn0qc<97783>4}O:mn0qc<97683>4}O:mn0qc<97983>4}O:mn0qc<97883>4}O:mn0qc<97`83>4}O:mn0qc<97c83>4}O:mn0qc<97b83>4}O:mn0qc<97e83>4}O:mn0qc<97d83>4}O:mn0qc<97g83>4}O:mn0qc<98183>4}O:mn0qc<98083>4}O:mn0qc<98383>4}O:mn0qc<98283>4}O:mn0qc<98583>4}O:mn0qc<98483>4}O:mn0qc<98783>4}O:mn0qc<98683>4}O:mn0qc<98983>4}O:mn0qc<98883>4}O:mn0qc<98`83>4}O:mn0qc<98c83>4}O:mn0qc<98b83>4}O:mn0qc<98e83>4}O:mn0qc<98d83>4}O:mn0qc<98g83>4}O:mn0qc<99183>4}O:mn0qc<99083>4}O:mn0qc<99383>4}O:mn0qc<99283>4}O:mn0qc<99583>4}O:mn0qc<99483>4}O:mn0qc<99783>4}O:mn0qc<99683>4}O:mn0qc<99983>4}O:mn0qc<99883>4}O:mn0qc<99`83>4}O:mn0qc<99c83>4}O:mn0qc<99b83>4}O:mn0qc<99e83>4}O:mn0qc<99d83>4}O:mn0qc<99g83>4}O:mn0qc<9a183>4}O:mn0qc<9a083>4}O:mn0qc<9a383>4}O:mn0qc<9a283>4}O:mn0qc<9a583>4}O:mn0qc<9a483>4}O:mn0qc<9a783>4}O:mn0qc<9a683>4}O:mn0qc<9a983>4}O:mn0qc<9a883>4}O:mn0qc<9a`83>4}O:mn0qc<9ac83>4}O:mn0qc<9ab83>4}O:mn0qc<9ae83>4}O:mn0qc<9ad83>4}O:mn0qc<9ag83>4}O:mn0qc<9b183>4}O:mn0qc<9b083>4}O:mn0qc<9b383>4}O:mn0qc<9b283>4}O:mn0qc<9b583>4}O:mn0qc<9b483>4}O:mn0qc<9b783>4}O:mn0qc<9b683>4}O:mn0qc<9b983>4}O:mn0qc<9b883>4}O:mn0qc<9b`83>4}O:mn0qc<9bc83>4}O:mn0qc<9bb83>4}O:mn0qc<9be83>4}O:mn0qc<9bd83>4}O:mn0qc<9bg83>4}O:mn0qc<9c183>4}O:mn0qc<9c083>4}O:mn0qc<9c383>4}O:mn0qc<9c283>4}O:mn0qc<9c583>4}O:mn0qc<9c483>4}O:mn0qc<9c783>4}O:mn0qc<9c683>4}O:mn0qc<9c983>4}O:mn0qc<9c883>4}O:mn0qc<9c`83>4}O:mn0qc<9cc83>4}O:mn0qc<9cb83>4}O:mn0qc<9ce83>4}O:mn0qc<9cd83>4}O:mn0qc<9cg83>4}O:mn0qc<9d183>4}O:mn0qc<9d083>4}O:mn0qc<9d383>4}O:mn0qc<9d283>4}O:mn0qc<9d583>4}O:mn0qc<9d483>4}O:mn0qc<9d783>4}O:mn0qc<9d683>4}O:mn0qc<9d983>4}O:mn0qc<9d883>4}O:mn0qc<9d`83>4}O:mn0qc<9dc83>4}O:mn0qc<9db83>4}O:mn0qc<9de83>4}O:mn0qc<9dd83>4}O:mn0qc<9dg83>4}O:mn0qc<9e183>4}O:mn0qc<9e083>4}O:mn0qc<9e383>4}O:mn0qc<9e283>4}O:mn0qc<9e583>4}O:mn0qc<9e483>4}O:mn0qc<9e783>4}O:mn0qc<9e683>4}O:mn0qc<9e983>4}O:mn0qc<9e883>4}O:mn0qc<9e`83>4}O:mn0qc<9ec83>4}O:mn0qc<9eb83>4}O:mn0qc<9ee83>4}O:mn0qc<9ed83>4}O:mn0qc<9eg83>4}O:mn0qc<9f183>4}O:mn0qc<9f083>4}O:mn0qc<9f383>4}O:mn0qc<9f283>4}O:mn0qc<9f583>4}O:mn0qc<9f483>4}O:mn0qc<9f783>4}O:mn0qc<9f683>4}O:mn0qc<9f983>4}O:mn0qc<9f883>4}O:mn0qc<9f`83>4}O:mn0qc<9fc83>4}O:mn0qc<9fb83>4}O:mn0qc<9fe83>4}O:mn0qc<9fd83>4}O:mn0qc<9fg83>4}O:mn0qc<80183>4}O:mn0qc<80083>4}O:mn0qc<80383>4}O:mn0qc<80283>4}O:mn0qc<80583>4}O:mn0qc<80483>4}O:mn0qc<80783>4}O:mn0qc<80683>4}O:mn0qc<80983>4}O:mn0qc<80883>4}O:mn0qc<80`83>4}O:mn0qc<80c83>4}O:mn0qc<80b83>4}O:mn0qc<80e83>4}O:mn0qc<80d83>4}O:mn0qc<80g83>4}O:mn0qc<81183>4}O:mn0qc<81083>4}O:mn0qc<81383>4}O:mn0qc<81283>4}O:mn0qc<81583>4}O:mn0qc<81483>4}O:mn0qc<81783>4}O:mn0qc<81683>4}O:mn0qc<81983>4}O:mn0qc<81883>4}O:mn0qc<81`83>4}O:mn0qc<81c83>4}O:mn0qc<81b83>4}O:mn0qc<81e83>4}O:mn0qc<81d83>4}O:mn0qc<81g83>4}O:mn0qc<82183>4}O:mn0qc<82083>4}O:mn0qc<82383>4}O:mn0qc<82283>4}O:mn0qc<82583>4}O:mn0qc<82483>4}O:mn0qc<82783>4}O:mn0qc<82683>4}O:mn0qc<82983>4}O:mn0qc<82883>4}O:mn0qc<82`83>4}O:mn0qc<82c83>4}O:mn0qc<82b83>4}O:mn0qc<82e83>4}O:mn0qc<82d83>4}O:mn0qc<82g83>4}O:mn0qc<83183>4}O:mn0qc<83083>4}O:mn0qc<83383>4}O:mn0qc<83283>4}O:mn0qc<83583>4}O:mn0qc<83483>4}O:mn0qc<83783>4}O:mn0qc<83683>4}O:mn0qc<83983>4}O:mn0qc<83883>4}O:mn0qc<83`83>4}O:mn0qc<83c83>4}O:mn0qc<83b83>4}O:mn0qc<83e83>4}O:mn0qc<83d83>4}O:mn0qc<83g83>4}O:mn0qc<84183>4}O:mn0qc<84083>4}O:mn0qc<84383>4}O:mn0qc<84283>4}O:mn0qc<84583>4}O:mn0qc<84483>4}O:mn0qc<84783>4}O:mn0qc<84683>4}O:mn0qc<84983>4}O:mn0qc<84883>4}O:mn0qc<84`83>4}O:mn0qc<84c83>4}O:mn0qc<84b83>4}O:mn0qc<84e83>4}O:mn0qc<84d83>4}O:mn0qc<84g83>4}O:mn0qc<85183>4}O:mn0qc<85083>4}O:mn0qc<85383>4}O:mn0qc<85283>4}O:mn0qc<85583>4}O:mn0qc<85483>4}O:mn0qc<85783>4}O:mn0qc<85683>4}O:mn0qc<85983>4}O:mn0qc<85883>4}O:mn0qc<85`83>4}O:mn0qc<85c83>4}O:mn0qc<85b83>4}O:mn0qc<85e83>4}O:mn0qc<85d83>4}O:mn0qc<85g83>4}O:mn0qc<86183>4}O:mn0qc<86083>4}O:mn0qc<86383>4}O:mn0qc<86283>4}O:mn0qc<86583>4}O:mn0qc<86483>4}O:mn0qc<86783>4}O:mn0qc<86683>4}O:mn0qc<86983>4}O:mn0qc<86883>4}O:mn0qc<86`83>4}O:mn0qc<86c83>4}O:mn0qc<86b83>4}O:mn0qc<86e83>4}O:mn0qc<86d83>4}O:mn0qc<86g83>4}O:mn0qc<87183>4}O:mn0qc<87083>4}O:mn0qc<87383>4}O:mn0qc<87283>4}O:mn0qc<87583>4}O:mn0qc<87483>4}O:mn0qc<87783>4}O:mn0qc<87683>4}O:mn0qc<87983>4}O:mn0qc<87883>4}O:mn0qc<87`83>4}O:mn0qc<87c83>4}O:mn0qc<87b83>4}O:mn0qc<87e83>4}O:mn0qc<87d83>4}O:mn0qc<87g83>4}O:mn0qc<88183>4}O:mn0qc<88083>4}O:mn0qc<88383>4}O:mn0qc<88283>4}O:mn0qc<88583>4}O:mn0qc<88483>4}O:mn0qc<88783>4}O:mn0qc<88683>4}O:mn0qc<88983>4}O:mn0qc<88883>4}O:mn0qc<88`83>4}O:mn0qc<88c83>4}O:mn0qc<88b83>4}O:mn0qc<88e83>4}O:mn0qc<88d83>4}O:mn0qc<88g83>4}O:mn0qc<89183>4}O:mn0qc<89083>4}O:mn0qc<89383>4}O:mn0qc<89283>4}O:mn0qc<89583>4}O:mn0qc<89483>4}O:mn0qc<89783>4}O:mn0qc<89683>4}O:mn0qc<89983>4}O:mn0qc<89883>4}O:mn0qc<89`83>4}O:mn0qc<89c83>4}O:mn0qc<89b83>4}O:mn0qc<89e83>4}O:mn0qc<89d83>4}O:mn0qc<89g83>4}O:mn0qc<8a183>4}O:mn0qc<8a083>4}O:mn0qc<8a383>4}O:mn0qc<8a283>4}O:mn0qc<8a583>4}O:mn0qc<8a483>4}O:mn0qc<8a783>4}O:mn0qc<8a683>4}O:mn0qc<8a983>4}O:mn0qc<8a883>4}O:mn0qc<8a`83>4}O:mn0qc<8ac83>4}O:mn0qc<8ab83>4}O:mn0qc<8ae83>4}O:mn0qc<8ad83>4}O:mn0qc<8ag83>4}O:mn0qc<8b183>4}O:mn0qc<8b083>4}O:mn0qc<8b383>4}O:mn0qc<8b283>4}O:mn0qc<8b583>4}O:mn0qc<8b483>4}O:mn0qc<8b783>4}O:mn0qc<8b683>4}O:mn0qc<8b983>4}O:mn0qc<8b883>4}O:mn0qc<8b`83>4}O:mn0qc<8bc83>4}O:mn0qc<8bb83>4}O:mn0qc<8be83>4}O:mn0qc<8bd83>4}O:mn0qc<8bg83>4}O:mn0qc<8c183>4}O:mn0qc<8c083>4}O:mn0qc<8c383>4}O:mn0qc<8c283>4}O:mn0qc<8c583>4}O:mn0qc<8c483>4}O:mn0qc<8c783>4}O:mn0qc<8c683>4}O:mn0qc<8c983>4}O:mn0qc<8c883>4}O:mn0qc<8c`83>4}O:mn0qc<8cc83>4}O:mn0qc<8cb83>4}O:mn0qc<8ce83>4}O:mn0qc<8cd83>4}O:mn0qc<8cg83>4}O:mn0qc<8d183>4}O:mn0qc<8d083>4}O:mn0qc<8d383>4}O:mn0qc<8d283>4}O:mn0qc<8d583>4}O:mn0qc<8d483>4}O:mn0qc<8d783>4}O:mn0qc<8d683>4}O:mn0qc<8d983>4}O:mn0qc<8d883>4}O:mn0qc<8d`83>4}O:mn0qc<8dc83>4}O:mn0qc<8db83>4}O:mn0qc<8de83>4}O:mn0qc<8dd83>4}O:mn0qc<8dg83>4}O:mn0qc<8e183>4}O:mn0qc<8e083>4}O:mn0qc<8e383>4}O:mn0qc<8e283>4}O:mn0qc<8e583>4}O:mn0qc<8e483>4}O:mn0qc<8e783>4}O:mn0qc<8e683>4}O:mn0qc<8e983>4}O:mn0qc<8e883>4}O:mn0qc<8e`83>4}O:mn0qc<8ec83>4}O:mn0qc<8eb83>4}O:mn0qc<8ee83>4}O:mn0qc<8ed83>4}O:mn0qc<8eg83>4}O:mn0qc<8f183>4}O:mn0qc<8f083>4}O:mn0qc<8f383>4}O:mn0qc<8f283>4}O:mn0qc<8f583>4}O:mn0qc<8f483>4}O:mn0qc<8f783>4}O:mn0qc<8f683>4}O:mn0qc<8f983>4}O:mn0qc<8f883>4}O:mn0qc<8f`83>4}O:mn0qc<8fc83>4}O:mn0qc<8fb83>4}O:mn0qc<8fe83>4}O:mn0qc<8fd83>4}O:mn0qc<8fg83>4}O:mn0qc<70183>4}O:mn0qc<70083>4}O:mn0qc<70383>4}O:mn0qc<70283>4}O:mn0qc<70583>4}O:mn0qc<70483>4}O:mn0qc<70783>4}O:mn0qc<70683>4}O:mn0qc<70983>4}O:mn0qc<70883>4}O:mn0qc<70`83>4}O:mn0qc<70c83>4}O:mn0qc<70b83>4}O:mn0qc<70e83>4}O:mn0qc<70d83>4}O:mn0qc<70g83>4}O:mn0qc<71183>4}O:mn0qc<71083>4}O:mn0qc<71383>4}O:mn0qc<71283>4}O:mn0qc<71583>4}O:mn0qc<71483>4}O:mn0qc<71783>4}O:mn0qc<71683>4}O:mn0qc<71983>4}O:mn0qc<71883>4}O:mn0qc<71`83>4}O:mn0qc<71c83>4}O:mn0qc<71b83>4}O:mn0qc<71e83>4}O:mn0qc<71d83>4}O:mn0qc<71g83>4}O:mn0qc<72183>4}O:mn0qc<72083>4}O:mn0qc<72383>4}O:mn0qc<72283>4}O:mn0qc<72583>4}O:mn0qc<72483>4}O:mn0qc<72783>4}O:mn0qc<72683>4}O:mn0qc<72983>4}O:mn0qc<72883>4}O:mn0qc<72`83>4}O:mn0qc<72c83>4}O:mn0qc<72b83>4}O:mn0qc<72e83>4}O:mn0qc<72d83>4}O:mn0qc<72g83>4}O:mn0qc<73183>4}O:mn0qc<73083>4}O:mn0qc<73383>4}O:mn0qc<73283>4}O:mn0qc<73583>4}O:mn0qc<73483>4}O:mn0qc<73783>4}O:mn0qc<73683>4}O:mn0qc<73983>4}O:mn0qc<73883>4}O:mn0qc<73`83>4}O:mn0qc<73c83>4}O:mn0qc<73b83>4}O:mn0qc<73e83>4}O:mn0qc<73d83>4}O:mn0qc<73g83>4}O:mn0qc<74183>4}O:mn0qc<74083>4}O:mn0qc<74383>4}O:mn0qc<74283>4}O:mn0qc<74583>4}O:mn0qc<74483>4}O:mn0qc<74783>4}O:mn0qc<74683>4}O:mn0qc<74983>4}O:mn0qc<74883>4}O:mn0qc<74`83>4}O:mn0qc<74c83>4}O:mn0qc<74b83>4}O:mn0qc<74e83>4}O:mn0qc<74d83>4}O:mn0qc<74g83>4}O:mn0qc<75183>4}O:mn0qc<75083>4}O:mn0qc<75383>4}O:mn0qc<75283>4}O:mn0qc<75583>4}O:mn0qc<75483>4}O:mn0qc<75783>4}O:mn0qc<75683>4}O:mn0qc<75983>4}O:mn0qc<75883>4}O:mn0qc<75`83>4}O:mn0qc<75c83>4}O:mn0qc<75b83>4}O:mn0qc<75e83>4}O:mn0qc<75d83>4}O:mn0qc<75g83>4}O:mn0qc<76183>4}O:mn0qc<76083>4}O:mn0qc<76383>4}O:mn0qc<76283>4}O:mn0qc<76583>4}O:mn0qc<76483>4}O:mn0qc<76783>4}O:mn0qc<76683>4}O:mn0qc<76983>4}O:mn0qc<76883>4}O:mn0qc<76`83>4}O:mn0qc<76c83>4}O:mn0qc<76b83>4}O:mn0qc<76e83>4}O:mn0qc<76d83>4}O:mn0qc<76g83>4}O:mn0qc<77183>4}O:mn0qc<77083>4}O:mn0qc<77383>4}O:mn0qc<77283>4}O:mn0qc<77583>4}O:mn0qc<77483>4}O:mn0qc<77783>4}O:mn0qc<77683>4}O:mn0qc<77983>4}O:mn0qc<77883>4}O:mn0qc<77`83>4}O:mn0qc<77c83>4}O:mn0qc<77b83>4}O:mn0qc<77e83>4}O:mn0qc<77d83>4}O:mn0qc<77g83>4}O:mn0qc<78183>4}O:mn0qc<78083>4}O:mn0qc<78383>4}O:mn0qc<78283>4}O:mn0qc<78583>4}O:mn0qc<78483>4}O:mn0qc<78783>4}O:mn0qc<78683>4}O:mn0qc<78983>4}O:mn0qc<78883>4}O:mn0qc<78`83>4}O:mn0qc<78c83>4}O:mn0qc<78b83>4}O:mn0qc<78e83>4}O:mn0qc<78d83>4}O:mn0qc<78g83>4}O:mn0qc<79183>4}O:mn0qc<79083>4}O:mn0qc<79383>4}O:mn0qc<79283>4}O:mn0qc<79583>4}O:mn0qc<79483>4}O:mn0qc<79783>4}O:mn0qc<79683>4}O:mn0qc<79983>4}O:mn0qc<79883>4}O:mn0qc<79`83>4}O:mn0qc<79c83>4}O:mn0qc<79b83>4}O:mn0qc<79e83>4}O:mn0qc<79d83>4}O:mn0qc<79g83>4}O:mn0qc<7a183>4}O:mn0qc<7a083>4}O:mn0qc<7a383>4}O:mn0qc<7a283>4}O:mn0qc<7a583>4}O:mn0qc<7a483>4}O:mn0qc<7a783>4}O:mn0qc<7a683>4}O:mn0qc<7a983>4}O:mn0qc<7a883>4}O:mn0qc<7a`83>4}O:mn0qc<7ac83>4}O:mn0qc<7ab83>4}O:mn0qc<7ae83>4}O:mn0qc<7ad83>4}O:mn0qc<7ag83>4}O:mn0qc<7b183>4}O:mn0qc<7b083>4}O:mn0qc<7b383>4}O:mn0qc<7b283>4}O:mn0qc<7b583>4}O:mn0qc<7b483>4}O:mn0qc<7b783>4}O:mn0qc<7b683>4}O:mn0qc<7b983>4}O:mn0qc<7b883>4}O:mn0qc<7b`83>4}O:mn0qc<7bc83>4}O:mn0qc<7bb83>4}O:mn0qc<7be83>4}O:mn0qc<7bd83>4}O:mn0qc<7bg83>4}O:mn0qc<7c183>4}O:mn0qc<7c083>4}O:mn0qc<7c383>4}O:mn0qc<7c283>4}O:mn0qc<7c583>4}O:mn0qc<7c483>4}O:mn0qc<7c783>4}O:mn0qc<7c683>4}O:mn0qc<7c983>4}O:mn0qc<7c883>4}O:mn0qc<7c`83>4}O:mn0qc<7cc83>4}O:mn0qc<7cb83>4}O:mn0qc<7ce83>4}O:mn0qc<7cd83>4}O:mn0qc<7cg83>4}O:mn0qc<7d183>4}O:mn0qc<7d083>4}O:mn0qc<7d383>4}O:mn0qc<7d283>4}O:mn0qc<7d583>4}O:mn0qc<7d483>4}O:mn0qc<7d783>4}O:mn0qc<7d683>4}O:mn0qc<7d983>4}O:mn0qc<7d883>4}O:mn0qc<7d`83>4}O:mn0qc<7dc83>4}O:mn0qc<7db83>4}O:mn0qc<7de83>4}O:mn0qc<7dd83>4}O:mn0qc<7dg83>4}O:mn0qc<7e183>4}O:mn0qc<7e083>4}O:mn0qc<7e383>4}O:mn0qc<7e283>4}O:mn0qc<7e583>4}O:mn0qc<7e483>4}O:mn0qc<7e783>4}O:mn0qc<7e683>4}O:mn0qc<7e983>4}O:mn0qc<7e883>4}O:mn0qc<7e`83>4}O:mn0qc<7ec83>4}O:mn0qc<7eb83>4}O:mn0qc<7ee83>4}O:mn0qc<7ed83>4}O:mn0qc<7eg83>4}O:mn0qc<7f183>4}O:mn0qc<7f083>4}O:mn0qc<7f383>4}O:mn0qc<7f283>4}O:mn0qc<7f583>4}O:mn0qc<7f483>4}O:mn0qc<7f783>4}O:mn0qc<7f683>4}O:mn0qc<7f983>4}O:mn0qc<7f883>4}O:mn0qc<7f`83>4}O:mn0qc<7fc83>4}O:mn0qc<7fb83>4}O:mn0qc<7fe83>4}O:mn0qc<7fd83>4}O:mn0qc<7fg83>4}O:mn0qc<60183>4}O:mn0qc<60083>4}O:mn0qc<60383>4}O:mn0qc<60283>4}O:mn0qc<60583>4}O:mn0qc<60483>4}O:mn0qc<60783>4}O:mn0qc<60683>4}O:mn0qc<60983>4}O:mn0qc<60883>4}O:mn0qc<60`83>4}O:mn0qc<60c83>4}O:mn0qc<60b83>4}O:mn0qc<60e83>4}O:mn0qc<60d83>4}O:mn0qc<60g83>4}O:mn0qc<61183>4}O:mn0qc<61083>4}O:mn0qc<61383>4}O:mn0qc<61283>4}O:mn0qc<61583>4}O:mn0qc<61483>4}O:mn0qc<61783>4}O:mn0qc<61683>4}O:mn0qc<61983>4}O:mn0qc<61883>4}O:mn0qc<61`83>4}O:mn0qc<61c83>4}O:mn0qc<61b83>4}O:mn0qc<61e83>4}O:mn0qc<61d83>4}O:mn0qc<61g83>4}O:mn0qc<62183>4}O:mn0qc<62083>4}O:mn0qc<62383>4}O:mn0qc<62283>4}O:mn0qc<62583>4}O:mn0qc<62483>4}O:mn0qc<62783>4}O:mn0qc<62683>4}O:mn0qc<62983>4}O:mn0qc<62883>4}O:mn0qc<62`83>4}O:mn0qc<62c83>4}O:mn0qc<62b83>4}O:mn0qc<62e83>4}O:mn0qc<62d83>4}O:mn0qc<62g83>4}O:mn0qc<63183>4}O:mn0qc<63083>4}O:mn0qc<63383>4}O:mn0qc<63283>4}O:mn0qc<63583>4}O:mn0qc<63483>4}O:mn0qc<63783>4}O:mn0qc<63683>4}O:mn0qc<63983>4}O:mn0qc<63883>4}O:mn0qc<63`83>4}O:mn0qc<63c83>4}O:mn0qc<63b83>4}O:mn0qc<63e83>4}O:mn0qc<63d83>4}O:mn0qc<63g83>4}O:mn0qc<64183>4}O:mn0qc<64083>4}O:mn0qc<64383>4}O:mn0qc<64283>4}O:mn0qc<64583>4}O:mn0qc<64483>4}O:mn0qc<64783>4}O:mn0qc<64683>4}O:mn0qc<64983>4}O:mn0qc<64883>4}O:mn0qc<64`83>4}O:mn0qc<64c83>4}O:mn0qc<64b83>4}O:mn0qc<64e83>4}O:mn0qc<64d83>4}O:mn0qc<64g83>4}O:mn0qc<n2783>4}O:mn0qpsr@AAx6`>6219j4<j68|BCF~6zHIZpqMN
\ No newline at end of file
diff --git a/trb_cts/tlk_interface.vhd b/trb_cts/tlk_interface.vhd
new file mode 100644 (file)
index 0000000..6ae7133
--- /dev/null
@@ -0,0 +1,257 @@
+library IEEE;
+
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+
+--use work.support.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+-- library UNISIM;
+-- use UNISIM.VComponents.all;
+
+entity tlk_interface is
+  port (
+    VIRT_CLK  : in std_logic;
+    ENABLE  : out std_logic;
+    LCKREFN : out std_logic;
+    LOOPEN  : out std_logic;
+    PRBSEN  : out std_logic;
+    RX_CLK  : in  std_logic;
+    RX_DV   : in  std_logic;
+    RX_ER   : in  std_logic;
+    TLK_CLK : in std_logic;
+    TLK_RXD : in  std_logic_vector (15 downto 0);
+    TLK_TXD : out std_logic_vector (15 downto 0);
+    TX_EN   : out std_logic;
+    TX_ER   : out std_logic;
+    RESET_VIRT : in std_logic;
+    TLK_REGISTER_00 : out std_logic_vector(31 downto 0);
+    TLK_REGISTER_01 : out std_logic_vector(31 downto 0);
+    SFP_LOS : in std_logic
+    );
+
+end tlk_interface;
+
+architecture tlk_interface of tlk_interface is
+component IBUFG 
+ port ( 
+ I : in std_logic; 
+ O : out std_logic); 
+ end component; 
+ component BUFG 
+ port ( 
+ I : in std_logic; 
+ O : out std_logic); 
+ end component;
+-- component sfp_status
+--   port (
+--     DATA_FROM_SFP : out   std_logic_vector(7 downto 0);
+--     READ_REQUEST  : in    std_logic;
+--     READ_ACK      : out   std_logic;
+--     ADRESS_TO_SFP : in    std_logic_vector(7 downto 0);
+--     CLK           : in    std_logic;
+--     CLK_en        : in    std_logic;
+--     RESET         : in    std_logic;
+--     I2C_DATA_LINE : inout std_logic;
+--     I2C_CLK_LINE  : inout std_logic;
+--     DEBUG_REG     : out   std_logic_vector (7 downto 0);
+--     SETTINGS_DONE : out   std_logic;
+--     DEBUG_REG_2   : out   std_logic_vector (7 downto 0));
+
+component optical_link_test
+  port (
+    TEST_ENABLE      : in  std_logic;
+    ERROR_COUNTER    : out std_logic_vector(15 downto 0);
+    TLK_RECEIVED_CLK  : in  std_logic;
+    TLK_TRANSMIT_CLK : in  std_logic;
+    DATA_FROM_TLK    : in  std_logic_vector(15 downto 0);
+    DATA_TO_TLK      : out std_logic_vector(15 downto 0);
+    TLK_RX_ER        : in  std_logic;
+    TLK_RX_DV        : in  std_logic;
+    TLK_TX_EN        : out std_logic;
+    TLK_TX_ER        : out std_logic;
+    CLK              : in  std_logic;
+    RESET            : in  std_logic;
+    TEST_REGISTER    : out std_logic_vector(15 downto 0);
+    TEST_REGISTER_01 : out std_logic_vector(31 downto 0);
+    STOP_SENDING     : in  std_logic;
+    STOP_CHECKING    : in  std_logic
+    );
+  end component;
+  component link_converter
+    port (
+      CLK            : in  std_logic;
+      RESET          : in  std_logic;
+      SERDES_LOOPEN  : out std_logic;
+      SERDES_LCKREFN : out std_logic;
+      SERDES_TX_EN   : out std_logic;
+      SERDES_TX_ER   : out std_logic;
+      SERDES_GTX_CLK : in  std_logic;
+      SERDES_RXD     : in  std_logic_vector (15 downto 0);
+      SERDES_RX_CLK  : in  std_logic;
+      SERDES_RX_DV   : in  std_logic;
+      SERDES_RX_ER   : in  std_logic;
+      SERDES_ENABLE  : out std_logic;
+      SERDES_PRBSEN  : out std_logic;
+      SERDES_TXD     : out std_logic_vector (15 downto 0);
+      RX_READY       : in  std_logic;
+      RX_DATA_64     : in  std_logic_vector (63 downto 0);
+      TX_READY       : out std_logic;
+      TX_DATA_64     : out std_logic_vector (63 downto 0);
+      TX_TAKE_DATA   : in  std_logic;
+      SFP_TXFAULT    : in  std_logic;
+      SFP_TXDIS      : out std_logic;
+      SFP_LOS        : in  std_logic;
+      LINK_ERROR     : out std_logic_vector(3 downto 0));
+  end component;
+
+  type SERDES_TXD_FSM is (TXD_IDLE,TXD_SEND1,TXD_SEND2,TXD_SEND3,TXD_SEND4);
+  signal CURRENT_STATE_TXD, NEXT_STATE_TXD : SERDES_TXD_FSM;
+  signal TLK_CLOCK : std_logic;
+  signal TLK_CLOCK_180 : std_logic;
+  signal RESET_COUNTER : std_logic_vector (31 downto 0) := x"00000000";
+  signal INTERNAL_RESET : std_logic;
+  signal REGISTER_TLK : std_logic_vector (15 downto 0);
+  signal CLKIN_i : std_logic;
+  signal CLKFX_i : std_logic;
+  signal CLKFX180_i : std_logic;
+  signal VIRTEX_CLK : std_logic;
+  signal CLK0_i : std_logic;
+  signal CLK0_buf : std_logic;
+  signal DATA_FROM_SFP_i : std_logic_vector (7 downto 0);
+  signal I2C_DATA_LINE_i : std_logic;
+  signal I2C_CLK_LINE_i : std_logic;
+  signal DEBUG_REG_i : std_logic_vector(7 downto 0);
+  signal DEBUG_REG_2_i : std_logic_vector(7 downto 0);
+  signal link_error_counter_i : std_logic_vector(15 downto 0);
+  signal TEST_REGISTER_i : std_logic_vector(15 downto 0);
+  signal link_error : std_logic_vector(3 downto 0);
+  signal data_to_tlk : std_logic_vector(15 downto 0);
+  signal tx_ready : std_logic;
+  signal tx_data_64 : std_logic_vector(63 downto 0);
+  signal rx_data_64 : std_logic_vector(63 downto 0);
+  signal for_simulation_trans : std_logic_vector(15 downto 0);
+  signal for_simulation_dv : std_logic;
+  signal tlk_rx_dv : std_logic;
+  signal for_sim_tlk_rx_er : std_logic;
+  signal test_register : std_logic_vector(15 downto 0);
+  signal tx_en_i : std_logic;
+  signal link_error_counter : std_logic_vector(15 downto 0);
+  signal error_count : std_logic_vector (15 downto 0);
+  
+begin 
+  OPTICAL_LINK_TEST_LOGIC : optical_link_test
+    port map (
+        TEST_ENABLE      => '1',
+        ERROR_COUNTER    => link_error_counter,  --open
+        TLK_RECEIVED_CLK  => VIRTEX_CLK,
+        TLK_TRANSMIT_CLK => VIRTEX_CLK,
+        DATA_FROM_TLK    => tx_data_64(15 downto 0),
+        DATA_TO_TLK      => data_to_tlk,
+        TLK_RX_ER        => '0',
+        TLK_RX_DV        => tx_ready,
+        TLK_TX_EN        => tlk_rx_dv,
+        TLK_TX_ER        => open,
+        CLK              => VIRTEX_CLK,
+        RESET            => INTERNAL_RESET,
+        TEST_REGISTER    => test_register,
+        TEST_REGISTER_01 => TLK_REGISTER_01,
+        STOP_SENDING     => link_error(3),
+        STOP_CHECKING    => tx_ready);
+  
+--   SFP_STATUS: sfp_status
+--     port map (
+--       DATA_FROM_SFP => DATA_FROM_SFP_i,
+--       READ_REQUEST  => '1',
+--       READ_ACK      => open,
+--       ADRESS_TO_SFP => x"01",
+--       CLK           => VIRTEX_CLK,
+--       CLK_en        => '1',
+--       RESET         => INTERNAL_RESET,
+--       I2C_DATA_LINE => I2C_DATA_LINE_i,
+--       I2C_CLK_LINE  => I2C_CLK_LINE_i,
+--       DEBUG_REG     => DEBUG_REG_i,
+--       SETTINGS_DONE => FS_PC(6),
+--       DEBUG_REG_2     => DEBUG_REG_2_i
+--       );
+  rx_data_64 <= data_to_tlk & data_to_tlk & data_to_tlk & data_to_tlk;
+  link_converter_logic : link_converter
+    port map (
+        CLK            => VIRTEX_CLK,
+        RESET          => INTERNAL_RESET,
+        SERDES_LOOPEN  => open,
+        SERDES_LCKREFN => open,
+        SERDES_TX_EN   => tx_en_i,--TX_EN,--open,--for_simulation_dv,--TX_EN,--
+        SERDES_TX_ER   => open,
+        SERDES_GTX_CLK => TLK_CLK,
+        SERDES_RXD     => TLK_RXD,--for_simulation_trans,--TLK_RXD,--
+        SERDES_RX_CLK  => RX_CLK,
+        SERDES_RX_DV   => RX_DV,--for_simulation_dv_b, --RX_DV,--
+        SERDES_RX_ER   => RX_ER,
+        SERDES_ENABLE  => open,
+        SERDES_PRBSEN  => open,
+        SERDES_TXD     => TLK_TXD,--for_simulation_trans_b,--TLK_TXD,--
+        RX_READY       => tlk_rx_dv,
+        RX_DATA_64     => rx_data_64,
+        TX_READY       => tx_ready,
+        TX_DATA_64     => tx_data_64,
+        TX_TAKE_DATA   => tx_ready,
+        SFP_TXFAULT    => '0',
+        SFP_TXDIS      => open,
+        SFP_LOS        => '0',
+        LINK_ERROR     => link_error);
+  ENABLE            <= '1';
+  LOOPEN            <= '0';
+  PRBSEN            <= '0';
+  LCKREFN           <= '1';
+  TLK_REGISTER_00 <= TEST_REGISTER(3) &  link_error(3) & INTERNAL_RESET & tx_en_i & RX_ER & error_count(10 downto 0) & TLK_RXD;--
+  --link_error_counter;
+
+
+-------------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- correct synchronization - check if there is optical signal on sfp - and others => 
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+
+  
+  RESET_VIRTEX : process (VIRTEX_CLK)
+  begin  
+    if rising_edge(VIRTEX_CLK) then  
+      if (RX_ER = '1' and RESET_COUNTER = x"00FFFFFF")or SFP_LOS = '1' then
+        RESET_COUNTER <= x"00000000";
+      elsif RESET_COUNTER < x"00FFFFFF" then
+        RESET_COUNTER <= RESET_COUNTER + 1;
+      else
+        RESET_COUNTER <= RESET_COUNTER;
+      end if;
+    end if;
+  end process RESET_VIRTEX;
+  INTERNAL_RESET <= '1' when RESET_COUNTER < x"00FFFFFE" else '0';
+
+  ERROR_COUNTER: process (RX_CLK, RESET_VIRT,RX_ER, RX_DV)
+  begin  
+    if rising_edge(RX_CLK) then
+      if RX_ER = '1' then
+        error_count <= error_count + 1;
+      else
+        error_count <= error_count;
+      end if;
+    end if;
+  end process ERROR_COUNTER;
+
+  VIRTEX_CLK <= VIRT_CLK;
+  TX_EN <= tx_en_i when RESET_COUNTER > x"00FFFFFE" else '0';-- when INTERNAL_RESET = '0' else '0';
+  TX_ER <= '0';-- when RESET_COUNTER < x"4f" else '0';
+
+
+
+
+end tlk_interface;
diff --git a/trb_cts/trbv2_tlk_api.vhd b/trb_cts/trbv2_tlk_api.vhd
new file mode 100644 (file)
index 0000000..ce33510
--- /dev/null
@@ -0,0 +1,143 @@
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+entity trbv2_tlk_api is
+
+  port (
+    RESET               : in  std_logic;
+    CLK                 : in  std_logic;
+    TLK_CLK             : in  std_logic;
+    TLK_ENABLE          : out std_logic;
+    TLK_LCKREFN         : out std_logic;
+    TLK_LOOPEN          : out std_logic;
+    TLK_PRBSEN          : out std_logic;
+    TLK_RXD             : in  std_logic_vector(15 downto 0);
+    TLK_RX_CLK          : in  std_logic;
+    TLK_RX_DV           : in  std_logic;
+    TLK_RX_ER           : in  std_logic;
+    TLK_TXD             : out std_logic_vector(15 downto 0);
+    TLK_TX_EN           : out std_logic;
+    TLK_TX_ER           : out std_logic;
+    DATA_OUT            : out std_logic_vector(15 downto 0);
+    DATA_IN             : in  std_logic_vector(15 downto 0);
+    DATA_VALID_IN       : in  std_logic;
+    DATA_VALID_OUT      : out std_logic;
+    TLK_API_REGISTER_00 : out std_logic_vector(31 downto 0)
+    );
+end trbv2_tlk_api;
+architecture trbv2_tlk_api of trbv2_tlk_api is
+  component trbv2_tlk_api_fifo
+    port (
+      din           : IN  std_logic_VECTOR(17 downto 0);
+      rd_clk        : IN  std_logic;
+      rd_en         : IN  std_logic;
+      rst           : IN  std_logic;
+      wr_clk        : IN  std_logic;
+      wr_en         : IN  std_logic;
+      dout          : OUT std_logic_VECTOR(17 downto 0);
+      empty         : OUT std_logic;
+      full          : OUT std_logic;
+      rd_data_count : OUT std_logic_VECTOR(9 downto 0);
+      wr_data_count : OUT std_logic_VECTOR(9 downto 0));
+  end component;
+  signal fifo_din_a : std_logic_vector(17 downto 0);
+  signal fifo_dout_a : std_logic_vector(17 downto 0);
+  signal fifo_rst_a : std_logic;
+  signal fifo_rd_en_a : std_logic;
+  signal fifo_rd_data_count_a : std_logic_vector(9 downto 0);
+  signal fifo_wr_data_count_a : std_logic_vector(9 downto 0);
+  signal fifo_empty_a : std_logic;
+  signal fifo_full_a : std_logic;
+  signal fifo_din_m : std_logic_vector(17 downto 0);
+  signal fifo_dout_m : std_logic_vector(17 downto 0);
+  signal fifo_rst_m : std_logic;
+  signal fifo_rd_en_m : std_logic;
+  signal fifo_rd_data_count_m : std_logic_vector(9 downto 0);
+  signal fifo_wr_data_count_m : std_logic_vector(9 downto 0);
+  signal fifo_empty_m : std_logic;
+  signal fifo_full_m : std_logic;
+  signal  reset_fifo_counter : std_logic_vector(7 downto 0);
+begin
+  -----------------------------------------------------------------------------
+  -- api to media
+  -----------------------------------------------------------------------------
+  fifo_din_a <= TLK_RX_ER & TLK_RX_DV & TLK_RXD;
+  
+  OPTICAL_TO_FIFO_TO_API: trbv2_tlk_api_fifo
+    port map (
+        din           => fifo_din_a,
+        rd_clk        => CLK, 
+        rd_en         => fifo_rd_en_a,
+        rst           => fifo_rst_a,
+        wr_clk        => TLK_RX_CLK,
+        wr_en         => '1',
+        dout          => fifo_dout_a,
+        empty         => fifo_empty_a,
+        full          => fifo_full_a,
+        rd_data_count => fifo_rd_data_count_a,
+        wr_data_count => fifo_wr_data_count_a);
+  SYNCH_DATA_OUT: process (CLK, RESET)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then     
+        DATA_VALID_OUT <= '0';
+        DATA_OUT <= x"0000";
+      else
+        DATA_VALID_OUT <=  not(fifo_dout_a(17)) and  fifo_dout_a(16);
+        DATA_OUT <= fifo_dout_a(15 downto 0);
+      end if;
+    end if;
+  end process SYNCH_DATA_OUT;
+  
+  -----------------------------------------------------------------------------
+  -- media to api
+  -----------------------------------------------------------------------------
+  fifo_din_m <= '0' & DATA_VALID_IN & DATA_IN;
+  
+  API_TO_FIFO_TO_OPTICAL: trbv2_tlk_api_fifo
+    port map (
+        din           => fifo_din_m,
+        rd_clk        => TLK_CLK, 
+        rd_en         => fifo_rd_en_m ,
+        rst           => fifo_rst_m ,
+        wr_clk        => CLK,
+        wr_en         => '1',
+        dout          => fifo_dout_m,
+        empty         => fifo_empty_m,
+        full          => fifo_full_m,
+        rd_data_count => fifo_rd_data_count_m,
+        wr_data_count => fifo_wr_data_count_m);
+
+  TLK_TXD  <= fifo_dout_m (15 downto 0);
+  TLK_TX_EN <= fifo_dout_m(16);
+  TLK_TX_ER <= '0';
+  TLK_LOOPEN  <= '0';
+  TLK_LCKREFN <= '1';
+  TLK_ENABLE  <= '1';
+  TLK_PRBSEN  <= '0';
+
+  -----------------------------------------------------------------------------
+  -- all
+  -----------------------------------------------------------------------------
+  RESET_FIFO_COUNTER_PROC: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then
+      if RESET = '1' or fifo_dout_a(17) = '1'then
+        reset_fifo_counter <= x"00";
+      elsif reset_fifo_counter < x"f0" then
+        reset_fifo_counter <= reset_fifo_counter + 1;
+      end if;
+    end if;
+  end process RESET_FIFO_COUNTER_PROC;
+  fifo_rst_m <= '1' when reset_fifo_counter < x"40" else '0';
+  fifo_rst_a <= '1' when reset_fifo_counter < x"40" else '0';
+  fifo_rd_en_m <= '1' when reset_fifo_counter > x"46" else '0';
+  fifo_rd_en_a <= '1' when reset_fifo_counter > x"46" else '0';
+end trbv2_tlk_api;
diff --git a/trb_cts/trbv2_tlk_api_fifo.ngc b/trb_cts/trbv2_tlk_api_fifo.ngc
new file mode 100644 (file)
index 0000000..d0694d3
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$7gf\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1:30?4(79o1:<7GAPTV9TWI@WLG[XTQ[HSGPL9?=878=7<>5IORVP?VUGNUNA]ZV_UJQAVNXIZYN^Y26:1<12>772@D[YY4_RNE\AHVSQV^C^H]G_OGDEQC;13:5=h5>0;KMTPR=X[ELSK[CL^VKV@UO400;2?;4118JJUSS2YXDKRHZLM]WLWCT@VKX_H\[<883:73<990BB][[:QPLCZ@RDEU_D_K\H^LFCDRB400;2<h4118JJUSS2yxdkRkbpu{\pmtb{a626=0=6:33>LHW]]0{~biPelrw}ZrozlycSl}|esv?=?69:?1:<7GAPTV9twi`Wlg{xtQ{hsgplZhboh~n044?>0g855<NFY__6}|`g^dvhiYs`{oxd1750?06?46=AGZ^X7~}of]eqijX|axn\7feQnsrgqp9?=878>7<>5IORVP?vugnUmyabPtipfwmYimnk\7fi1750?3`?46=G\^[YY4KECWD[WBXXG^Y044?>0a855<H]]Z^X7JJBTE\SWYWF]X757>11b924?IR\Y__6_JPD@VB[FIRF]626=0>c:33>JSSX\^1[_QKAUC\GJSI\531<3?6;029KPRW]]0omyoPcnwmp9?=878?7<>5OTVSQQ<cmk\7flS\7fjPpovq[ujr{8Uy044?>0g855<H]]Z^X7jjbte\vaYwf}xT~1750?3f?46=G\^[YY4kecwd[wbXxg~ySz26:1<10>772F__\XZ5dd`vcZquWyd\7f~R~cur3\s9?=878?7<>5OTVSQQ<cmk\7flSz|Ppovq[ujr{;U|044?>0g855<H]]Z^X7jjbte\swYwf}xT~1750?3f?46=G\^[YY4kecwd[rtXxg~ySz26:1<2g>772F__\XZ5re]geqgXkf\7fex1750?3`?46=G\^[YY4xr^fbpdYdg|d\7f044?>06850<NFY__6LJKR@>20?699=1:97GAPTV9EABUJ5;?6=0>2:3;>LHW]]0OEC2>7;2=57=603CE\XZ5dhl?52<768>0=54@UURVP?BH]]6:;7>11592<?IR\Y__6iazt=34>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294m79xcx52eb4730$;;=68=;7;7?3?>>812867?0808=32<1?<246OKDSC?4;?<IMNYM1??>89B@ATF48;556OKDSC?578>3HNO^L2>3?`8EABUI5;?6=06;@FGVD:6<720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?06;@FGVG:6;7h0MIJ]B=37>58>3HNO^O2>4?:8EABUJ5;546OKDS@?6;><IMNYN1=18:CG@WD;<720MIJ]B=7=<>GCL[H7:364AEFQF91902KOH_L38?:8EABUJ535o6OCMNQW[@KW\Ph0MAC@SU]EQIJ>3HYRBNQ]EF18FP4?3K_XSD@IO09@e>EF[JKOID@Na:ABWFGCM@DIn6MNSBCGAJSSIk1HM^MNDDMVPG4<KL80OD:4CMIB0>EKCK90OA\6;BMNILRSMM=0O_KNTDF2?A`<LLH^KR\NM^GNTQ_b3MOIYJQ]AL]EQIJa3MOIYJQ]AL]Q@ZCIn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f3ME^X1?8:1<;?AIR\5;<2:5KOTV?5;1<LF__0?08;EMVP959?2NDYY2;>69GKPR;=7=0HB[[<7<4?AIR\5=5;6J@UU>;:2=CG\^753;4ELRW]6=BFH90ICL=;G06?CGK[L90JIM;;GF@A6=ALY>0JI^J4:DE652<N\FG96Hnlrg2?B5<OGN:7D<4I108M44<A;80E>64IOKWTZ6702CEEY^P00:8MKOSXV:946GAIUR\46><AGC_\R>;8:KMMQVX8<20ECG[P^25<>OIA]ZT<:74IOKWWQGSM>1BBDZP0158MKOSW9;<7D@FT^213>OIA]U;?:5FNHV\411<AGC_S=;8;HLJPZ61?2CEEYQ?769JJLRX81=0ECG[_1;4?LHN\V:J;6GAIU]3F2=NF@^T<N94IOKW[5B03@DBXR>J7:KMMQY7N>1BBDZP1158MKOSW8;<7D@FT^313>OIA]U:?:5FNHV\511<AGC_S<;8;HLJPZ71?2CEEYQ>769JJLRX91=0ECG[_0;4?LHN\V;J;6GAIU]2F2=NF@^T=N94IOKW[4B03@DBXR?J7:KMMQY6N>1BBDZP2158MKOSW;;<7D@FT^013>OIA]U9?:5FNHV\611<AGC_S?;8;HLJPZ41?2CEEYQ=769JJLRX:1=0ECG[_3;4?LHN\V8J;6GAIU]1F2=NF@^T>N94IOKW[7B03@DBXR<J7:KMMQY5N>1BBDZP3158MKOSW:;<7D@FT^113>OIA]U8?:5FNHV\711<AGC_S>;8;HLJPZ51?2CEEYQ<769JJLRX;1=0ECG[_2;4?LHN\V9J;6GAIU]0F2=NF@^T?N94IOKW[6B03@DBXR=J7:KMMQY4N?1BBDZPA79JJLRXJ01BBDZPFHNF7>OI^880ECXJRU]@HNYCGHUYIJ?=;HLUAWRXKEATHBLPRDE0?LIE:2FB:6B@AEGG3>JHO@IJ@95CUU37?ISS:=1GYY=;;MWW00=J]QIRo6CnjnpUawunggi0Ad``rWgqwlii:2D:?6@>059M55533G;;895A1177?K77>=1E==9;;O33<1=I99387C?>4:L2552<F8;:86@>1368J474<2D:=9:4N0360>H69?>0B<?84:L25=2<F8;2?6@>259M57633G;9=95A1307?K75?=1E=?6;;O31=6=I9:>0B<=?4:L2742<F89986@>3268J453<2D:?8:4N0150>H6;090B<:;;O3741=I9=;?7C?;259M51533G;?895A1577?K73>=1E=99;;O37<6=I9<90B<8<;O347>H60:1E=4<4N318J7643G8:?6@=239M17=I>;1E;?5A829M<65<F1>87C6:3:L;26=I0>90B56<;O::6>H>;2D2=>5A9218J<3a3GHTNX]_IO]SVJVT?2DNXZA]K59MKKC63F80CF64OWGQBIIP92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_Kn;QPLCZCJX]S:86^]OF]FIUR^W]BYI^F30?37?UTHOVOF\YWPTIPFWM:668>0\_AH_DOSP\YS@[OXD1<1159SVJAXMDZ_URZGRDQK8686<2ZYCJQJMQVZ[QNUMZB783?;;QPLCZCJX]STXE\JSI>6:42<X[ELSHC_TX]WLWCT@5<5=95_RNE\AHVSQV^C^H]G<6<20>VUGNUNA]ZV_UJQAVN;07;=7]\@G^GNTQ_X\AXN_E26:1<20>VUGNUNA]ZV_UJQAVN;17;i7]\@G^GNTQ_X\AXN_EQNSRGQP9699k1[^BIPELRW]ZROZLYCSL]\ESV?5;7e3YXDKRKBPU[\PMTB[AUJ_^K]T=0=5g=WZFMTI@^[Y^VKV@UOWHYXI_Z33?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1:11c9SVJAXMDZ_URZGRDQK[DUTM[^793?m;QPLCZCJX]STXE\JSI]BWVCU\5<5=o5_RNE\AHVSQV^C^H]G_@QPAWR;?7;i7]\@G^GNTQ_X\AXN_EQNSRGQP9>99m1[^BIPELRW]ZROZLYCSL]\ESV?=?699k1[^BIPELRW]ZROZLYCSL]\ESV?=;7e3YXDKRKBPU[\PMTB[AUEIJO[E=2=5g=WZFMTI@^[Y^VKV@UOWGOLMYK31?3a?UTHOVOF\YWPTIPFWMYIMNK_I1<11c9SVJAXMDZ_URZGRDQK[KC@I]O7?3?m;QPLCZCJX]STXE\JSI]MABGSM5>5=o5_RNE\AHVSQV^C^H]G_OGDEQC;=7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9099k1[^BIPELRW]ZROZLYCSCKHAUG?3;7e3YXDKRKBPU[\PMTB[AUEIJO[E=:=5a=WZFMTI@^[Y^VKV@UOWGOLMYK39;2=5g=WZFMTI@^[Y^VKV@UOWGOLMYK39?;8TWI@WO_G@<=4PSMD[CSKDV^C^H]G<1<27>VUGNUMYABPTIPFWM:66890\_AH_GWOHZROZLYC0?0>3:RQKBYA]EFTXE\JSI>0:45<X[ELSK[CL^VKV@UO4=4:?6^]OF]EQIJX\AXN_E2:>018TWI@WO_G@RZGRDQK8386;2ZYCJQIUMN\PMTB[A6<2<=4PSMD[CSKDV^C^H]G<9<21>VUGNUMYABPTIPFWM:>294:?6^]OF]EQIJX\AXN_E26>0c8TWI@WO_G@RZGRDQK[DUTM[^7<3?n;QPLCZ@RDEU_D_K\H^CPW@TS484:m6^]OF]EQIJX\AXN_EQNSRGQP9499h1[^BIPFTNO[QNUMZBTM^]JRU>0:4g<X[ELSK[CL^VKV@UOWHYXI_Z34?3b?UTHOVL^@AQ[HSGPLZGT[LX_080>a:RQKBYA]EFTXE\JSI]BWVCU\5<5=l5_RNE\BPJKW]BYI^FPARQFVQ:068k0\_AH_GWOHZROZLYCSL]\ESV?<;7d3YXDKRHZLM]WLWCT@VKX_H\[<883:4g<X[ELSK[CL^VKV@UOWHYXI_Z39?3b?UTHOVL^@AQ[HSGPLZHBOH^N0=0>a:RQKBYA]EFTXE\JSI]MABGSM5;5=l5_RNE\BPJKW]BYI^FPNDEBP@:568k0\_AH_GWOHZROZLYCSCKHAUG?7;7f3YXDKRHZLM]WLWCT@VDNKLZJ<5<2e>VUGNUMYABPTIPFWMYIMNK_I1;11`9SVJAXN\FGSYF]ERJ\J@AF\L6=2<o4PSMD[CSKDV^C^H]G_OGDEQC;?7;j7]\@G^DVHIYS@[OXDR@JG@VF8=86k2ZYCJQIUMN\PMTB[AUEIJO[E=;94;7f3YXDKRHZLM]WLWCT@VDNKLZJ<8<2?T0<ZHGI=;h4R@O\A]RBFZCDBROi;SCN[@^SMGYBCCQM6:PG[FJL991YHRJNT@]@KPHS494:<6\K_ECWEZEH]G^7=3??;SF\@DRFWJE^BY2=>028VAYCI]KTOB[AT=1=55=ULVNJXLQLOTLW818682XOSIO[A^ALQKR;=7;;7_JPD@VB[FIRF]6=2<>4RE]GEQGXKF_EX191119Q@ZBF\HUHCX@[<9<26>TCWMK_MRM@UOV?=?69991YHRJNT@]@KPHS404>7_JPEOa8V@GCW^COXEQNc:PFEAYPAM^CSO84RDE@AD0<ZLMHIO=4RRV2?V><[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPDg8Q5)`mgUj\7f#hhar;8QKBBZOFD[l5ZSDP\EIOF[j1^_H\PVHQJFIC23_KGEI=4VBA:?SOB_V^R\H84WDC?4;0<_LK7=384WDC?6;><_LK7?7>16:UFE959>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?`8SWOSMVLB^^Zl;VPJP@YJGMOTMn5XRHVF[HICMVHo7Z\FTD]TMAROWHn0[_G[E^UJ@QNXJ?1\^ROLK79TVZEKC8:0[_QKAUC\GJSI\5:5==5XR^FBPDYDG\D_0<0>0:UQ[AGSIVIDYCZ32?33?RTXLH^JSNAZNU>0:46<_[UOMYOPCNWMP929991\^RJNT@]@KPHS4<4:<6Y]_ECWEZEH]G^7:3??;VP\@DRFWJE^BY28>028SWYCI]KTOB[AT=:=57=PZVNJXLQLOTLW8<<768:0[_QKAUC\GJSI\53596Y]_DL2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO028\ZEHZLUBBKAPLHQW2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oy\7fdaa119[`hYJageyZh||inl`?djjgz~Ti`~{yc9bhhit|Vl~`a84b`ahqub<jhi`y}Qbasifv6=ddb8=7na}efgm+qkw'Vil#y|mv3]whnYfx`Umeka!nfmg?agsiVidycz'0(f8`drfWje~by&>)e9geqgXkf\7fex%<&d:fbpdYdg|d\7f$>'k;ecweZeh}g~#8$j4d`vb[firf}">%i5kauc\gjsi|!<"h6jnt`]`kphs >#o7io{a^alqkr/0 n0hlzn_bmvjq.>!m1omyoPcnwmp969n2njxlQlotlw8<<76>1ondzjrs3;?ace}nUyhR~ats]shpu6W{6;2<64dd`vcZtcWyd\7f~R~cur3\v979911oio{h_sf\tkruWyf~\7f<Q}<3<2<>bbj|mT~iQ\7fnup\tist9Vx7?3?7;egaqbYulVzex\7fQ\7fltq2[w:36820hhlzg^pg[uhszVzgy~?Pr=7=5==cmk\7flS\7fjPpovq[ujr{8Uy0;0>8:fffpaXzmU{by|Ppmwp5Zt;?7;37ikmuf]q`Zvi|{U{`x}>_s>;:4g<llh~kR|k_qlwvZvk}z;T~1750?3;?ace}nUyhR~ats]shpu6W{622<<4dd`vcZtcWyd\7f~R|30?31?ace}nUyhR~ats]q8486:2nnnxiPre]sjqtXz585=?5kecwd[wbXxg~yS\7f2<>008``droVxoS}`{r^p?0;753moiyjQ}d^rmpwYu4<4:>6jjbte\vaYwf}xT~181139gags`W{nT|cz}_s>4:44<llh~kR|k_qlwvZt;07;?7ikmuf]q`Zvi|{Uy044?>008``droVxoS}`{r^p?=;753moiyjQ}d^rmpwYp494:>6jjbte\vaYwf}xT{1?1139gags`W{nT|cz}_v>1:44<llh~kR|k_qlwvZq;;7;97ikmuf]q`Zvi|{U|090>2:fffpaXzmU{by|Pw=7=57=cmk\7flS\7fjPpovq[r:16880hhlzg^pg[uhszV}7;3?=;egaqbYulVzex\7fQx<9<20>bbj|mT~iQ\7fnup\s9?=87;97ikmuf]q`Zvi|{U|040>8:fffpaX\7f{U{by|Ppmwp5Zq;87;37ikmuf]tvZvi|{U{`x}>_v>2:4><llh~kRy}_qlwvZvk}z;T{1<1199gags`W~xT|cz}_qnvw4Yp4:4:46jjbte\swYwf}xT|a{|1^u?0;7?3moiyjQxr^rmpwYwd|y:Sz2:>0:8``droV}yS}`{r^roqv7X\7f5<5=55kecwd[rtXxg~yS}bzs0]t828602nnnxiPws]sjqtXxe\7fx=Ry38?3b?ace}nU|~R~ats]shpu6W~626=0>8:fffpaX\7f{U{by|Ppmwp5Zq;17;37ikmuf]tvZvi|{U{`x}=_v>3:4><llh~kRy}_qlwvZvk}z8T{1?1199gags`W~xT|cz}_qnvw7Yp4;4:46jjbte\swYwf}xT|a{|2^u?7;7?3moiyjQxr^rmpwYwd|y9Sz2;>0:8``droV}yS}`{r^roqv4X\7f5?5=55kecwd[rtXxg~yS}bzs3]t838602nnnxiPws]sjqtXxe\7fx>Ry37?3;?ace}nU|~R~ats]shpu5W~632<o4dd`vcZquWyd\7f~R~cur0\s9?=87;37ikmuf]tvZvi|{U{`x}=_v>::44<llh~kRy}_qlwvZt;87;97ikmuf]tvZvi|{Uy0<0>2:fffpaX\7f{U{by|Pr=0=57=cmk\7flSz|Ppovq[w:46880hhlzg^uq[uhszVx783?=;egaqbYpzVzex\7fQ}<4<26>bbj|mT{\7fQ\7fnup\v9099;1oio{h_vp\tkruW{6<2<<4dd`vcZquWyd\7f~R|38?37?ace}nU|~R~ats]q8<<76880hhlzg^uq[uhszVx753?=;egaqbYpzVzex\7fQx<1<26>bbj|mT{\7fQ\7fnup\s9799;1oio{h_vp\tkruW~692<<4dd`vcZquWyd\7f~Ry33?31?ace}nU|~R~ats]t8186:2nnnxiPws]sjqtX\7f5?5=?5kecwd[rtXxg~ySz29>008``droV}yS}`{r^u?3;753moiyjQxr^rmpwYp414:86jjbte\swYwf}xT{1750?31?ace}nU|~R~ats]t8<813mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'9;ekm,7/13mce$>'9;ekm,1/13mce$8'9;ekm,3/13mce$:'9;ekm,=/13mce$4'9;ekm85803mce0<>17:fjj9766>1oec2>2?58`lh;9:4<7iga<06=3>bnf5;>2:5kio>22;?<l`d7=:4?>69gmk:6?7<0hd`31?48`lh;:7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf\7f\7f$<;&8:flqq.6> 20hb{{(05*3>bh}}"9%:5kotv+7,1<lf\7f\7f$9'8;emvp-3.?2ndyy&9)69gkpr/? =0hb{{(9+4?air|!3";6j`uu>3:==cg|~7==07;emvp976611ocxz313<;?air|5;8255kotv?518?3me~x1?:>99gkpr;9?4j7iazt=34>58?3me~x1?8>69gkpr;97=0hb{{<3<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{15>`nnfUlick}aumq[s5X;%*Hc\7fkheo":*7o6>2lbjbQheogqeqiuW\7f9T?Ro|4:dvhi><fniiydbk6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<0<\vq0b3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az878092dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}949W{~=i6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595;<5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682R|{6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>638jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw34?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;163geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az808Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4?4<=6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5<5S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191709mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>^pw2`=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>;:27<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?<;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[iss494TECXP07d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8681n2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:36?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<4=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0:09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f27>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<46>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::Zts><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1>1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1<1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop959><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1:1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop939><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx181649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop919><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx161649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9>:1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=8<;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]226=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW;<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ<629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[1043geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U>:>5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_740?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY0>:1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS58<;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494<86``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?54?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=32=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4T~y9;;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:21<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;103geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{759mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8380?2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919?>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:?6>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv4f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[iss494TECXP0678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:66>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2=>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:46>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2;>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:26>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f29>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:06>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f27>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:>6>20bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f26>^pw2g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz30?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?16c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7>38m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|595:o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;<7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop939>k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?2;0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=5=2g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz38?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx171689mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U;:45aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6>01ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]12<=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ<689mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U?:45aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY2>01ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]52<=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ8689mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U3:45aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY>>l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:76?o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97=:7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8j;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0?081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=34=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36>;0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7939>;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<7<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919?81ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSa{{<1<\MKPX8?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu484=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0>09f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2;>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<4<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8281n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?6?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu404<>6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{622R|{649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop969><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1=1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop929><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx191649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9>9><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx171629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[5043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U::>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_340?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY4>:1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS98<;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]626=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW?<87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ8629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U2;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<1<40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4;4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs79398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>6:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0;087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9>9W{~<n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSa{{<1<\MKPX8>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:56>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2<>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:36>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2:>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:16>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f28>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?6>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f26>6:8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:>6Vx\7f:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;87<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?6;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;16c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5=5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]32<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U9:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY4>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]72<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ:689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U=:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY0>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu];2<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ6759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8580<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0?087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1=1769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<7<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;>7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=5=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>;:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525S\7fz8b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_mww858XAG\T<:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6?2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>6:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{632:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::2><ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{622R|{6c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;:7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop959>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?0;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=7=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz36?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1916c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7438m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|535:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY7>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]22<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ=689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]62<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ9689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:76=ig{glic ilcnh+HgclVkgxR|k_ecweZeh}g~TeRokdrwa456799987ca}mfgm*cjedb%FmijPamv\vaYci}kTob{at^k\eabt}k:;<=?=329mkwk`mg$m`obd/Lcg`Zgk|VxoSio{a^alqkrXaVkoh~{m012351543geyajka.gnahn)JimnTmazPre]geqgXkf\7fexRgPaefpqg6789;=??5aosodak(adkf`#@okd^copZtcWmk\7fmRm`uov\mZgclz\7fi<=>?2208jjtjold%jalck.Ob`aYfd}UyhRjnt`]`kphsW`Ujhi}zb12341553geyajka.gnahn)JimnTmazPre]geqgXkf\7fexRgPaefpqg6789<8>6``rlefj+`kjea$Aljk_`nw[wbXlh~jSnaznu]j[dbc{|h;<=>7369mkwk`mg$m`obd/Lcg`Zgk|VxoSio{a^alqkrXaVkoh~{m0123[f\7f;979;7ca}mfgm*cjedb%FmijPamv\swYci}kTob{at^k\eab789::<>>4nnpnc`h)nehgg"Cnde]bhqYpzVnjxlQlotlw[lYflm:;<=?=319mkwk`mg$m`obd/Lcg`Zgk|V}ySio{a^alqkrXaVkoh=>?00604>hhzdmnb#hcbmi,IdbcWhf\7fSz|Pd`vb[firf}UbSljk0123534a3geyajka.gnahn)JimnTmazPws]geqgXkf\7fexRgPaef34565:o1ec\7fcheo,ehgjl'DkohRoct^uq[agsiVidyczPi^cg`5678=8m7ca}mfgm*cjedb%FmijPamv\swYci}kTob{at^k\eab789:=>k5aosodak(adkf`#@okd^copZquWmk\7fmRm`uov\mZgcl9:;<5=;;omqibci&ofi`f!Baef\eirX\7f{UomyoPcnwmpZoXimn;<=>Pcx>2:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1>1299mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZe~484946``rlefj+`kjea$A~{m_uos[uhszVxThdhi_b{?6;4?3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot2<>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq5>5>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az808502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSnw36?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp6<2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}9>9:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=2=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1?1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|585>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp959:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=6=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1;1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5<5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp919:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=:=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x171289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz5:5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv979:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=0=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~1=1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz5>5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv939:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=4=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~191289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz525>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9?9:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRmv<1<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWjs7=3<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:56;20bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQly=1=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir090=8:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;=7837ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>5:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu191299mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~414956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww858512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSa{{<0<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWe\7f\7f0?0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[iss4:4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww818512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSa{{<4<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWe\7f\7f0;0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[iss4>4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww8=8512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSa{{<8<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0=0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[}iu484956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq878512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSua}<2<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey090=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[}iu4<4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq838512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSua}<6<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey050=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[}iu404:=6``rlefj+`kjea$B<>>1:llvhabf'lgnae N0325>hhzdmnb#hcbmi,J44692dd~`ijn/dofim(F89:=6``rlefj+`kjea$B<:>1:llvhabf'lgnae N0725>hhzdmnb#hcbmi,J40692dd~`ijn/dofim(F8=:=6``rlefj+`kjea$B<6>1:llvhabf'lgnae N0;25>hhzdmnb#hcbmi,J76692dd~`ijn/dofim(F;;:=6``rlefj+`kjea$B?<>1:llvhabf'lgnae N3125>hhzdmnb#hcbmi,J72692dd~`ijn/dofim(F;?:<6``rlefj+`kjea$B;??;omqibci&ofi`f!A7028jjtjold%jalck.L;55=ig{glic ilcnh+K?402dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U{by|Pgb]{kw6789UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZvi|{UloRv`r1235ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>=_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt7899T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2341YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>?5^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89:=S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3451XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc\7f>?09]Qavsk|88?7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP0368jjtjold%jalck.aoohic'jr%~iv POVQ[GY6:=1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNR<=4:llvhabf'lgnae cminka)dp'xot"^ATS]A[6433geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHT8?:4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]661=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV<986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_607?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX0;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ62`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;<?l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw6789;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4566:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123544f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0101f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>=13c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<><m;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt78999>l5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234175i2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}01266g=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?500b?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=8<0:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:==<Q\W113?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=8>1^QT566<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?0732[VQ5:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123274f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0151f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>813c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<5<m;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt7892:>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>3:70<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni7=3<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8785>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1=1279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:36;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm35?05?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad4?49:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=5=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj632?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?=;423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS=<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[4423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS?<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[6423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS9<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[0423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS;<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[2423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS5<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[<4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<30?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87=3<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;:7827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?7;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<34?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8793<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;>7827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?3;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<38?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8753<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X8;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]26==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R<=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W:837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\07><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q:299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V<946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[24?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P83:8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U2>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{858502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv31?0;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq692?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|959:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<5<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr793<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}:16;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=5=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs050=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;178<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]362=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y5:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_204?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU?>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[0403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ9269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW>8<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y];62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS4<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:;>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw67888i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4565:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23464e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01276g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?040a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>92c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<:<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:3?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=>PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw6788UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1236ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=><_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt789>T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2340YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^rmpwY`kVrd~=>?6^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:<S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp345>XZly~`y?=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[5433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=?:4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]161=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV9986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_507?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX=;>0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ9259mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ15<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS5<;;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\=7g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?010a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=>>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;=?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw6788;9m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4565:k1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123644f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?0111f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=><23c8jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY\7fg{:;<9<m;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\|jt789>:>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567=;h0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos234075i2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012575=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?603\WR6482dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012554YT_89;7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp345069VY\>?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678?89m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4560:k1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123344f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01:1f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>71348jjtjold%jalck.aoohic'jr%{\7fv povq[be;878=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<0<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k585>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>0:70<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWni783<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8085>2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo181279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:06;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm38?05?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad404996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^211>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV;996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^011>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV9996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^611>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV?996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^411>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV=996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^:11>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV3956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1858512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<0<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90?0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54:4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1818512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<4<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90;0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54>4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z18=8512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<8<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S=<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X9;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]16==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R==8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W=837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0\17><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q9299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:V=946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[=4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<P93:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp5:5>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{848502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv32?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq682?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|929:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<4<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7:3<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:06;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=:=6==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs040=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X8;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^313>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT>?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z55?2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP4358jjtjold%jalck.aoohic'jr%{\7fv povq[beXpV?9;6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\271<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR9=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X0;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^;1f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?03`8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpfx;<=?=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9:;>?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|jt78999n6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPxnp34535j2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv`r12327d<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtb|?0151f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?80d8jjtjold%jalck.pg[agsiVidyczPi^22b>hhzdmnb#hcbmi,vaYci}kTob{at^k\54`<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ46n2dd~`ijn/dofim(zmUomyoPcnwmpZoX;8l0bb|bgdl-bidkc&xoSio{a^alqkrXaV>:j6``rlefj+`kjea$~iQkauc\gjsi|VcT9<h4nnpnc`h)nehgg"|k_ecweZeh}g~TeR8>f:llvhabf'lgnae re]geqgXkf\7fexRgP70d8jjtjold%jalck.pg[agsiVidyczPi^:2b>hhzdmnb#hcbmi,vaYci}kTob{at^k\=76<ffxfkh`!fm`oo*twzlgdh"\NM^PG[@H6:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp959;l1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nemmdmf)dil;$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(mxij\7fh mif,nhu]3U'gbh#cly358jjtjold%jalck.vkvhic'hogcjgl/bcf5*p64949;6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0>2:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&|:0?0=7:llvhabf'lgnae tipnka)fmeelen!lad3,r4:46;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="x><5<1b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil;$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0]7[dhc89:;=?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}6;2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}6:2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}692?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}682>k4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[1_-bvr)eocy\7fy=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ3^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk=/ds`evc)j`m%aa~T2\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!jqbcpa+dno'gg|V=R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#h\7flarg-fla)eezP8P bie,ng|403geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=1>1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7;978<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!y1=0=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'\7f;7?3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5929:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#{?P0^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!y1^3\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk=/w3\6Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5Z5Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7X<Vkeh=>?000g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz30?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz31?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz32?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz33?1f?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(mxij\7fh mif,nhu]6U'gbh#cly2`8jjtjold%jalck.vkvhic'hlgcjgl/bce5*cvkhyn"ogh.lns_7[)e`n%anw<b:llvhabf'lgnae tipnka)fneelen!lag3,atef{l$iej blqY0Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.grgdub&kcl"`b\7f[5_-ilb)ejs9;6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0>3:71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:0<0=7:llvhabf'lgnae tipnka)fneelen!lag3,r4:56;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x><2<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~86?2?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t2[5Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag3,r4Y6Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce5*p6W;Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8U8Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:S9Qnne234575l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8585l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8485l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8785l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8684m2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$i|mnsd,amb(jdyQ;Q#h|t/oemwus;k1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#h\7flarg-fla)eezP=P bie,ng|5e3geyajka.gnahn)s`{gdh"oilnejg*efn;%n}no|e/`jc+kkxR8V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'l{hm~k!bhe-iiv\;T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)byjkxi#lfg/oot^2Z&dco"`mv269mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7;878<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1=3=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;7>3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5959:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?34?0e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;T=Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn;%}=R<Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?P3^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1^6\ekb789::>55aosodak(adkf`#yf}mnf,aii`aj%NA]ZV_OMMV@A5j2dd~`ijn/dofim(|axfci!jlnejg*CJX]STBB@]EF]j571<ffxfkh`!fm`oo*rozdeo#hb`gha,VDKXMDZ_URg=a:llvhabf'lgnae tipnka)bdfmbo"m>/bcqv|hb|5:5>l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:66;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm\7f|vndv?6;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<2<0f>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX4X(a{}$fjd||t2:8jjtjold%jalck.vkvhic'lfdkdm c0-fufgtm'hbk#ccpZ3^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h="k~c`qf*go`&df{W?S!mhf-if\7f402dd~`ijn/dofim(|axfci!jlnejg*e6'l{hm~k!bhe-iiv\;T$fei bcx1;?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,atef{l$iej blqY7Y+knl'ghu?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=2=61=ig{glic ilcnh+qnuefn$iaahib-`5*p6484986``rlefj+`kjea$xe|boe-fhjank&i:#{?32?07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4:46;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=1:12b9mkwk`mg$m`obd/ujqijb(meelen!l1.t2[5Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m>/w3\5Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]1[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^1\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_5]bja6789;9m6``rlefj+`kjea$xe|boe-fhjank&i9#no}rxlfp969:h1ec\7fcheo,ehgjl'}byabj emmdmf)d:&ij~\7fwaeu>2:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)di{xrbhz32?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,gdtuqgo\7f0>0<b:llvhabf'lgnae tipnka)bdfmbo"m=/ds`evc)j`m%aa~T0\,ewq(jn`xxx>64nnpnc`h)nehgg"zgrlmg+`jho`i$o?!jqbcpa+dno'gg|V?R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d:&ozol}j.ckd*hjwS;W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+`wdizo%ndi!mmrX7X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n< epabw`(ean$f`}U;]/oj`+kdq;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=1>1259mkwk`mg$m`obd/ujqijb(meelen!l2.t28485<2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;7>3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>0:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q95>5>n5aosodak(adkf`#yf}mnf,aii`aj%h>"x>_1]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i9#{?P1^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<Q=_`lg45679;i0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=R=Paof34566:j1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:S9Qnne234575<2dd~`ijn/dofim(|axfci!jlnejg*ehey;dyy<;;omqibci&ofi`f!{hsol`*ckgnch#nabp3mvp71<ffxfkh`!fm`oo*rozdeo#kb`gha,BPJKWGEE^HI=a:llvhabf'lgnae tipnka)adfmbo"HZLM]MKKTBOVc:>;5aosodak(adkf`#yf}mnf,bii`aj%YM@QIUMN\m7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>3:7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>2:7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>1:7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>0:6c<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ozol}j.ckd*hjwS9W%j~z!mgkqwq5e3geyajka.gnahn)s`{gdh"hcofk`+fijx8%n}no|e/`jc+kkxR;V"`gk.laz7g=ig{glic ilcnh+qnuefn$jaahib-`khv6'l{hm~k!bhe-iiv\:T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)byjkxi#lfg/oot^5Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX0X(jam$fot<8;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5969:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?31?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9585>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?7;403geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=1:12g9mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7X8Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9V;Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;T>Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=R=Paof34566:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?P4^cm`567888o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;878o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;978o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;:78o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;;79n7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V>R.gqw*h`nzz~8n6``rlefj+`kjea$xe|boe-ehjank&ida}< epabw`(ean$f`}U>]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W?S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!ilnejg*ehey8$i|mnsd,amb(jdyQ8Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS=W%adj!mb{13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~86;2?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t28485?2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<2=>358jjtjold%jalck.vkvhic'ofdkdm cnos6*p64:49;6``rlefj+`kjea$xe|boe-ehjank&ida}< v0>7:7`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:S=Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<Q>_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x>_3]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}< v0]0[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t2[1Yffm:;<=?=6:llvhabf'lgnae tipnka)adfmbo"m`mq]eqij6:?1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdzTjxbc2328jjtjold%jalck.vntZvi|{UySigif=2=65=ig{glic ilcnh+qkwWyd\7f~RyPdhde858582dd~`ijn/dofim(\7fyxnabj R@O\SWYBF8;m7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U;=k5aosodak(adkf`#z|Pd`vb[firf}UbS<?i;omqibci&ofi`f!xr^fbpdYdg|d\7fSdQ=1g9mkwk`mg$m`obd/vp\`drfWje~byQf_23e?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]75c=ig{glic ilcnh+rtXlh~jSnaznu]j[07a3geyajka.gnahn)pzVnjxlQlotlw[lY19o1ec\7fcheo,ehgjl'~xThlzn_bmvjqYnW>;m7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U3=k5aosodak(adkf`#z|Pd`vb[firf}UbS4?8;omqibci&`di`f!}d^pppZ`e9h1ec\7fcheo,jjgjl'{nT~~zPiov\44><ffxfkh`!io`oo*tcW{y\7fS\7fkh169mkwk`mg$bbobd/vp\vvrXnk;37ca}mfgm*lhedb%|~R||t^pfc3?<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP0a8jjtjold%ahcmlj-wiuYuidUyhRka1e9mkwk`mg$fi`lck.vntZtfeVxoSh`>8:muaw`kg~k0|\7fah_dosp|733yxdkRkbpu{\pmtb{a";%<:4psmd[`kw|pU\7fd\7fk|h)3*51=wzfmTi`~{y^vkv`uo ;#:86~}of]fiur~W}byi~f'3(37?uthoVof|ywPtipfwm.3!8>0|\7fah_dosp|Ys`{oxd%;&159svjaXmdz\7fuRzgrdqk,3/6<2zycjQjmqvz[qnumzb#;$?;;qplcZcjx}sTxe|jsi*;-42<x{elShc\7ftx]wlwct`!3"=95\7frne\ahvsqV~c~h}g<1<20>vugnUna}zv_ujqavn;97;?7}|`g^gntq\7fX|axn\7fe2=>068twi`Wlg{xtQ{hsgpl9599=1{~biPelrw}Zrozlyc090>4:rqkbYbey~rSyf}erj?1;733yxdkRkbpu{\pmtb{a6=2<:4psmd[`kw|pU\7fd\7fk|h=5=51=wzfmTi`~{y^vkv`uo414::6~}of]fiur~W}byi~f39;2=51=wzfmTi`~{y^vkv`uo404:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6j2zycjQjmqvz[qnumzbTm~}jru*1-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(2+2f>vugnUna}zv_ujqavnXizyn~y&;)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$8'>b:rqkbYbey~rSyf}erj\evubz}"=%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts >#:n6~}of]fiur~W}byi~fParqfvq.?!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,</6j2zycjQjmqvz[qnumzbTm~}jru>3:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{<0<2f>vugnUna}zv_ujqavnXizyn~y2=>0`8twi`Wlg{xtQ{hsgplZgt{lx\7f0>0>b:rqkbYbey~rSyf}erj\evubz}6?2<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts4<4:n6~}of]fiur~W}byi~fParqfvq:168h0|\7fah_dosp|Ys`{oxdRo|sdpw8286j2zycjQjmqvz[qnumzbTm~}jru>;:4b<x{elShc\7ftx]wlwct`Vkx\7fh|{<883:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{<8<2f>vugnUna}zv_ujqavnXflmjxh&?)0`8twi`Wlg{xtQ{hsgplZhboh~n$<'>b:rqkbYbey~rSyf}erj\j`af|l"9%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb :#:n6~}of]fiur~W}byi~fPndebp`.3!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,0/6j2zycjQjmqvz[qnumzbTbhintd*5-4d<x{elShc\7ftx]wlwct`Vdnklzj(6+2f>vugnUna}zv_ujqavnXflmjxh&7)0`8twi`Wlg{xtQ{hsgplZhboh~n$4'>b:rqkbYbey~rSyf}erj\j`af|l6;2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb484:n6~}of]fiur~W}byi~fPndebp`:568h0|\7fah_dosp|Ys`{oxdR`jg`vf8686j2zycjQjmqvz[qnumzbTbhintd>7:4d<x{elShc\7ftx]wlwct`Vdnklzj<4<2f>vugnUna}zv_ujqavnXflmjxh29>0`8twi`Wlg{xtQ{hsgplZhboh~n0:0>b:rqkbYbey~rSyf}erj\j`af|l632<j4psmd[`kw|pU\7fd\7fk|h^lfcdrb400;2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb40427}|`g^dvhi743yxdkRhzlm]wlwct`!:"=>5\7frne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeU\7fd\7fk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=>5\7frne\bpjkW}byi~f'9(30?uthoVl~`aQ{hsgpl9699:1{~biPftno[qnumzb7=3?<;qplcZ`rdeU\7fd\7fk|h=0=56=wzfmTjxbc_ujqavn;;7;87}|`g^dvhiYs`{oxd1:1129svjaXn|fgSyf}erj?1;743yxdkRhzlm]wlwct`5<5=>5\7frne\bpjkW}byi~f37?30?uthoVl~`aQ{hsgpl9>99<1{~biPftno[qnumzb757>1129svjaXn|fgSyf}erj?=;7f3yxdkRhzlm]wlwct`Vkx\7fh|{(1+2e>vugnUmyabPtipfwmYf{zoyx%?&1`9svjaXn|fgSyf}erj\evubz}"9%<o4psmd[cskdV~c~h}g_`qpawr/; ;j7}|`g^dvhiYs`{oxdRo|sdpw,1/6i2zycjQiumn\pmtb{aUj\7f~k}t)7*5d=wzfmTjxbc_ujqavnXizyn~y&9)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#;$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 1#:m6~}of]eqijX|axn\7feQnsrgqp-?.9h1{~biPftno[qnumzbTm~}jru>3:4g<x{elSk{cl^vkv`uoWhyxi\7fz31?3b?uthoVl~`aQ{hsgplZgt{lx\7f0?0>a:rqkbYa}efTxe|jsi]bwvcu|595=l5\7frne\bpjkW}byi~fParqfvq:368k0|\7fah_gwohZrozlycSl}|esv?1;7f3yxdkRhzlm]wlwct`Vkx\7fh|{<7<2e>vugnUmyabPtipfwmYf{zoyx1911`9svjaXn|fgSyf}erj\evubz}632<m4psmd[cskdV~c~h}g_`qpawr;13:5=l5\7frne\bpjkW}byi~fParqfvq:>68k0|\7fah_gwohZrozlycSckhaug+4,7f3yxdkRhzlm]wlwct`Vdnklzj(0+2e>vugnUmyabPtipfwmYimnk\7fi%<&1`9svjaXn|fgSyf}erj\j`af|l"8%<o4psmd[cskdV~c~h}g_ogdeqc/< ;j7}|`g^dvhiYs`{oxdR`jg`vf,0/6i2zycjQiumn\pmtb{aUeijo{e)4*5d=wzfmTjxbc_ujqavnXflmjxh&8)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#4$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 0#:m6~}of]eqijX|axn\7feQaefcwa9699h1{~biPftno[qnumzbTbhintd>2:4g<x{elSk{cl^vkv`uoWgolmyk32?3b?uthoVl~`aQ{hsgplZhboh~n0>0>a:rqkbYa}efTxe|jsi]mabgsm5>5=l5\7frne\bpjkW}byi~fPndebp`:268k0|\7fah_gwohZrozlycSckhaug?2;7f3yxdkRhzlm]wlwct`Vdnklzj<6<2e>vugnUmyabPtipfwmYimnk\7fi1611b9svjaXn|fgSyf}erj\j`af|l626=0>a:rqkbYa}efTxe|jsi]mabgsm535:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7\7fjPd`vb[firf}"9%<>4re]geqgXkf\7fex%=&119q`Zbf|hUhcx`{(5+24>tcWmk\7fmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d\7f$5'>0:pg[agsiVidycz'9(33?wbXlh~jSnaznu>3:46<zmUomyoPcnwmp979991yhRjnt`]`kphs4;4:<6|k_ecweZeh}g~7?3??;sf\`drfWje~by2;>028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7\7fjPd`vb[firf}632<<4re]geqgXkf\7fex1750?33?wbXlh~jSnaznu>::0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus9;1\7f~ox=_unh[dvnWocmc?m4ts`u6ZrkcVk{eRhffn]emciXoldn~lz`r^t0[6Y\7f{}U:56{addpehjq23\7fkgei84ws]bgn0<\7f{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkf\7fex%;&119tvZbf|hUhcx`{(7+24>quWmk\7fmRm`uov+3,773~xThlzn_bmvjq.?!8:0{\7fQkauc\gjsi|!3"==5xr^fbpdYdg|d\7f0=0>0:uq[agsiVidycz31?33?rtXlh~jSnaznu>1:46<\7f{UomyoPcnwmp959991|~Rjnt`]`kphs4=4:<6y}_ecweZeh}g~793??;vp\`drfWje~by29>028swYci}kTob{at=5=55=pzVnjxlQlotlw8=86:2}ySio{a^alqkr;13:5==5xr^fbpdYdg|d\7f040:;vp\ak0<\7f{Uy\7fy?>;Âɾ¹Ã¸ÐÄʹ̰²Þ·³»g8Õǹ×Ä·ÝηÄγÅÄ\7fCDu770k1KLu<6c;D90?7|[?k1?9?55;306155;3;2mk7tn3;6>4=i:0<186*=9281<f=z[?31?9?55;306155;3;2mk74S0ca>621290:??:<2282=d`f3Z<26>:9:1827724::0:5lhj;e4`b?6=93;p_;o535391?74:=99?7?6ag;8 7?62:>87{Z=6383>4<62;>=w^8n:262>0<6;;>8>>4>9`d:?!41m3;jo6X=9581\7fp7e:3;0y<l<:19~ 7662:80n;mi:180b?5=;oqC>;l4Z4g90~7b28l1>84=3;\7f'631=>jl0(?7>:260?_4>:38p?9=53519yl0di3:17b8me;29 7642?i37c<?2;28?j0el3:1(?><:7a;?k47:3;07b8mc;29 7642?i37c<?2;08?j0ej3:1(?><:7a;?k47:3907b8ma;29 7642?i37c<?2;68?j0e13:1(?><:7a;?k47:3?07b8m8;29 7642?i37c<?2;48?j0e?3:1(?><:7a;?k47:3=07b8m6;29 7642?i37c<?2;:8?j0e=3:1(?><:7a;?k47:3307d8ja;29?j0cm3:1(?><:7g;?k47:3:07b8kd;29 7642?o37c<?2;38?j0ck3:1(?><:7g;?k47:3807b8kb;29 7642?o37c<?2;18?j0ci3:1(?><:7g;?k47:3>07b8k9;29 7642?o37c<?2;78?j0c03:1(?><:7g;?k47:3<07b8k7;29 7642?o37c<?2;58?j0c>3:1(?><:7g;?k47:3207b8k5;29 7642?o37c<?2;;8?j53=3:17b=;2;29?l0c<3:17d8lc;29?j55=3:1(?><:215?k47:3:07b==4;29 7642:9=7c<?2;38?j55;3:1(?><:215?k47:3807b==2;29 7642:9=7c<?2;18?j5593:1(?><:215?k47:3>07b==0;29 7642:9=7c<?2;78?j56n3:1(?><:215?k47:3<07b=>e;29 7642:9=7c<?2;58?j55l3:1(?><:215?k47:3207b==c;29 7642:9=7c<?2;;8?j55j3:1(?><:215?k47:3k07b==a;29 7642:9=7c<?2;`8?j5513:1(?><:215?k47:3i07b==8;29 7642:9=7c<?2;f8?j55?3:1(?><:215?k47:3o07b==6;29 7642:9=7c<?2;d8?j56l3:1(?><:215?k47:3;;76a<1b83>!47;398:6`=03825>=n;9:1<7*=028054=i:981<65f2gd94?"58:08=<5a21095>=n:oo1<7*=028054=i:981>65f2gf94?"58:08=<5a21097>=n:oi1<7*=028054=i:981865f2g`94?"58:08=<5a21091>=n:ok1<7*=028054=i:981:65f2g;94?"58:08=<5a21093>=n;921<7*=028054=i:981465f31594?"58:08=<5a2109=>=n;9<1<7*=028054=i:981m65f31794?"58:08=<5a2109f>=n;9>1<7*=028054=i:981o65f31194?"58:08=<5a2109`>=n;981<7*=028054=i:981i65f31394?"58:08=<5a2109b>=n:o21<7*=028054=i:981==54i3d4>5<#:991?<?4n321>47<3`<i87>5;c05`?6=93:1<vF=6c9'631=:?n0c?>?:188yg72<3:1=7>50zJ12g=#:?=1=8:4o070>5<<uk?o6=465;19<0}O:?h0V8k517y`>46=9l0o6<h5f;06>75=980::7k51782b?4228o1h7<<:03955<a2l0h6p*=6685`5=#9h31=8<4$3;2>6243-;mm7?ie:m1=2<722c=oo4?::k57`<72-8;?78;8:l147<732c=?i4?:%037?0302d9<?4>;:k57f<72-8;?78;8:l147<532c=?o4?:%037?0302d9<?4<;:k57d<72-8;?78;8:l147<332c=?44?:%037?0302d9<?4:;:k57=<72-8;?78;8:l147<132c=?:4?:%037?0302d9<?48;:k573<72-8;?78;8:l147<?32c=?84?:%037?0302d9<?46;:m531<722c=oh4?::m5`7<722c=5>4?:%037?0>l2d9<?4?;:k5=7<72-8;?786d:l147<632c=5<4?:%037?0>l2d9<?4=;:k5=5<72-8;?786d:l147<432c=4k4?:%037?0>l2d9<?4;;:k5<`<72-8;?786d:l147<232c=4i4?:%037?0>l2d9<?49;:k5<f<72-8;?786d:l147<032c=4o4?:%037?0>l2d9<?47;:k5<d<72-8;?786d:l147<>32c=ol4?::m571<722e=?>4?::k1=d<722e=nh4?:%037?0d02d9<?4?;:m5fa<72-8;?78l8:l147<632e=nn4?:%037?0d02d9<?4=;:m5fg<72-8;?78l8:l147<432e=nl4?:%037?0d02d9<?4;;:m5f<<72-8;?78l8:l147<232e=n54?:%037?0d02d9<?49;:m5f2<72-8;?78l8:l147<032e=n;4?:%037?0d02d9<?47;:m5f0<72-8;?78l8:l147<>32c=9>4?:%037?02l2d9<?4?;:k517<72-8;?78:d:l147<632c=9<4?:%037?02l2d9<?4=;:k515<72-8;?78:d:l147<432c=8k4?:%037?02l2d9<?4;;:k50`<72-8;?78:d:l147<232c=8i4?:%037?02l2d9<?49;:k50f<72-8;?78:d:l147<032c=8o4?:%037?02l2d9<?47;:k50d<72-8;?78:d:l147<>32c=il4?::m5``<72-8;?78j8:l147<732e=hi4?:%037?0b02d9<?4>;:m5`f<72-8;?78j8:l147<532e=ho4?:%037?0b02d9<?4<;:m5`d<72-8;?78j8:l147<332e=h44?:%037?0b02d9<?4:;:m5`=<72-8;?78j8:l147<132e=h:4?:%037?0b02d9<?48;:m5`3<72-8;?78j8:l147<?32e=h84?:%037?0b02d9<?46;:m000<722e88?4?::k5`1<722c=;h4?:%037?0?02d9<?4?;:k53a<72-8;?7878:l147<632c=;n4?:%037?0?02d9<?4=;:k53g<72-8;?7878:l147<432c=;l4?:%037?0?02d9<?4;;:k53<<72-8;?7878:l147<232c=;54?:%037?0?02d9<?49;:k532<72-8;?7878:l147<032c=;;4?:%037?0?02d9<?47;:k530<72-8;?7878:l147<>32c95o4?::k5ag<722e=oi4?::m5`6<722e=h<4?::k5gf<722e8>84?:%037?54>2d9<?4?;:m061<72-8;?7=<6:l147<632e8>>4?:%037?54>2d9<?4=;:m067<72-8;?7=<6:l147<432e8><4?:%037?54>2d9<?4;;:m065<72-8;?7=<6:l147<232e8=k4?:%037?54>2d9<?49;:m05`<72-8;?7=<6:l147<032e8>i4?:%037?54>2d9<?47;:m06f<72-8;?7=<6:l147<>32e8>o4?:%037?54>2d9<?4n;:m06d<72-8;?7=<6:l147<e32e8>44?:%037?54>2d9<?4l;:m06=<72-8;?7=<6:l147<c32e8>:4?:%037?54>2d9<?4j;:m063<72-8;?7=<6:l147<a32e8=i4?:%037?54>2d9<?4>0:9l74e=83.9<>4<379m654=9810e>>?:18'655=;8;0b?>=:198m7`a290/>==53038j7652810e?hj:18'655=;8;0b?>=:398m7`c290/>==53038j7652:10e?hl:18'655=;8;0b?>=:598m7`e290/>==53038j7652<10e?hn:18'655=;8;0b?>=:798m7`>290/>==53038j7652>10e>>7:18'655=;8;0b?>=:998m660290/>==53038j7652010e>>9:18'655=;8;0b?>=:`98m662290/>==53038j7652k10e>>;:18'655=;8;0b?>=:b98m664290/>==53038j7652m10e>>=:18'655=;8;0b?>=:d98m666290/>==53038j7652o10e?h7:18'655=;8;0b?>=:028?l4a?3:1(?><:232?k47:3;:76a=9983>>o5100;66g9a983>!47;3<i>6`=0383?>o1i>0;6)<?3;4a6>h58;0:76g9a783>!47;3<i>6`=0381?>o1i<0;6)<?3;4a6>h58;0876g9a583>!47;3<i>6`=0387?>o1i:0;6)<?3;4a6>h58;0>76g9a383>!47;3<i>6`=0385?>o1i80;6)<?3;4a6>h58;0<76g9a183>!47;3<i>6`=038;?>o11o0;6)<?3;4a6>h58;0276a=a783>!47;38j46`=0383?>i5i<0;6)<?3;0b<>h58;0:76a=a583>!47;38j46`=0381?>i5i:0;6)<?3;0b<>h58;0876a=a383>!47;38j46`=0387?>i5i80;6)<?3;0b<>h58;0>76a=a183>!47;38j46`=0385?>i51o0;6)<?3;0b<>h58;0<76a=9d83>!47;38j46`=038;?>i51m0;6)<?3;0b<>h58;0276a=a883>>o1>10;6)<?3;446>h58;0;76g96683>!47;3<<>6`=0382?>o1>?0;6)<?3;446>h58;0976g96483>!47;3<<>6`=0380?>o1>=0;6)<?3;446>h58;0?76g96283>!47;3<<>6`=0386?>o1>;0;6)<?3;446>h58;0=76g96083>!47;3<<>6`=0384?>o1>90;6)<?3;446>h58;0376g95g83>!47;3<<>6`=038:?>o1j=0;66l=8g83>4<729q/>;951468L7>b3A8=n6a>5283>>{e:?21<7k>:0g7>76?sA8=n6*=668001=]=l0:?v?l:0f952<603;26<=51482e?7528h1>94>4;5967<e2h026549:|&5aa<1mj1d:h4?::m5`?6=3`8>57>5;n7a>5<<a;?n6=44o7a94?=h>o0;66a=7d83>>i6<>0;66g=8c83>>i5>00;66a:a;29?l43l3:17b?if;29?j41k3:17b?:1;29?j47=3:17d<8f;29?j45?3:17b<jb;29 7642;oj7c<?2;28?j4b13:1(?><:3gb?k47:3;07b<j8;29 7642;oj7c<?2;08?j4b?3:1(?><:3gb?k47:3907b<j6;29 7642;oj7c<?2;68?j4b=3:1(?><:3gb?k47:3?07b<j4;29 7642;oj7c<?2;48?j4b;3:1(?><:3gb?k47:3=07b<j2;29 7642;oj7c<?2;:8?j4b93:1(?><:3gb?k47:3307b<i6;29 7642;l>7c<?2;28?j4a<3:1(?><:3d6?k47:3;07b<i3;29 7642;l>7c<?2;08?j4a:3:1(?><:3d6?k47:3907b<i1;29 7642;l>7c<?2;68?j4a83:1(?><:3d6?k47:3?07b<jf;29 7642;l>7c<?2;48?j4bm3:1(?><:3d6?k47:3=07b<jd;29 7642;l>7c<?2;:8?j4bk3:1(?><:3d6?k47:3307b<m4;29 7642;h87c<?2;28?j4e:3:1(?><:3`0?k47:3;07b<m1;29 7642;h87c<?2;08?j4e83:1(?><:3`0?k47:3907b<nf;29 7642;h87c<?2;68?j4fm3:1(?><:3`0?k47:3?07b<nd;29 7642;h87c<?2;48?j4fk3:1(?><:3`0?k47:3=07b<nb;29 7642;h87c<?2;:8?j4fi3:1(?><:3`0?k47:3307b<mf;29 7642;hn7c<?2;28?j4el3:1(?><:3`f?k47:3;07b<mc;29 7642;hn7c<?2;08?j4ej3:1(?><:3`f?k47:3907b<ma;29 7642;hn7c<?2;68?j4e13:1(?><:3`f?k47:3?07b<m8;29 7642;hn7c<?2;48?j4e?3:1(?><:3`f?k47:3=07b<m6;29 7642;hn7c<?2;:8?j4e=3:1(?><:3`f?k47:3307b<la;29 7642;i27c<?2;28?j4d03:1(?><:3a:?k47:3;07b<l7;29 7642;i27c<?2;08?j4d>3:1(?><:3a:?k47:3907b<l5;29 7642;i27c<?2;68?j4d<3:1(?><:3a:?k47:3?07b<l3;29 7642;i27c<?2;48?j4d:3:1(?><:3a:?k47:3=07b<l1;29 7642;i27c<?2;:8?j4d83:1(?><:3a:?k47:3307b6::18'655=0=1e>=<50:9l<6<72-8;?76;;o036?7<3f296=4+2119<1=i:981>65`8083>!47;32?7c<?2;18?j>7290/>==5859m654=<21d;k4?:%037?>33g8;>7;4;n5f>5<#:991495a21092>=h?m0;6)<?3;:7?k47:3=07b9l:18'655=0=1e>=<58:9l3g<72-8;?76;;o036??<3f83m7>5$320>7>>3g8;>7>4;n0;<?6=,;:86?66;o036?7<3f83;7>5$320>7>>3g8;>7<4;n0;2?6=,;:86?66;o036?5<3f8397>5$320>7>>3g8;>7:4;n0;0?6=,;:86?66;o036?3<3f83?7>5$320>7>>3g8;>784;n0;6?6=,;:86?66;o036?1<3f83=7>5$320>7>>3g8;>764;n0;4?6=,;:86?66;o036??<3f8o97>5$320>7b33g8;>7>4;n0g7?6=,;:86?j;;o036?7<3f8o>7>5$320>7b33g8;>7<4;n0g5?6=,;:86?j;;o036?5<3f8o<7>5$320>7b33g8;>7:4;n0`b?6=,;:86?j;;o036?3<3f8hi7>5$320>7b33g8;>784;n0``?6=,;:86?j;;o036?1<3f8ho7>5$320>7b33g8;>764;n0`f?6=,;:86?j;;o036??<3f=j6=4+21193<=i:981<65`7983>!47;3=27c<?2;38?j10290/>==5789m654=:21d;;4?:%037?1>3g8;>7=4;n56>5<#:991;45a21090>=h?=0;6)<?3;5:?k47:3?07b9<:18'655=?01e>=<56:9l37<72-8;?796;o036?1<3f=:6=4+21193<=i:981465`7183>!47;3=27c<?2;;8?j4b83:1(?><:3fe?k47:3:07b<ke;29 7642;nm7c<?2;38?j4cl3:1(?><:3fe?k47:3807b<kc;29 7642;nm7c<?2;18?j4cj3:1(?><:3fe?k47:3>07b<ka;29 7642;nm7c<?2;78?j4c13:1(?><:3fe?k47:3<07b<k8;29 7642;nm7c<?2;58?j4c?3:1(?><:3fe?k47:3207b<k6;29 7642;nm7c<?2;;8?j74l3:1(?><:01`?k47:3:07b?<b;29 764289h7c<?2;38?j74i3:1(?><:01`?k47:3807b?<9;29 764289h7c<?2;18?j7403:1(?><:01`?k47:3>07b?<7;29 764289h7c<?2;78?j74>3:1(?><:01`?k47:3<07b?<5;29 764289h7c<?2;58?j73>3:1(?><:01`?k47:3207b?;5;29 764289h7c<?2;;8?j73<3:1(?><:01`?k47:3k07b?;3;29 764289h7c<?2;`8?j73:3:1(?><:01`?k47:3i07b?;1;29 764289h7c<?2;f8?j7383:1(?><:01`?k47:3o07b?<f;29 764289h7c<?2;d8?j74m3:1(?><:01`?k47:3;;76a>3583>!47;3;8o6`=03825>=h:<21<7*=028112=i:981<65`24494?"58:099:5a21095>=h:<?1<7*=028112=i:981>65`24694?"58:099:5a21097>=h:<91<7*=028112=i:981865`24094?"58:099:5a21091>=h:<;1<7*=028112=i:981:65`24294?"58:099:5a21093>=h:=l1<7*=028112=i:981465`25g94?"58:099:5a2109=>=n:8h1<7*=02815d=i:981<65f20;94?"58:09=l5a21095>=n:821<7*=02815d=i:981>65f20594?"58:09=l5a21097>=n:8<1<7*=02815d=i:981865f20794?"58:09=l5a21091>=n:8>1<7*=02815d=i:981:65f20194?"58:09=l5a21093>=n:881<7*=02815d=i:981465f20394?"58:09=l5a2109=>=nl;0;6)<?3;f2?k47:3:07dj?:18'655=l81e>=<51:9jgc<72-8;?7j>;o036?4<3`in6=4+2119`4=i:981?65fce83>!47;3n:7c<?2;68?led290/>==5d09m654==21boo4?:%037?b63g8;>784;hab>5<#:991h<5a21093>=nlk0;6)<?3;f2?k47:3207djn:18'655=l81e>=<59:9j`<<72-8;?7j>;o036?g<3`n36=4+2119`4=i:981n65fd683>!47;3n:7c<?2;a8?lb1290/>==5d09m654=l21bh84?:%037?b63g8;>7k4;hf7>5<#:991h<5a2109b>=nl:0;6)<?3;f2?k47:3;;76gl9;29 7642m;0b?>=:038?l4303:1(?><:364?k47:3:07d<;6;29 7642;><7c<?2;38?l43=3:1(?><:364?k47:3807d<;4;29 7642;><7c<?2;18?l43;3:1(?><:364?k47:3>07d<;2;29 7642;><7c<?2;78?l4393:1(?><:364?k47:3<07d<;0;29 7642;><7c<?2;58?l44n3:1(?><:364?k47:3207d<<e;29 7642;><7c<?2;;8?l44l3:1(?><:31`?k47:3:07d<<b;29 7642;9h7c<?2;38?l44i3:1(?><:31`?k47:3807d<<9;29 7642;9h7c<?2;18?l4403:1(?><:31`?k47:3>07d<<7;29 7642;9h7c<?2;78?l44>3:1(?><:31`?k47:3<07d<<5;29 7642;9h7c<?2;58?l44<3:1(?><:31`?k47:3207d<<3;29 7642;9h7c<?2;;8?l44:3:1(?><:312?k47:3:07d<<0;29 7642;9:7c<?2;38?l45n3:1(?><:312?k47:3807d<=e;29 7642;9:7c<?2;18?l45l3:1(?><:312?k47:3>07d<=c;29 7642;9:7c<?2;78?l45j3:1(?><:312?k47:3<07d<=a;29 7642;9:7c<?2;58?l4513:1(?><:312?k47:3207d<=8;29 7642;9:7c<?2;;8?l45>3:1(?><:306?k47:3:07d<=4;29 7642;8>7c<?2;38?l45;3:1(?><:306?k47:3807d<=2;29 7642;8>7c<?2;18?l4593:1(?><:306?k47:3>07d<=0;29 7642;8>7c<?2;78?l46n3:1(?><:306?k47:3<07d<>e;29 7642;8>7c<?2;58?l46l3:1(?><:306?k47:3207d<>c;29 7642;8>7c<?2;;8?l4683:1(?><:32e?k47:3:07d<?e;29 7642;:m7c<?2;38?l47l3:1(?><:32e?k47:3807d<?c;29 7642;:m7c<?2;18?l47j3:1(?><:32e?k47:3>07d<?a;29 7642;:m7c<?2;78?l4713:1(?><:32e?k47:3<07d<?8;29 7642;:m7c<?2;58?l47?3:1(?><:32e?k47:3207d<?6;29 7642;:m7c<?2;;8?g4?n3:1=7>50z&122<6==1C>5k4H34a?j72;3:17pl=9183>4<729q/>;9527f8L7>b3A8=n6a=0183>>{e>;i1<7:50;2x 70028>n7E<7e:J12g=O=j1/=;<51:k6b?6=3`<i6=44i327>5<<g;>26=44}c41b?6==3:1<v*=66820c=O:1o0D?8m;I7`?!71:3;0e8h50;9j21<722c=n7>5;h030?6=3f8?57>5;|`56`<72=0;6=u+275951c<@;2n7E<9b:J6g>"6>;0:7d;i:188m3d=831b>=:50;9l61?=831vn;<k:186>5<7s-8=;7?;f:J1<`=O:?h0D8m4$041>4=n=o0;66g94;29?l0e2900e?>;:188k72>2900qo:=e;291?6=8r.9::4>4g9K6=c<@;<i7)?92;68m0`=831b:94?::k5f?6=3`8;87>5;n07=?6=3th?>i4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd3;80;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`76c<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl;3183>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th??94?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd3;;0;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`776<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl;3483>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th??;4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd38>0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg2703:187>50z&122<6j81C>5k4H34a?l022900e5850;9j60`=831d=kj50;9~f17>29086=4?{%053?4?l2B94h5G27`8m33=831b=lo50;9l5cb=831vn9>n:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi8<o50;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::\7fa05e=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`75g<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th?<h4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk>:o7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c624?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb53g>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=;96=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<8o1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm40694?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl;1g83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd39?0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg2583:1?7>50z&122<50m1C>5k4H34a?l022900e<on:188k4`c2900qo:=1;297?6=8r.9::4=8e9K6=c<@;<i7d8::188m4gf2900c<hk:188yg5am3:197>50z&122<6<m1C>5k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<<g;>26=44}c1e`?6==3:1<v*=66820c=O:1o0D?8m;%356?2<a<l1<75f6583>>o1j3:17d<?4;29?j4313:17pl;0083>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th8jk4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd3890;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`741<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl;0383>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th?<>4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd38<0;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`743<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl<d683>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo=k8;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn>k6:180>5<7s-8=;7<7d:J1<`=O:?h0e;;50;9j5dg=831d=kj50;9~f6bf290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::\7fa7`g=8391<7>t$344>7>c3A83i6F=6c9j20<722c:ml4?::m2ba<722wi?im50;694?6|,;<<6<l>;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th8io4?:283>5}#:?=1>5j4H3:f?M41j2c=97>5;h3be?6=3f;mh7>5;|`0``<72=0;6=u+27595g7<@;2n7E<9b:k51?6=3`2=6=44i37e>5<<g8lo6=44}c1fg?6=;3:1<v*=6681<a=O:1o0D?8m;h46>5<<a8kj6=44o0dg>5<<uk9n<7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj:oo6=4<:183\7f!41?383h6F=8d9K63d<a??1<75f1`c94?=h9on1<75rb2g1>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm3dg94?5=83:p(?88:3:g?M4?m2B9:o5f6483>>o6ih0;66a>fe83>>{e;l>1<7:50;2x 70028h:7E<7e:J12g=n><0;66g76;29?l42n3:17b?id;29?xd4mo0;6>4?:1y'631=:1n0D?6j;I05f>o1=3:17d?na;29?j7al3:17pl<e783>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo=i0;297?6=8r.9::4=8e9K6=c<@;<i7d8::188m4gf2900c<hk:188yg5a93:1?7>50z&122<50m1C>5k4H34a?l022900e<on:188k4`c2900qo=6e;291?6=8r.9::4>4e9K6=c<@;<i7)?92;38m0`=831b:94?::k5<?6=3`<i6=44o36:>5<<uk92h7>55;294~"5>>0:8k5G29g8L70e3-;=>7:4i4d94?=n>=0;66g9b;29?l47<3:17b<;9;29?xd4i80;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`0=c<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl<a183>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th8m94?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd4i;0;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`0e6<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl<a483>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th8m;4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<<a?>1<75f6983>>o1j3:17b<;9;29?xd4?>0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg5003:187>50z&122<6j81C>5k4H34a?l022900e5850;9j60`=831d=kj50;9~f6>>29086=4?{%053?4?l2B94h5G27`8m33=831b=lo50;9l5cb=831vn>9n:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi?5o50;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::\7fa72e=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`0<g<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th8;h4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk93o7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c1;4?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb2:g>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj:296=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e;1o1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm39694?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl<8g83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd40?0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg5>83:1?7>50z&122<50m1C>5k4H34a?l022900e<on:188k4`c2900qo=61;297?6=8r.9::4=8e9K6=c<@;<i7d8::188m4gf2900c<hk:188yg5dl3:197>50z&122<6<m1C>5k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<<g;>26=44}c1`a?6==3:1<v*=66820a=O:1o0D?8m;%356?7<a<l1<75f6583>>o103:17d8m:188k72>2900qo=lf;291?6=8r.9::4>4e9K6=c<@;<i7)?92;38m0`=831b:94?::k5<?6=3`<i6=44o36:>5<<uk9o<7>55;294~"5>>0:8i5G29g8L70e3-;=>7?4i4d94?=n>=0;66g98;29?l0e2900c?:6:188yg5c93:197>50z&122<6<m1C>5k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<<g;>26=44}c1g6?6==3:1<v*=66820a=O:1o0D?8m;%356?7<a<l1<75f6583>>o103:17d8m:188k72>2900qo=k3;291?6=8r.9::4>4e9K6=c<@;<i7)?92;38m0`=831b:94?::k5<?6=3`<i6=44o36:>5<<uk9o87>55;294~"5>>0:8i5G29g8L70e3-;=>7?4i4d94?=n>=0;66g98;29?l0e2900c?:6:188yg5c=3:197>50z&122<6<m1C>5k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<<g;>26=44}c1g2?6==3:1<v*=66820a=O:1o0D?8m;%356?7<a<l1<75f6583>>o103:17d8m:188k72>2900qo=n7;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn>o7:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi?o750;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::\7fa7dg=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`0fd<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th8mn4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk9in7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c1ba?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb2``>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj:h;6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e;kn1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm3c094?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl<bd83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd4j=0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg5en3:1?7>50z&122<50m1C>5k4H34a?l022900e<on:188k4`c2900qo=m6;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn>m?:180>5<7s-8=;7<7d:J1<`=O:?h0e;;50;9j5dg=831d=kj50;9~f6e629086=4?{%053?4?l2B94h5G27`8m33=831b=lo50;9l5cb=831vn>8k:186>5<7s-8=;7?;d:J1<`=O:?h0(<8=:09j1c<722c=87>5;h4;>5<<a?h1<75`25;94?=zj:<n6=4::183\7f!41?3;?h6F=8d9K63d<,8<96<5f5g83>>o1<3:17d87:188m3d=831d>9750;9~f60a290>6=4?{%053?73l2B94h5G27`8 405281b9k4?::k50?6=3`<36=44i7`94?=h:=31<75rb253>5<2290;w)<97;37`>N50l1C>;l4$041>4=n=o0;66g94;29?l0?2900e;l50;9l61?=831vn>9>:186>5<7s-8=;7?;d:J1<`=O:?h0(<8=:09j1c<722c=87>5;h4;>5<<a?h1<75`25;94?=zj:=96=4::183\7f!41?3;?h6F=8d9K63d<,8<96<5f5g83>>o1<3:17d87:188m3d=831d>9750;9~f614290>6=4?{%053?73l2B94h5G27`8 405281b9k4?::k50?6=3`<36=44i7`94?=h:=31<75rb257>5<2290;w)<97;37`>N50l1C>;l4$041>4=n=o0;66g94;29?l0?2900e;l50;9l61?=831vn>9::186>5<7s-8=;7?;d:J1<`=O:?h0(<8=:09j1c<722c=87>5;h4;>5<<a?h1<75`25;94?=zj:==6=4::183\7f!41?3;?h6F=8d9K63d<,8<96<5f5g83>>o1<3:17d87:188m3d=831d>9750;9~f620290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::\7fa71>=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`01<<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th88l4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk9>m7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c17g?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb27a>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj:>n6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e;<i1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm34294?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl<5e83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd4=;0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg52m3:1?7>50z&122<50m1C>5k4H34a?l022900e<on:188k4`c2900qo=:4;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn>;i:180>5<7s-8=;7<7d:J1<`=O:?h0e;;50;9j5dg=831d=kj50;9~f631290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::\7fa736=8391<7>t$344>7>c3A83i6F=6c9j20<722c:ml4?::m2ba<722wi?;?50;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::\7fa1f`=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`6`5<72=0;6=u+27595g7<@;2n7E<9b:k51?6=3`2=6=44i37e>5<<g8lo6=44}c7g5?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb4f1>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm5e194?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl:e383>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo;j3;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn8k;:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi9h;50;694?6|,;<<6<l>;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th>i;4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk?hm7>54;294~"5>>0:8h5G29g8L70e3-;=>7:4i4d94?=n>k0;66g=0583>>i5<00;66sm5gc94?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl:fc83>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo;ic;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn8hk:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi9kk50;694?6|,;<<6<l>;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th=<i4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk<;i7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj?:m6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e>8:1<7:50;2x 70028h:7E<7e:J12g=n><0;66g76;29?l42n3:17b?id;29?xd1980;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg3a=3:187>50z&122<6<l1C>5k4H34a?!71:3;0e8h50;9j2g<722c9<94?::m10<<722wi95>50;694?6|,;<<6<l>;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th>4<4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk?3>7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj<286=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e=1>1<7:50;2x 70028h:7E<7e:J12g=n><0;66g76;29?l42n3:17b?id;29?xd21:0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<hk:188yg3><3:187>50z&122<6j81C>5k4H34a?l022900e5850;9j60`=831d=kj50;9~f0?2290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::\7fa1<0=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`6=2<72=0;6=u+27595g7<@;2n7E<9b:k51?6=3`2=6=44i37e>5<<g8lo6=44}c7b2?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb4c4>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm5`:94?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl:a883>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo;na;290?6=8r.9::4>b09K6=c<@;<i7d8::188m=0=831b>8h50;9l5cb=831vn8l6:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi9oo50;694?6|,;<<6<l>;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th>no4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<<a;?m6=44o0dg>5<<uk?io7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj<ho6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<h31<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn9o7:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c6b3?6=<3:1<v*=66820f=O:1o0D?8m;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd3i?0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi8l;50;694?6|,;<<6<:l;I0;a>N5>k1/=;<51:k6b?6=3`<36=44i7`94?=h:=31<75rb5c7>5<3290;w)<97;37g>N50l1C>;l4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg2f;3:187>50z&122<6<j1C>5k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th?m?4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm4`394?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f1g7290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k5<?6=3`<i6=44o36:>5<<uk>n:7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl;e483>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa0`2=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj=o86=4;:183\7f!41?3;?o6F=8d9K63d<,8<96<5f5g83>>o103:17d8m:188k72>2900qo:j2;290?6=8r.9::4>4b9K6=c<@;<i7)?92;38m0`=831b:54?::k5f?6=3f8?57>5;|`7a4<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e<l:1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn9ji:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c6ga?6=<3:1<v*=66820f=O:1o0D?8m;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd3lm0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi8ko50;694?6|,;<<6<:l;I0;a>N5>k1C9n5+17095>o2n3:17d87:188m3d=831d>9750;9~f1`>290?6=4?{%053?73k2B94h5G27`8L0e<,8<96<5f5g83>>o103:17d8m:188k72>2900qo:i8;290?6=8r.9::4>4b9K6=c<@;<i7E;l;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd3n>0;694?:1y'631=9=i0D?6j;I05f>N2k2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm4g494?2=83:p(?88:06`?M4?m2B9:o5G5b9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj=l>6=4;:183\7f!41?3;?o6F=8d9K63d<@<i0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c6e0?6=<3:1<v*=66820f=O:1o0D?8m;I7`?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th?j>4?:583>5}#:?=1=9m4H3:f?M41j2B>o6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa0c4=83>1<7>t$344>42d3A83i6F=6c9K1f=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn9h>:187>5<7s-8=;7?;c:J1<`=O:?h0D8m4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg2c:3:187>50z&122<6<j1C>5k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th?h<4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm4e294?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f1ea290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k5<?6=3`<i6=44o36:>5<<uk>hi7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl;ce83>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa0fe=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj=ii6=4;:183\7f!41?3;?o6F=8d9K63d<,8<96<5f5g83>>o103:17d8m:188k72>2900qo:la;290?6=8r.9::4>4b9K6=c<@;<i7)?92;38m0`=831b:54?::k5f?6=3f8?57>5;|`7g<<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e=8<1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn8?::187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c720?6=<3:1<v*=66820f=O:1o0D?8m;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd29:0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi9<<50;694?6|,;<<6<:l;I0;a>N5>k1/=;<51:k6b?6=3`<36=44i7`94?=h:=31<75rb432>5<3290;w)<97;37g>N50l1C>;l4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg3683:187>50z&122<6<j1C>5k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th><k4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm51g94?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f06c290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k5<?6=3`<i6=44o36:>5<<uk?>?7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl:5383>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa107=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj<?;6=4;:183\7f!41?3;?o6F=8d9K63d<,8<96<5f5g83>>o103:17d8m:188k72>2900qo;;f;290?6=8r.9::4>4b9K6=c<@;<i7)?92;38m0`=831b:54?::k5f?6=3f8?57>5;|`60`<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e==n1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn8:l:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c77f?6=<3:1<v*=66820f=O:1o0D?8m;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd2<h0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi9;950;694?6|,;<<6<:l;I0;a>N5>k1C9n5+17095>o2n3:17d87:188m3d=831d>9750;9~f001290?6=4?{%053?73k2B94h5G27`8L0e<,8<96<5f5g83>>o103:17d8m:188k72>2900qo;95;290?6=8r.9::4>4b9K6=c<@;<i7E;l;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd2>=0;694?:1y'631=9=i0D?6j;I05f>N2k2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm57194?2=83:p(?88:06`?M4?m2B9:o5G5b9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj<<96=4;:183\7f!41?3;?o6F=8d9K63d<@<i0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c755?6=<3:1<v*=66820f=O:1o0D?8m;I7`?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th>:=4?:583>5}#:?=1=9m4H3:f?M41j2B>o6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa10`=83>1<7>t$344>42d3A83i6F=6c9K1f=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn8;j:187>5<7s-8=;7?;c:J1<`=O:?h0D8m4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg34n3:187>50z&122<6<j1C>5k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th>?h4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm52f94?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f05d290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k5<?6=3`<i6=44o36:>5<<uk?8n7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl:3`83>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa16?=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj<936=4;:183\7f!41?3;?o6F=8d9K63d<,8<96<5f5g83>>o103:17d8m:188k72>2900qo;<7;290?6=8r.9::4>4b9K6=c<@;<i7)?92;38m0`=831b:54?::k5f?6=3f8?57>5;|`673<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e<??1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm44`94?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb547>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=?:6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<<k1<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=<86=4<:183\7f!41?383h6F=8d9K63d<a??1<75f1`c94?=h9on1<75rb573>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44;94?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb541>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>m6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<<21<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=<:6=4<:183\7f!41?383h6F=8d9K63d<a??1<75f1`c94?=h9on1<75rb56f>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44594?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb543>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>o6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<<<1<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=?m6=4<:183\7f!41?383h6F=8d9K63d<a??1<75f1`c94?=h9on1<75rb56`>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44794?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb57f>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>i6=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<<>1<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=?o6=4<:183\7f!41?383h6F=8d9K63d<a??1<75f1`c94?=h9on1<75rb56b>5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44194?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb57`>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>26=4;:183\7f!41?3;i=6F=8d9K63d<a??1<75f8783>>o5=o0;66a>fe83>>{e<<81<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=296=4<:183\7f!41?383h6F=8d9K63d<a??1<75f1`c94?=h9on1<75rb55;>5<4290;w)<97;3ba>N50l1C>;l4$041>60<a8?=6=44i074>5<<g8lo6=44}c6;5?6=;3:1<v*=6681<a=O:1o0D?8m;h46>5<<a8kj6=44o0dg>5<<uk>=i7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj==<6=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>84i075>5<<a8?<6=44o0dg>5<<uk>3<7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c65`?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb555>5<4290;w)<97;3ba>N50l1C>;l4$041>60<a8?=6=44i074>5<<g8lo6=44}c64b?6=;3:1<v*=6681<a=O:1o0D?8m;h46>5<<a8kj6=44o0dg>5<<uk>=o7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj==>6=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>84i075>5<<a8?<6=44o0dg>5<<uk><i7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c65f?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb557>5<4290;w)<97;3ba>N50l1C>;l4$041>60<a8?=6=44i074>5<<g8lo6=44}c64`?6=;3:1<v*=6681<a=O:1o0D?8m;h46>5<<a8kj6=44o0dg>5<<uk>=m7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj==86=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>84i075>5<<a8?<6=44o0dg>5<<uk><o7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c65=?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb551>5<4290;w)<97;3ba>N50l1C>;l4$041>60<a8?=6=44i074>5<<g8lo6=44}c64f?6=;3:1<v*=6681<a=O:1o0D?8m;h46>5<<a8kj6=44o0dg>5<<uk>=47>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj==:6=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>84i075>5<<a8?<6=44o0dg>5<<uk><m7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<<g8lo6=44}c653?6=<3:1<v*=6682f4=O:1o0D?8m;h46>5<<a1<1<75f24d94?=h9on1<75rb553>5<4290;w)<97;3ba>N50l1C>;l4$041>60<a8?=6=44i074>5<<g8lo6=44}c64=?6=;3:1<v*=6681<a=O:1o0D?8m;h46>5<<a8kj6=44o0dg>5<<uk>=:7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:<l1<75`1gf94?=zj=<m6=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>84i075>5<<a8?<6=44o0dg>5<<uk?<m7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl:7883>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa12>=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj<=<6=4;:183\7f!41?3;?o6F=8d9K63d<,8<96<5f5g83>>o103:17d8m:188k72>2900qo;86;290?6=8r.9::4>4b9K6=c<@;<i7)?92;38m0`=831b:54?::k5f?6=3f8?57>5;|`630<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e=>>1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn89<:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<<g;>26=44}c746?6=<3:1<v*=66820f=O:1o0D?8m;%356?7<a<l1<75f6983>>o1j3:17b<;9;29?xd2?80;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi:?o50;694?6|,;<<6<:l;I0;a>N5>k1/=;<51:k6b?6=3`<36=44i7`94?=h:=31<75rb70:>5<3290;w)<97;37g>N50l1C>;l4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg0503:187>50z&122<6<j1C>5k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th=>:4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<<a?21<75f6c83>>i5<00;66sm63494?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f342290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k5<?6=3`<i6=44o36:>5<<uk<987>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl92283>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::\7fa274=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<<a?h1<75`25;94?=zj?8:6=4;:183\7f!41?3;?o6F=8d9K63d<,8<96<5f5g83>>o103:17d8m:188k72>2900qo;l7;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;l6;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;l5;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;l4;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;l3;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;n1;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;n0;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;6f;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;6e;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;6d;297?6=8r.9::4>ad9K6=c<@;<i7)?92;68m4312900e<;8:188k4`c2900qo;m4;291?6=8r.9::4>b19K6=c<@;<i7)?92;14?l72>3:17d?:7;29?l7203:17d?:9;29?j7al3:17pl:b283>0<729q/>;951c28L7>b3A8=n6*>63803>o6=?0;66g>5683>>o6=10;66g>5883>>i6nm0;66sm5c094?3=83:p(?88:0`3?M4?m2B9:o5+170972=n9<<1<75f14594?=n9<21<75f14;94?=h9on1<75rb4`2>5<2290;w)<97;3a4>N50l1C>;l4$041>61<a8?=6=44i074>5<<a8?36=44i07:>5<<g8lo6=44}c7a4?6==3:1<v*=6682f5=O:1o0D?8m;%356?503`;>:7>5;h363?6=3`;>47>5;h36=?6=3f;mh7>5;|`6<`<72<0;6=u+27595g6<@;2n7E<9b:&227<4?2c:9;4?::k212<722c:954?::k21<<722e:ji4?::\7fa1=b=83?1<7>t$344>4d73A83i6F=6c9'534=;>1b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f0>d290>6=4?{%053?7e82B94h5G27`8 4052:=0e<;9:188m4302900e<;7:188m43>2900c<hk:188yg3?j3:197>50z&122<6j91C>5k4H34a?!71:39<7d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd20h0;684?:1y'631=9k:0D?6j;I05f>"6>;08;6g>5783>>o6=>0;66g>5983>>o6=00;66a>fe83>>{e=821<7=50;2x 70028kn7E<7e:J12g=#9?81?>5f14494?=n9<=1<75`1gf94?=zj=ki6=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>=4i075>5<<a8?<6=44o0dg>5<<uk?;o7>53;294~"5>>0:mh5G29g8L70e3-;=>7=<;h362?6=3`;>;7>5;n3e`?6=3th><o4?:283>5}#:?=1=lk4H3:f?M41j2.::?4<3:k213<722c:9:4?::m2ba<722wi9=o50;194?6|,;<<6<oj;I0;a>N5>k1/=;<5329j500=831b=8950;9l5cb=831vn8>6:180>5<7s-8=;7?ne:J1<`=O:?h0(<8=:218m4312900e<;8:188k4`c2900qo;?8;297?6=8r.9::4>ad9K6=c<@;<i7)?92;10?l72>3:17d?:7;29?j7al3:17pl:0683>6<729q/>;951`g8L7>b3A8=n6*>63807>o6=?0;66g>5683>>i6nm0;66sm51494?5=83:p(?88:0cf?M4?m2B9:o5+170976=n9<<1<75f14594?=h9on1<75rb426>5<4290;w)<97;3ba>N50l1C>;l4$041>65<a8?=6=44i074>5<<g8lo6=44}c730?6=;3:1<v*=6682e`=O:1o0D?8m;%356?543`;>:7>5;h363?6=3f;mh7>5;|`7=c<72:0;6=u+27595dc<@;2n7E<9b:&227<4;2c:9;4?::k212<722e:ji4?::\7fa0<c=8391<7>t$344>4gb3A83i6F=6c9'534=;:1b=8850;9j501=831d=kj50;9~f1?c29086=4?{%053?7fm2B94h5G27`8 4052:90e<;9:188m4302900c<hk:188yg2>k3:1?7>50z&122<6il1C>5k4H34a?!71:3987d?:6;29?l72?3:17b?id;29?xd31k0;6>4?:1y'631=9ho0D?6j;I05f>"6>;08?6g>5783>>o6=>0;66a>fe83>>{e<0k1<7=50;2x 70028kn7E<7e:J12g=#9?81?>5f14494?=n9<=1<75`1gf94?=zj=326=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>=4i075>5<<a8?<6=44o0dg>5<<uk>247>53;294~"5>>0:mh5G29g8L70e3-;=>7=<;h362?6=3`;>;7>5;n3e`?6=3th?5:4?:283>5}#:?=1=lk4H3:f?M41j2.::?4<3:k213<722c:9:4?::m2ba<722wi9<o50;694?6|,;<<6<oi;I0;a>N5>k1/=;<5399j500=831b=8950;9j50>=831d=kj50;9~f1gc290?6=4?{%053?7fn2B94h5G27`8 4052:20e<;9:188m4302900e<;7:188k4`c2900qo;>c;291?6=8r.9::4>b19K6=c<@;<i7)?92;16?l72>3:17d?:7;29?l7203:17d?:9;29?j7al3:17pl;ag83>0<729q/>;951c28L7>b3A8=n6*>63801>o6=?0;66g>5683>>o6=10;66g>5883>>i6nm0;66sm50g94?5=83:p(?88:0cf?M4?m2B9:o5+170976=n9<<1<75f14594?=h9on1<75rb5`2>5<4290;w)<97;3ba>N50l1C>;l4$041>65<a8?=6=44i074>5<<g8lo6=44}c714?6=<3:1<v*=6682ec=O:1o0D?8m;%356?5?3`;>:7>5;h363?6=3`;>47>5;n3e`?6=3th?n>4?:583>5}#:?=1=lh4H3:f?M41j2.::?4<8:k213<722c:9:4?::k21=<722e:ji4?::\7fa174=83?1<7>t$344>4d73A83i6F=6c9'534=;<1b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f1d2290>6=4?{%053?7e82B94h5G27`8 4052:?0e<;9:188m4302900e<;7:188m43>2900c<hk:188yg0583:1?7>50z&122<6il1C>5k4H34a?!71:38i7d?:6;29?l72?3:17b?id;29?xd19k0;684?:1y'631=9k:0D?6j;I05f>"6>;08;6g>5783>>o6=>0;66g>5983>>o6=00;66a>fe83>>{e>921<7;50;2x 70028h;7E<7e:J12g=#9?81?:5f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj<l;6=4::183\7f!41?3;i<6F=8d9K63d<,8<96>94i075>5<<a8?<6=44i07;>5<<a8?26=44o0dg>5<<uk?oh7>55;294~"5>>0:n=5G29g8L70e3-;=>7=8;h362?6=3`;>;7>5;h36<?6=3`;>57>5;n3e`?6=3th==l4?:483>5}#:?=1=o>4H3:f?M41j2.::?4<7:k213<722c:9:4?::k21=<722c:944?::m2ba<722wi:=950;794?6|,;<<6<l?;I0;a>N5>k1/=;<5369j500=831b=8950;9j50>=831b=8750;9l5cb=831vn8ki:186>5<7s-8=;7?m0:J1<`=O:?h0(<8=:258m4312900e<;8:188m43?2900e<;6:188k4`c2900qo;kc;291?6=8r.9::4>b19K6=c<@;<i7)?92;14?l72>3:17d?:7;29?l7203:17d?:9;29?j7al3:17pl91883>0<729q/>;951c28L7>b3A8=n6*>63803>o6=?0;66g>5683>>o6=10;66g>5883>>i6nm0;66sm61494?3=83:p(?88:0`3?M4?m2B9:o5+170972=n9<<1<75f14594?=n9<21<75f14;94?=h9on1<75rb4gf>5<2290;w)<97;3a4>N50l1C>;l4$041>61<a8?=6=44i074>5<<a8?36=44i07:>5<<g8lo6=44}c7gf?6==3:1<v*=6682f5=O:1o0D?8m;%356?503`;>:7>5;h363?6=3`;>47>5;h36=?6=3f;mh7>5;|`55=<72<0;6=u+27595g6<@;2n7E<9b:&227<4?2c:9;4?::k212<722c:954?::k21<<722e:ji4?::\7fa253=83?1<7>t$344>4d73A83i6F=6c9'534=;>1b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f0cc290>6=4?{%053?7e82B94h5G27`8 4052:=0e<;9:188m4302900e<;7:188m43>2900c<hk:188yg3ci3:197>50z&122<6j91C>5k4H34a?!71:39<7d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd2?k0;6>4?:1y'631=9ho0D?6j;I05f>"6>;09n6g>5783>>o6=>0;66a>fe83>>{e>8=1<7;50;2x 70028h;7E<7e:J12g=#9?81?:5f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj?:?6=4::183\7f!41?3;i<6F=8d9K63d<,8<96>94i075>5<<a8?<6=44i07;>5<<a8?26=44o0dg>5<<uk?no7>55;294~"5>>0:n=5G29g8L70e3-;=>7=8;h362?6=3`;>;7>5;h36<?6=3`;>57>5;n3e`?6=3th>h44?:483>5}#:?=1=o>4H3:f?M41j2.::?4<7:k213<722c:9:4?::k21=<722c:944?::m2ba<722wi8=750;094?6|,;<<6<ok;I0;a>N5>k1/=;<5279j500=831d=kj50;9~f16e29096=4?{%053?7fl2B94h5G27`8 4052;<0e<;9:188k4`c2900qo:?d;296?6=8r.9::4>ae9K6=c<@;<i7)?92;05?l72>3:17b?id;29?xd38o0;6?4?:1y'631=9hn0D?6j;I05f>"6>;09:6g>5783>>i6nm0;66sm40394?4=83:p(?88:0cg?M4?m2B9:o5+170963=n9<<1<75`1gf94?=zj=;86=4=:183\7f!41?3;jh6F=8d9K63d<,8<96?84i075>5<<g8lo6=44}c621?6=:3:1<v*=6682ea=O:1o0D?8m;%356?413`;>:7>5;n3e`?6=3th?=:4?:383>5}#:?=1=lj4H3:f?M41j2.::?4=6:k213<722e:ji4?::\7fa7a?=8381<7>t$344>4gc3A83i6F=6c9'534=:?1b=8850;9l5cb=831vn>jm:181>5<7s-8=;7?nd:J1<`=O:?h0(<8=:348m4312900c<hk:188yg5cl3:1>7>50z&122<6im1C>5k4H34a?!71:38=7d?:6;29?j7al3:17pl<dg83>7<729q/>;951`f8L7>b3A8=n6*>63812>o6=?0;66a>fe83>>{e;l;1<7<50;2x 70028ko7E<7e:J12g=#9?81>;5f14494?=h9on1<75rb2g0>5<5290;w)<97;3b`>N50l1C>;l4$041>70<a8?=6=44o0dg>5<<uk9n97>52;294~"5>>0:mi5G29g8L70e3-;=>7<9;h362?6=3f;mh7>5;|`0a2<72;0;6=u+27595db<@;2n7E<9b:&227<5>2c:9;4?::m2ba<722wi?:750;094?6|,;<<6<ok;I0;a>N5>k1/=;<5279j500=831d=kj50;9~f61e29096=4?{%053?7fl2B94h5G27`8 4052;<0e<;9:188k4`c2900qo=8d;296?6=8r.9::4>ae9K6=c<@;<i7)?92;05?l72>3:17b?id;29?xd4?o0;6?4?:1y'631=9hn0D?6j;I05f>"6>;09:6g>5783>>i6nm0;66sm39394?4=83:p(?88:0cg?M4?m2B9:o5+170963=n9<<1<75`1gf94?=zj:286=4=:183\7f!41?3;jh6F=8d9K63d<,8<96?84i075>5<<g8lo6=44}c1;1?6=:3:1<v*=6682ea=O:1o0D?8m;%356?413`;>:7>5;n3e`?6=3th84:4?:383>5}#:?=1=lj4H3:f?M41j2.::?4=6:k213<722e:ji4?::\7fa7d?=8381<7>t$344>4gc3A83i6F=6c9'534=:?1b=8850;9l5cb=831vn>om:181>5<7s-8=;7?nd:J1<`=O:?h0(<8=:348m4312900c<hk:188yg5fl3:1>7>50z&122<6im1C>5k4H34a?!71:38=7d?:6;29?j7al3:17pl<ag83>7<729q/>;951`f8L7>b3A8=n6*>63812>o6=?0;66a>fe83>>{e;k;1<7<50;2x 70028ko7E<7e:J12g=#9?81>;5f14494?=h9on1<75rb2`0>5<5290;w)<97;3b`>N50l1C>;l4$041>70<a8?=6=44o0dg>5<<uk9i97>52;294~"5>>0:mi5G29g8L70e3-;=>7<9;h362?6=3f;mh7>5;|`0f2<72;0;6=u+27595db<@;2n7E<9b:&227<5>2c:9;4?::m2ba<722wi?9750;094?6|,;<<6<ok;I0;a>N5>k1/=;<5279j500=831d=kj50;9~f62e29096=4?{%053?7fl2B94h5G27`8 4052;<0e<;9:188k4`c2900qo=;d;296?6=8r.9::4>ae9K6=c<@;<i7)?92;05?l72>3:17b?id;29?xd4<o0;6?4?:1y'631=9hn0D?6j;I05f>"6>;09:6g>5783>>i6nm0;66sm34394?4=83:p(?88:0cg?M4?m2B9:o5+170963=n9<<1<75`1gf94?=zj:?86=4=:183\7f!41?3;jh6F=8d9K63d<,8<96?84i075>5<<g8lo6=44}c161?6=:3:1<v*=6682ea=O:1o0D?8m;%356?413`;>:7>5;n3e`?6=3th89:4?:383>5}#:?=1=lj4H3:f?M41j2.::?4=6:k213<722e:ji4?::\7fa074=8381<7>t$344>4gc3A83i6F=6c9'534=:?1b=8850;9l5cb=831vn>h=:181>5<7s-8=;7?nd:J1<`=O:?h0(<8=:348m4312900c<hk:188yg5>:3:1>7>50z&122<6im1C>5k4H34a?!71:38=7d?:6;29?j7al3:17pl<c383>7<729q/>;951`f8L7>b3A8=n6*>63812>o6=?0;66a>fe83>>{e;?81<7<50;2x 70028ko7E<7e:J12g=#9?81>;5f14494?=h9on1<75rb711>5<3290;w)<97;3bb>N50l1C>;l4$041>42f3`;>:7>5;h363?6=3`;>47>5;n3e`?6=3th?n:4?:283>5}#:?=1=lk4H3:f?M41j2.::?4<3:k213<722c:9:4?::m2ba<722wi8oo50;794?6|,;<<6<l?;I0;a>N5>k1/=;<5349j500=831b=8950;9j50>=831b=8750;9l5cb=831vn9l7:187>5<7s-8=;7?nf:J1<`=O:?h0(<8=:268m4312900e<;8:188m43?2900c<hk:188yg2ek3:197>50z&122<6j91C>5k4H34a?!71:3927d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd3j00;684?:1y'631=9k:0D?6j;I05f>"6>;0896g>5783>>o6=>0;66g>5983>>o6=00;66a>fe83>>{e<ko1<7;50;2x 70028h;7E<7e:J12g=#9?81?85f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj<8?6=4<:183\7f!41?3;ji6F=8d9K63d<,8<96>=4i075>5<<a8?<6=44o0dg>5<<uk?9;7>55;294~"5>>0:n=5G29g8L70e3-;=>7=:;h362?6=3`;>;7>5;h36<?6=3`;>57>5;n3e`?6=3th>>84?:583>5}#:?=1=lh4H3:f?M41j2.::?4<4:k213<722c:9:4?::k21=<722e:ji4?::\7fa17?=83?1<7>t$344>4d73A83i6F=6c9'534=;01b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f041290>6=4?{%053?7e82B94h5G27`8 4052:?0e<;9:188m4302900e<;7:188m43>2900c<hk:188yg35j3:197>50z&122<6j91C>5k4H34a?!71:39>7d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd2n=0;684?:1y'631=9k:0D?6j;I05f>"6>;0:9=5f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj<i26=4::183\7f!41?3;i<6F=8d9K63d<,8<96<;?;h362?6=3`;>;7>5;h36<?6=3`;>57>5;n3e`?6=3th?=54?:383>5}#:?=1=l84H3:f?M41j2c:984?::m2ba<722wi?h650;094?6|,;<<6<o9;I0;a>N5>k1b=8;50;9l5cb=831vn>67:181>5<7s-8=;7?n6:J1<`=O:?h0e<;::188k4`c2900qo=m8;296?6=8r.9::4>a79K6=c<@;<i7d?:5;29?j7al3:17pl<5983>7<729q/>;951`48L7>b3A8=n6g>5483>>i6nm0;66sm62294?e5290;w)<97;07e>N50l1C>;l4Z4g9g~472;;1>:4=8;0`>7b=:l09j7<6:3c975<493w/=;o53:&22g<43-;=o7=4$04g>6=#9?o1?6*>6g80?!708390(<9>:29'524=;2.:;>4<;%340?5<,8=>6>5+16497>"6?>087)?88;18 41>2:1/=:o53:&23g<43-;<o7=4$05g>6=#9>o1?6*>7g80?!7?8390(<6>:29'5=4=;2.:4>4<;%3;0?5<,82>6>5+19497>"60>087)?78;18 4>>2:1/=5o53:&2<g<43-;3o7=4$0:g>6=#91o1?6*>8g80?!7>8390(<7>:29'5<4=;2.:5>4<;%3:0?5<,83>6>5+18497>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m84>fb9'5d5=:2.:m94=;%36e?5<,8?i6>5+14a97>"6=m087)?:e;18 43a2:1/=l9515`8 4g?28>i7)<;b;3eg>"5<j0:jn5a24c957=i:<h1=?5+27395ce<,8<;6>5+17397>"5?h09;45+26`962?<f;=h6<<4n35g>44<f8:<6=5a13;94>"5>90:8o5+27196>"6>:087)?94;18 4022:1/=;853:&222<43-;=47=4$04:>6=#:?>1>6g90;29?l062900e;850;9j22<722c:854?::k11f<722c99i4?::k20<<722c9:84?::k123<722e=>7>5;n40>5<<a=:1<7*=0280b>h58;0;76g<e;29 7642:l0b?>=:098m6b=83.9<>4<f:l147<532c8o7>5$320>6`<f;:96>54i2`94?"58:08j6`=0387?>o313:1(?><:2d8j7652<10e9650;&146<4n2d9<?49;:k73?6=,;:86>h4n321>2=<a=<1<7*=0280b>h58;0376g;5;29 7642:l0b?>=:898m12=83.9<>4<f:l147<f32c??7>5$320>6`<f;:96o54i5094?"58:08j6`=038`?>o393:1(?><:2d8j7652m10e>o50;&146<4n2d9<?4j;:k64?6=,;:869h4n321>5=<a=o1<7*=0287b>h58;0:76g;d;29 7642=l0b?>=:398m1e=83.9<>4;f:l147<432c?n7>5$320>1`<f;:96954i4;94?"58:0?j6`=0386?>o203:1(?><:5d8j7652?10e8950;&146<3n2d9<?48;:k62?6=,;:869h4n321>==<a<?1<7*=0287b>h58;0276g:4;29 7642=l0b?>=:`98m05=83.9<>4;f:l147<e32c>>7>5$320>1`<f;:96n54i4394?"58:0?j6`=038g?>o3i3:1(?><:5d8j7652l10el>50;&146<>n2d9<?4?;:k:a?6=,;:864h4n321>4=<a0i1<7*=028:b>h58;0976g6b;29 76420l0b?>=:298m<g=83.9<>46f:l147<332c257>5$320><`<f;:96854i8:94?"58:02j6`=0385?>o>?3:1(?><:8d8j7652>10e4850;&146<>n2d9<?47;:k:1?6=,;:864h4n321><=<a0>1<7*=028:b>h58;0j76g63;29 76420l0b?>=:c98m<7=83.9<>46f:l147<d32c2<7>5$320><`<f;:96i54i9d94?"58:02j6`=038f?>o?m3:1(?><:8d8j7652o10e5j50;&146<>n2d9<?4>0:9j<f<72-8;?77i;o036?7632c3n7>5$320><`<f;:96<<4;h:b>5<#:9915k5a210956=<a131<7*=028:b>h58;0:865f8983>!47;33m7c<?2;36?>of?3:1(?><:8d8j76528<07do9:18'655=1o1e>=<51698md3=83.9<>46f:l147<6021bm94?:%037??a3g8;>7?6;:kb7?6=,;:864h4n321>4g<3`k96=4+2119=c=i:981=o54i`394?"58:02j6`=0382g>=n1m0;6)<?3;;e?k47:3;o76g62;29 76420l0b?>=:0g8?l>0290/>==59g9m654=9o10en?50;&146<d82d9<?4?;:kab?6=,;:86n>4n321>4=<akn1<7*=028`4>h58;0976gmc;29 7642j:0b?>=:298mgd=83.9<>4l0:l147<332cim7>5$320>f6<f;:96854ic;94?"58:0h<6`=0385?>oe03:1(?><:b28j7652>10eo950;&146<d82d9<?47;:ka2?6=,;:86n>4n321><=<ak?1<7*=028`4>h58;0j76gm4;29 7642j:0b?>=:c98mg4=83.9<>4l0:l147<d32ci=7>5$320>f6<f;:96i54ic294?"58:0h<6`=038f?>ofn3:1(?><:b28j7652o10elk50;&146<d82d9<?4>0:9jea<72-8;?7m?;o036?7632cjo7>5$320>f6<f;:96<<4;hca>5<#:991o=5a210956=<ahk1<7*=028`4>h58;0:865fa883>!47;3i;7c<?2;36?>od03:1(?><:b28j76528<07dm8:18'655=k91e>=<51698mf0=83.9<>4l0:l147<6021bo84?:%037?e73g8;>7?6;:k`0?6=,;:86n>4n321>4g<3`i86=4+2119g5=i:981=o54ib094?"58:0h<6`=0382g>=njl0;6)<?3;a3?k47:3;o76gm3;29 7642j:0b?>=:0g8?lg?290/>==5c19m654=9o10eh>50;&146<cn2d9<?4?;:kga?6=,;:86ih4n321>4=<amn1<7*=028gb>h58;0976gkc;29 7642ml0b?>=:298m`3=83.9<>4j4:l147<732cn?7>5$320>`2<f;:96<54id094?"58:0n86`=0381?>ob93:1(?><:d68j7652:10e?9<:18'655=:>80b?>=:198m716290/>==52608j7652810e?9?:18'655=:>80b?>=:398m70a290/>==52608j7652:10e?97:18'655=:>=0b?>=:198m711290/>==52658j7652810e?9::18'655=:>=0b?>=:398m713290/>==52658j7652:10ckh50;&146<am2d9<?4?;:me`?6=,;:86kk4n321>4=<goh1<7*=028ea>h58;0976aia;29 7642oo0b?>=:298kc?=83.9<>4ie:l147<332em47>5$320>cc<f;:96854og594?"58:0mi6`=0385?>ia>3:1(?><:gg8j7652>10ck;50;&146<am2d9<?47;:me0?6=,;:86kk4n321><=<go91<7*=028ea>h58;0j76ai2;29 7642oo0b?>=:c98kc6=83.9<>4ie:l147<d32enj7>5$320>cc<f;:96i54odg94?"58:0mi6`=038f?>ibl3:1(?><:gg8j7652o10chm50;&146<am2d9<?4>0:9lag<72-8;?7hj;o036?7632enm7>5$320>cc<f;:96<<4;ng:>5<#:991jh5a210956=<gl21<7*=028ea>h58;0:865`e683>!47;3ln7c<?2;36?>i68?0;6)<?3;df?k47:3;=76a>0483>!47;3ln7c<?2;34?>i68=0;6)<?3;df?k47:3;376a>0283>!47;3ln7c<?2;3:?>i68;0;6)<?3;df?k47:3;j76a>0083>!47;3ln7c<?2;3a?>i6890;6)<?3;df?k47:3;h76aic;29 7642oo0b?>=:0f8?j`6290/>==5fd9m654=9l10ch850;&146<am2d9<?4>f:9l577=83.9<>4>219m654=821d=<h50;&146<6:91e>=<51:9l54b=83.9<>4>219m654=:21d=<m50;&146<6:91e>=<53:9l54d=83.9<>4>219m654=<21d=<o50;&146<6:91e>=<55:9l54?=83.9<>4>219m654=>21d=<650;&146<6:91e>=<57:9l541=83.9<>4>219m654=021d=<850;&146<6:91e>=<59:9l543=83.9<>4>219m654=i21d=<:50;&146<6:91e>=<5b:9l544=83.9<>4>219m654=k21d=<?50;&146<6:91e>=<5d:9l546=83.9<>4>219m654=m21d==h50;&146<6:91e>=<5f:9l55c=83.9<>4>219m654=9910c<>k:18'655=9;:0b?>=:038?j77k3:1(?><:003?k47:3;976a>0c83>!47;3;9<6`=03827>=h99k1<7*=028265=i:981=954o02:>5<#:991=?>4n321>43<3f;947>5$320>4473g8;>7?9;:m262<72-8;?7?=0:l147<6?21d=?850;&146<6:91e>=<51998k442290/>==51328j76528307b?=4;29 764288;7c<?2;3b?>i6::0;6)<?3;314>h58;0:n65`13094?"58:0:>=5a21095f=<g8;n6=4+2119576<f;:96<j4;n327?6=,;:86<<?;o036?7b32e:<54?:%037?7582d9<?4>f:9l57c=83.9<>4>2e9m654=821d=?m50;&146<6:m1e>=<51:9l57d=83.9<>4>2e9m654=:21d=?o50;&146<6:m1e>=<53:9l565=83.9<>4>339m654=821d=>?50;&146<6;;1e>=<51:9l566=83.9<>4>339m654=:21d=?h50;&146<6;;1e>=<53:9~w3c=83;=wS8j;<1:a?033492h78;;<1b5?033492j78;;<1b4?03349j878;;<1b6?03349j?78;;<1b1?03349j:78;;<15`?03349=i78;;<15b?03349<<78;;<145?03349<>78;;<147?03349<878;;<141?03349<:78;;<74f?7al2wx=8?50;7xZ3b<V8?:70;i5;07=>;1:90:9;525g69501<uz8>57>54z\11<=:=>h1=894=711>43134?h57?:6:\7fp671=83k3wS;m;_04a>X5>01U9l5Q1gd8Z70d3W8;96P=269>6=`=9<901;<i:7`8934c2?h019>8:778916?21<019>n:948916d21<019>j:948917721<019?=:948917321<019?9:94896b02??01>j7:94896bf21<01>jl:94896bb21<01>k?:94896c521<01>k;:94896c121<01>98:778961?21<01>9n:948961d21<01>9j:94896>721<01>6=:94896>321<01>69:94896g02??01>o7:94896gf21<01>ol:94896gb21<01>l?:94896d521<01>l;:94896d121<01>:8:778962?21<01>:n:948962d21<01>:j:948963721<01>;=:948963321<01>;9:94890ea21<018j?:94890b621<018j=:94890b421<018k=:94890c421<018k;:94890c221<018k9:94890`f21<018hm:94890`d21<018hk:94890`b21<01;>k:948936b21<01;>i:948937721<01;?>:94890>721<0186>:94890>521<0186<:94890>321<0187<:94890?321<0187::94890?121<01878:94890g121<018o8:94890g?21<018o6:94890gf21<018l6:94890df21<018lm:94890dd21<018lk:94893572?:01;=?:73893572;?h708<0;06`>;1;90?<63931877>;1;90?>63931875>;1;908m63931864>;1;90>?63931866>;1;90>=6393187e>;1;90j<639318:a>;1;902o639318:f>;1;902m639318:=>;1;9024639318:3>;1;902:639318:1>;1;9028639318:7>;1;902=639318:4>;1;903j639318;a>;1;90h=639318ab>;1;90ih639318ag>;1;90in639318ae>;1;90i5639318a<>;1;90i;639318a2>;1;90i9639318a0>;1;90i>639318a5>;1;90i<639318bb>;1;90ji639318b`>;1;90jo639318bf>;1;90jm639318b=>;1;90h4639318`3>;1;90h:639318`1>;1;90h8639318`7>;1;90h>639318aa>;1;90i?639318b<>;1;90n<639318ga>;1;90n9639318f7>;1;90n>639318f5>;1;909;5526229620<5?9;6?9:;<404?40<2wx>8k50;7xZ73b34<9o7<?4:?56c<58=16:?k52168934c2;:?7p}>4683>3}Y>j1U=994=4ab>72>34?<n7?:6:?577<6=>169n751458yv0a29098vP9f:?76`<1<27?>i494:?774<1<27?>k494:?775<1<27??9494:?777<1<27??>494:?770<1<27??;494:?0b`<1<278ji494:?744<1<278jk494:?745<1<27?<9494:?747<1<27?<>494:?740<1<27?<;494:?0ga<1<278oh494:?0gc<1<278h=494:?0`4<1<278h?494:?0`6<1<278h9494:?0`0<1<278h;494:?565<6nm16:>>5261893572;=:708<0;044>;1;909:k5rs3:a>5<4sW83n639218212=:=o>1=884}r07`?6=<kqU>9j4=70`>0`<5?8o68h4=2;f>0`<5:3o68h4=2c2>0`<5:3m68h4=2c3>0`<5:k?68h4=2c1>0`<5:k868h4=2c6>0`<5:k=68h4=24g>0`<5:<n68h4=24e>0`<5:=;68h4=252>0`<5:=968h4=250>0`<5:=?68h4=256>0`<5:==68h4=4ab>0`<5=n968h4=5f2>0`<5=n;68h4=5ae>0`<5=in68h4=5ag>0`<5=ih68h4=5aa>0`<5=ij68h4=5a:>0`<5<;=68h4=436>0`<5<;?68h4=430>0`<5<;968h4=432>0`<5<;;68h4=42e>0`<5<:n68h4=42g>0`<5<?868h4=471>0`<5<?:68h4=473>0`<5<>m68h4=46f>0`<5<>o68h4=46`>0`<5<>i68h4=46b>0`<5<<<68h4=445>0`<5<<>68h4=447>0`<5<<868h4=441>0`<5<<:68h4=443>0`<5<?m68h4=47f>0`<5<=j68h4=45:>0`<5<=368h4=454>0`<5<==68h4=456>0`<5<=?68h4=450>0`<5<=968h4=452>0`<5?9;6;94}r04b?6==<qU>:h4=70e>0`<5?8n68h4=50f>0`<5=8o68h4=512>0`<5=8m68h4=513>0`<5=9?68h4=511>0`<5=9868h4=516>0`<5=9=68h4=2df>0`<5:lo68h4=522>0`<5:lm68h4=523>0`<5=:?68h4=521>0`<5=:868h4=526>0`<5=:=68h4=2ag>0`<5:in68h4=2ae>0`<5:n;68h4=2f2>0`<5:n968h4=2f0>0`<5:n?68h4=2f6>0`<5:n=68h4=4d6>0`<5=k268h4=5c;>0`<5=k<68h4=5c5>0`<5=k>68h4=5c7>0`<5=k868h4=5c1>0`<5=k:68h4=5c3>0`<5=o=68h4=5g6>0`<5=o?68h4=5g0>0`<5=o968h4=5g2>0`<5=o;68h4=5fe>0`<5=nn68h4=5fg>0`<5=lj68h4=5d:>0`<5=l368h4=5d4>0`<5=l=68h4=5d6>0`<5=l?68h4=5d0>0`<5=l968h4=5d2>0`<5<9m68h4=41f>0`<5<9o68h4=41`>0`<5<9i68h4=41b>0`<5<9268h4=41;>0`<5<9<68h4=415>0`<5?8j68h4=70:>0`<5?8368h4=704>0`<5?8=68h4=706>0`<5?8?68h4=700>0`<5?8968h4=702>0`<5?9;6;84}r0ff?6=?rT9io52504961?<5=?i6<;9;<7b5?72?27>4h4>589>1c6=9<2018jk:07;?xu5m00;65uQ2d;890722;>270::1;:5?822i3;>:63:a08213=:=1o1=894=4d3>43134?oh7?:6:\7fp6`>=832pR?k7;<720?43127?9=476:?71<<6=?169l>5145890>c28?270;jf;36<>;2lj0:955rs3g4>5<?sW8n;63:12810<=:<=l14;5244:9500<5<k;6<;9;<7;`?72?27>ik4>579>1ae=9<<0q~<j6;29<~X5m?169<<525;8912b21<019;8:075?83>n3;>;63:8b821<=:=lo1=864=4fa>43?3ty9i84?:9y]6`3<5<;:6?:6;<67`?>134>>:7?:6:?6=c<6=?1695m5145890cb28?=70;kb;362>{t:l>1<76t^3g7?836838?563;4b8;2>;3=<0:9;5258g9501<5<2i6<;6;<7f`?72027>hl4>599~w7c42903wS<j3:?64c<5<01689l5879>002=9<<0187j:075?83?j3;>;63:ee8213=:=mk1=884}r0f6?6=0rT9i?5251g961?<5=>j6584=570>43134?2h7?:7:?6<d<6=0169hm514:890b>28?37p}=e083>=}Y:l;018>k:36:?823132=70::2;362>;21m0:9;5259c9501<5<oh6<;9;<7g=?72>2wx484?:9y]6c0<V1?01>j9:36:?834n3<i70:88;362>;28=0:9;523b09500<5?9;6>k4}r:0>5<>sW8m86P73:?0`0<5<0168;k5879>021=9<<018>::075?837<3;>;63<b68213=:>::1?i5rs9094??|V;l87S6=;<1g0?43127?:i476:?733<6=?169=851448906228?<70=m5;362>;1;908o6s|8083><}Y:o80R5?4=2f0>72>34>=o769;<641?72>27><:4>579>150=9<=01>l<:075?804839i7p}70;29=~X5n81U4=523e0961?<5=<i6584=557>43134?;47?:6:?642<6=>16?o?5144893572=30q~9i:18:\7f[4a82T<j63<d0810<=:<?k14;524619500<5<:26<;9;<73<?72?278mk4>579>266=<11v\7f:k50;;xZ7ca3W=n70=k0;07=>;3>003:63;738213=:=9k1=884=42:>430349jh7?:6:?575<3?2wx;i4?:8y]6`c<V>n01>mi:36:?821032=70:81;362>;28k0:9;5251c9501<5:ki6<;9;<404?213ty<o7>59z\1aa=Y?j16?nk525;8910021<0199?:075?837k3;>:63:0c8212=:;h31=884=713>13<uz=i6=47{_0fg>X0j278oi4=489>030=0?168;h51448906d28?<70=m8;361>;1;90?86s|2c694?3|V;h?70=n6;07=>;20l0:95525g2950?<5:396<;9;|q1f7<72<qU>o<4=2c6>72>34?3i7?:6:?6b5<6=>16?5951448yv4e93:19vP=b09>7d2=:=30186k:07;?83bn3;>563<848213=z{;h;6=4:{_0a4>;4i:09845259f9500<5<om6<;8;<1;7?72>2wx>lh50;7xZ7ga349j>7<;9:?6<f<6=1169hk514;896>628?=7p}=ad83>0}Y:ho01>o>:36:?83?k3;>:63:ed8212=:;>l1=884}r0b`?6==rT9mi523`2961?<5<2i6<;7;<7f`?721278;i4>579~w7gd290>wS<nc:?0=c<5<01695l5144890cc28?<70=8b;362>{t:hh1<7;t^3ca?85>m38?563:8`821==:=li1=874=25:>4313ty9ml4?:4y]6dg<5:3o6?:6;<7;e?72>27>in4>569>7=>=9<?0q~9n:18:\7f[4en2T<m63<77810<=:<m81:o5244`9501<5=3<6<;9;<7g`?721278:?4>579>266=<l1v\7f:650;;xZ7dc3W=370=85;07=>;3=h0:9:5248:9500<5=3<6<;8;<7g`?72?2789:4>579>266=<m1v\7f:950;;xZ7dd3W=<70=84;07=>;3=00:9:5248;9500<5=336<;8;<7gg?721278984>579>266=<j1v\7f:850;;xZ7de3W==70=83;07=>;3=10:9:5248c9500<5=326<;8;<7gg?72?2789>4>579>266=<k1v\7f:;50;;xZ7df3W=>70=82;07=>;3=>0:9:5248`9500<5=3j6<;8;<7gf?7212789<4>579>266==01v\7f::50;;xZ7d>3W=?70=81;07=>;3=?0:9:5248a9500<5=3i6<;8;<7gf?72?2788k4>579>266==11v\7f:=50;;xZ7d?3W=870=80;07=>;3=<0:9:5248f9500<5=3h6<;8;<7ge?7212788i4>579>266==>1v\7f:<50;;xZ7d03W=970=9f;07=>;3==0:9:5248g9500<5=3o6<;8;<7ge?72?2788o4>579>266==?1v\7f:?50;;xZ7d13W=:70=9e;07=>;3=:0:9:5248d9500<5=3n6<;8;<7g=?721278844>579>266==<1v\7f:>50;:xZ7d23W=;70=9d;07=>;3=;0:9:5248d9501<5<n26<;8;<16<?72=27=?=4:4:\7fp6fg=83=pR?mn;<6b=?43127?;54>569>1f1=9<=018l;:07:?806j3;>563909821<=z{;i36=48{_0`<>;3i10984524659501<5<i<6<;9;<7a0?72?27==o4>569>25>=9<=0q~<l7;293~X5k>168l9525;8911128?<70;l6;363>;2j:0:945260c950?<5?:<6<;6;|q1g3<72>qU>n84=5c5>72>34><97?:7:?6g3<6=?169o=51458937f28?<708?7;363>{t:j?1<79t^3a6?82f=38?563;758212=:=j?1=894=4`1>43>34<:57?:9:?543<6=01v\7f?m;:184\7f[4d<27?m94=489>025=9<=018m::075?83e:3;>;639188212=:>9<1=894}r0`7?6=?rT9o>524`1961?<5==96<;8;<7`0?72?27>n<4>589>24>=9<301;>::07:?xu5k;0;6:uQ2b0891g52;>270:81;363>;2k=0:9;525c39501<5?;36<;8;<431?72?2wx>n?50;5xZ7e634>j=7<;9:?735<6=>169n=5145890d728?2708>7;36=>;18=0:945rs3a3>5<0sW8h<63;a1810<=:<?l1=894=4a0>43134?i<7?:7:?552<6=>16:=:51458yv4?i3:1>vP=8`9>27g=:=30q~<78;296~X50116:?7525;8yv4??3:1>vP=869>27>=:=30q~<76;296~X50?16:?9525;8yv4?=3:1>vP=849>270=:=30q~<74;296~X50=16:?;525;8yv4?;3:1>vP=829>272=:=30q~<72;296~X50;16:?=525;8yv4?93:1>vP=809>274=:=30q~<70;296~X50916:??525;8yv4c=3:18vP=d49>050=:=301;>7:07;?85a:3;>:6s|2e194?2|V;n870:?5;07=>;1810:9;523d59500<uz8o>7>54z\1`7=:<9>1>974=724>43?349n97?:6:\7fp6a7=83>pR?j>;<637?43127=<:4>579>7`5=9<<0q~<k0;290~X5l9168=<525;8936128?370=j1;362>{t:jl1<7:t^3ae?827938?5639078213=:;ml1=884}r0`a?6=<rT9oh52412961?<5?:>6<;7;<1g`?72>2wx>nj50;6xZ7ec349mj7<;9:?540<6=?16?il51448yv4dk3:18vP=cb9>7cc=:=301;>;:07;?85c13;>:6s|2b`94?2|V;ii70=id;07=>;18=0:9;523d:9503<uz8n<7>55z\1a5=:<:<1>974=4`7>43?34<:n7?:8:?767<6=?1v\7f?jj:186\7f[4cm27??84=489>1g2=9<<01;?m:075?826?3;>:6s|2ef94?3|V;no70:<4;07=>;2j:0:955260c950><5=;>6<;9;|q1`f<72<qU>im4=510>72>34?i?7?:6:?55d<6=?168<=51448yv4cj3:19vP=dc9>064=:=3018l=:07;?80613;>463;108213=z{;nj6=4:{_0ge>;3;80984525c09500<5?;26<;9;<63b?72>2wx>i750;7xZ7b>34>8<7<;9:?6f4<6=116:<6514:8916c28?=7p}=d983>0}Y:m2019<i:36:?83e93;>:639198213=:<9h1=884}r0g3?6==rT9h:5243g961?<5<h;6<;7;<423?72027?<44>579~w7b1290>wS<k6:?76a<5<0169o>51448937028?=70:>8;361>{t9:n1<7<t^01g?80483;8<6s|12`94?4|V89i708<0;33a>{t9:k1<7<t^01b?80483;;h6s|12;94?4|V892708<0;33g>{t9:21<7<t^01;?80483;;n6s|12594?4|V89<708<0;33e>{t9:<1<7<t^015?80483;;56s|12794?4|V89>708<0;31<>{t9=<1<7<t^065?80483;9;6s|15794?4|V8>>708<0;31b>{t9=>1<7<t^067?80483;9:6s|15194?4|V8>8708<0;311>{t9=81<7<t^061?80483;986s|15394?4|V8>:708<0;317>{t9=:1<7<t^063?80483;9>6s|12d94?4|V89m708<0;32a>{t9:o1<7<t^01f?80483;:?6s|12694?4|V89?708<0;33<>{t:<21<7<t^37;?830i38?56s|24494?4|V;?=70;89;07=>{t:<?1<7<t^376?830038?56s|24694?4|V;??70;87;07=>{t:<91<7<t^370?830>38?56s|24094?4|V;?970;85;07=>{t:<;1<7<t^372?830<38?56s|24294?4|V;?;70;83;07=>{t:=l1<7<t^36e?830:38?56s|25g94?4|V;>n70;81;07=>{tl;0;6?uQd39>266=lm1v\7fi>50;0xZa6<5?9;65j4}rae>5<5sWim708<0;:`?xudm3:1>vPle:?575<?j2wxoi4?:3y]ga=:>::14l5rsba94?4|Vji01;=?:9;8yvee2909wSmm;<404?>?3tyhm7>52z\`e>;1;90j;6s|dc83>7}Ylk16:>>5a79~wag=838pRio4=713>ae<uzn26=4={_f:?80483k>7p}k8;296~Xc027=?=4n4:\7fp`2<72;qUh:526229e6=z{m<1<7<t^e4893572h80q~j::181\7f[b234<8<7o>;|qg0?6=:rTo8639318:`>{tl:0;6?uQd29>266=1;1v\7fn750;0xZf?<5?9;6594}r3a0?6=9:q6>4>52128916021<01>j8:948961021<01>o8:948962021<018mi:77890c52??018hn:778936c2??0186?:77890?42??018o9:77890d>2??019;l:778912>2??01996:77891012??01;=?:06;?xu1:m0;6?u263a92g=:>;n1>974}r41f?6=<kq6:?m525;8934c2?>01>7j:7:896?c2;:?70=n1;4;?85>n3<370=n0;4;?85f<3<370=n2;4;?85f;3<370=n5;4;?85f>3<370=9d;4;?851m3<370=9f;4;?85083<370=81;4;?850:3<370=83;4;?850<3<370=85;4;?850>3<370;la;030>;3l;0=463;d085<>;3l90=463;cg85<>;3kl0=463;ce85<>;3kj0=463;cc85<>;3kh0=463;c885<>;29?0=463:1485<>;29=0=463:1285<>;29;0=463:1085<>;2990=463:0g85<>;28l0=463:0e85<>;2=:0=463:5385<>;2=80=463:5185<>;2<o0=463:4d85<>;2<m0=463:4b85<>;2<k0=463:4`85<>;2>>0=463:6785<>;2><0=463:6585<>;2>:0=463:6385<>;2>80=463:6185<>;2=o0=463:5d85<>;2?h0=463:7885<>;2?10=463:7685<>;2??0=463:7485<>;2?=0=463:7285<>;2?;0=463:7085<>;1;;0:95526229630<uz<9i7>555y>27`=>=16:?k525;8914b2;:?70:=d;4;?82493<370:=f;4;?82483<370:<4;4;?824:3<370:<3;4;?824=3<370:<6;4;?85am3<370=id;030>;3880=463<fg85<>;3890=463;0585<>;38;0=463;0285<>;38<0=463;0785<>;4km0=463<cd85<>;4ko0=463<d185<>;4l80=463<d385<>;4l:0=463<d585<>;4l<0=463<d785<>;2n<09<9524`;92==:<h21:5524`592==:<h<1:5524`792==:<h>1:5524`192==:<h81:5524`392==:<h:1:5524d492==:<l?1:5524d692==:<l91:5524d092==:<l;1:5524d292==:<ml1:5524eg92==:<mn1:5524gc92==:<o31:5524g:92==:<o=1:5524g492==:<o?1:5524g692==:<o91:5524g092==:<o;1:55252d92==:=:o1:55252f92==:=:i1:55252`92==:=:k1:55252;92==:=:21:55252592==:=:<1:55263c92==:>;31:55263:92==:>;=1:55263492==:>;?1:55263692==:>;91:55263092==:>;;1:5526229633<uz<9j7>52z?56c<5<016:?k56c9~w1432909w0:=e;4a?82613;mh6s|43194?5|5=8o6;l4=524>73a34>:47?id:\7fp071=838p19=>:7`8917d28lo7p};2483>7}:<;l1:o5240c95cb<uz>9:7>52z?775<1j27?=o4>fe9~w14f2909w0:<4;4a?826n3;mh6s|43:94?4|5=996;l4=53g>4`c3ty?>44?:3y>065=>k168<k51gf8yv25j3:1>v3;3485f>;3:90:ji5rs50`>5<5s4>8:78m;<615?7al2wx8=950;1x916028lo70:?8;46?82613<>7p};0883>6}:<921>8h4=53:>4gf34>;57?id:\7fp05>=839p19>7:0dg?827i3<>70:>a;46?xu38k0;6>u241c960`<5=;j6<on;<63f?7al2wx8=o50;1x916f28lo70:?c;46?826j3<>7p};0e83>6}:<9i1>8h4=53a>4gf34>;h7?id:\7fp05e=839p19>l:0dg?827m3<>70:>c;46?xu38o0;6>u241g960`<5=;h6<on;<63b?7al2wx8=k50;1x916b28lo70:>0;46?826l3<>7p};1083>6}:<8:1>8h4=53g>4gf34>:=7?id:\7fp046=839p19??:0dg?826:3<>70:>e;46?xu39:0;6>u2400960`<5=;n6<on;<627?7al2wx8<<50;1x917528lo70:>4;46?826n3<>7p};1483>6}:<8>1>8h4=53e>4gf34>:97?id:\7fp042=839p19?;:0dg?826>3<>70:=0;46?xu39>0;6>u2404960`<5=8;6<on;<623?7al2wx8<850;0x917128lo70:=1;46?xu3:;0;6?u243395dg<5=896<hk;|q0b1<72;q6?kk56c9>7`?=9on0q~=i3;297~;4nm0=n63<d6811c=:;l21=kj4}r1e3?6=:r7?<<49b:?0af<6nm1v\7f>h::181\7f85an3<i70=ja;3e`>{t;o<1<7<t=523>3d<5:oi6<hk;|q0bd<72;q68=:56c9>7``=9on0q~=i8;296~;38;0=n63<ee82ba=z{:l26=4={<637?0e349ni7?id:\7fp7cd=838p19>::7`896`728lo7p}<fb83>7}:<9<1:o523g395cb<uz9o;7>53z?0`2<6nm16?i65649>7`?=><1v\7f>j6:180\7f85c038>j63<e882ed=:;m31=kj4}r1g<?6=;r78h54>fe9>7ag=><16?ho5649~w6be2908w0=ka;06b>;4mh0:ml523e`95cb<uz9om7>53z?0`d<6nm16?im5649>7`d=><1v\7f>jk:180\7f85ck38>j63<ec82ed=:;mn1=kj4}r1gg?6=;r78hn4>fe9>7ac=><16?hm5649~w6ba2908w0=ke;06b>;4mj0:ml523ed95cb<uz9oi7>53z?0``<6nm16?h>5649>7`b=><1v\7f>k>:180\7f85b838>j63<ee82ed=:;l;1=kj4}r1f4?6=;r78i=4>fe9>7`4=><16?hk5649~w6c42908w0=j2;06b>;4ml0:ml523d195cb<uz9n>7>53z?0a7<6nm16?h:5649>7``=><1v\7f>k::180\7f85b<38>j63<eg82ed=:;l?1=kj4}r1f0?6=;r78i94>fe9>7`0=><16?k>5649~w6c02908w0=j6;06b>;4n90:ml523d595cb<uz9n:7>52z?0a3<6nm16?k?5649~w6`52909w0=i1;3be>;4n;0:ji5rs2;7>5<5s492i78m;<1;=?7al2wx?4=50;1x96?c2?h01>98:37e?85?03;mh6s|38594?4|5:k:6;l4=2:`>4`c3ty8584?:3y>7<`=>k16?5o51gf8yv5>>3:1>v3<a185f>;40k0:ji5rs2;b>5<5s49j878m;<1;b?7al2wx?4650;0x96g52?h01>6k:0dg?xu4100;6?u23`192g=:;1o1=kj4}r1:f?6=:r78m849b:?0=5<6nm1v\7f>7l:181\7f85f>3<i70=61;3e`>{t;>=1<7=t=254>4`c349<478:;<1;=?023ty8;44?:2y>72>=:<l01>66:0cb?85013;mh6s|36:94?5|5:=36<hk;<14e?023493m78:;|q03g<72:q6?:o524d896>f28kj70=8b;3e`>{t;>k1<7=t=25b>4`c349<o78:;<1;f?023ty8;i4?:2y>72e=:<l01>6m:0cb?850l3;mh6s|36a94?5|5:=h6<hk;<14a?023493o78:;|q03c<72:q6?:k524d896>d28kj70=8f;3e`>{t;>o1<7=t=25f>4`c3493<78:;<1;`?023ty84<4?:2y>7=6=:<l01>6k:0cb?85?93;mh6s|39294?5|5:2;6<hk;<1;6?023493i78:;|q0<6<72:q6?5<524d896>b28kj70=73;3e`>{t;181<7=t=2:1>4`c3493878:;<1;b?023ty8484?:2y>7=2=:<l01>6i:0cb?85?=3;mh6s|39694?5|5:2?6<hk;<1;2?023492<78:;|q0<2<72:q6?58524d896?728kj70=77;3e`>{t;1<1<7<t=2:5>4`c3492=78:;|q0=7<72;q6?4?51`c896?528lo7p}<c283>6}:;jn1:o523`5960`<5:h36<hk;|q0g1<72;q6?nk56c9>7g?=9on0q~=l5;296~;4ko0=n63<b`82ba=z{:i=6=4={<1g4?0e349in7?id:\7fp7f1=838p1>j>:7`896dd28lo7p}<c983>7}:;m81:o523cf95cb<uz9h57>52z?0`6<1j278nh4>fe9~w6ef2909w0=k4;4a?85en3;mh6s|3b`94?4|5:n>6;l4=2a3>4`c3ty8on4?:3y>7a0=>k16?n?51gf8yv5f?3:1?v3<a682ba=:;h21:8523c;920=z{:k26=4<{<1b<?42n278n44>a`9>7d?=9on0q~=n8;297~;4i10:ji523`c920=:;kk1:85rs2ca>5<4s49jm7<:f:?0fd<6ih16?ll51gf8yv5fi3:1?v3<a`82ba=:;hi1:8523c`920=z{:ko6=4<{<1bg?42n278no4>a`9>7db=9on0q~=nc;297~;4ij0:ji523`g920=:;ki1:85rs2ce>5<4s49ji7<:f:?0ff<6ih16?lh51gf8yv5fm3:1?v3<ad82ba=:;k:1:8523cf920=z{:h:6=4<{<1a4?42n278ni4>a`9>7g7=9on0q~=m0;297~;4j90:ji523c0920=:;ko1:85rs2`0>5<4s49i>7<:f:?0f`<6ih16?o=51gf8yv5e:3:1?v3<b382ba=:;k>1:8523cd920=z{:h>6=4<{<1a0?42n278nk4>a`9>7g3=9on0q~=m4;297~;4j=0:ji523c4920=:;j:1:85rs2`4>5<4s49i:7<:f:?0g5<6ih16?o951gf8yv5e>3:1>v3<b782ba=:;j;1:85rs2a1>5<5s49h=7?na:?0g7<6nm1v\7f>8<:180\7f851l3<i70=;7;06b>;4=10:ji5rs247>5<5s49=i78m;<16=?7al2wx?;;50;0x960a2?h01>;n:0dg?xu4>?0;6?u236292g=:;<h1=kj4}r153?6=:r78;<49b:?01f<6nm1v\7f>87:181\7f850:3<i70=:d;3e`>{t;?31<7<t=250>3d<5:?n6<hk;|q02d<72;q6?::56c9>70`=9on0q~=9b;296~;4?<0=n63<6182ba=z{:<h6=4={<142?0e349==7?id:\7fp711=839p1>:8:0dg?85303<>70=:9;46?xu4<00;6>u235:960`<5:?26<on;<17=?7al2wx?9650;1x962?28lo70=;a;46?852i3<>7p}<4c83>6}:;=k1>8h4=27b>4gf349?n7?id:\7fp71g=839p1>:n:0dg?853k3<>70=:b;46?xu4<m0;6>u235a960`<5:?i6<on;<17`?7al2wx?9m50;1x962d28lo70=;e;46?852k3<>7p}<4g83>6}:;=o1>8h4=27`>4gf349?j7?id:\7fp71c=839p1>:j:0dg?85283<>70=:d;46?xu4=80;6>u2342960`<5:?o6<on;<165?7al2wx?8>50;1x963728lo70=:2;46?852m3<>7p}<5283>6}:;<81>8h4=27f>4gf349>?7?id:\7fp704=839p1>;=:0dg?852<3<>70=:f;46?xu4=<0;6>u2346960`<5:?m6<on;<161?7al2wx?8:50;1x963328lo70=:6;46?85183<>7p}<5683>6}:;<<1>8h4=243>4gf349>;7?id:\7fp700=838p1>;9:0dg?85193<>7p}<6383>7}:;?;1=lo4=241>4`c3ty>h94?:3y>1f`=:<l018j6:0dg?xu2kk0;6?u25bd95cb<5<n;6;;4}r7g1?6=:r7>h=4=5g9>1ag=9on0q~;lc;296~;2l90:ji525e3920=z{<n=6=4={<7g5?42n27>ho4>fe9~w0ec2909w0;k1;3e`>;2l;0=96s|5e594?4|5<n96?;i;<7gg?7al2wx9nk50;0x90b528lo70;k3;46?xu2l10;6?u25e1960`<5<no6<hk;|q6b4<72;q69i=51gf890e>28?27p}:e683>7}:=l81>8h4=4g`>4`c3ty>hh4?:3y>1`4=9on018k<:778yv3b03:1>v3:e2811c=:=ln1=kj4}r7gb?6=:r7>i>4>fe9>1`2=><1v\7f8k6:181\7f83b<38>j63:ed82ba=z{<o;6=4={<7f0?7al27>i8495:\7fp1`g=838p18k::37e?83bn3;mh6s|5d394?4|5<o>6<hk;<7f2?023ty>io4?:3y>1`0=:<l018h?:0dg?xu2n;0;6?u25d495cb<5<i26<;7;|q6g=<72;q69no56c9>1f?=9on0q~;if;296~;2nh099k5261695cb<uz?m:7>52z?6bd<6nm169kl5649~w3672909w0;ib;06b>;18<0:ji5rs4d4>5<5s4?mn7?id:?6bf<1=2wx:=?50;0x90`d2;?m708?6;3e`>{t=o21<7<t=4d`>4`c34?mh78:;|q547<72;q69kj524d8936028lo7p}:f883>7}:=on1=kj4=4df>33<uz<;?7>52z?6b`<5=o16:=651gf8yv06k3:1>v3:fd82ba=:=o>1=874}r426?6=:r7=<i4=5g9>241=9on0q~8?9;296~;18m0:ji5261g920=z{?;86=4={<43a?42n27==54>fe9~w36f2909w08?e;3e`>;18o0=96s|60694?4|5?:m6?;i;<42=?7al2wx:=l50;0x936a28lo708>0;46?xu19<0;6?u2602960`<5?;j6<hk;|q54f<72;q6:<>51gf893762??0q~8>6;296~;198099k5260`95cb<uz<:h7>52z?554<6nm169k:514:8yv3a;3:1>v3:f485f>;2n=0:ji5rs4:6>5<5s4?3<7<:f:?6<d<6nm1v\7f89l:181\7f83?83;mh63:80851>{t=1<1<7<t=4:2>73a34?3n7?id:\7fp12b=838p186>:0dg?83?:3<>7p}:8683>7}:=181>8h4=4:`>4`c3ty>;h4?:3y>1=4=9on0186<:778yv3?03:1>v3:82811c=:=1n1=kj4}r74b?6=:r7>4>4>fe9>1=2=><1v\7f866:181\7f83?<38>j63:8d82ba=z{<336=4={<7:7?42n27>5i4>fe9~w0>a2909w0;63;3e`>;21=0=96s|58;94?4|5<3?6?;i;<7:a?7al2wx94>50;0x90?328lo70;65;46?xu21h0;6?u2587960`<5<3m6<hk;|q6=4<72;q694;51gf890?12??0q~;6b;296~;21?099k525`295cb<uz?2>7>52z?6=3<6nm169495649~w0?d2909w0;67;06b>;2i80:ji5rs4ca>5<5s4?j:7<:f:?6f5<6nm1v\7f8o=:181\7f83f>3;mh63:a6851>{t=hi1<7<t=4c4>73a34?i=7?id:\7fp1d5=838p18o8:0dg?83f03<>7p}:ae83>7}:=h21>8h4=4`1>4`c3ty>m94?:3y>1d>=9on018o6:778yv3fm3:1>v3:a8811c=:=k91=kj4}r7b1?6=:r7>m44>fe9>1dg=><1v\7f8oi:181\7f83fi38>j63:b582ba=z{<hn6=4={<7a=?42n27>o>4>fe9~w0d22909w0;m9;3e`>;2jh0=96s|5cd94?4|5<hj6?;i;<7`0?7al2wx9o850;0x90df28lo70;mb;46?xu2k90;6?u25c`960`<5<i>6<hk;|q6f2<72;q69ol51gf890dd2??0q~;l1;296~;2jj099k525b495cb<uz?i47>52z?6ff<6nm169oj5649~w0e52909w0;md;06b>;2k>0:ji5rs5f`>5<2s4>j578m;<6f2?43127?mo4>569>0db=9<<019oi:07:?xu3ih0;6?u24`:92g=:<hh1=kj4}r6bg?6=:r7?m:49b:?7ea<6nm1v\7f9oj:18;\7f82f>3<i70:nf;3e`>;3j80:9:524c1950><5=h>6<;6;<6ae?72127?nn4>589>0gc=9<30q~:m0;296~;3i<0=n63;b082ba=z{=h96=4={<6b0?0e34>i?7?id:\7fp0g2=838p19o<:7`891d228lo7p};b783>7}:<h81:o524cc95cb<uz>in7>52z?7e4<1j27?nn4>fe9~w1dc2909w0:n0;4a?82em3;mh6s|4g294?4|5=o=6;l4=5db>72>3ty?ik4?:3y>0`3=>k168k7525;8yv2cj3:18v3;e4810<=:<hh1=884=5cg>43034>jj7?:8:\7fp0`c=838p19k;:7`891`?2;>27p};d`83>6}:<l>1>974=5cg>43?34>jj7?:7:\7fp0`b=838p19k<:7`891`02;>27p};d883>7}:<l91>974=5ce>4313ty?in4?:3y>0`4=>k168k8525;8yv2c03:1;v3;e3810<=:<k;1=884=5`0>43034>i97?:8:?7fd<6=>168om5145891db28?<7p};ec83>7}:<l;1:o524g7961?<uz>o;7>56z?7a4<5<0168o=5144891d228?<70:ma;362>;3jj0:9;524cg9500<uz>nm7>52z?7a5<1j27?j94=489~w1b1290>w0:j0;07=>;3j<0:9;524c59500<5=h36<;9;<6a=?72>2wx8h750;0x91ba2?h019h<:36:?xu3l<0;69u24ed961?<5=h<6<;8;<6a<?72?27?n44>569~w1c?2909w0:ke;4a?82a:38?56s|4e694?5|5=nn6?:6;<6a<?72027?n44>599~w1c02909w0:kd;4a?82a938?56s|4e194?4|5=no6?:6;<6a=?7212wx8n650;0x91`f2?h019j=:36:?xu3k>0;6?u24g;92g=:<m;1>974}r6`2?6=:r7?j549b:?7`5<5<01v\7f9m::181\7f82a?3<i70:lf;07=>{t<j>1<7<t=5d5>3d<5=in6?:6;|q7g6<72;q68k;56c9>0fb=:=30q~:l2;296~;3n=0=n63;cb810<=z{=i:6=4={<6e7?0e34>hn7<;9:\7fp0f6=838p19h=:7`891ef2;>27p};bg83>7}:<o;1:o524b;961?<uz>mn7>52z?7`4<1j27?5:4>fe9~w1`d2909w0:k0;4a?82>03;mh6s|4gf94?4|5=im6;l4=5;:>4`c3ty?jh4?:3y>0fc=>k1684o51gf8yv2an3:1>v3;ce85f>;31k0:ji5rs423>5<5s4>ho78m;<6:g?7al2wx9=?50;0x91ee2?h0197k:0dg?xu28;0;6?u24bc92g=:<0o1=kj4}r737?6=:r7?o449b:?7=c<6nm1v\7f8:6:186\7f836>3<i70;:3;07=>;2910:9:5250c9500<5<;h6<;6;|q652<72;q69<;56c9>14>=9on0q~;>9;296~;29=0=n63:1`82ba=z{<;i6=47{<727?0e34?:o7?id:?65`<6=>169?>514:8904528?270;=7;36=>;2:00:945253`950?<uz?:h7>52z?657<1j27>=h4>fe9~w07a2909w0;>1;4a?83583;mh6s|53394?4|5<;;6;l4=401>4`c3ty>>>4?:3y>15`=>k169?951gf8yv3503:1>v3:0d85f>;2:00:ji5rs40b>5<5s4?;h78m;<71f?7al2wx98j50;0x90342?h01888:36:?xu2=j0;6?u254092g=:=?<1>974}r77<?6=<r7>9?4=489>14>=9<<018?n:074?836k3;>46s|54`94?4|5<?:6;l4=446>72>3ty>8:4?:2y>107=:=3018?n:07;?836k3;>;6s|54c94?4|5<?;6;l4=447>72>3ty>8;4?:3y>106=:=3018?l:075?xu2=00;6?u255d92g=:=?91>974}r771?6=?r7>8k4=489>14c=9<<018<?:074?835:3;>463:268212=:=;31=894=40a>4303ty>954?:3y>11c=>k169;<525;8yv33<3:1:v3:4d810<=:=;:1=884=401>43034?9;7?:6:?66<<6=?169?l51448yv32?3:1>v3:4e85f>;2>809845rs460>5<2s4??h7<;9:?667<6=?169?:51448904228?=70;=6;362>{t=<<1<7<t=46`>3d<5<<;6?:6;|q607<72=q699m525;8904328?<70;=5;363>;2:?0:9:5rs476>5<5s4??n78m;<76b?4312wx99?50;1x902e2;>270;=5;36<>;2:?0:955rs477>5<5s4??m78m;<76a?4312wx99>50;0x902f2;>270;=6;36=>{t=:?1<7<t=444>3d<5<9m6?:6;|q671<72;q69;856c9>16c=:=30q~;<3;296~;2><0=n63:3e810<=z{<996=4={<750?0e34?8o7<;9:\7fp167=838p188<:7`8905e2;>27p}:3183>7}:=?81:o5252c961?<uz?9j7>52z?624<1j27>?44=489~w04b2909w0;90;4a?834038?56s|53f94?4|5<?m6;l4=414>72>3ty>>n4?:3y>10c=>k169>8525;8yv3103:1>v3:3d85f>;28=0:ji5rs44:>5<5s4?8h78m;<731?7al2wx9;o50;0x905d2?h018>9:0dg?xu2>k0;6?u252`92g=:=9=1=kj4}r75g?6=:r7>?l49b:?64=<6nm1v\7f88k:181\7f83413<i70;?9;3e`>{t=?o1<7<t=41;>3d<5<:j6<hk;|q62c<72;q69>956c9>15d=9on0q~;80;296~;2;?0=n63:0b82ba=z{=?:6=4={<651?0234>>=7?id:\7fp0<4=838p198::0cb?822j3;mh6s|42c94?4|5=<>6<hk;<74e?0e3ty?9=4?:2y>032=><1688?5649>006=9on0q~:61;297~;3>=0:ml52443960`<5=?j6<hk;|q77<<72;q68;:51gf8901>2?h0q~:;f;297~;3>:0=963;51851>;3<o0:ji5rs5;3>5<4s4>=?7?na:?715<5=o1688751gf8yv2403:1>v3;6282ba=:=>21:o5rs56f>5<4s4>=>78:;<67b?0234>?i7?id:\7fp0=`=839p198=:0cb?823n38>j63;5982ba=z{=9<6=4={<656?7al27>;:49b:\7fp01b=839p198>:778912b2??019:k:0dg?xu30l0;6>u247395dg<5=>n6?;i;<663?7al2wx8>k50;0x910628lo70;86;4a?xu3<j0;6>u2472920=:<=n1:85245a95cb<uz>3h7>53z?725<6ih1689j524d8913128lo7p};3e83>7}:<?:1=kj4=456>3d<uz>?n7>53z?71c<1=27?8n495:?70g<6nm1v\7f96l:180\7f822n3;jm63;4b811c=:<<?1=kj4}r60g?6=:r7?9k4>fe9>122=>k1v\7f9:n:180\7f822m3<>70:;b;46?823i3;mh6s|49`94?5|5=?n6<on;<67f?42n27?994>fe9~w15e2909w0::e;3e`>;2?:0=n6s|45;94?5|5=?o6;;4=56b>33<5=>26<hk;|q7<d<72:q688j51`c8912f2;?m70::3;3e`>{t<:l1<7<t=57g>4`c34?<>78m;|q7<<<72:q688m51`c8912>2;?m70::2;3e`>{t>8o1<7<t=57`>4`c34?<=78m;|q72`<72;q685<5649>03c=9on0q~:78;296~;30;0:ml5246:95cb<uz>??7>52z?7<7<6nm16:?o56c9~w10c2908w0:71;46?821m3<>70:9d;3e`>{t<1=1<7=t=5:2>4gf34>=i7<:f:?732<6nm1v\7f9:=:181\7f82?93;mh6392885f>{t<?i1<7=t=5:3>33<5=<o6;;4=54`>4`c3ty?4;4?:2y>0=6=9hk0198k:37e?820>3;mh6s|45394?4|5=2;6<hk;<41<?0e3ty?:o4?:2y>02`=><168;m5649>03d=9on0q~:75;297~;3?o0:ml5247a960`<5==>6<hk;|q705<72;q68:h51gf893402?h0q~:9a;297~;3?l0=963;6c851>;3>h0:ji5rs5:7>5<4s4><i7?na:?72g<5=o168::51gf8yv23?3:1>v3;7d82ba=:>;<1:o5rs54:>5<4s4><h78:;<65e?0234>=57?id:\7fp0=5=839p199k:0cb?821i38>j63;7282ba=z{=>=6=4={<64`?7al27=>849b:\7fp03>=839p199l:778910>2??01987:0dg?xu31?0;6>u246a95dg<5=<26?;i;<646?7al2wx89;50;0x911d28lo708=4;4a?xu3>>0;6>u246`920=:<?21:85247595cb<uz>297>53z?73g<6ih168;6524d8911628lo7p};4583>7}:<>h1=kj4=700>3d<uz>=:7>53z?73d<1=27?::495:?723<6nm1v\7f97;:180\7f820i3;jm63;66811c=:<>:1=kj4}r67<?6=:r7?;l4>fe9>274=>k1v\7f97<:180\7f82013;jm63;67811c=:<?l1=kj4}r42b?6=:r7?;44>fe9>277=>k1v\7f;=>:181\7f804:3;mh63931820<=z{8l:6=4={<6a3?7al27?nl4>599~w4`42909w0:m8;3e`>;3jj0:955rs0d6>5<5s4>i57?id:?7f`<6=11v\7f<h8:181\7f835<3;mh63:26821==z{8l36=4={<711?7al27>>44>599~w4`>2909w0;=6;3e`>;2:k0:955r}c0:4?6=93:1<v*=66812a=O:1o0D?8m;n034?6=3ty=ol4?:3y]2fg<5;<36?;6;|q1e2<72mqU>l74^7ag?[4f>2T9m85Q2`68Z7g43W8j>6P=a09]6d6<V;3m7S<6e:\1=a=::1l1=8=4}r0:<?6=:rT9555227:91g=z{?ih6=4={_4`g>;5>1099h5rs7f0>5<5sW<o?63=69813`=z{:>96=4={_176>;5>10:8:5rs7gb>5<5sW<nm63=6981<g=z{?n:6=4={_4g5>;5>109:45rs3;4>5<5sW82;63=6986e>{t>k>1<7<t^7`7?841038?h6s|62194?4|V?9870<98;3eb>{t>m81<7<t^7f1?841038=o6s|35794?4|V:>>70<98;365>{t>:>1<7<t^717?841038;96s|6e694?4|V?n?70<98;04b>{t>>>1<7<t^757?8410389;6s|6d;94?4|V?nn70<98;0;e>{t>l=1<7<t^7fg?841038346s|6d494?4|V?nh70<98;0;3>{t>l?1<7<t^7fa?8410383:6s|6d694?4|V?nj70<98;0;1>{t>l91<7<t^7f:?841038386s|6d094?4|V?n370<98;0;7>{t>l;1<7<t^7f4?8410383>6s|6d294?4|V?n=70<98;0;5>{t>ml1<7<t^7f6?8410383<6s|32594?4|V:8>70<98;30`>{t;:?1<7<t^207?84103;8n6s|32694?4|V:8870<98;30e>{t;:91<7<t^201?84103;856s|32094?4|V:8:70<98;30<>{t;:;1<7<t^203?84103;8;6s|32294?4|V:;m70<98;302>{t;;l1<7<t^23f?84103;896s|35294?4|V:8o70<98;372>{t;:l1<7<t^20`?84103;?96s|32g94?4|V:8i70<98;370>{t;:n1<7<t^20b?84103;??6s|32a94?4|V:8270<98;376>{t;:h1<7<t^20;?84103;?=6s|32c94?4|V:8<70<98;374>{t;:31<7<t^205?84103;8j6s|32:94?4|V:;o70<98;30a>{t;;o1<7<t^23`?84103;886s|6b;94?4|V?hn70<98;06<>{t>j=1<7<t^7`g?841038>:6s|6b494?4|V?hh70<98;061>{t>j?1<7<t^7`a?841038>86s|6b694?4|V?hj70<98;067>{t>j91<7<t^7`:?841038>>6s|6b094?4|V?h370<98;065>{t>j;1<7<t^7`4?841038><6s|6b294?4|V?h=70<98;07b>{t>kl1<7<t^7`6?841038?i6s|64g94?4|V??870<98;02f>{t><i1<7<t^771?841038:56s|64`94?4|V??:70<98;02<>{t><k1<7<t^773?841038:;6s|64;94?4|V?>m70<98;022>{t><21<7<t^76f?841038:96s|64594?4|V?>o70<98;020>{t><<1<7<t^76`?841038:?6s|64794?4|V?>i70<98;026>{t><>1<7<t^76b?841038:=6s|30094?4|V::;70<98;f1?xu4990;6?uQ2gd8970?2m:0q~=?f;296~X5nl16>;65cg9~w66b2909wS<id:?12=<dm2wx?=j50;0xZ7`d348=47mk;|q04f<72;qU>kl4=34;>fe<uz9;n7>52z\1bd=::?21oo5rs22b>5<5sW8m563=698`e>{t;8h1<7<t^22;?84103ni7p}<1`83>7}Y;9=01?87:ec8yv5613:1>vP<079>63>=l01v\7f>?7:181\7f[57=279:54k8:\7fp741=838pR>>;;<05<?b03ty8=;4?:3y]755<5;<36i84}r121?6=:rT8<?5227:9`0=z{:;?6=4={_135>;5>10o86s|30194?4|V;l370<98;f0?xu4800;6?uQ2g58970?2j30q~8m3;296~X1i116>;6525:8yv0e93:1>vP9a69>63>=:=<0q~8m0;296~X1i?16>;652578yv0fn3:1>vP9a49>63>=:=>0q~8ne;296~X1i=16>;652518yv0fl3:1>vP9a29>63>=:=80q~8nc;296~X1i;16>;652538yv0fj3:1>vP9a09>63>=:=:0q~8na;296~X1i916>;6522d8yv0f13:1>vP99g9>63>=::o0q~86e;296~X11:16>;6522f8yv0>k3:1>vP9939>63>=::h0q~86b;296~X11816>;6522c8yv0>i3:1>vP9919>63>=::30q~869;296~X10o16>;6522:8yv0>03:1>vP98d9>63>=::=0q~867;296~X10m16>;652248yv0>>3:1>vP98b9>63>=::?0q~865;296~X10k16>;652268yv0><3:1>vP98`9>63>=::90q~879;296~X1?l16>;652208yv0??3:1>vP97e9>63>=:::0q~876;296~X1?j16>;6523d8yv0?=3:1>vP97c9>63>=:;o0q~874;296~X1?h16>;6523f8yv0?;3:1>vP9789>63>=:;i0q~872;296~X1?116>;6523`8yv0?93:1>vP9769>63>=:;k0q~870;296~X1??16>;6523;8yv00n3:1>vP9749>63>=:;20q~883;296~X1>116>;652348yv0093:1>vP9669>63>=:;>0q~880;296~X1>?16>;652318yv01n3:1>vP9649>63>=:;80q~89e;296~X1>=16>;652338yv01l3:1>vP9629>63>=:;:0q~89c;296~X1>;16>;6520d8yv01j3:1>vP9609>63>=:8o0q~89a;296~X1>916>;6520f8yv0113:1>vP95g9>63>=:8i0q~8;9;296~X1;l16>;652028yv03?3:1>vP93e9>63>=:9o0q~8;6;296~X1;j16>;6521f8yv03=3:1>vP93c9>63>=:9i0q~8;4;296~X1;h16>;6521`8yv03;3:1>vP9389>63>=:9k0q~8;2;296~X1;116>;6521;8yv0393:1>vP9369>63>=:920q~8;0;296~X1;?16>;652158yv04n3:1>vP9349>63>=:9<0q~?j7;295~;51909<=5r}r126?6=:rT8<=525e8045=#:?k1=hl4}r124?6=:rT9jk525e81bc=#:?k1=hm4}r13b?6=:rT9jh525e81b`=#:?k1=hj4}r13a?6=:rT9ji525e81ba=#:?k1=hk4}r13`?6=:rT9jn525e81bf=#:?k1=k84}r13g?6=:rT9jo525e81bg=#:?k1=o;4}r13f?6=:rT9jl525e81bd=#:?k1=oj4}r13e?6=:rT9j4525e81b<=#:?k1=n64}r12f?6=:rT8<5525e804==#:?k1=nh4}r12e?6=:rT8<:525e8042=#:?k1=i64}r12=?6=:rT8<;525e8043=#:?k1=h<4}r12<?6=:rT8<8525e8040=#:?k1=h=4}r123?6=:rT8<9525e8041=#:?k1=h:4}r122?6=:rT8<>525e8046=#:?k1=h;4}r121?6=:rT8<?525e8047=#:?k1=h84}r120?6=:rT8<<525e8044=#:?k1=h64}r127?6=:rT9j5525e81b==#:?k1=h74}r13=?6=:rT9j:525e81b2=#:?k1=ho4}r4a0?6=:rT=n9525e85f1=#:?k1=hh4}r4`e?6=:rT=ol525e85gd=#:?k1=k>4}r4`g?6=:rT=on525e85gf=#:?k1=k<4}r4g0?6=:rT=h9525e85`1=#:?k1=k:4}r4fe?6=:rT=il525e85ad=#:?k1=k;4}r103?6=:rT8>8525e8060=#:?k1=o84}r101?6=:rT8>9525e8061=#:?k1=o94}r100?6=:rT8>>525e8066=#:?k1=o64}r107?6=:rT8>?525e8067=#:?k1=o74}r106?6=:rT8><525e8064=#:?k1=oo4}r105?6=:rT8>=525e8065=#:?k1=ol4}r104?6=:rT8=k525e805c=#:?k1=om4}r11b?6=:rT8=h525e805`=#:?k1=ok4}r174?6=:rT8>i525e806a=#:?k1=oh4}r10b?6=:rT8>n525e806f=#:?k1=n>4}r10a?6=:rT8>o525e806g=#:?k1=n?4}r10`?6=:rT8>l525e806d=#:?k1=n<4}r10g?6=:rT8>4525e806<=#:?k1=n=4}r10f?6=:rT8>5525e806==#:?k1=n:4}r10e?6=:rT8>:525e8062=#:?k1=n;4}r10=?6=:rT8>;525e8063=#:?k1=n84}r10<?6=:rT8=i525e805a=#:?k1=n94}r11a?6=:rT8=n525e805f=#:?k1=n74}r176?6=:rT88?525e8007=#:?k1=no4}r171?6=:rT888525e8000=#:?k1=nl4}r4`=?6=:rT=nh525e85f`=#:?k1=nm4}r4`3?6=:rT=ni525e85fa=#:?k1=nj4}r4`2?6=:rT=nn525e85ff=#:?k1=nk4}r4`1?6=:rT=no525e85fg=#:?k1=i>4}r4`0?6=:rT=nl525e85fd=#:?k1=i?4}r4`7?6=:rT=n4525e85f<=#:?k1=i<4}r4`6?6=:rT=n5525e85f==#:?k1=i=4}r4`5?6=:rT=n:525e85f2=#:?k1=i:4}r4`4?6=:rT=n;525e85f3=#:?k1=i;4}r4ab?6=:rT=n8525e85f0=#:?k1=i84}r4f=?6=:rT=hh525e85``=#:?k1=i94}r4f3?6=:rT=hi525e85`a=#:?k1=i74}r4f2?6=:rT=hn525e85`f=#:?k1=io4}r4f1?6=:rT=ho525e85`g=#:?k1=il4}r4f0?6=:rT=hl525e85`d=#:?k1=im4}r4f7?6=:rT=h4525e85`<=#:?k1=ij4}r4f6?6=:rT=h5525e85`==#:?k1=ik4}r4f5?6=:rT=h:525e85`2=#:?k1=ih4}r4f4?6=:rT=h;525e85`3=#:?k1=h>4}r4gb?6=:rT=h8525e85`0=#:?k1=h?4}|lf43<72:qC>;l4}og33?6=;rB9:o5rnd2;>5<4sA8=n6sae1;94?5|@;<i7p`j0`83>6}O:?h0qck?b;297~N5>k1vbh>l:180\7fM41j2wei=j50;1xL70e3tdn<h4?:2yK63d<ugo;j7>53zJ12g=zfl;;6=4<{I05f>{im8;1<7=tH34a?xhb9;0;6>uG27`8ykc6;3:1?vF=6c9~j`732908wE<9b:\7fma43=839pD?8m;|lf53<72:qC>;l4}og23?6=;rB9:o5rnd3;>5<4sA8=n6sae0;94?5|@;<i7p`j1`83>6}O:?h0qck>b;297~N5>k1vbh?l:180\7fM41j2wei<j50;1xL70e3tdn=h4?:2yK63d<ugo:j7>53zJ12g=zfl8;6=4<{I05f>{im;;1<7=tH34a?xhb:;0;6>uG27`8ykc5;3:1?vF=6c9~j`432908wE<9b:\7fma73=839pD?8m;|lf63<72:qC>;l4}og13?6=;rB9:o5rnd0;>5<4sA8=n6sae3;94?5|@;<i7p`j2`83>6}O:?h0qck=b;297~N5>k1vbh<l:180\7fM41j2wei?j50;1xL70e3tdn>h4?:2yK63d<ugo9j7>53zJ12g=zfl9;6=4<{I05f>{im:;1<7=tH34a?xhb;;0;6>uG27`8ykc4;3:1?vF=6c9~j`532908wE<9b:\7fma63=839pD?8m;|lf73<72:qC>;l4}og03?6=;rB9:o5rnd1;>5<4sA8=n6sae2;94?5|@;<i7p`j3`83>6}O:?h0qck<b;297~N5>k1vbh=l:180\7fM41j2wei>j50;1xL70e3tdn?h4?:2yK63d<ugo8j7>53zJ12g=zfl>;6=4<{I05f>{im=;1<7=tH34a?xhb<;0;6>uG27`8ykc3;3:1?vF=6c9~j`232908wE<9b:\7fm`=4=83;pD?8m;|lg<<<720qC>;l4}ofa3?6=9rB9:o5rne`;>5<6sA8=n6sadc;94?7|@;<i7p`kb`83>4}O:?h0qcjmb;295~N5>k1vbill:182\7fM41j2wehoj50;3xL70e3tdonh4?:0yK63d<ugnij7>51zJ12g=zfmi;6=4>{I05f>{ilm91<7?tH34a?xhcl=0;6<uG27`8ykbc=3:1=vF=6c9~jab1290:wE<9b:\7fm`a1=83;pD?8m;|lg`=<728qC>;l4}ofg=?6=9rB9:o5rnefb>5<6sA8=n6sade`94?7|@;<i7p`kdb83>4}O:?h0qcjkd;295~N5>k1vbijj:182\7fM41j2wehih50;3xL70e3tdoi=4?:0yK63d<ugnn=7>51zJ12g=zfmo96=4>{I05f>{ill91<7?tH34a?xhcm=0;6<uG27`8ykbb=3:1=vF=6c9~jac1290:wE<9b:\7fm``1=83;pD?8m;|lga=<728qC>;l4}off=?6=9rB9:o5rnegb>5<6sA8=n6sadd`94?7|@;<i7p`keb83>4}O:?h0qcjjd;295~N5>k1vbikj:182\7fM41j2wehhh50;3xL70e3tdoj=4?:0yK63d<ugnm=7>51zJ12g=zfml96=4>{I05f>{ilo91<7?tH34a?xhcn=0;6<uG27`8ykba=3:1=vF=6c9~ja`1290:wE<9b:\7fm`c1=83;pD?8m;|lgb=<728qC>;l4}ofe=?6=9rB9:o5rnedb>5<6sA8=n6sadg`94?7|@;<i7p`kfb83>4}O:?h0qcjid;295~N5>k1vbihj:182\7fM41j2wehkh50;3xL70e3tdn<=4?:0yK63d<ugo;=7>51zJ12g=zfl:96=4>{I05f>{im991<7?tH34a?xhb8=0;6<uG27`8ykd0?3:1=vF=6c9~jg1c290:wE<9b:\7fmf=7=83;pD?8m;|la<7<728qC>;l4}o`;7?6=9rB9:o5rnc:7>5<6sA8=n6sab9794?7|@;<i7p`m8783>4}O:?h0qcl77;295~N5>k1vbo67:182\7fM41j2wen5750;3xL70e3tdi4l4?:0yK63d<ugh3n7>51zJ12g=zfk2h6=4>{I05f>{ij1n1<7?tH34a?xhe0l0;6<uG27`8ykd?n3:1=vF=6c9~jg?7290:wE<9b:\7fmf<7=83;pD?8m;|la=7<728qC>;l4}o`:7?6=9rB9:o5rnc;7>5<6sA8=n6sab8794?7|@;<i7p`m9783>4}O:?h0qcl67;295~N5>k1vbo77:182\7fM41j2wen4750;3xL70e3tdi5l4?:0yK63d<ugh2n7>51zJ12g=zfk3h6=4>{I05f>{ij0n1<7?tH34a?xhe1l0;6<uG27`8ykd>n3:1=vF=6c9~jgg7290:wE<9b:\7fmfd7=83;pD?8m;|lae7<728qC>;l4}o`b7?6=9rB9:o5rncc7>5<6sA8=n6sab`794?7|@;<i7p`ma783>4}O:?h0qcln7;295~N5>k1vboo7:182\7fM41j2wenl750;3xL70e3tdiml4?:0yK63d<ughjn7>51zJ12g=zfkkh6=4>{I05f>{ijhn1<7?tH34a?xheil0;6<uG27`8ykdfn3:1=vF=6c9~jgd7290:wE<9b:\7fmfg7=83;pD?8m;|laf7<728qC>;l4}o`a`?6=9rB9:o5rnc`f>5<6sA8=n6sabcd94?7|@;<i7p`mc183>4}O:?h0qcll1;295~N5>k1vbom=:182\7fM41j2wenn=50;3xL70e3tdio94?:0yK63d<ughh97>51zJ12g=zfki=6=4>{I05f>{ijj=1<7?tH34a?xhek10;6<uG27`8ykdd13:1=vF=6c9~jgef290:wE<9b:\7fmffd=83;pD?8m;|lagf<728qC>;l4}o```?6=9rB9:o5rncaf>5<6sA8=n6sabbd94?7|@;<i7p`md183>4}O:?h0qclif;295~N5>k1vbn>?:182\7fM41j2weo=?50;3xL70e3tdh<?4?:0yK63d<ugi;?7>51zJ12g=zfj:?6=4>{I05f>{ik9?1<7?tH34a?xhd8?0;6<uG27`8yke7?3:1=vF=6c9~jf6?290:wE<9b:\7fmg5?=83;pD?8m;|l`4d<728qC>;l4}oa3f?6=9rB9:o5rnb2`>5<6sA8=n6sac1f94?7|@;<i7p`l0d83>4}O:?h0qcm?f;295~N5>k1vbn??:182\7fM41j2weo<?50;3xL70e3tdh=?4?:0yK63d<ugi:?7>51zJ12g=zfj;?6=4>{I05f>{ik8?1<7?tH34a?xhd9?0;6<uG27`8yke6?3:1=vF=6c9~jf7?290:wE<9b:\7fmg4?=83;pD?8m;|l`5d<728qC>;l4}oa2f?6=9rB9:o5rnb3`>5<6sA8=n6sac0f94?7|@;<i7p`l1d83>4}O:?h0qcm>f;295~N5>k1vbn<?:182\7fM41j2weo??50;3xL70e3tdh>?4?:0yK63d<ugi9?7>51zJ12g=zfj8?6=4>{I05f>{ik;?1<7?tH34a?xhd:?0;6<uG27`8yke5?3:1=vF=6c9~jf4?290:wE<9b:\7fmg7?=83;pD?8m;|l`6d<728qC>;l4}oa1f?6=9rB9:o5rnb0`>5<6sA8=n6sac3f94?7|@;<i7p`l2d83>4}O:?h0qcm=f;295~N5>k1vbn=?:182\7fM41j2weo>?50;3xL70e3tdh??4?:0yK63d<ugi8?7>51zJ12g=zfj9?6=4>{I05f>{ik:?1<7?tH34a?xhd;?0;6<uG27`8yke4?3:1=vF=6c9~jf5?290:wE<9b:\7fmg6?=83;pD?8m;|l`7d<728qC>;l4}oa0f?6=9rB9:o5rnb1`>5<6sA8=n6sac2f94?7|@;<i7p`l3d83>4}O:?h0qcm<f;295~N5>k1vbn:?:182\7fM41j2weo9?50;3xL70e3tdh8?4?:0yK63d<ugi??7>51zJ12g=zfj>?6=4>{I05f>{ik=?1<7?tH34a?xhd<?0;6<uG27`8yke3?3:1=vF=6c9~jf2?290:wE<9b:\7fmg1?=83;pD?8m;|l`0d<728qC>;l4}oa7f?6=9rB9:o5rnb6`>5<6sA8=n6sac5f94?7|@;<i7p`l4d83>4}O:?h0qcm;f;295~N5>k1vbn;?:182\7fM41j2weo8?50;3xL70e3tdh9?4?:0yK63d<ugi>?7>51zJ12g=zfj??6=4>{I05f>{ik<?1<7?tH34a?xhd=?0;6<uG27`8yke2?3:1=vF=6c9~jf3?290:wE<9b:\7fmg0?=83;pD?8m;|l`1d<728qC>;l4}oa6f?6=9rB9:o5rnb7`>5<6sA8=n6sac4f94?7|@;<i7p`l5d83>4}O:?h0qcm:f;295~N5>k1vbn8?:182\7fM41j2weo;?50;3xL70e3tdh:?4?:0yK63d<ugi=?7>51zJ12g=zfj<?6=4>{I05f>{ik??1<7?tH34a?xhd>?0;6<uG27`8yke1?3:1=vF=6c9~jf0?290:wE<9b:\7fmg3?=83;pD?8m;|l`2d<728qC>;l4}oa5f?6=9rB9:o5rnb4`>5<6sA8=n6sac7f94?7|@;<i7p`l6d83>4}O:?h0qcm9f;295~N5>k1vbn9?:182\7fM41j2weo:?50;3xL70e3tdh;?4?:0yK63d<ugi<?7>51zJ12g=zfj=?6=4>{I05f>{ik>?1<7?tH34a?xhd??0;6<uG27`8yke0?3:1=vF=6c9~jf1?290:wE<9b:\7fmg2?=83;pD?8m;|l`3d<728qC>;l4}oa4f?6=9rB9:o5rnb5`>5<6sA8=n6sac6f94?7|@;<i7p`l7d83>4}O:?h0qcm8f;295~N5>k1vbn6?:182\7fM41j2weo5?50;3xL70e3tdh4?4?:0yK63d<ugi3?7>51zJ12g=zfj2?6=4>{I05f>{ik1?1<7?tH34a?xhd0?0;6<uG27`8yke??3:1=vF=6c9~jf>?290:wE<9b:\7fmg=?=83;pD?8m;|l`<d<728qC>;l4}oa;f?6=9rB9:o5rnb:`>5<6sA8=n6sac9f94?7|@;<i7p`l8d83>4}O:?h0qcm7f;295~N5>k1vbn7?:182\7fM41j2weo4?50;3xL70e3tdh5?4?:0yK63d<ugi2?7>51zJ12g=zfj3?6=4>{I05f>{ik0?1<7?tH34a?xhd1?0;6<uG27`8yke>?3:1=vF=6c9~jf??290:wE<9b:\7fmg<?=83;pD?8m;|l`=d<728qC>;l4}oa:f?6=9rB9:o5rnb;`>5<6sA8=n6sac8f94?7|@;<i7p`l9d83>4}O:?h0qcm6f;295~N5>k1vbno?:182\7fM41j2weol?50;3xL70e3tdhm?4?:0yK63d<ugij?7>51zJ12g=zfjk?6=4>{I05f>{ikh?1<7?tH34a?xhdi?0;6<uG27`8ykef?3:1=vF=6c9~jfg?290:wE<9b:\7fmgd?=83;pD?8m;|l`ed<728qC>;l4}oabf?6=9rB9:o5rnbc`>5<6sA8=n6sac`f94?7|@;<i7p`lad83>4}O:?h0qcmnf;295~N5>k1vbnl?:182\7fM41j2weoo?50;3xL70e3tdhn?4?:0yK63d<ugii?7>51zJ12g=zfjh?6=4>{I05f>{ikk?1<7?tH34a?xhdj?0;6<uG27`8ykee?3:1=vF=6c9~jfd?290:wE<9b:\7fmgg?=83;pD?8m;|l`fd<728qC>;l4}oaaf?6=9rB9:o5rnb``>5<6sA8=n6saccf94?7|@;<i7p`lbd83>4}O:?h0qcmmf;295~N5>k1vbnm?:182\7fM41j2weon?50;3xL70e3tdho?4?:0yK63d<ugih?7>51zJ12g=zfji?6=4>{I05f>{ikj?1<7?tH34a?xhdk?0;6<uG27`8yked?3:1=vF=6c9~jfe?290:wE<9b:\7fmgf?=83;pD?8m;|l`gd<728qC>;l4}oa`f?6=9rB9:o5rnba`>5<6sA8=n6sacbf94?7|@;<i7p`lcd83>4}O:?h0qcmlf;295~N5>k1vbnj?:182\7fM41j2weoi?50;3xL70e3tdhh?4?:0yK63d<ugio?7>51zJ12g=zfjn?6=4>{I05f>{ikm?1<7?tH34a?xhdl?0;6<uG27`8ykec?3:1=vF=6c9~jfb?290:wE<9b:\7fmga?=83;pD?8m;|l``d<728qC>;l4}oagf?6=9rB9:o5rnbf`>5<6sA8=n6sacef94?7|@;<i7p`ldd83>4}O:?h0qcmkf;295~N5>k1vbnk?:182\7fM41j2weoh?50;3xL70e3tdhi?4?:0yK63d<ugin?7>51zJ12g=zfjo?6=4>{I05f>{ikl?1<7?tH34a?xhdm?0;6<uG27`8ykeb?3:1=vF=6c9~jfc?290:wE<9b:\7fmg`?=83;pD?8m;|l`ad<728qC>;l4}oaff?6=9rB9:o5rnbg`>5<6sA8=n6sacdf94?7|@;<i7p`led83>4}O:?h0qcmjf;295~N5>k1vbnh?:182\7fM41j2weok?50;3xL70e3tdhj?4?:0yK63d<ugim?7>51zJ12g=zfjl?6=4>{I05f>{iko?1<7?tH34a?xhdn?0;6<uG27`8ykea?3:1=vF=6c9~jf`?290:wE<9b:\7fmgc?=83;pD?8m;|l`bd<728qC>;l4}oaef?6=9rB9:o5rnbd`>5<6sA8=n6sacgf94?7|@;<i7p`lfd83>4}O:?h0qcmif;295~N5>k1vbi>?:182\7fM41j2weh=?50;3xL70e3tdo<?4?:0yK63d<ugn;?7>51zJ12g=zfm:?6=4>{I05f>{il9?1<7?tH34a?xhc8?0;6<uG27`8ykb7?3:1=vF=6c9~ja6?290:wE<9b:\7fm`5?=83;pD?8m;|lg4d<728qC>;l4}of3f?6=9rB9:o5rne2`>5<6sA8=n6sad1f94?7|@;<i7p`k0d83>4}O:?h0qcj?f;295~N5>k1vbi??:182\7fM41j2weh<?50;3xL70e3tdo=?4?:0yK63d<ugn:?7>51zJ12g=zfm;?6=4>{I05f>{il8?1<7?tH34a?xhc9?0;6<uG27`8ykb6?3:1=vF=6c9~ja7?290:wE<9b:\7fm`4?=83;pD?8m;|lg5d<728qC>;l4}of2f?6=9rB9:o5rne3`>5<6sA8=n6sad0f94?7|@;<i7p`k1d83>4}O:?h0qcj>f;295~N5>k1vbi<?:182\7fM41j2weh??50;3xL70e3tdo>?4?:0yK63d<ugn9?7>51zJ12g=zfm8?6=4>{I05f>{il;?1<7?tH34a?xhc:?0;6<uG27`8ykb5?3:1=vF=6c9~ja4?290:wE<9b:\7fm`7?=83;pD?8m;|lg6d<728qC>;l4}of1f?6=9rB9:o5rne0`>5<6sA8=n6sad3f94?7|@;<i7p`k2d83>4}O:?h0qcj=f;295~N5>k1vbi=?:182\7fM41j2weh>?50;3xL70e3tdo??4?:0yK63d<ugn8?7>51zJ12g=zfm9?6=4>{I05f>{il:?1<7?tH34a?xhc;?0;6<uG27`8ykb4?3:1=vF=6c9~ja5?290:wE<9b:\7fm`6?=83;pD?8m;|lg7d<728qC>;l4}of0f?6=9rB9:o5rne1`>5<6sA8=n6sad2f94?7|@;<i7p`k3d83>4}O:?h0qcj<f;295~N5>k1vbi:?:182\7fM41j2weh9?50;3xL70e3tdo8?4?:0yK63d<ugn??7>51zJ12g=zfm>?6=4>{I05f>{il=?1<7?tH34a?xhc<?0;6<uG27`8ykb3?3:1=vF=6c9~ja2?290:wE<9b:\7fm`1?=83;pD?8m;|lg0d<728qC>;l4}of7f?6=9rB9:o5rne6`>5<6sA8=n6sad5f94?7|@;<i7p`k4d83>4}O:?h0qcj;f;295~N5>k1vbi;?:182\7fM41j2weh8?50;3xL70e3tdo9?4?:0yK63d<ugn>?7>51zJ12g=zfm??6=4>{I05f>{il<?1<7?tH34a?xhc=?0;6<uG27`8ykb2?3:1=vF=6c9~ja3?290:wE<9b:\7fm`0?=83;pD?8m;|lg1d<728qC>;l4}of6f?6=9rB9:o5rne7`>5<6sA8=n6sad4f94?7|@;<i7p`k5d83>4}O:?h0qcj:f;295~N5>k1vbi8?:182\7fM41j2weh;?50;3xL70e3tdo:?4?:0yK63d<ugn=?7>51zJ12g=zfm<?6=4>{I05f>{il??1<7?tH34a?xhc>?0;6<uG27`8ykb1?3:1=vF=6c9~ja0?290:wE<9b:\7fm`3?=83;pD?8m;|lg2d<728qC>;l4}of5f?6=9rB9:o5rne4`>5<6sA8=n6sad7f94?7|@;<i7p`k6d83>4}O:?h0qcj9f;295~N5>k1vbi9?:182\7fM41j2weh:?50;3xL70e3tdo;?4?:0yK63d<ugn<?7>51zJ12g=zfm=?6=4>{I05f>{il>?1<7?tH34a?xhc??0;6<uG27`8ykb0?3:1=vF=6c9~ja1?290:wE<9b:\7fm`2?=83;pD?8m;|lg3d<728qC>;l4}of4f?6=9rB9:o5rne5`>5<6sA8=n6sad6f94?7|@;<i7p`k7d83>4}O:?h0qck?5;295~N5>k1vqpsO@By24=d=;0>25;;r@A@x4xFGXrwKL
\ No newline at end of file
diff --git a/trb_cts/trbv2_tlk_api_fifo.vhd b/trb_cts/trbv2_tlk_api_fifo.vhd
new file mode 100644 (file)
index 0000000..5faa056
--- /dev/null
@@ -0,0 +1,150 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           --
+--     solely for design, simulation, implementation and creation of          --
+--     design files limited to Xilinx devices or technologies. Use            --
+--     with non-Xilinx devices or technologies is expressly prohibited        --
+--     and immediately terminates your license.                               --
+--                                                                            --
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
+--     FOR A PARTICULAR PURPOSE.                                              --
+--                                                                            --
+--     Xilinx products are not intended for use in life support               --
+--     appliances, devices, or systems. Use in such applications are          --
+--     expressly prohibited.                                                  --
+--                                                                            --
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
+--     All rights reserved.                                                   --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file trbv2_tlk_api_fifo.vhd when simulating
+-- the core, trbv2_tlk_api_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY trbv2_tlk_api_fifo IS
+       port (
+       din: IN std_logic_VECTOR(17 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(17 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic;
+       rd_data_count: OUT std_logic_VECTOR(9 downto 0);
+       wr_data_count: OUT std_logic_VECTOR(9 downto 0));
+END trbv2_tlk_api_fifo;
+
+ARCHITECTURE trbv2_tlk_api_fifo_a OF trbv2_tlk_api_fifo IS
+-- synthesis translate_off
+component wrapped_trbv2_tlk_api_fifo
+       port (
+       din: IN std_logic_VECTOR(17 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(17 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic;
+       rd_data_count: OUT std_logic_VECTOR(9 downto 0);
+       wr_data_count: OUT std_logic_VECTOR(9 downto 0));
+end component;
+
+-- Configuration specification 
+       for all : wrapped_trbv2_tlk_api_fifo use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+               generic map(
+                       c_rd_freq => 100,
+                       c_wr_response_latency => 1,
+                       c_has_srst => 0,
+                       c_has_rd_data_count => 1,
+                       c_din_width => 18,
+                       c_has_wr_data_count => 1,
+                       c_implementation_type => 2,
+                       c_family => "virtex4",
+                       c_has_wr_rst => 0,
+                       c_wr_freq => 100,
+                       c_underflow_low => 0,
+                       c_has_meminit_file => 0,
+                       c_has_overflow => 0,
+                       c_preload_latency => 1,
+                       c_dout_width => 18,
+                       c_rd_depth => 1024,
+                       c_default_value => "BlankString",
+                       c_mif_file_name => "BlankString",
+                       c_has_underflow => 0,
+                       c_has_rd_rst => 0,
+                       c_has_almost_full => 0,
+                       c_has_rst => 1,
+                       c_data_count_width => 10,
+                       c_has_wr_ack => 0,
+                       c_use_ecc => 0,
+                       c_wr_ack_low => 0,
+                       c_common_clock => 0,
+                       c_rd_pntr_width => 10,
+                       c_has_almost_empty => 0,
+                       c_rd_data_count_width => 10,
+                       c_enable_rlocs => 0,
+                       c_wr_pntr_width => 10,
+                       c_overflow_low => 0,
+                       c_prog_empty_type => 0,
+                       c_optimization_mode => 0,
+                       c_wr_data_count_width => 10,
+                       c_preload_regs => 0,
+                       c_dout_rst_val => "0",
+                       c_has_data_count => 0,
+                       c_prog_full_thresh_negate_val => 1021,
+                       c_wr_depth => 1024,
+                       c_prog_empty_thresh_negate_val => 3,
+                       c_prog_empty_thresh_assert_val => 2,
+                       c_has_valid => 0,
+                       c_init_wr_pntr_val => 0,
+                       c_prog_full_thresh_assert_val => 1022,
+                       c_use_fifo16_flags => 0,
+                       c_has_backup => 0,
+                       c_valid_low => 0,
+                       c_prim_fifo_type => "1kx18",
+                       c_count_type => 0,
+                       c_prog_full_type => 0,
+                       c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_trbv2_tlk_api_fifo
+               port map (
+                       din => din,
+                       rd_clk => rd_clk,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_clk => wr_clk,
+                       wr_en => wr_en,
+                       dout => dout,
+                       empty => empty,
+                       full => full,
+                       rd_data_count => rd_data_count,
+                       wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END trbv2_tlk_api_fifo_a;
+
diff --git a/trb_cts/vulom_interface.vhd b/trb_cts/vulom_interface.vhd
new file mode 100644 (file)
index 0000000..0b131ba
--- /dev/null
@@ -0,0 +1,244 @@
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+entity vulom_interface is
+  port (
+    CLK                : in  std_logic;
+    DATA_CLK           : in  std_logic;
+    RESET              : in  std_logic;
+    DATA_IN            : in  std_logic_vector(1 downto 0);
+    BUSY               : out std_logic;
+    API_DATA           : out std_logic_vector(47 downto 0);
+    API_RUN_OUT        : in  std_logic;
+    API_SHORT_TRANSFER : out std_logic;
+    API_DTYPE          : out std_logic_vector(3 downto 0);
+    API_SEND           : out std_logic;
+    API_WRITE_IN       : out std_logic;
+    API_TARGET_ADDRESS : out std_logic_vector(15 downto 0);
+    API_SEQNR_OUT      : in  std_logic_vector(7 downto 0);
+    VULOM_INT_REG      : out std_logic_vector(31 downto 0);
+    INTERFACE_CONTROL : in std_logic_vector(3 downto 0);
+    EVENT_REGISTER_00 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_01 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_02 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_03 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_04 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_05 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_06 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_07 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_08 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_09 : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_0a : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_0b : out std_logic_vector(31 downto 0);
+    EVENT_REGISTER_0c : out std_logic_vector(31 downto 0);
+    START_READOUT : out std_logic;
+    LVL1_TRANSFER_BUSY : in std_logic
+    );
+end vulom_interface;
+
+architecture vulom_interface of vulom_interface is
+  component edge_to_pulse
+    port (
+      clock                           : in  std_logic;
+      en_clk                          : in  std_logic;
+      signal_in                       : in  std_logic;
+      pulse                           : out std_logic
+      );
+  end component;
+  type VULOM_TO_API is (IDLE, SEND_TRIGGER_a,SEND_TRIGGER_b,SEND_TRIGGER_c,
+                              WAIT_FOR_END_BUSY,TRIGGER_MISSMATCH);
+  signal VULOM_TO_API_current,VULOM_TO_API_next  : VULOM_TO_API;
+  signal trigger_code : std_logic_vector(3 downto 0);
+  signal trigger_tag : std_logic_vector(15 downto 0);
+  signal api_ready_pulse : std_logic;
+  signal vulom_interface_debug : std_logic_vector(3 downto 0);
+  signal trigger_pulse : std_logic;
+  signal trigger_error : std_logic;
+  signal trigger_counter : std_logic_vector(15 downto 0);
+  signal not_api_run_out : std_logic;
+  signal data_trigg : std_logic;
+  signal fast_trigg : std_logic;
+  signal data_trigg_pulse : std_logic;
+  type vulom_data is array (160 downto 0) of std_logic_vector(1 downto 0);
+  signal v_data : vulom_data;
+  signal busy_i : std_logic;
+  signal data_clock_counter : std_logic_vector(7 downto 0):=x"00";
+  signal not_transfer_busy : std_logic;
+  signal not_transfer_busy_pulse : std_logic;
+begin
+  trigger_code <= "00" & v_data(0);
+  trigger_tag <=  v_data(8) & v_data(7) & v_data(6) & v_data(5) & v_data(4) & v_data(3) & v_data(2) & v_data(1);
+  API_WRITE_IN <= '0';
+  API_DATA <= (others => '0');
+  API_DTYPE <= trigger_code;
+  API_TARGET_ADDRESS <= x"FFFF";
+  API_SHORT_TRANSFER <= '1';
+  not_api_run_out <= not API_RUN_OUT;
+  SAVE_VULOM_DATA: process (DATA_CLK)
+    variable vulom_data_array_counter : integer:=1;
+  begin 
+    if rising_edge (DATA_CLK)  then
+      
+      if vulom_data_array_counter = 161 then
+        vulom_data_array_counter := 1;
+        data_clock_counter <= (others => '0');
+      else
+       vulom_data_array_counter := vulom_data_array_counter + 1;
+       v_data(vulom_data_array_counter-1) <= DATA_IN(1 downto 0);
+       data_clock_counter <= data_clock_counter + 1;
+      end if;
+    end if;
+  end process SAVE_VULOM_DATA;
+  EVENT_REGISTER_00 <= x"0123456" & "00" & v_data(0);
+  EVENT_REGISTER_01 <= v_data(16) & v_data(15) & v_data(14) & v_data(13) & v_data(12) & v_data(11) & v_data(10) & v_data(9)
+                       & v_data(8) & v_data(7) & v_data(6) & v_data(5) & v_data(4) & v_data(3) & v_data(2) & v_data(1);
+  EVENT_REGISTER_02 <= v_data(32) & v_data(31) & v_data(30) & v_data(29) & v_data(28) & v_data(27) & v_data(26) & v_data(25)
+                       & v_data(24) & v_data(23) & v_data(22) & v_data(21) & v_data(20) & v_data(19) & v_data(18) & v_data(17);
+  EVENT_REGISTER_03 <= v_data(48) & v_data(47) & v_data(46) & v_data(45) & v_data(44) & v_data(43) & v_data(42) & v_data(41)
+                       & v_data(40) & v_data(39) & v_data(38) & v_data(37) & v_data(36) & v_data(35) & v_data(34) & v_data(33);
+  EVENT_REGISTER_04 <= v_data(64) & v_data(63) & v_data(62) & v_data(61) & v_data(60) & v_data(59) & v_data(58) & v_data(57)
+                       & v_data(56) & v_data(55) & v_data(54) & v_data(53) & v_data(52) & v_data(51) & v_data(50) & v_data(49);
+  EVENT_REGISTER_05 <= v_data(80) & v_data(79) & v_data(78) & v_data(77) & v_data(76) & v_data(75) & v_data(74) & v_data(73)
+                       & v_data(72) & v_data(71) & v_data(70) & v_data(69) & v_data(68) & v_data(67) & v_data(66) & v_data(65);
+  EVENT_REGISTER_06 <= v_data(96) & v_data(95) & v_data(94) & v_data(93) & v_data(92) & v_data(91) & v_data(90) & v_data(89)
+                       & v_data(88) & v_data(87) & v_data(86) & v_data(85) & v_data(84) & v_data(83) & v_data(82) & v_data(81);
+  EVENT_REGISTER_07 <= v_data(112) & v_data(111) & v_data(110) & v_data(109) & v_data(108) & v_data(107) & v_data(106) & v_data(105)
+                       & v_data(104) & v_data(103) & v_data(102) & v_data(101) & v_data(100) & v_data(99) & v_data(98) & v_data(97);
+  EVENT_REGISTER_08 <= v_data(128) & v_data(127) & v_data(126) & v_data(125) & v_data(124) & v_data(123) & v_data(122) & v_data(121)
+                       & v_data(120) & v_data(119) & v_data(118) & v_data(117) & v_data(116) & v_data(115) & v_data(114) & v_data(113);
+  EVENT_REGISTER_09 <= v_data(144) & v_data(143) & v_data(142) & v_data(141) & v_data(140) & v_data(139) & v_data(138) & v_data(137)
+                       & v_data(136) & v_data(135) & v_data(134) & v_data(133) & v_data(132) & v_data(131) & v_data(130) & v_data(129);
+  EVENT_REGISTER_0a <= v_data(160) & v_data(159) & v_data(158) & v_data(157) & v_data(156) & v_data(155) & v_data(154) & v_data(153)
+                       & v_data(152) & v_data(151) & v_data(150) & v_data(149) & v_data(148) & v_data(147) & v_data(146) & v_data(145);
+--   EVENT_REGISTER_0b <= v_data(64) & v_data(63) & v_data(62) & v_data(61) & v_data(60) & v_data(59) & v_data(58) & v_data(57)
+--                        & v_data(56) & v_data(55) & v_data(54) & v_data(53) & v_data(52) & v_data(51) & v_data(50) & v_data(49)
+--   EVENT_REGISTER_0c <= v_data(64) & v_data(63) & v_data(62) & v_data(61) & v_data(60) & v_data(59) & v_data(58) & v_data(57)
+--                        & v_data(56) & v_data(55) & v_data(54) & v_data(53) & v_data(52) & v_data(51) & v_data(50) & v_data(49)
+--   EVENT_REGISTER_0d <= v_data(64) & v_data(63) & v_data(62) & v_data(61) & v_data(60) & v_data(59) & v_data(58) & v_data(57)
+--                        & v_data(56) & v_data(55) & v_data(54) & v_data(53) & v_data(52) & v_data(51) & v_data(50) & v_data(49)
+  API_READY_PULSER : edge_to_pulse
+   port map (
+     clock     => CLK,
+     en_clk    => '1',
+     signal_in => not_api_run_out,
+     pulse     => api_ready_pulse);
+  FAST_TRIGG_PULSER : edge_to_pulse
+   port map (
+     clock     => CLK,
+     en_clk    => '1',
+     signal_in => data_trigg,
+     pulse     => data_trigg_pulse);
+  data_trigg <= '1' when data_clock_counter = 160 else '0';  --160
+  SYNCH_START: process (CLK, RESET)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then  
+        START_READOUT <= '0';
+      else
+        START_READOUT <= data_trigg_pulse;
+      end if;
+    end if;
+  end process SYNCH_START;
+  fast_trigg <= '1' when data_clock_counter = 17 else '0';
+  TRIGGER_TAG_COUNTER: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then
+      if RESET = '1' then      
+        trigger_counter <= (others => '0');
+      elsif data_trigg_pulse ='1' then
+        trigger_counter <= trigger_counter + 1;
+      else
+        trigger_counter <= trigger_counter;
+      end if;
+    end if;
+  end process TRIGGER_TAG_COUNTER;
+  not_transfer_busy <= not LVL1_TRANSFER_BUSY;
+  TRANSFER_BUSY_PULSER : edge_to_pulse
+   port map (
+     clock     => CLK,
+     en_clk    => '1',
+     signal_in => not_transfer_busy,
+     pulse     => not_transfer_busy_pulse);
+ VULOM_TO_API_CLK   : process (CLK, RESET)
+   begin  
+    if rising_edge(CLK) then
+      if RESET = '1' then
+       VULOM_TO_API_current   <= IDLE;
+      else
+       VULOM_TO_API_current   <= VULOM_TO_API_next;
+      end if;
+    end if;
+  end process VULOM_TO_API_CLK;
+  VULOM_TO_API_FSM: process (CLK, fast_trigg ,trigger_pulse,trigger_counter,trigger_tag, api_ready_pulse)
+  begin  
+    case (VULOM_TO_API_current) is
+      when IDLE =>
+        vulom_interface_debug <= x"1";
+        busy_i <= '0';
+        API_SEND <= '0';
+        if fast_trigg = '1'   then
+          VULOM_TO_API_next <= SEND_TRIGGER_a;
+        else
+          VULOM_TO_API_next <= IDLE;
+        end if;
+--        and INTERFACE_CONTROL(0) = '1' additional state for starting
+      when SEND_TRIGGER_a =>
+        vulom_interface_debug <= x"2";
+        busy_i <= '1';
+        API_SEND <= '0';
+        VULOM_TO_API_next <= SEND_TRIGGER_b;
+      when SEND_TRIGGER_b =>
+        vulom_interface_debug <= x"3";
+        busy_i <= '1';
+        API_SEND <= '1';
+--api
+       -- if API_SEQNR_OUT /= trigger_tag then
+--cts only
+if trigger_counter /= trigger_tag then
+           VULOM_TO_API_next <= TRIGGER_MISSMATCH;
+         else
+           VULOM_TO_API_next <= WAIT_FOR_END_BUSY;
+        end if;
+      when WAIT_FOR_END_BUSY =>
+        vulom_interface_debug <= x"6";
+        busy_i <= '1';
+        API_SEND <= '0';     
+--api
+if api_ready_pulse = '1' then
+--just cts
+--        if not_transfer_busy_pulse = '1' then
+          VULOM_TO_API_next <= IDLE;
+        else
+          VULOM_TO_API_next <= WAIT_FOR_END_BUSY;
+        end if;
+
+--        else
+--          VULOM_TO_API_next <= WAIT_FOR_END_BUSY;
+--        end if;
+       when TRIGGER_MISSMATCH =>
+         vulom_interface_debug <= x"7";
+         busy_i <= '1';
+         API_SEND <= '0';
+         VULOM_TO_API_next <= TRIGGER_MISSMATCH;
+      when others =>
+        vulom_interface_debug <= x"8";
+        busy_i <= '0';
+        API_SEND <= '0';
+        VULOM_TO_API_next <= IDLE;
+    end case;
+  end process VULOM_TO_API_FSM;
+  
+  BUSY <=(busy_i or LVL1_TRANSFER_BUSY);
+  VULOM_INT_REG(3 downto 0) <= vulom_interface_debug;
+  VULOM_INT_REG(7 downto 4) <= trigger_code;
+  VULOM_INT_REG(15 downto 8) <= trigger_tag(7 downto 0);
+  VULOM_INT_REG(23 downto 16) <= trigger_counter(7 downto 0);
+  VULOM_INT_REG(31 downto 24) <= data_clock_counter(7 downto 0);
+end vulom_interface;