SEND_RESET_WORDS_OUT : OUT std_logic;\r
MAKE_TRBNET_RESET_OUT : OUT std_logic;\r
LINK_BROKEN_OUT : OUT std_logic;\r
- CLEAR_STATUS_IN : IN std_logic;\r
BSM_OUT : OUT std_logic_vector(3 downto 0);\r
DBG_OUT : OUT std_logic_vector(15 downto 0)\r
);\r
SIGNAL SEND_RESET_WORDS_OUT : std_logic;\r
SIGNAL MAKE_TRBNET_RESET_OUT : std_logic;\r
SIGNAL LINK_BROKEN_OUT : std_logic;\r
- SIGNAL CLEAR_STATUS_IN : std_logic;\r
SIGNAL BSM_OUT : std_logic_vector(3 downto 0);\r
SIGNAL DBG_OUT : std_logic_vector(15 downto 0);\r
\r
SEND_RESET_WORDS_OUT => SEND_RESET_WORDS_OUT,\r
MAKE_TRBNET_RESET_OUT => MAKE_TRBNET_RESET_OUT,\r
LINK_BROKEN_OUT => LINK_BROKEN_OUT,\r
- CLEAR_STATUS_IN => CLEAR_STATUS_IN,\r
BSM_OUT => BSM_OUT,\r
DBG_OUT => DBG_OUT\r
);\r
rx_data_in <= x"00";\r
rx_allow_in <= '0';\r
med_read_in <= '0';\r
- clear_status_in <= '0';\r
\r
wait for 20 ns;\r
\r
-- Reset the whole stuff\r
- reset_in <= '1'; clear_status_in <= '1'; wait for 33 ns;\r
- reset_in <= '0'; clear_status_in <= '0'; wait for 55 ns;\r
+ reset_in <= '1'; wait for 33 ns;\r
+ reset_in <= '0'; wait for 55 ns;\r
\r
-- Tests may start now...\r
\r