FPGAboard_staplfilename=jcb_trb_009.stapl
FPGAboard_staplfilename_delay1=jcb_trb_008.stapl
FPGAboard_addonortrb=trb
-FPGAtrbnetAddr=0xf013
+FPGAtrbnetAddr=0xf308
CONFperiod_trbnetAddr=0xc001
CONFoffspillcounter_trbnetAddr=0xc002
CONFwaitstart_trbnetAddr=0xc007
signal jtag_cmd_m26c_no_more_data_out : std_logic_vector(NUM_CHAINS-1 downto 0);
signal jtag_cmd_m26c_unknown_addr_out : std_logic_vector(NUM_CHAINS-1 downto 0);
-
+ constant num_bus_handler_ports : integer := NUM_CHAINS + 1;
type jtag_counters_t is array(NUM_CHAINS-1 downto 0) of std_logic_vector(COUNTER_WIDTHS-1 downto 0);
type jtag_long_counters_t is array(NUM_CHAINS-1 downto 0) of std_logic_vector(31 downto 0);
signal jtagcmd_read_id_errors_count_out : jtag_counters_t;
THE_BUS_HANDLER : trb_net16_regio_bus_handler
generic map(
- PORT_NUMBER => 2,
+ PORT_NUMBER => num_bus_handler_ports,
PORT_ADDRESSES => (0 => x"1000", 1 => x"0000", 2 => x"0200", 3 => x"0400", 4 => x"0600", 5 => x"0800", others => x"0000"),
PORT_ADDR_MASK => (0 => 8, others => 9)
)
-- The MAPS Clock PLL
---------------------------------------------------------------------------
- THE_MAPS_PLL : entity work.pll_in100_out80
+ THE_MAPS_PLL : entity work.pll_in100_out80 --currently: 200 MHz in!
port map(
CLK => CLK_MAPS_IN,
CLKOP => clk_maps,