\r
constant Tshort : unsigned(31 downto 0) := x"0000000a";\r
-- @200MHz 100ms\r
- constant Tplol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; \r
- constant Tcdr : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; \r
- constant Tviol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; \r
+ constant Tplol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00";\r
+ constant Tcdr : unsigned(31 downto 0) := x"003fffff"; --x"01312d00";\r
+ constant Tviol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00";\r
\r
signal pll_lol_s : std_logic;\r
signal cdr_lol_s : std_logic;\r
signal lsm_s : std_logic;\r
signal los_s : std_logic;\r
signal sd_los_s : std_logic;\r
+ signal wap_zero_s : std_logic;\r
\r
signal cnt : unsigned(31 downto 0);\r
\r
lsm_s <= '0';\r
los_s <= '1';\r
sd_los_s <= '1';\r
+ wap_zero_s <= '0';\r
\r
RX_SERDES_RST_OUT <= '1';\r
RX_PCS_RST_OUT <= '1';\r
lsm_s <= LSM_IN; \r
los_s <= LOS_IN; \r
sd_los_s <= SD_LOS_IN;\r
+ wap_zero_s <= WAP_ZERO_IN;\r
\r
case rx_sm is\r
when POWERUP =>\r
RX_PCS_RST_OUT <= '0';\r
LINK_RX_READY_OUT <= '0';\r
cnt <= (others => '0');\r
- if( WAP_ZERO_IN = '1' ) then\r
+ if( wap_zero_s = '1' ) then\r
rx_sm <= NORMAL_OP;\r
else\r
-- rx_sm <= APPLY_RXPCS_RST; -- DOESNT WORK\r
constant K_BGN : std_logic_vector(7 downto 0) := x"1c"; -- K28.0 -- reserved for retransmission\r
constant K_28_1 : std_logic_vector(7 downto 0) := x"3c"; -- K28.1\r
constant K_28_2 : std_logic_vector(7 downto 0) := x"5c"; -- K28.2\r
-constant K_REQ : std_logic_vector(7 downto 0) := x"7c"; -- K28.3 -- reserved for transmission\r
+constant K_REQ : std_logic_vector(7 downto 0) := x"7c"; -- K28.3 -- reserved for retransmission\r
constant K_28_4 : std_logic_vector(7 downto 0) := x"9c"; -- K28.4\r
constant K_IDLE : std_logic_vector(7 downto 0) := x"bc"; -- K28.5 -- used for link idle and establishment\r
constant K_DLM : std_logic_vector(7 downto 0) := x"dc"; -- K28.6 -- used for link delay measurement\r