lm_license_file_for_par => "1702\@hadeb05.gsi.de",
lattice_path => '/d/jspc29/lattice/diamond/3.10_x64',
synplify_path => '/d/jspc29/lattice/synplify/N-2017.09-1/',
-nodelist_file => 'nodes_tdctemplate.txt',
+nodelist_file => '../tdctemplate/nodes_tdctemplate.txt',
#Include only necessary lpf files
#pinout_file => 'trb3sc_32pin', #name of pin-out file, if not equal TOPNAME
pinout_file => 'trb3sc_padiwa', #name of pin-out file, if not equal TOPNAME
-w
-l 5
-i 6
--t 26
+-t 37
# -s 1 #save how many
--c 0
--e 0
--exp parUseNBR=1:parCDP=auto:parCDR=1:parPathBased=OFF:parHold=ON:parHoldLimit=10000
+-c 1
+-e 1
+-exp parUseNBR=1:parCDP=auto:parCDR=1:parPathBased=OFF:parHold=2:parHoldLimit=10000
--Address settings
constant INIT_ADDRESS : std_logic_vector := x"F3CC";
- constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"60";
+ constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"69";
--set to 0 for backplane serdes, set to 3 for front SFP serdes
constant SERDES_NUM : integer := 3;
constant INCLUDE_UART : integer := c_YES;
constant INCLUDE_SPI : integer := c_YES;
- constant INCLUDE_LCD : integer := c_YES;
+ constant INCLUDE_LCD : integer := c_NO;
constant INCLUDE_DEBUG_INTERFACE: integer := c_YES;
--input monitor and trigger generation logic
constant INCLUDE_TRIGGER_LOGIC : integer := c_YES;
constant INCLUDE_STATISTICS : integer := c_YES;
- constant TRIG_GEN_INPUT_NUM : integer := 32;
- constant TRIG_GEN_OUTPUT_NUM : integer := 2;
- constant MONITOR_INPUT_NUM : integer := 32;
+ constant TRIG_GEN_INPUT_NUM : integer := 64;
+ constant TRIG_GEN_OUTPUT_NUM : integer := 4;
+ constant MONITOR_INPUT_NUM : integer := 64;
------------------------------------------------------------------------------
--End of design configuration
BACK_GPIO : inout std_logic_vector(3 downto 0);
--AddOn Connector
- --to be added
+ INP : in std_logic_vector(63 downto 0);
--KEL Connector
KEL : inout std_logic_vector(40 downto 1);
+ X : out std_logic_vector(12 downto 1);
--Additional IO
HDR_IO : inout std_logic_vector(10 downto 1);
ADC_MISO => ADC_DOUT,
ADC_CLK => ADC_CLK,
--Trigger & Monitor
- MONITOR_INPUTS => KEL(32 downto 1),--(others => '0'),
- TRIG_GEN_INPUTS => KEL(32 downto 1),--(others => '0'),
- TRIG_GEN_OUTPUTS => BACK_GPIO(3 downto 2),--open,
+ MONITOR_INPUTS => INP,--KEL(32 downto 1),--(others => '0'),
+ TRIG_GEN_INPUTS => INP,--KEL(32 downto 1),--(others => '0'),
+ TRIG_GEN_OUTPUTS => X(4 downto 1),--open,
--SED
SED_ERROR_OUT => sed_error_i,
--Slowcontrol
end if;
data_counter <= 0;
when WRITE =>
- readout_tx(0).data <= timer.microsecond;
+ readout_tx(0).data <= timer.microsecond(15 downto 0) & std_logic_vector(data_counter);
readout_tx(0).data_write <= '1';
data_counter <= data_counter + 1;
if data_counter = data_amount then