when gen =>
if unsigned(data_num) > 0 then
- pause_ctr <= (others => '0');
- down_ctr <= (others => '0');
--- data_int <= data_int + 1;
- writeEn_int <= '1';
- num_ctr <= num_ctr + 1;
+ pause_ctr <= (others => '0');
+ down_ctr <= (others => '0');
+-- data_int <= data_int + 1;
+ writeEn_int <= '1';
+ num_ctr <= num_ctr + 1;
if num_ctr < unsigned(data_num) - 1 then
data_fsm <= pause;
else
SLV_UNKNOWN_ADDR_OUT : out std_logic
);
end component MupixTRBReadout;
-
- signal in_rden : std_logic_vector(3 downto 0);
component TriggerHandler
port(
signal mux_fifo_data : std_logic_vector(127 downto 0);
signal mux_fifo_full : std_logic_vector(3 downto 0);
signal mux_fifo_empty : std_logic_vector(3 downto 0);
+ signal mux_fifo_rden : std_logic_vector(3 downto 0);
--signal declarations
-- Bus Handler
fifo_empty => mux_fifo_empty,
fifo_full => mux_fifo_full,
fifo_datain => mux_fifo_data,
- fifo_rden => in_rden,
+ fifo_rden => mux_fifo_rden,
trb_trigger => trb_trigger_i,
dataout => mupixdata_i,
data_valid => mupixdata_valid_i,
DATAWIDTH => DATA_WIDTH
)
port map(
- clk => clk
+ clk => clk,
reset => reset,
serdes_data => fifo_data,
serdes_fifo_full => fifo_full,
serdes_fifo_empty => fifo_empty,
serdes_fifo_rden => fifo_rden,
- in_rden => in_rden,
+ in_rden => mux_fifo_rden,
out_data => mux_fifo_data,
out_fifo_full => mux_fifo_full,
out_fifo_empty => mux_fifo_empty,