);
end entity;
-architecture adc_ad9219_arch of adc_ad9219 is
+architecture arch of adc_ad9219 is
+ attribute HGROUP : string;
+ attribute HGROUP of arch : architecture is "ADC_AD9219_group";
+
type q_t is array (0 to NUM_DEVICES - 1) of std_logic_vector(19 downto 0);
signal q, qq, qqq : q_t;
end entity adc_processor_cfd;
architecture arch of adc_processor_cfd is
+ attribute HGROUP : string;
+ attribute HGROUP of arch : architecture is "ADC_PROCESSOR_group";
+
-- attribute syn_hier : string;
-- attribute syn_keep : boolean;
-- attribute syn_preserve : boolean;