MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0);
MED_ERROR_OUT : out std_logic_vector (2 downto 0);
STAT : out std_logic_vector (63 downto 0)
+ --connect STAT(0) to LED
);
end trb_net16_med_tlk;
end if;
end process;
- STAT(0) <= internal_reset;
+ STAT(0) <= counter(24) or tx_allow;
STAT(1) <= rx_allow;
STAT(2) <= tx_allow;
STAT(3) <= fifo_wr_en_a;
);
fifo_rd_en_m <= tx_allow and not fifo_empty_m;
- --fifo_wr_en_m <= MED_DATAREADY_IN and buf_MED_READ_OUT;
- --fifo_din_m <= "00" & MED_DATA_IN;
TLK_TX_ER <= '0';
TLK_TX_EN <= reg_TX_EN;
next_rx_allow <= '1';
next_state <= WAIT_FOR_TX_ALLOW;
end if;
--- when WAIT_FOR_RX_ALLOW =>
--- next_MED_ERROR_OUT <= ERROR_WAIT;
--- if counter(22) = '1' then --22
--- next_rx_allow <= '1';
--- counter_reset <= '1';
--- next_state <= WAIT_FOR_TX_ALLOW;
--- end if;
when WAIT_FOR_TX_ALLOW =>
next_MED_ERROR_OUT <= ERROR_WAIT;
if counter(27) = '1' then --20
case current_state is
when RESETTING => state_bits <= "000";
when WAIT_FOR_RX_LOCK => state_bits <= "001";
--- when WAIT_FOR_RX_ALLOW => state_bits <= "010";
when WAIT_FOR_TX_ALLOW => state_bits <= "011";
when WORKING => state_bits <= "100";
when others => state_bits <= "111";