MAKE_TRBNET_RESET_OUT : out std_logic;\r
-- Status signals\r
LINK_BROKEN_OUT : out std_logic;\r
- CLEAR_STATUS_IN : in std_logic;\r
-- Debug signals\r
BSM_OUT : out std_logic_vector(3 downto 0);\r
DBG_OUT : out std_logic_vector(15 downto 0)\r
signal ce_toc : std_logic;\r
signal toc_done_x : std_logic;\r
signal toc_done : std_logic;\r
-signal link_broken : std_logic;\r
\r
signal debug : std_logic_vector(15 downto 0);\r
\r
end if;\r
end process THE_SYNC_PROC;\r
\r
-----------------------------------------------------------------------\r
--- Status bit\r
-----------------------------------------------------------------------\r
-THE_STATUS_BIT_PROC: process( SYSCLK_IN )\r
-begin\r
- if( rising_edge(SYSCLK_IN) ) then\r
- if ( CURRENT_STATE = CLEAN ) then\r
- link_broken <= '1';\r
- elsif( CLEAR_STATUS_IN = '1' ) then\r
- link_broken <= '0';\r
- end if;\r
- end if;\r
-end process THE_STATUS_BIT_PROC;\r
-\r
----------------------------------------------------------------------\r
-- RX packet counter\r
----------------------------------------------------------------------\r
----------------------------------------------------------------------\r
SEND_RESET_WORDS_OUT <= send_reset_words;\r
MAKE_TRBNET_RESET_OUT <= make_trbnet_reset;\r
-LINK_BROKEN_OUT <= link_broken;\r
+LINK_BROKEN_OUT <= fifo_rst;\r
\r
MED_DATAREADY_OUT <= med_dataready;\r
MED_DATA_OUT <= med_data;\r