signal rx_fsm_state : std_logic_vector(3 downto 0);
signal tx_fsm_state : std_logic_vector(3 downto 0);
signal wa_position_rx : std_logic_vector(3 downto 0);
- --signal start_timer : unsigned(20 downto 0) := (others => '0'); --REAL
- signal start_timer : unsigned(11 downto 0) := (others => '0'); --SIM
-signal start_timer : unsigned(21 downto 0) := (others => '0');
+
++signal start_timer : unsigned(21 downto 0) := (others => '0'); --REAL
++--signal start_timer : unsigned(11 downto 0) := (others => '0'); --SIM
signal request_retr_i : std_logic;
signal start_retr_i : std_logic;
signal crc_en : std_logic;
signal crc_data : std_logic_vector(7 downto 0);
signal first_idle : std_logic;
- signal toggle_idle : std_logic;
+ signal toggle_idle : std_logic := '0';
+
+ signal send_chksum_counter : std_logic_vector(7 downto 0) := x"00";
+
+ --signal num_pak : unsigned(15 downto 0) := (others => '0');
+ signal resub_mode : std_logic := '0';
+ signal reset_retrans : std_logic;
+
++
begin
----------------------------------------------------------------------
TX_K_OUT <= '1';
current_state <= SEND_IDLE_H;
first_idle <= first_idle;
- resub_mode <= '0';
+ load_eop <= '0';
++ resub_mode <= '0';
when SEND_IDLE_H =>
if rx_allow_qtx = '1' or toggle_idle = '1' then