ADC_CS : out std_logic;
--LED
- LED : out std_logic_vector(7 downto 0);
+ LED : out std_logic_vector(7 downto 0);
--Other Connectors
- TEST : out std_logic_vector(8 downto 1)
+ IO : inout std_logic_vector(8 downto 1)
);
signal common_stat_reg : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
signal common_ctrl_reg : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ signal hub_stat_debug : std_logic_vector(31 downto 0);
signal sed_error_i : std_logic;
signal bus_master_active : std_logic;
THE_MEDIA_INTERFACE : entity work.med_ecp5_sfp_sync_2
generic map(
+ USE_NEW_ECP5_RESET => 0,
DUAL => 0,
IS_SYNC_SLAVE => (c_YES,c_NO)
)
---------------------------------------------------------------------------
THE_DOWN_INTERFACE_2 : entity work.med_ecp5_sfp_sync_2
generic map(
+ USE_NEW_ECP5_RESET => 0,
DUAL => 1,
IS_SYNC_SLAVE => (c_NO,c_NO)
MII_IS_UPLINK => (1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
MII_IS_DOWNLINK => (0,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0),
MII_IS_UPLINK_ONLY => (1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0),
+ HUB_CTRL_BROADCAST_BITMASK => x"FA", --hub and mdc
USE_ONEWIRE => c_I2C,
HARDWARE_VERSION => HARDWARE_INFO,
INCLUDED_FEATURES => INCLUDED_FEATURES,
INIT_ENDPOINT_ID => x"0005",
INIT_CTRL_REGS => x"00000000_00000000_00000000_00000000" &
x"00000000_00000000_00000000_00000000" &
- x"00000000_00000000_800a4000_00000000" &
+ x"00000000_00000000_800a2000_00000000" &
x"FFFFFFFF_FFFFFFFF_FFFFFFFF_FFFFFFFF",
CLOCK_FREQUENCY => CLOCK_FREQUENCY,
BROADCAST_SPECIAL_ADDR => BROADCAST_SPECIAL_ADDR,
--Status ports (for debugging)
MPLEX_CTRL => (others => '0'),
CTRL_DEBUG => (others => '0'),
- STAT_DEBUG => open
+ STAT_DEBUG => hub_stat_debug
);
gen_media_record : for i in 0 to INTERFACE_NUM-1 generate
ADC_CLK => ADC_SCK,
--I2C
HEADER_IO(7) => SFP_MOD2,
- HEADER_IO(8) => SFP_MOD1,
- --Trigger & Monitor
+ HEADER_IO(8) => SFP_MOD1,
+ HEADER_IO(9) => IO(1), --uart rx
+ HEADER_IO(10) => IO(2), --uart tx
+
+ --Trigger & Monitor
MONITOR_INPUTS => monitor_inputs_i,
TRIG_GEN_INPUTS => trigger_inputs_i,
TRIG_GEN_OUTPUTS(1 downto 0) => open,
monitor_inputs_i <= (others => '0');
trigger_inputs_i <= (others => '0');
+IO(3) <= GPIO(1) when rising_edge(clk_sys);
+IO(4) <= med_dataready_in(1);
+IO(5) <= hub_stat_debug(8);
+IO(6) <= GPIO(5) when rising_edge(clk_sys);
+IO(7) <= med_dataready_in(3);
+IO(8) <= hub_stat_debug(9);
+--IO(7) <= hub_stat_debug(8);
+--IO(8) <= hub_stat_debug(9);
--- TEST(1) <= ADC_CS;
--- TEST(2) <= ADC_MOSI;
--- TEST(3) <= ADC_MISO;
--- TEST(4) <= ADC_SCK;
---------------------------------------------------------------------------
-- LED
DEFINE PORT GROUP "LED_group" "LED*";\r
IOBUF GROUP "LED_group" IO_TYPE=LVCMOS25 ;\r
\r
-LOCATE COMP "TEST_1" SITE "U19";\r
-LOCATE COMP "TEST_2" SITE "N20";\r
-LOCATE COMP "TEST_3" SITE "U20";\r
-LOCATE COMP "TEST_4" SITE "M20";\r
-LOCATE COMP "TEST_5" SITE "T20";\r
-LOCATE COMP "TEST_6" SITE "L20";\r
-LOCATE COMP "TEST_7" SITE "R20";\r
-LOCATE COMP "TEST_8" SITE "P20";\r
-DEFINE PORT GROUP "TEST_group" "TEST*";\r
-IOBUF GROUP "TEST_group" IO_TYPE=LVCMOS25 ;\r
+LOCATE COMP "IO_1" SITE "U19";\r
+LOCATE COMP "IO_2" SITE "N20";\r
+LOCATE COMP "IO_3" SITE "U20";\r
+LOCATE COMP "IO_4" SITE "M20";\r
+LOCATE COMP "IO_5" SITE "T20";\r
+LOCATE COMP "IO_6" SITE "L20";\r
+LOCATE COMP "IO_7" SITE "R20";\r
+LOCATE COMP "IO_8" SITE "P20";\r
+DEFINE PORT GROUP "IO_group" "IO*";\r
+IOBUF GROUP "IO_group" IO_TYPE=LVCMOS25 ;\r
\r
\r
LOCATE COMP "TMP_ALERT" SITE "A12";\r
DEFINE PORT GROUP "ADC_group" "ADC*";\r
IOBUF GROUP "ADC_group" IO_TYPE=LVCMOS25 ;\r
\r
-\r