--- /dev/null
+--------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni
+--
+-- Create Date: 26/6/07
+-- Design Name: vulom3
+-- Module Name: beam_ramp - Behavioral
+-- Project Name: triggerbox
+-- Target Device: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: Programmable delayer and shaper for beam signal
+--
+--------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+
+---- Uncomment the following library declaration if instantiating
+---- any Xilinx primitives in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity beam_ramp is
+ port ( clk_300MHz : in std_logic;
+ clk_50MHz : in std_logic;
+ input : in std_logic;
+ output_inhibit : out std_logic;
+ output_external : out std_logic;
+ frequency_value : in std_logic_vector(15 downto 0);
+ delay_value : in std_logic_vector(7 downto 0);
+ width_value_inhibit : in std_logic_vector(7 downto 0);
+ width_value_external : in std_logic_vector(7 downto 0);
+ beam_ramp_debug : out std_logic_vector(31 downto 0)
+ );
+end beam_ramp;
+
+architecture Behavioral of beam_ramp is
+signal count_ck : std_logic_vector(23 downto 0):=(others => '0');
+signal clk_10Hz : std_logic:='0';
+signal input_r, input_q, output_s_inhibit, output_s_external : std_logic;
+signal count_delay, count_width_inhibit, count_width_external : std_logic_vector(7 downto 0) := x"00";
+signal internal_beam_ramp : std_logic;
+signal internal_beam_ramp_pulse : std_logic;
+signal internal_beam_ramp_counter : std_logic_vector(31 downto 0):=x"00000000";
+signal frequency_value_i : std_logic_vector(15 downto 0);
+signal beam_ramp_debug_i : std_logic_vector(31 downto 0);
+
+type state_type is (reset, del, wid);
+signal state : state_type;
+begin
+ beam_ramp_debug <= beam_ramp_debug_i;
+beam_ramp_debug_i(7 downto 4) <= frequency_value_i(3 downto 0);
+beam_ramp_debug_i(15 downto 8) <= internal_beam_ramp_counter(7 downto 0);
+beam_ramp_debug_i(23 downto 16) <= count_ck(15 downto 8);
+beam_ramp_debug_i(27 downto 24) <= width_value_inhibit(3 downto 0);
+beam_ramp_debug_i(31 downto 28) <= width_value_external(3 downto 0);
+ MAKE_INTERNAL_RAMP: process (clk_10Hz,internal_beam_ramp_counter,frequency_value_i)
+ begin
+ if rising_edge(clk_10Hz) then
+ if (internal_beam_ramp_counter = frequency_value_i) and (frequency_value_i /= x"0000") then
+ internal_beam_ramp_counter <= (others => '0');
+ internal_beam_ramp_pulse <= '1';
+ elsif frequency_value_i = x"0000" then
+ internal_beam_ramp_counter <= (others => '0');
+ internal_beam_ramp_pulse <= '0';
+ else
+ internal_beam_ramp_counter <= internal_beam_ramp_counter + 1;
+ internal_beam_ramp_pulse <= '0';
+ end if;
+ end if;
+ end process MAKE_INTERNAL_RAMP;
+
+-- MAKE_PULSE: process (clk_50MHz)
+-- begin -- process MAKE_PULSE
+-- if rising_edge(clk_50MHz) then
+-- if internal_beam_ramp_counter = frequency_value then
+-- internal_beam_ramp_pulse <= '1';
+-- else
+-- internal_beam_ramp_pulse <= '0';
+-- end if;
+-- end if;
+-- end process MAKE_PULSE;
+
+ ck: process(clk_50MHz)
+ begin
+ if rising_edge(clk_50MHz) then
+ if count_ck = x"2625a0" then --2,5e6
+-- if count_ck = x"0000a0" then --2,5e6
+ count_ck <= x"000000";
+ clk_10Hz <= not clk_10Hz;
+ else
+ count_ck <= count_ck + 1;
+ end if;
+ frequency_value_i <= frequency_value;
+ output_inhibit <= output_s_inhibit;
+ output_external <= output_s_external;
+-- output_external <= internal_beam_ramp_pulse;
+ end if;
+ end process;
+ latch: process(clk_300MHz)
+ begin
+ if rising_edge(clk_300MHz) then
+ if input_r = '1' then
+ input_q <= '0';
+ -- elsif input = '1' then
+ elsif internal_beam_ramp_pulse = '1' then
+ input_q <= '1';
+ end if;
+ end if;
+ end process;
+ fsm : process(clk_10Hz)
+ begin
+ if rising_edge(clk_10Hz) then
+ case (state) is
+ when reset =>
+ beam_ramp_debug_i(3 downto 0) <= x"1";
+ input_r <= '0';
+ count_delay <=delay_value;
+ count_width_inhibit <= width_value_inhibit;
+ count_width_external <= width_value_external;-- + width_value_inhibit;
+ if input_q = '0' then
+ state <= reset;
+ else
+ state <= del;
+ end if;
+
+-- when reset =>
+-- input_r <= '0';
+-- count_delay <=delay_value;
+-- count_width_inhibit <= width_value_inhibit + 1;
+-- count_width_external <= width_value_external + 1;
+-- if input_q = '0' then
+-- state <= reset;
+-- else
+-- state <= del;
+-- end if;
+
+ when del =>
+ beam_ramp_debug_i(3 downto 0) <= x"2";
+ if count_delay = x"00" then
+ state <= wid;
+ else
+ count_delay <= count_delay - 1;
+ state <= del;
+ end if;
+ when wid =>
+ beam_ramp_debug_i(3 downto 0) <= x"1";
+ input_r <= '1';
+ if (count_width_inhibit = x"00" and count_width_external = x"00") then
+ output_s_inhibit <= '0';
+ output_s_external <= '0';
+ count_width_inhibit <= count_width_inhibit;
+ count_width_external <= count_width_external;
+ state <= reset;
+ elsif count_width_external /= x"00" then
+ output_s_inhibit <= '1';
+ output_s_external <= '1';
+ count_width_external <= count_width_external - 1;
+ count_width_inhibit <= count_width_inhibit;
+ state <= wid;
+ elsif count_width_external = x"00" and count_width_inhibit /= x"00" then
+ output_s_inhibit <= '1';
+ output_s_external <= '0';
+ count_width_external <= count_width_external;
+ count_width_inhibit <= count_width_inhibit - 1;
+ state <= wid;
+ else
+ output_s_inhibit <= '0';
+ output_s_external <= '0';
+ count_width_inhibit <= count_width_inhibit;-- - 1;
+ count_width_external <= count_width_external;-- - 1;
+ state <= reset;
+ end if;
+ when others =>
+ beam_ramp_debug_i(3 downto 0) <= x"f";
+ state <= reset;
+ end case;
+ end if;
+
+ end process;
+end Behavioral;
+
+
+
+
+
+
+
+--entity beam_ramp is
+-- port ( clk_300MHz : in std_logic;
+-- clk_50MHz : in std_logic;
+-- input : in std_logic;
+-- output_inhibit : out std_logic;
+-- output_external : out std_logic;
+-- delay_value : in std_logic_vector(7 downto 0);
+-- width_value_inhibit : in std_logic_vector(7 downto 0);
+-- width_value_external : in std_logic_vector(7 downto 0)
+-- );
+--end beam_ramp;
+--
+--architecture Behavioral of beam_ramp is
+--
+--signal count_ck : std_logic_vector(24 downto 0);
+--signal clk_10Hz : std_logic;
+--signal input_r, input_q, output_s_inhibit, output_s_external : std_logic;
+--signal count_delay, count_width_inhibit, count_width_external : std_logic_vector(7 downto 0);
+--type state_type is (reset, del, wid);
+--signal state : state_type;
+--
+--
+--
+--begin
+--
+-- ck: process(clk_50MHz)
+-- begin
+-- if rising_edge(clk_50MHz) then
+-- count_ck <= count_ck + 1;
+-- clk_10Hz <= count_ck(22);
+--
+-- output_inhibit <= output_s_inhibit;
+-- output_external <= output_s_external;
+--
+-- end if;
+-- end process;
+--
+-- latch: process(clk_300MHz)
+-- begin
+-- if rising_edge(clk_300MHz) then
+-- if input_r = '1' then
+-- input_q <= '0';
+-- elsif input = '1' then
+-- input_q <= '1';
+-- end if;
+--
+-- end if;
+-- end process;
+--
+-- fsm : process(clk_10Hz)
+-- begin
+-- if rising_edge(clk_10Hz) then
+-- case (state) is
+--
+-- when reset =>
+-- input_r <= '0';
+-- count_delay <=delay_value;
+-- count_width_inhibit <= width_value_inhibit + 1;
+-- count_width_external <= width_value_external + 1;
+-- if input_q = '0' then
+-- state <= reset;
+-- else
+-- state <= del;
+-- end if;
+--
+-- when del =>
+-- if count_delay = x"00" then
+-- state <= wid;
+-- else
+-- count_delay <= count_delay - 1;
+-- state <= del;
+-- end if;
+--
+-- when wid =>
+-- input_r <= '1';
+-- if (count_width_inhibit = x"00" and count_width_external = x"00") then
+-- output_s_inhibit <= '0';
+-- output_s_external <= '0';
+-- state <= reset;
+-- elsif count_width_external = x"00" then
+-- output_s_inhibit <= '1';
+-- output_s_external <= '0';
+-- count_width_inhibit <= count_width_inhibit - 1;
+-- state <= wid;
+-- elsif count_width_inhibit = x"00" then
+-- output_s_inhibit <= '0';
+-- output_s_external <= '1';
+-- count_width_external <= count_width_external - 1;
+-- state <= wid;
+-- else
+-- output_s_inhibit <= '1';
+-- output_s_external <= '1';
+-- count_width_inhibit <= count_width_inhibit - 1;
+-- count_width_external <= count_width_external - 1;
+-- state <= wid;
+-- end if;
+--
+-- when others =>
+-- state <= reset;
+--
+-- end case;
+-- end if;
+-- end process;
+--
+--
+--
+--end Behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+
+entity Bit_Controller is
+
+ port (
+ CLK_IN : in std_logic; -- The global clk signal
+ RST_IN : in std_logic; -- Reset signal
+ EN_BIT_CTRL : in std_logic; -- Enable for bit controller
+--
+ BIT_COMMAND : in std_logic_vector(3 downto 0); -- Bit command
+ BIT_COMMAND_ACK : out std_logic; -- Bit command completed
+ I2C_BUSY : out std_logic; -- I2C Bus busy
+ SDATA_IN : in std_logic; -- Write bit from Byte_ ctrl
+ SCL : inout std_logic; -- I2C clock line input
+ SDA : inout std_logic; -- I2C data line input
+--
+ BIT_CTRL_DEBUG : out std_logic_vector(23 downto 0)
+ );
+end Bit_Controller;
+
+architecture behavioral of Bit_Controller is
+-------------------------------------------------------------------------------
+-- The constants (internal comamand's code):
+-------------------------------------------------------------------------------
+ constant START_COMMAND : std_logic_vector(3 downto 0) := "0001";
+ constant STOP_COMMAND : std_logic_vector(3 downto 0) := "0010";
+ constant READ_COMMAND : std_logic_vector(3 downto 0) := "0100";
+ constant WRITE_COMMAND : std_logic_vector(3 downto 0) := "1000";
+-------------------------------------------------------------------------------
+-- INTERNAL LINES
+-------------------------------------------------------------------------------
+ signal sda_int : std_logic := '1';
+ signal scl_int : std_logic := '1';
+ signal scl_int_mem : std_logic := '1';
+ signal sda_int_mem : std_logic := '1';
+--
+ signal sync_scl : std_logic := '1';
+ signal sync_sda : std_logic := '1';
+ signal dsync_sda : std_logic := '1';
+--
+ signal bit_command_ack_fsm : std_logic := '0';
+ signal i2c_busy_int : std_logic := '0';
+ signal sta_condition : std_logic := '0';
+ signal sto_condition : std_logic := '0';
+--
+ signal bit_ctrl_debug_reg : std_logic_vector(23 downto 0) := X"000000";
+--
+ type STATES is (IDLE, START_A, START_B, START_C, START_D, START_E, STOP_A,
+ STOP_B, STOP_C, STOP_D, RD_A, RD_B, RD_C, RD_D, WR_A, WR_B,
+ WR_C, WR_D);
+ signal C_STATE_CURRENT : STATES;
+ signal C_STATE_NEXT : STATES;
+-------------------------------------------------------------------------------
+begin
+ SDA <= sda_int;
+
+-------------------------------------------------------------------------------
+ Syncronizing : process (CLK_IN, RST_IN, EN_BIT_CTRL)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ C_STATE_CURRENT <= IDLE;
+ scl_int_mem <= '1';
+ sda_int_mem <= '1';
+ BIT_COMMAND_ACK <= '1';
+ SCL <= '1';
+-- SDA <= '1';
+ elsif EN_BIT_CTRL = '1' then
+ C_STATE_CURRENT <= C_STATE_NEXT;
+ SCL <= scl_int;
+
+ BIT_COMMAND_ACK <= bit_command_ack_fsm;
+ scl_int_mem <= scl_int;
+ sda_int_mem <= sda_int;
+ BIT_CTRL_DEBUG <= bit_ctrl_debug_reg;
+ end if;
+ end if;
+ end process Syncronizing;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- The synchronization of SCL and SDA inputs.
+-- The detection of the start condition => detect falling edge on SDA while SCL is high.
+-- The detection of the stop condition => detect rising edge on SDA while SCL is high.
+-- The generation of I2C-bus busy signal.
+-------------------------------------------------------------------------------
+ I2C_Busy_Detection : process (CLK_IN, RST_IN)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ sync_scl <= '1';
+ sync_sda <= '1';
+ dsync_sda <= '1';
+ sta_condition <= '0';
+ sto_condition <= '0';
+ i2c_busy_int <= '0';
+ I2C_BUSY <= '0';
+ else
+ sync_scl <= SCL;
+ sync_sda <= SDA;
+ dsync_sda <= sync_sda;
+ sta_condition <= (((not sync_sda) and dsync_sda) and sync_scl);
+ sto_condition <= ((sync_sda and (not dsync_sda)) and sync_scl);
+ i2c_busy_int <= ((sta_condition or i2c_busy_int) and (not sto_condition));
+ I2C_BUSY <= i2c_busy_int;
+ end if;
+ end if;
+ end process I2C_Busy_Detection;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- The generation of the state machine
+-------------------------------------------------------------------------------
+ Master_Bit_State_Decoder : process (C_STATE_CURRENT, BIT_COMMAND, SDATA_IN,
+ scl_int_mem, sda_int_mem)
+ begin
+ bit_command_ack_fsm <= '0';
+ scl_int <= '1';
+ sda_int <= '1';
+ case (C_STATE_CURRENT) is
+-- IDLE:
+ when IDLE =>
+ case BIT_COMMAND is
+ when START_COMMAND =>
+ C_STATE_NEXT <= START_A;
+ bit_ctrl_debug_reg <= X"000001";
+ when STOP_COMMAND =>
+ C_STATE_NEXT <= STOP_A;
+ bit_ctrl_debug_reg <= X"000002";
+ when WRITE_COMMAND =>
+ C_STATE_NEXT <= WR_A;
+ bit_ctrl_debug_reg <= X"000003";
+ when READ_COMMAND =>
+ C_STATE_NEXT <= RD_A;
+ bit_ctrl_debug_reg <= X"000004";
+ when others =>
+ C_STATE_NEXT <= IDLE;
+ bit_ctrl_debug_reg <= X"000005";
+ end case;
+ scl_int <= scl_int_mem; -- keep scl in same state.
+ sda_int <= sda_int_mem; -- keep sda in same state.
+-- START:
+ when START_A =>
+ C_STATE_NEXT <= START_B; -- step 1.
+ scl_int <= scl_int_mem; -- keep SCL in same state (for repeated start)
+ sda_int <= '1'; -- set SDA high.
+ bit_ctrl_debug_reg <= X"000006";
+--
+ when START_B =>
+ C_STATE_NEXT <= START_C; -- step 2.
+ scl_int <= '1'; -- set SCL high.
+ sda_int <= '1'; -- keep SDA high.
+ bit_ctrl_debug_reg <= X"000007";
+--
+ when START_C =>
+ C_STATE_NEXT <= START_D; -- step 3.
+ scl_int <= '1'; -- keep SCL high.
+ sda_int <= '1'; -- set SDA low.
+ bit_ctrl_debug_reg <= X"000008";
+--
+ when START_D =>
+ C_STATE_NEXT <= START_E; -- step 4.
+ scl_int <= '1'; -- keep SCL high.
+ sda_int <= '0'; -- set SDA low.
+ bit_ctrl_debug_reg <= X"000009";
+--
+ when START_E =>
+ bit_command_ack_fsm <= '1'; -- command completed.
+ scl_int <= '0'; -- set SCL low.
+ sda_int <= '0'; -- keep SDA low.
+ C_STATE_NEXT <= IDLE; -- step 5.
+ bit_ctrl_debug_reg <= X"00000A";
+-- STOP:
+ when STOP_A =>
+ C_STATE_NEXT <= STOP_B; -- step 1.
+ scl_int <= '0'; -- keep SCL low.
+ sda_int <= '0'; -- set SDA low.
+ bit_ctrl_debug_reg <= X"00000B";
+--
+ when STOP_B =>
+ C_STATE_NEXT <= STOP_C; -- step 2.
+ scl_int <= '1'; -- set SCL high.
+ sda_int <= '0'; -- keep SDA low.
+ bit_ctrl_debug_reg <= X"00000C";
+--
+ when STOP_C =>
+ C_STATE_NEXT <= STOP_D; -- step 3.
+ scl_int <= '1'; -- keep SCL high.
+ sda_int <= '0'; -- keep SDA low.
+ bit_ctrl_debug_reg <= X"00000D";
+--
+ when STOP_D =>
+ C_STATE_NEXT <= IDLE; -- step 4.
+ bit_command_ack_fsm <= '1'; -- command completed.
+ scl_int <= '1'; -- keep SCL high.
+ sda_int <= '1'; -- set SDA high.
+ bit_ctrl_debug_reg <= X"00000E";
+-- READ:
+ when RD_A =>
+ C_STATE_NEXT <= RD_B; -- step 1.
+ scl_int <= '0'; -- keep SCL low.
+ sda_int <= 'Z'; -- keep SDA high.
+ bit_ctrl_debug_reg <= X"00000F";
+--
+ when RD_B =>
+ C_STATE_NEXT <= RD_C; -- step 2.
+ scl_int <= '1'; -- set SCL high.
+ sda_int <= 'Z'; -- keep SDA high.
+ bit_ctrl_debug_reg <= X"000010";
+--
+ when RD_C =>
+ C_STATE_NEXT <= RD_D; -- step 3.
+ scl_int <= '1'; -- keep SCL high.
+ sda_int <= 'Z'; -- keep SDA high.
+ bit_ctrl_debug_reg <= X"000011";
+--
+ when RD_D =>
+ bit_command_ack_fsm <= '1'; -- command completed.
+ scl_int <= '0'; -- set SCL low.
+ sda_int <= 'Z'; -- keep SDA high.
+ C_STATE_NEXT <= IDLE; -- step 4.
+ bit_ctrl_debug_reg <= X"000012";
+-- WRITE:
+ when WR_A =>
+ C_STATE_NEXT <= WR_B; -- step 1.
+ scl_int <= '0'; -- keep SCL low.
+ sda_int <= SDATA_IN; -- set SDA.
+ bit_ctrl_debug_reg <= X"000013";
+--
+ when WR_B =>
+ C_STATE_NEXT <= WR_C; -- step 2.
+ scl_int <= '1'; -- set SCL high.
+ sda_int <= SDATA_IN; -- keep SDA.
+ bit_ctrl_debug_reg <= X"000014";
+--
+ when WR_C =>
+ C_STATE_NEXT <= WR_D; -- step 3.
+ scl_int <= '1'; -- keep SCL high.
+ sda_int <= SDATA_IN; -- keep SDA.
+ bit_ctrl_debug_reg <= X"000015";
+--
+ when WR_D =>
+ C_STATE_NEXT <= IDLE; -- step 4.
+ bit_command_ack_fsm <= '1'; -- command completed.
+ scl_int <= '0'; -- set SCL low.
+ sda_int <= SDATA_IN; -- keep SDA.
+ bit_ctrl_debug_reg <= X"000016";
+--
+ when others =>
+ C_STATE_NEXT <= IDLE;
+ bit_ctrl_debug_reg <= X"000000";
+ end case;
+end process Master_Bit_State_Decoder;
+-------------------------------------------------------------------------------
+
+end behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+
+entity Byte_Ctrl is
+
+ port (
+ CLK_IN : in std_logic;
+ RST_IN : in std_logic;
+ EN_BYTE_CTRL : in std_logic;
+--
+ EN_READ : in std_logic;
+--
+ DEVICE_ADD_IN : in std_logic_vector(7 downto 0);
+ EEPROM_START_ADD_IN : in std_logic_vector(7 downto 0);
+ EEPROM_STOP_ADD_IN : in std_logic_vector(7 downto 0);
+ DATA_OUT : out std_logic_vector(7 downto 0);
+ SDA_IN : in std_logic;
+--
+ BIT_COMMAND : out std_logic_vector(3 downto 0);
+ BIT_CTRL_SDATA_OUT : out std_logic;
+ BIT_CMND_RQST : in std_logic;
+ FIRST_BYTE_READY : out std_logic;
+ SECOND_BYTE_READY : out std_logic;
+--
+ BYTE_CTRL_DEBUG : out std_logic_vector(15 downto 0)
+ );
+
+end Byte_Ctrl;
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+architecture behavioral of Byte_Ctrl is
+-------------------------------------------------------------------------------
+-- The commands for byte_ctrl block:
+ constant NOP_COMMAND : std_logic_vector(3 downto 0) := "0000";
+ constant START_COMMAND : std_logic_vector(3 downto 0) := "0001";
+ constant STOP_COMMAND : std_logic_vector(3 downto 0) := "0010";
+ constant READ_COMMAND : std_logic_vector(3 downto 0) := "0100";
+ constant WRITE_COMMAND : std_logic_vector(3 downto 0) := "1000";
+-- The internal signals for byte_ctrl block:
+ signal data_out_int : std_logic_vector(7 downto 0) := "00000000";
+ signal data_out_reg : std_logic_vector(7 downto 0) := "00000000";
+ signal data_out_mem : std_logic_vector(7 downto 0) := "00000000";
+ signal bit_command_fsm : std_logic_vector(3 downto 0) := "0000";
+ signal bit_command_fsm_mem : std_logic_vector(3 downto 0) := "0000";
+ signal bit_ctrl_sdata_int : std_logic := '0';
+ signal bit_ctrl_sdata_mem : std_logic := '0';
+--
+ signal bit_cnt_done : std_logic := '0';
+ signal qbit_cnt_int : std_logic_vector(2 downto 0) := "000";
+ signal en_bit_counter : std_logic := '0';
+ signal en_shift_reg : std_logic := '0';
+ signal en_addr_counter : std_logic := '0';
+ signal byte_no : std_logic_vector(2 downto 0) := "000";
+ signal en_byte_ctrl_pre : std_logic := '0';
+--
+ signal eeprom_addr_reg : std_logic_vector(7 downto 0) := "00000000";
+ signal eeprom_addr_mem : std_logic_vector(7 downto 0) := "00000000";
+ signal sent_byte_reg : std_logic_vector(7 downto 0) := "00000000";
+--
+ signal first_byte_ready_int : std_logic := '0';
+ signal second_byte_ready_int : std_logic := '0';
+--
+ signal byte_ctrl_debug_reg : std_logic_vector(15 downto 0) := X"0000";
+--
+ type STATES is (IDLE, START_STATE, DEV_ADDR_STATE, EEPROM_ADDR_STATE,
+ REPEATED_START, SWITCH_2_READ_MODE_STATE,
+ DATA_RECEIVE_STATE, ACK_STATE, STOP_STATE);
+ signal COMMAND_GEN_NEXT : STATES;
+ signal COMMAND_GEN_CURRENT : STATES;
+ signal COMMAND_GEN_PRE : STATES;
+ signal COMMAND_GEN_MEM : STATES;
+-------------------------------------------------------------------------------
+begin
+
+-------------------------------------------------------------------------------
+ Syncronizing : process (CLK_IN, RST_IN, EN_BYTE_CTRL)
+ begin
+ if rising_edge(CLK_IN) then
+ en_byte_ctrl_pre <= EN_BYTE_CTRL;
+ if RST_IN = '1' then
+ COMMAND_GEN_CURRENT <= IDLE;
+ COMMAND_GEN_PRE <= IDLE;
+ COMMAND_GEN_MEM <= IDLE;
+ DATA_OUT <= "00000000";
+ data_out_mem <= "00000000";
+ BIT_COMMAND <= "0000";
+ bit_command_fsm_mem <= "0000";
+ BIT_CTRL_SDATA_OUT <= '0';
+ bit_ctrl_sdata_mem <= '0';
+ eeprom_addr_mem <= "00000000";
+ FIRST_BYTE_READY <= '0';
+ SECOND_BYTE_READY <= '0';
+ BYTE_CTRL_DEBUG <= X"0000";
+ elsif EN_BYTE_CTRL = '1' or en_byte_ctrl_pre = '1' then
+ COMMAND_GEN_CURRENT <= COMMAND_GEN_NEXT;
+ COMMAND_GEN_PRE <= COMMAND_GEN_CURRENT;
+ if BIT_CMND_RQST = '1' then
+ COMMAND_GEN_MEM <= COMMAND_GEN_PRE;
+ end if;
+ DATA_OUT <= data_out_int;
+ data_out_mem <= data_out_int;
+ BIT_COMMAND <= bit_command_fsm;
+ bit_command_fsm_mem <= bit_command_fsm;
+ BIT_CTRL_SDATA_OUT <= bit_ctrl_sdata_int;
+ bit_ctrl_sdata_mem <= bit_ctrl_sdata_int;
+ eeprom_addr_mem <= eeprom_addr_reg;
+ FIRST_BYTE_READY <= first_byte_ready_int;
+ SECOND_BYTE_READY <= second_byte_ready_int;
+ BYTE_CTRL_DEBUG <= byte_ctrl_debug_reg;
+ else
+ BIT_CTRL_SDATA_OUT <= bit_ctrl_sdata_mem;
+ end if;
+ end if;
+ end process Syncronizing;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Bit_Counter : process (CLK_IN, RST_IN, en_bit_counter)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ bit_cnt_done <= '0';
+ qbit_cnt_int <= "000";
+ elsif en_bit_counter = '1' then -- When bit counter is enabled
+ if qbit_cnt_int = "001" then -- Checking status of bit-counter
+ bit_cnt_done <= '1'; -- Counting done
+ qbit_cnt_int <= qbit_cnt_int - 1; -- Keep counting
+ elsif qbit_cnt_int = "000" then
+ bit_cnt_done <= '0'; -- Counting restarted
+ qbit_cnt_int <= "111"; -- Reset the counter
+ else
+ bit_cnt_done <= '0'; -- Counting not done yet
+ qbit_cnt_int <= qbit_cnt_int - 1; -- Keep counting
+ end if;
+ end if;
+ end if;
+ end process Bit_Counter;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Shift_Register : process (CLK_IN, RST_IN, en_shift_reg)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ sent_byte_reg <= "00000000";
+ data_out_reg <= "00000000";
+ elsif en_byte_ctrl_pre = '1' then
+ if COMMAND_GEN_CURRENT = DEV_ADDR_STATE then
+ if bit_cnt_done = '1' then
+ sent_byte_reg <= EEPROM_START_ADD_IN;
+ end if;
+ elsif COMMAND_GEN_CURRENT = REPEATED_START then
+ if bit_cnt_done = '1' then
+ sent_byte_reg <= DEVICE_ADD_IN(7 downto 1) & '1';
+ end if;
+ elsif COMMAND_GEN_CURRENT = START_STATE then
+ sent_byte_reg <= DEVICE_ADD_IN; -- Assign byte2send
+ end if;
+ if en_shift_reg = '1' then
+ sent_byte_reg <= sent_byte_reg(6 downto 0) & sent_byte_reg(7); -- Shift the register
+ data_out_reg <= data_out_reg(6 downto 0) & data_out_reg(7); -- Shift the register
+ if COMMAND_GEN_CURRENT = DATA_RECEIVE_STATE then
+ data_out_reg(0) <= SDA_IN;
+ end if;
+ end if;
+ end if;
+ end if;
+ end process Shift_Register;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Address_Counter : process (CLK_IN, RST_IN, en_addr_counter, EN_READ)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ eeprom_addr_reg <= "00000000";
+ byte_no <= "000";
+ else
+ if byte_no = "000" then
+ eeprom_addr_reg <= EEPROM_START_ADD_IN;
+ end if;
+ if en_addr_counter = '1' then
+ byte_no <= byte_no + 1;
+ eeprom_addr_reg <= eeprom_addr_reg + 1;
+ elsif COMMAND_GEN_CURRENT = DATA_RECEIVE_STATE then
+ eeprom_addr_reg <= eeprom_addr_mem;
+ elsif EN_READ = '1' then
+ byte_no <= "000";
+ end if;
+ end if;
+ end if;
+ end process Address_Counter;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ COMMAND_GENERATOR : process (DEVICE_ADD_IN, EEPROM_START_ADD_IN, eeprom_addr_reg,
+ EEPROM_STOP_ADD_IN, COMMAND_GEN_CURRENT, --SDA_IN,
+ BIT_CMND_RQST, EN_READ, bit_cnt_done, data_out_mem,
+ sent_byte_reg, COMMAND_GEN_MEM, en_byte_ctrl_pre,
+ bit_command_fsm_mem, data_out_reg, bit_ctrl_sdata_mem
+ )
+ begin
+ bit_command_fsm <= bit_command_fsm_mem;
+ COMMAND_GEN_NEXT <= COMMAND_GEN_CURRENT;
+ en_bit_counter <= '0';
+ en_shift_reg <= '0';
+ en_addr_counter <= '0';
+ bit_ctrl_sdata_int <= bit_ctrl_sdata_mem;
+ data_out_int <= data_out_mem;
+ first_byte_ready_int <= '0';
+ second_byte_ready_int <= '0';
+
+ case COMMAND_GEN_CURRENT is
+--
+ when IDLE =>
+ if EN_READ = '1' then
+ COMMAND_GEN_NEXT <= START_STATE;
+ bit_command_fsm <= START_COMMAND; -- Generate "start command"
+ end if;
+ byte_ctrl_debug_reg <= X"0001";
+--
+ when START_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ en_bit_counter <= '1'; -- Enable bit counter
+ en_shift_reg <= '1'; -- Enable Shift Register
+ COMMAND_GEN_NEXT <= DEV_ADDR_STATE;
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write_command"
+ bit_ctrl_sdata_int <= DEVICE_ADD_IN(7); -- Send MSB of send register
+ end if;
+ byte_ctrl_debug_reg <= X"0002";
+--
+ when DEV_ADDR_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ en_shift_reg <= '1'; -- Enable Shift Register
+ if bit_cnt_done = '1' then -- Checking for "byte is sent".
+ COMMAND_GEN_NEXT <= ACK_STATE; -- Go in state of "ACK receiving".
+ bit_command_fsm <= READ_COMMAND; -- Generate "read command".
+ else
+ en_bit_counter <= '1'; -- Enable bit counter
+ COMMAND_GEN_NEXT <= DEV_ADDR_STATE; -- Continue with writing.
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write command".
+ bit_ctrl_sdata_int <= sent_byte_reg(7); -- Send the bits to the bit controller
+ end if;
+ end if;
+ byte_ctrl_debug_reg <= X"0003";
+--
+ when EEPROM_ADDR_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ en_shift_reg <= '1'; -- Enable Shift Register
+ if bit_cnt_done = '1' then -- Checking for "byte is sent".
+ COMMAND_GEN_NEXT <= ACK_STATE; -- Go in state of "ACK receiving".
+ bit_command_fsm <= READ_COMMAND; -- Generate "read command".
+ else
+ en_bit_counter <= '1'; -- Enable bit counter
+ COMMAND_GEN_NEXT <= EEPROM_ADDR_STATE; -- Continue with writing.
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write command".
+ bit_ctrl_sdata_int <= sent_byte_reg(7); -- Send the bits to the bit controller
+ end if;
+ end if;
+ byte_ctrl_debug_reg <= X"0004";
+--
+ when REPEATED_START =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ en_bit_counter <= '1'; -- Enable bit counter
+ COMMAND_GEN_NEXT <= SWITCH_2_READ_MODE_STATE; -- Continue with writing.
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write command".
+ bit_ctrl_sdata_int <= DEVICE_ADD_IN(7); -- Send MSB of send register
+ end if;
+ byte_ctrl_debug_reg <= X"0005";
+--
+ when SWITCH_2_READ_MODE_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ en_shift_reg <= '1'; -- Enable Shift Register
+ if bit_cnt_done = '1' then -- Checking for "byte is sent".
+ COMMAND_GEN_NEXT <= ACK_STATE; -- Go in state of "ACK receiving".
+ bit_command_fsm <= READ_COMMAND; -- Generate "read command".
+-- en_addr_counter <= '1';
+ else
+ en_bit_counter <= '1'; -- Enable bit counter
+ COMMAND_GEN_NEXT <= SWITCH_2_READ_MODE_STATE; -- Continue with writing.
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write command".
+ bit_ctrl_sdata_int <= sent_byte_reg(6); -- Send the bits to the bit controller
+ end if;
+ end if;
+ byte_ctrl_debug_reg <= X"0006";
+--
+ when DATA_RECEIVE_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ en_shift_reg <= '1'; -- Enable Shift Register
+ if bit_cnt_done = '1' then -- Checking for "byte is received".
+ COMMAND_GEN_NEXT <= ACK_STATE; -- Go in state of "ACK sending".
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write command".
+ if eeprom_addr_reg = EEPROM_STOP_ADD_IN + 1 then -- Checking for "last address is received"
+ bit_ctrl_sdata_int <= '1'; -- Send NACK to stop receiving
+ en_addr_counter <= '0';
+ else
+ bit_ctrl_sdata_int <= '0'; -- Send ACK to receive next byte
+ en_addr_counter <= '1';
+ end if;
+ else
+ en_bit_counter <= '1'; -- Enable bit counter
+ COMMAND_GEN_NEXT <= DATA_RECEIVE_STATE; -- Continue with reading.
+ bit_command_fsm <= READ_COMMAND; -- Generate "read command".
+ end if;
+ end if;
+ byte_ctrl_debug_reg <= X"0007";
+--
+ when ACK_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+-- if SDA_IN = '0' then -- Checking for "ACK received"
+ en_bit_counter <= '1';
+ case COMMAND_GEN_MEM is -- Deciding the next state according to the previous one
+ when DEV_ADDR_STATE =>
+ COMMAND_GEN_NEXT <= EEPROM_ADDR_STATE;
+ bit_command_fsm <= WRITE_COMMAND; -- Generate "write command".
+ bit_ctrl_sdata_int <= EEPROM_START_ADD_IN(7); -- Send MSB of send register
+ byte_ctrl_debug_reg <= X"0008";
+ --
+ when EEPROM_ADDR_STATE =>
+ COMMAND_GEN_NEXT <= REPEATED_START;
+ bit_command_fsm <= START_COMMAND; -- Generate "write command".
+ byte_ctrl_debug_reg <= X"0009";
+ en_bit_counter <= '0';
+ --
+ when SWITCH_2_READ_MODE_STATE =>
+ COMMAND_GEN_NEXT <= DATA_RECEIVE_STATE;
+ bit_command_fsm <= READ_COMMAND; -- Generate "read command".
+ byte_ctrl_debug_reg <= X"000A";
+ --
+ when DATA_RECEIVE_STATE =>
+ data_out_int <= data_out_reg; -- Send the received byte output port
+ if eeprom_addr_reg = EEPROM_STOP_ADD_IN then
+ first_byte_ready_int <= '1';
+ end if;
+ COMMAND_GEN_NEXT <= DATA_RECEIVE_STATE; -- Continue reading
+ bit_command_fsm <= READ_COMMAND; -- Generate "read command".
+ byte_ctrl_debug_reg <= X"000B";
+ --
+ when others =>
+ COMMAND_GEN_NEXT <= IDLE;
+ byte_ctrl_debug_reg <= X"000C";
+ end case;
+-- elsif eeprom_addr_reg = EEPROM_STOP_ADD_IN then
+ if eeprom_addr_reg = EEPROM_STOP_ADD_IN + 1 then
+ data_out_int <= data_out_reg; -- Send the received byte output port
+ second_byte_ready_int <= '1';
+ COMMAND_GEN_NEXT <= STOP_STATE; -- Go to "stop state"
+ bit_command_fsm <= STOP_COMMAND; -- Generate "stop command"
+ en_bit_counter <= '0';
+ byte_ctrl_debug_reg <= X"000D";
+
+-- else
+-- bit_command_fsm <= NOP_COMMAND;
+-- COMMAND_GEN_NEXT <= COMMAND_GEN_CURRENT;
+-- en_bit_counter <= '0';
+-- en_shift_reg <= '0';
+-- en_addr_counter <= '0';
+-- data_out_int <= "00000000";
+ end if;
+ end if;
+ byte_ctrl_debug_reg <= X"000F";
+--
+ when STOP_STATE =>
+ if BIT_CMND_RQST = '1' and en_byte_ctrl_pre = '1' then
+ COMMAND_GEN_NEXT <= IDLE; -- Go for the next command.
+ bit_command_fsm <= NOP_COMMAND;
+ data_out_int <= "00000000";
+ end if;
+ byte_ctrl_debug_reg <= X"000E";
+--
+ when others =>
+ bit_command_fsm <= NOP_COMMAND;
+ COMMAND_GEN_NEXT <= COMMAND_GEN_CURRENT;
+ en_bit_counter <= '0';
+ en_shift_reg <= '0';
+ en_addr_counter <= '0';
+ data_out_int <= "00000000";
+ byte_ctrl_debug_reg <= X"0000";
+ end case;
+ end process COMMAND_GENERATOR;
+-------------------------------------------------------------------------------
+
+end behavioral;
+-------------------------------------------------------------------------------
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+
+entity edge_to_pulse_cahit is
+
+ port (
+ CLOCK : in std_logic;
+ EN_CLK : in std_logic;
+ SIGNAL_IN : in std_logic;
+ PULSE : out std_logic);
+
+end edge_to_pulse_cahit;
+
+architecture behavioral of edge_to_pulse_cahit is
+ signal signal_sync : std_logic;
+ signal pulse_fsm : std_logic;
+ signal pulse_fsm_mem : std_logic;
+ type state is (idle, high, wait_for_low);
+ signal current_state, next_state : state;
+
+begin
+
+ fsm : process (CLOCK)
+ begin
+ if rising_edge(CLOCK) then
+ current_state <= next_state;
+ signal_sync <= SIGNAL_IN;
+ PULSE <= pulse_fsm;
+ pulse_fsm_mem <= pulse_fsm;
+ end if;
+ end process fsm;
+
+ fsm_comb : process (current_state, signal_sync, EN_CLK, pulse_fsm_mem)
+ begin
+ case current_state is
+ when idle =>
+ pulse_fsm <= '0';
+ if signal_sync = '1' then
+ next_state <= high;
+ else
+ next_state <= idle;
+ end if;
+ when high =>
+ pulse_fsm <= '1';
+ next_state <= wait_for_low;
+ when wait_for_low =>
+ if EN_CLK = '1' then
+ pulse_fsm <= '0';
+ else
+ pulse_fsm <= pulse_fsm_mem;
+ end if;
+ if signal_sync = '0' then
+ next_state <= idle;
+ else
+ next_state <= wait_for_low;
+ end if;
+ when others =>
+ pulse_fsm <= '0';
+ next_state <= idle;
+ end case;
+ end process fsm_comb;
+end behavioral;
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package cahit is
+
+ component edge_to_pulse_cahit
+ port (
+ CLOCK : in std_logic;
+ EN_CLK : in std_logic;
+ SIGNAL_IN : in std_logic;
+ PULSE : out std_logic);
+ end component;
+
+end cahit;
+
--- /dev/null
+--------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni
+--
+-- Create Date: 7/3/07
+-- Design Name: vulom3
+-- Module Name: new_downscale_ck - Behavioral
+-- Project Name: triggerbox
+-- Target Device: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: Provides clock downscale, plus calibration and inhibit signals
+--
+--------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity new_downscale_ck is port (
+ downscale_value : in std_logic_vector(3 downto 0);
+ clk : in std_logic;
+ downscaled : out std_logic;
+ output_disable : in std_logic;
+ global_inhibit : in std_logic;
+ scaler_reset : out std_logic;
+ cal_inhibit : out std_logic;
+ cal_trigger : out std_logic);
+end new_downscale_ck;
+
+architecture Behavioral of new_downscale_ck is
+signal accu_1 : std_logic_vector(21 downto 0);
+signal accu_3 : std_logic_vector(15 downto 0);
+signal accu_4 : std_logic_vector(15 downto 0);
+signal count, count_d, clk_10kHz : std_logic;
+signal accu_2 : std_logic_vector(15 downto 0);
+signal delay_1, delay_2 : std_logic;
+
+
+begin
+
+ process(clk) --pulser
+ begin
+ if rising_edge(clk) then
+ accu_1 <= accu_1 + 1;
+ case downscale_value is
+ when "0000" => count <= accu_1(6);
+ when "0001" => count <= accu_1(7);
+ when "0010" => count <= accu_1(8);
+ when "0011" => count <= accu_1(9);
+ when "0100" => count <= accu_1(10);
+ when "0101" => count <= accu_1(11);
+ when "0110" => count <= accu_1(12);
+ when "0111" => count <= accu_1(13);
+ when "1000" => count <= accu_1(14);
+ when "1001" => count <= accu_1(15);
+ when "1010" => count <= accu_1(16);
+ when "1011" => count <= accu_1(17);
+ when "1100" => count <= accu_1(18);
+ when "1101" => count <= accu_1(19);
+ when "1110" => count <= accu_1(20);
+ when "1111" => count <= accu_1(21);
+ when others => count <= 'X';
+ end case;
+ count_d <= count;
+ downscaled <= (not count_d) and count;
+ end if;
+ end process;
+ process(clk) --10 kHz clock generator
+ begin
+ if rising_edge(clk) then
+ if accu_2 = x"3a97" then
+ accu_2 <= x"0000";
+ clk_10kHz <= not clk_10kHz;
+ else
+ accu_2 <= accu_2 + 1;
+ end if;
+ end if;
+ end process;
+ process(clk_10kHz) --calibration pulse
+ begin
+ if rising_edge(clk_10kHz) then
+ if accu_3 = x"0000" then
+ scaler_reset <= '1';
+ accu_3 <= accu_3 + 1;
+ elsif accu_3 = x"0001" then
+ scaler_reset <= '0';
+ accu_3 <= accu_3 + 1;
+ elsif accu_3 = x"0002" then
+ scaler_reset <= '0';
+ accu_3 <= accu_3 + 1;
+ elsif accu_3 = x"270f" then --10e3
+ scaler_reset <= '0';
+ accu_3 <= x"0000";
+ else accu_3 <= accu_3 + 1;
+ end if;
+ end if;
+ end process;
+ process(clk_10kHz) --calibration pulse
+ begin
+ if rising_edge(clk_10kHz) then
+ if accu_4 = x"0000" and global_inhibit = '0' then
+ cal_inhibit <= not output_disable;
+ cal_trigger <= '0';
+ accu_4 <= accu_4 + 1;
+ elsif accu_4 = x"0000" and global_inhibit = '1' then
+ cal_inhibit <= not output_disable;
+ cal_trigger <= '0';
+ accu_4 <= accu_4;
+ elsif accu_4 = x"0001" then
+ cal_inhibit <= not output_disable;
+ cal_trigger <= not output_disable;
+ accu_4 <= accu_4 + 1;
+ elsif accu_4 = x"0002" then
+ cal_inhibit <= '0';
+ cal_trigger <= '0';
+ accu_4 <= accu_4 + 1;
+ elsif accu_4 = x"270f" then --10e3
+ cal_inhibit <= '0';
+ cal_trigger <= '0';
+ accu_4 <= x"0000";
+ else accu_4 <= accu_4 + 1;
+ end if;
+ end if;
+ end process;
+
+end Behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity cal_screset_gen is
+ port
+ (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ GLOBAL_INHIBIT_IN : in std_logic;
+ -- gen
+ GEN_FREQ_IN : in std_logic_vector(3 downto 0);
+ GEN_OUT : out std_logic;
+ -- scalers reset
+ SCALER_RESET_OUT : out std_logic;
+ --mdc cal
+ MDC_CAL_ENABLE_IN : in std_logic;
+ --shower cal
+ SHOWER_PED_DISABLE_IN : in std_logic; --in each spill off
+ SHOWER_PED_UPDATE_IN : in std_logic;
+ SHOWER_CAL_ENABLE_IN : in std_logic;
+ --cal out
+ CAL_INHIBIT_OUT : out std_logic;
+ CAL_TRIGGERS_OUT : out std_logic;
+ CAL_CODE_OUT : out std_logic_vector;
+ --beam
+ BEAM_OFF_IN : in std_logic;
+ --debug
+ DEBUG_OUT : out std_logic_vector(31 downto 0)
+ );
+end cal_screset_gen;
+
+architecture cal_screset_gen of cal_screset_gen is
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ signal mdc_cal_mark, shower_cal_mark, shower_ped_mark : std_logic:='0';
+ signal gen_cntr : std_logic_vector(31 downto 0):=(others => '0');
+ signal reg_freq : integer range 0 to 15 :=15;
+ signal freq_save : std_logic_vector(3 downto 0):=(others => '0');
+ signal count : std_logic;
+ signal one_second_reset,one_second_cntr_reset : std_logic:='0';
+ signal one_second_cntr : std_logic_vector(27 downto 0):=(others => '0');
+ signal cal_trigger_out_i, cal_trigger_out_fsm, cal_inhibit_out_i, cal_inhibit_out_fsm : std_logic:='0';
+ signal cal_code_out_i, cal_code_out_fsm : std_logic_vector(3 downto 0):=x"0";
+--signal one_day_reset : std_logic:='0';
+--signal one_day_cntr : std_logic_vector(16 downto 0):=(others => '0');
+ signal beam_off_pulse,shower_ped_reg_start : std_logic;
+ type SEND_CAL is (IDLE, SEND_CAL_WAIT_1, SEND_CAL_WAIT_2, SEND_CAL_MDC, SEND_CAL_SHOWER, SEND_PED_SHOWER);
+ signal SEND_CAL_CURRENT, SEND_CAL_NEXT : SEND_CAL;
+ signal cal_wait_up, cal_wait_up_fsm, cal_wait_clr, cal_wait_clr_fsm : std_logic;
+ signal cal_wait_cntr : std_logic_vector(3 downto 0);
+begin
+
+--send generator trigger
+
+ FREQ_CNTR : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ gen_cntr <= gen_cntr + 1;
+ freq_save <= GEN_FREQ_IN;
+ reg_freq <= conv_integer(freq_save);
+ count <= gen_cntr(6+reg_freq); --no_sim--
+--sim-- count <= gen_cntr(1+reg_freq);
+ end if;
+ end process FREQ_CNTR;
+
+ GEN_OUT_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => count,
+ pulse => GEN_OUT);
+
+--reset for each one sec for scalers and mdc cal
+one_second_cntr_reset <= RESET or one_second_reset;
+ ONE_SECOND_COUNTER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 28)
+ port map (
+ CLK => CLK,
+ RESET => one_second_cntr_reset,
+ COUNT_OUT => one_second_cntr,
+ UP_IN => '1',
+ DOWN_IN => '0');
+
+ SET_RESET_ONE_SECOND : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ one_second_reset <= '0';
+ elsif one_second_cntr = x"5F5E100" then --no_sim--
+--sim-- elsif one_second_cntr = x"0006100" then
+ one_second_reset <= '1';
+ else
+ one_second_reset <= '0';
+ end if;
+ end if;
+ end process SET_RESET_ONE_SECOND;
+ SCALER_RESET_OUT <= one_second_reset or RESET;
+
+--mdc calibration trigger each second (with mdc trigger all scalers are send)
+
+ SET_MDC_CAL_MARK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if one_second_reset = '1' and MDC_CAL_ENABLE_IN = '1' then
+ mdc_cal_mark <= '1';
+ elsif SEND_CAL_CURRENT = SEND_CAL_MDC then
+ mdc_cal_mark <= '0';
+ end if;
+ end if;
+ end process SET_MDC_CAL_MARK;
+
+--shower callibration mode
+ --pedestals
+ GEN_BEAM_OFF_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => BEAM_OFF_IN,
+ pulse => beam_off_pulse);
+
+ shower_ped_reg_start <= SHOWER_PED_UPDATE_IN;
+
+ SET_SHOWER_PED_MARK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if SEND_CAL_CURRENT = SEND_PED_SHOWER or reset = '1' then
+ shower_ped_mark <= '0';
+ elsif (shower_ped_reg_start = '1' or beam_off_pulse = '1') and SHOWER_PED_DISABLE_IN = '0' then
+ shower_ped_mark <= '1';
+ end if;
+ end if;
+ end process SET_SHOWER_PED_MARK;
+ --cal
+ SET_SHOWER_CAL_MARK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if SEND_CAL_CURRENT = SEND_CAL_SHOWER or reset = '1' then
+ shower_cal_mark <= '0';
+ elsif SHOWER_CAL_ENABLE_IN = '1' then
+ shower_cal_mark <= '1';
+ end if;
+ end if;
+ end process SET_SHOWER_CAL_MARK;
+
+--cal out
+
+ CAL_WAIT_COUNTER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 4)
+ port map (
+ CLK => CLK,
+ RESET => cal_wait_clr,
+ COUNT_OUT => cal_wait_cntr,
+ UP_IN => cal_wait_up,
+ DOWN_IN => '0');
+
+ SEND_CAL_CLK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ SEND_CAL_CURRENT <= IDLE;
+ cal_inhibit_out_i <= '0';
+ cal_trigger_out_i <= '0';
+ cal_code_out_i <= x"9";
+ cal_wait_up <= '0';
+ cal_wait_clr <= '1';
+ else
+ SEND_CAL_CURRENT <= SEND_CAL_NEXT;
+ cal_inhibit_out_i <= cal_inhibit_out_fsm;
+ cal_trigger_out_i <= cal_trigger_out_fsm;
+ cal_code_out_i <= cal_code_out_fsm;
+ cal_wait_up <= cal_wait_up_fsm;
+ cal_wait_clr <= cal_wait_clr_fsm;
+ end if;
+ end if;
+ end process SEND_CAL_CLK;
+
+ CAL_INHIBIT_OUT <= cal_inhibit_out_i;
+ CAL_TRIGGERS_OUT <= cal_trigger_out_i;
+ CAL_CODE_OUT <= cal_code_out_i;
+
+ SEND_CAL_PROC : process (CLK)
+ begin
+
+ cal_inhibit_out_fsm <= '1';
+ cal_trigger_out_fsm <= '0';
+ cal_code_out_fsm <= x"8";
+ cal_wait_clr_fsm <= '1';
+ cal_wait_up_fsm <= '0';
+
+ case (SEND_CAL_CURRENT) is
+
+ when IDLE =>
+ cal_inhibit_out_fsm <= '0';
+ if mdc_cal_mark = '1' or shower_ped_mark = '1' or shower_cal_mark = '1' then
+ SEND_CAL_NEXT <= SEND_CAL_WAIT_1;
+ else
+ SEND_CAL_NEXT <= IDLE;
+ end if;
+ when SEND_CAL_WAIT_1 => --wait additional time in case phys
+ --trigger was accepted
+ cal_wait_up_fsm <= '1';
+ cal_wait_clr_fsm <= '0';
+ if cal_wait_cntr = x"a" then
+ SEND_CAL_NEXT <= SEND_CAL_WAIT_2;
+ else
+ SEND_CAL_NEXT <= SEND_CAL_WAIT_1;
+ end if;
+ when SEND_CAL_WAIT_2 =>
+ if GLOBAL_INHIBIT_IN = '0' and mdc_cal_mark = '1' then
+ SEND_CAL_NEXT <= SEND_CAL_MDC;
+ elsif GLOBAL_INHIBIT_IN = '0' and shower_ped_mark = '1' then
+ SEND_CAL_NEXT <= SEND_PED_SHOWER;
+ elsif GLOBAL_INHIBIT_IN = '0' and shower_cal_mark = '1' then
+ SEND_CAL_NEXT <= SEND_CAL_SHOWER;
+ else
+ SEND_CAL_NEXT <= SEND_CAL_WAIT_2;
+ end if;
+
+ when SEND_CAL_MDC =>
+ cal_trigger_out_fsm <= '1';
+ cal_code_out_fsm <= x"9";
+ SEND_CAL_NEXT <= IDLE;
+
+ when SEND_CAL_SHOWER =>
+ cal_trigger_out_fsm <= '1';
+ cal_code_out_fsm <= x"a";
+ SEND_CAL_NEXT <= IDLE;
+
+ when SEND_PED_SHOWER =>
+ cal_trigger_out_fsm <= '1';
+ cal_code_out_fsm <= x"b";
+ SEND_CAL_NEXT <= IDLE;
+
+ when others =>
+ SEND_CAL_NEXT <= IDLE;
+
+ end case;
+ end process SEND_CAL_PROC;
+
+end cal_screset_gen;
+
+
+-- ONE_DAY_COUNTER: up_down_counter
+-- generic map (
+-- NUMBER_OF_BITS => 17)
+-- port map (
+-- CLK => CLK,
+-- RESET => one_day_reset,
+-- COUNT_OUT => one_day_cntr,
+-- UP_IN => one_second_reset,
+-- DOWN_IN => '0');
+
+-- SET_RESET_ONE_DAY : process (CLK, RESET)
+-- begin
+-- if rising_edge(CLK) then
+-- if RESET = '1' then
+-- one_day_reset <= '1';
+-- elsif one_day_cntr = x"15180" then --one day 24*3600
+-- one_day_reset <= '1';
+-- else
+-- one_day_reset <= '0';
+-- end if;
+-- end if;
+-- end process SET_RESET_ONE_DAY;
--- /dev/null
+--------------------------------------------------------------------------------
+-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.
+--------------------------------------------------------------------------------
+-- ____ ____
+-- / /\/ /
+-- /___/ \ / Vendor: Xilinx
+-- \ \ \/ Version : 10.1.03
+-- \ \ Application : xaw2vhdl
+-- / / Filename : clk_300.vhd
+-- /___/ /\ Timestamp : 06/01/2010 10:04:43
+-- \ \ / \
+-- \___\/\___\
+--
+--Command: xaw2vhdl-st /home/marek/trbv2/clk_300.xaw /home/marek/trbv2/clk_300
+--Design Name: clk_300
+--Device: xc4vlx40-10ff1148
+--
+-- Module clk_300
+-- Generated by Xilinx Architecture Wizard
+-- Written for synthesis tool: XST
+-- Period Jitter (unit interval) for block DCM_ADV_INST = 0.051 UI
+-- Period Jitter (Peak-to-Peak) for block DCM_ADV_INST = 0.206 ns
+
+library ieee;
+use ieee.std_logic_1164.ALL;
+use ieee.numeric_std.ALL;
+library UNISIM;
+use UNISIM.Vcomponents.ALL;
+
+entity clk_300 is
+ port ( CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKFX_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+end clk_300;
+
+architecture BEHAVIORAL of clk_300 is
+ signal CLKFB_IN : std_logic;
+ signal CLKFX_BUF : std_logic;
+ signal CLK0_BUF : std_logic;
+ signal GND_BIT : std_logic;
+ signal GND_BUS_7 : std_logic_vector (6 downto 0);
+ signal GND_BUS_16 : std_logic_vector (15 downto 0);
+begin
+ GND_BIT <= '0';
+ GND_BUS_7(6 downto 0) <= "0000000";
+ GND_BUS_16(15 downto 0) <= "0000000000000000";
+ CLK0_OUT <= CLKFB_IN;
+ CLKFX_BUFG_INST : BUFG
+ port map (I=>CLKFX_BUF,
+ O=>CLKFX_OUT);
+
+ CLK0_BUFG_INST : BUFG
+ port map (I=>CLK0_BUF,
+ O=>CLKFB_IN);
+
+ DCM_ADV_INST : DCM_ADV
+ generic map( CLK_FEEDBACK => "1X",
+ CLKDV_DIVIDE => 2.0,
+ CLKFX_DIVIDE => 2,
+ CLKFX_MULTIPLY => 5,
+ CLKIN_DIVIDE_BY_2 => FALSE,
+ CLKIN_PERIOD => 10.000,
+ CLKOUT_PHASE_SHIFT => "NONE",
+ DCM_AUTOCALIBRATION => TRUE,
+ DCM_PERFORMANCE_MODE => "MAX_SPEED",
+ DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
+ DFS_FREQUENCY_MODE => "HIGH",
+ DLL_FREQUENCY_MODE => "LOW",
+ DUTY_CYCLE_CORRECTION => TRUE,
+ FACTORY_JF => x"F0F0",
+ PHASE_SHIFT => 0,
+ STARTUP_WAIT => FALSE)
+ port map (CLKFB=>CLKFB_IN,
+ CLKIN=>CLKIN_IN,
+ DADDR(6 downto 0)=>GND_BUS_7(6 downto 0),
+ DCLK=>GND_BIT,
+ DEN=>GND_BIT,
+ DI(15 downto 0)=>GND_BUS_16(15 downto 0),
+ DWE=>GND_BIT,
+ PSCLK=>GND_BIT,
+ PSEN=>GND_BIT,
+ PSINCDEC=>GND_BIT,
+ RST=>RST_IN,
+ CLKDV=>open,
+ CLKFX=>CLKFX_BUF,
+ CLKFX180=>open,
+ CLK0=>CLK0_BUF,
+ CLK2X=>open,
+ CLK2X180=>open,
+ CLK90=>open,
+ CLK180=>open,
+ CLK270=>open,
+ DO=>open,
+ DRDY=>open,
+ LOCKED=>LOCKED_OUT,
+ PSDONE=>open);
+
+end BEHAVIORAL;
+
+
--- /dev/null
+# Generated by Xilinx Architecture Wizard
+# --- UCF Template Only ---
+# Cut and paste these attributes into the project's UCF file, if desired
+INST DCM_ADV_INST CLK_FEEDBACK = 1X;
+INST DCM_ADV_INST CLKDV_DIVIDE = 2.0;
+INST DCM_ADV_INST CLKFX_DIVIDE = 2;
+INST DCM_ADV_INST CLKFX_MULTIPLY = 5;
+INST DCM_ADV_INST CLKIN_DIVIDE_BY_2 = FALSE;
+INST DCM_ADV_INST CLKIN_PERIOD = 10.000;
+INST DCM_ADV_INST CLKOUT_PHASE_SHIFT = NONE;
+INST DCM_ADV_INST DCM_AUTOCALIBRATION = TRUE;
+INST DCM_ADV_INST DCM_PERFORMANCE_MODE = MAX_SPEED;
+INST DCM_ADV_INST DESKEW_ADJUST = SYSTEM_SYNCHRONOUS;
+INST DCM_ADV_INST DFS_FREQUENCY_MODE = HIGH;
+INST DCM_ADV_INST DLL_FREQUENCY_MODE = LOW;
+INST DCM_ADV_INST DUTY_CYCLE_CORRECTION = TRUE;
+INST DCM_ADV_INST FACTORY_JF = F0F0;
+INST DCM_ADV_INST PHASE_SHIFT = 0;
+INST DCM_ADV_INST STARTUP_WAIT = FALSE;
--- /dev/null
+--------------------------------------------------------------------------------
+-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.
+--------------------------------------------------------------------------------
+-- ____ ____
+-- / /\/ /
+-- /___/ \ / Vendor: Xilinx
+-- \ \ \/ Version : 10.1.03
+-- \ \ Application : xaw2vhdl
+-- / / Filename : clock200.vhd
+-- /___/ /\ Timestamp : 04/01/2010 16:03:48
+-- \ \ / \
+-- \___\/\___\
+--
+--Command: xaw2vhdl-st /home/marek/trbv2/clock200.xaw /home/marek/trbv2/clock200
+--Design Name: clock200
+--Device: xc4vlx40-10ff1148
+--
+-- Module clock200
+-- Generated by Xilinx Architecture Wizard
+-- Written for synthesis tool: Synplify
+
+library ieee;
+use ieee.std_logic_1164.ALL;
+use ieee.numeric_std.ALL;
+library UNISIM;
+use UNISIM.Vcomponents.ALL;
+
+entity clock200 is
+ port ( CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+end clock200;
+
+architecture BEHAVIORAL of clock200 is
+ signal CLKFB_IN : std_logic;
+ signal CLK0_BUF : std_logic;
+ signal CLK2X_BUF : std_logic;
+ signal GND_BIT : std_logic;
+ signal GND_BUS_7 : std_logic_vector (6 downto 0);
+ signal GND_BUS_16 : std_logic_vector (15 downto 0);
+begin
+ GND_BIT <= '0';
+ GND_BUS_7(6 downto 0) <= "0000000";
+ GND_BUS_16(15 downto 0) <= "0000000000000000";
+ CLK0_OUT <= CLKFB_IN;
+ CLK0_BUFG_INST : BUFG
+ port map (I=>CLK0_BUF,
+ O=>CLKFB_IN);
+
+ CLK2X_BUFG_INST : BUFG
+ port map (I=>CLK2X_BUF,
+ O=>CLK2X_OUT);
+
+ DCM_ADV_INST : DCM_ADV
+ generic map( CLK_FEEDBACK => "1X",
+ CLKDV_DIVIDE => 2.0,
+ CLKFX_DIVIDE => 1,
+ CLKFX_MULTIPLY => 4,
+ CLKIN_DIVIDE_BY_2 => FALSE,
+ CLKIN_PERIOD => 10.000,
+ CLKOUT_PHASE_SHIFT => "NONE",
+ DCM_AUTOCALIBRATION => TRUE,
+ DCM_PERFORMANCE_MODE => "MAX_SPEED",
+ DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
+ DFS_FREQUENCY_MODE => "LOW",
+ DLL_FREQUENCY_MODE => "LOW",
+ DUTY_CYCLE_CORRECTION => TRUE,
+ FACTORY_JF => x"F0F0",
+ PHASE_SHIFT => 0,
+ STARTUP_WAIT => FALSE)
+ port map (CLKFB=>CLKFB_IN,
+ CLKIN=>CLKIN_IN,
+ DADDR(6 downto 0)=>GND_BUS_7(6 downto 0),
+ DCLK=>GND_BIT,
+ DEN=>GND_BIT,
+ DI(15 downto 0)=>GND_BUS_16(15 downto 0),
+ DWE=>GND_BIT,
+ PSCLK=>GND_BIT,
+ PSEN=>GND_BIT,
+ PSINCDEC=>GND_BIT,
+ RST=>RST_IN,
+ CLKDV=>open,
+ CLKFX=>open,
+ CLKFX180=>open,
+ CLK0=>CLK0_BUF,
+ CLK2X=>CLK2X_BUF,
+ CLK2X180=>open,
+ CLK90=>open,
+ CLK180=>open,
+ CLK270=>open,
+ DO=>open,
+ DRDY=>open,
+ LOCKED=>LOCKED_OUT,
+ PSDONE=>open);
+
+end BEHAVIORAL;
+
+
--- /dev/null
+# Generated by Xilinx Architecture Wizard
+# --- UCF Template Only ---
+# Cut and paste these attributes into the project's UCF file, if desired
+INST DCM_ADV_INST CLK_FEEDBACK = 1X;
+INST DCM_ADV_INST CLKDV_DIVIDE = 2.0;
+INST DCM_ADV_INST CLKFX_DIVIDE = 1;
+INST DCM_ADV_INST CLKFX_MULTIPLY = 4;
+INST DCM_ADV_INST CLKIN_DIVIDE_BY_2 = FALSE;
+INST DCM_ADV_INST CLKIN_PERIOD = 10.000;
+INST DCM_ADV_INST CLKOUT_PHASE_SHIFT = NONE;
+INST DCM_ADV_INST DCM_AUTOCALIBRATION = TRUE;
+INST DCM_ADV_INST DCM_PERFORMANCE_MODE = MAX_SPEED;
+INST DCM_ADV_INST DESKEW_ADJUST = SYSTEM_SYNCHRONOUS;
+INST DCM_ADV_INST DFS_FREQUENCY_MODE = LOW;
+INST DCM_ADV_INST DLL_FREQUENCY_MODE = LOW;
+INST DCM_ADV_INST DUTY_CYCLE_CORRECTION = TRUE;
+INST DCM_ADV_INST FACTORY_JF = F0F0;
+INST DCM_ADV_INST PHASE_SHIFT = 0;
+INST DCM_ADV_INST STARTUP_WAIT = FALSE;
--- /dev/null
+--------------------------------------------------------------------
+-- Company : XESS Corp.
+-- Engineer : Dave Vanden Bout
+-- Creation Date : 05/17/2005
+-- Copyright : 2005, XESS Corp
+-- Tool Versions : WebPACK 6.3.03i
+--
+-- Description:
+-- Miscellaneous VHDL constants and functions
+--
+-- Revision:
+-- 1.0.0
+--
+-- Additional Comments:
+-- 1.1.0:
+-- Added int_select() and real_select functions.
+-- 1.0.0:
+-- Initial release.
+--
+-- License:
+-- This code can be freely distributed and modified as long as
+-- this header is not removed.
+--------------------------------------------------------------------
+
+
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.numeric_std.all;
+
+package common is
+
+ constant YES : std_logic := '1';
+ constant NO : std_logic := '0';
+ constant HI : std_logic := '1';
+ constant LO : std_logic := '0';
+ constant ONE : std_logic := '1';
+ constant ZERO : std_logic := '0';
+ -- convert a Boolean to a std_logic
+ function boolean2stdlogic(b : in boolean) return std_logic;
+ -- find the base-2 logarithm of a number
+ function log2(v : in natural) return natural;
+ -- select one of two integers based on a Boolean
+ function int_select(s : in boolean; a : in integer; b : in integer) return integer;
+ -- select one of two reals based on a Boolean
+ function real_select(s : in boolean; a : in real; b : in real) return real;
+
+end package common;
+
+
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.numeric_std.all;
+
+package body common is
+
+ -- convert a Boolean to a std_logic
+ function boolean2stdlogic(b : in boolean) return std_logic is
+ variable s : std_logic;
+ begin
+ if b then
+ s := '1';
+ else
+ s := '0';
+ end if;
+ return s;
+ end function boolean2stdlogic;
+
+ -- find the base 2 logarithm of a number
+ function log2(v : in natural) return natural is
+ variable n : natural;
+ variable logn : natural;
+ begin
+ n := 1;
+ for i in 0 to 128 loop
+ logn := i;
+ exit when (n >= v);
+ n := n * 2;
+ end loop;
+ return logn;
+ end function log2;
+
+ -- select one of two integers based on a Boolean
+ function int_select(s : in boolean; a : in integer; b : in integer) return integer is
+ begin
+ if s then
+ return a;
+ else
+ return b;
+ end if;
+ return a;
+ end function int_select;
+
+ -- select one of two reals based on a Boolean
+ function real_select(s : in boolean; a : in real; b : in real) return real is
+ begin
+ if s then
+ return a;
+ else
+ return b;
+ end if;
+ return a;
+ end function real_select;
+
+end package body common;
--- /dev/null
+
+
+library ieee;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.std_logic_ARITH.ALL;
+USE IEEE.std_logic_UNSIGNED.ALL;
+use ieee.numeric_std.all;
+
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+package config_type is
+
+ constant TRBV2_CONFIG_TYPE: integer range 0 to 9 :=2;
+ -- 0 - CTS --trbnet config
+ -- 1 - TOF
+ -- 2 - RPC
+ -- 3 - FWALL
+ -- 4 - START/VETO --see compile script!
+
+-- constant cts_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"53000000";
+-- constant cts_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant cts_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+-- constant tof_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"81000000";
+-- constant tof_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant tof_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ef";
+-- constant rpc_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"83000000";
+-- constant rpc_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant rpc_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"df";
+-- constant fwall_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"81000000";
+-- constant fwall_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant fwall_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ef";
+-- constant start_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"82000000";
+-- constant start_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant start_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+ --## attention, automatically regenerated. Change only CONFIG_TYPE.
+ constant regio_hardware_version_i : std_logic_vector(31 downto 0) := x"83000000";
+ constant regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+ constant broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"df";
+ --## end of automatically regenration
+end package config_type;
--- /dev/null
+
+
+library ieee;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.std_logic_ARITH.ALL;
+USE IEEE.std_logic_UNSIGNED.ALL;
+use ieee.numeric_std.all;
+
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+package config_type is
+
+ constant TRBV2_CONFIG_TYPE: integer range 0 to 9 :=2;
+ -- 0 - CTS --trbnet config
+ -- 1 - TOF
+ -- 2 - RPC
+ -- 3 - FWALL
+ -- 4 - START/VETO --see compile script!
+
+-- constant cts_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"53000000";
+-- constant cts_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant cts_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+-- constant tof_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"81000000";
+-- constant tof_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant tof_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ef";
+-- constant rpc_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"83000000";
+-- constant rpc_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant rpc_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"df";
+-- constant fwall_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"81000000";
+-- constant fwall_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant fwall_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ef";
+-- constant start_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"82000000";
+-- constant start_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant start_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+ --## attention, automatically regenerated. Change only CONFIG_TYPE.
+ constant regio_hardware_version_i : std_logic_vector(31 downto 0) := x"83000000";
+ constant regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+ constant broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"df";
+ --## end of automatically regenration
+end package config_type;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use ieee.std_logic_arith.all;
+
+entity cts is
+--add functionality for counting fast ref triggers and digital triggers -
+--compare this
+ generic (
+ TRBNET_ENABLE : natural
+ );
+ port (
+ --general
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLK40_IN : in std_logic;
+ --lvl1 trigger inputs
+ LVL1_LVDS_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_TTL_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_RCTS_TYPE_IN : in std_logic_vector(3 downto 0);
+ LVL1_FAST_TRIGG_IN : in std_logic;
+ --lvl1 trigger outputs
+ LVL1_TIMING_TRIGGER_OUT : out std_logic;
+ LVL1_TIMING_TEST_SIGNAL_OUT : out std_logic;
+ LVL1_APV_TRIGGER_OUT : out std_logic;
+ --trigger interface
+ LVL1_TRIGBOX_TRIGGER_IN : in std_logic;
+ LVL1_TRIGBOX_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL1_TRIGBOX_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL1_TRIGBOX_BUSY_IN : in std_logic;
+ --external lvl1 busy
+ LVL1_LOCAL_BUSY : in std_logic;
+ LVL1_TRBNET_BUSY : in std_logic;
+ --lvl1 interface to trbnet or other
+ LVL1_CTS_BUSY_OUT : out std_logic;
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ --lvl2 trigger from LVDS
+ LVL2_LVDS_TRIGGER_IN : in std_logic;
+ LVL2_LVDS_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LVDS_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ --lvl2 trigger from local component
+ LVL2_LOCAL_TRIGGER_IN : in std_logic;
+ LVL2_LOCAL_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LOCAL_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ --external LVL2 busy
+ LVL2_LOCAL_BUSY : in std_logic;
+ LVL2_TRBNET_BUSY : in std_logic;
+ --lvl2 interface to trbnet or other
+ LVL2_CTS_BUSY_OUT : out std_logic;
+ LVL2_TRIGGER_OUT : out std_logic;
+ LVL2_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL2_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL2_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ --status and control
+ CTS_STASUS_0UT_0 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_1 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_2 : out std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_0 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_1 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_2 : in std_logic_vector(31 downto 0)
+ );
+
+end cts;
+
+architecture cts of cts is
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ component cts_fifo_1kW
+ port (
+ clk : IN std_logic;
+ din : IN std_logic_VECTOR(33 downto 0);
+ rd_en : IN std_logic;
+ rst : IN std_logic;
+ wr_en : IN std_logic;
+ data_count : OUT std_logic_VECTOR(9 downto 0);
+ dout : OUT std_logic_VECTOR(33 downto 0);
+ empty : OUT std_logic;
+ full : OUT std_logic);
+ end component;
+
+--lvl1
+ signal lvl1_trigger : std_logic;
+ signal lvl1_trbnet_busy_i : std_logic;
+ signal lvl1_cts_busy : std_logic;
+ signal not_all_lvl1_busy_or : std_logic;
+ signal not_all_lvl1_busy_or_pulse : std_logic;
+ signal self_trigg_cntr : std_logic_vector(27 downto 0);
+ signal lvl1_self_trigger : std_logic;
+ signal lvl1_trigger_bus : std_logic_vector(15 downto 0);
+ signal lvl1_trigger_bus_pulse : std_logic_vector(15 downto 0);
+ signal lvl1_trigger_pulse : std_logic;
+ signal lvl1_trigger_bus_out : std_logic_vector(15 downto 0);
+ signal rnd_counter : std_logic_vector(11 downto 0);
+ signal lvl1_trigger_rnd_code_i : std_logic_vector(7 downto 0);
+ signal lvl1_trigger_code : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_cts_tag : std_logic_vector(15 downto 0);
+ signal lvl1_trigger_tag : std_logic_vector(15 downto 0);
+ signal lvl1_timing_trigger_counter : std_logic_vector(3 downto 0);
+ signal lvl1_timing_trigger : std_logic;
+ signal lvl1_timing_trigger_pulse : std_logic;
+ signal lvl1_timing_trigger_pulse_synch : std_logic;
+ signal lvl1_timing_trigger_pulse_synch_synch : std_logic;
+ signal cntrl_register_trigger : std_logic;
+ signal internal_fifo_busy : std_logic;
+
+--lvl2
+ signal lvl2_trigger : std_logic;
+ signal lvl2_trigger_out_i : std_logic;
+ signal lvl2_trigger_out_pulse : std_logic;
+ signal lvl2_trigger_out_pulse_synch : std_logic;
+ signal lvl2_trigger_code_and_tag_out : std_logic_vector(33 downto 0);
+ signal lvl2_trigger_code_and_tag : std_logic_vector(33 downto 0);
+ signal lvl2_trigger_rnd_nr_out : std_logic_vector(33 downto 0);
+ signal lvl2_trigger_rnd_nr : std_logic_vector(33 downto 0);
+ signal cts_fifo_empty_a : std_logic;
+ signal cts_fifo_empty_b : std_logic;
+ signal cts_fifo_full_a : std_logic;
+ signal cts_fifo_full_b : std_logic;
+ signal cts_fifo_data_cntr_a : std_logic_vector(9 downto 0);
+ signal cts_fifo_data_cntr_b : std_logic_vector(9 downto 0);
+ signal lvl1_lvl2_diff_cntr : std_logic_vector(7 downto 0);
+
+ signal lvl2_cts_busy : std_logic;
+ signal not_all_lvl2_busy_or : std_logic;
+ signal not_all_lvl2_busy_or_pulse : std_logic;
+ signal lvl2_lvds_trigger_pulse : std_logic;
+ signal lvl2_local_trigger_pulse : std_logic;
+ signal lvl2_trigger_cts_tag : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_code : std_logic_vector(3 downto 0);
+ signal lvl2_trigger_tag : std_logic_vector(15 downto 0);
+ signal downscale : std_logic;
+ signal downscale_cntr : std_logic_vector(7 downto 0);
+ signal lvl2_trigger_rnd_code_i : std_logic_vector(7 downto 0);
+
+--count rate
+ signal one_second_cntr : std_logic_vector(27 downto 0);
+ signal event_end_pulse : std_logic;
+ signal one_second_reset : std_logic;
+ signal event_rate_cntr : std_logic_vector(19 downto 0);
+ signal saved_event_rate : std_logic_vector(19 downto 0);
+ signal apv_double_pulse : std_logic;
+ signal apv_double_pulse_fsm : std_logic;
+ signal apv_double_pulse_busy : std_logic;
+ signal apv_double_pulse_busy_fsm : std_logic;
+--
+ type APV_PULSE_FSM is (IDLE, APV_PULSE_FSM_A, APV_PULSE_FSM_B, APV_PULSE_FSM_C, APV_PULSE_FSM_D);
+ signal APV_PULSE_FSM_CURRENT, APV_PULSE_FSM_NEXT : APV_PULSE_FSM;
+ signal double_apv_pulse_source : std_logic;
+ constant HOW_MANY_MAX_EVENTS : integer := 254;--1;
+
+ signal trigg_send_nr,trigg_end_nr : std_logic_vector(7 downto 0);
+begin
+--at the beggining lvl1:lvl2 - 1:1
+ -----------------------------------------------------------------------------
+ -- lvl1
+ -----------------------------------------------------------------------------
+
+ TRBNET_BUSY_EN : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl1_trbnet_busy_i <= '0';
+ elsif TRBNET_ENABLE = 1 or TRBNET_ENABLE = 2 then
+ lvl1_trbnet_busy_i <= LVL1_TRBNET_BUSY;
+ else
+ lvl1_trbnet_busy_i <= '0';
+ end if;
+ end if;
+ end process TRBNET_BUSY_EN;
+
+ LVL1_SELF_TRIGGER_COUNTER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 28)
+ port map (
+ CLK => CLK,
+ RESET => lvl1_self_trigger,
+ COUNT_OUT => self_trigg_cntr,
+ UP_IN => '1',
+ DOWN_IN => '0');
+
+ SET_LVL1_SELF_TRIGGER : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl1_self_trigger <= '0';
+ elsif (self_trigg_cntr(15 downto 0) = CTS_CTRL_IN_1(27 downto 8)) then
+ lvl1_self_trigger <= '1';
+ else
+ lvl1_self_trigger <= '0';
+ end if;
+ end if;
+ end process SET_LVL1_SELF_TRIGGER;
+
+ THE_TRIGGER_EDGE_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => CTS_CTRL_IN_0(28),
+ pulse => cntrl_register_trigger
+ );
+
+ SYNC_LVL1_TRIGGERS : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or lvl1_cts_busy = '1' or lvl1_lvl2_diff_cntr = HOW_MANY_MAX_EVENTS then
+ lvl1_trigger_bus <= (others => '0');
+-- elsif TRBNET_ENABLE = 2 then
+ else
+ lvl1_trigger_bus(0) <= '0';--LVL1_LVDS_TRIGGER_IN(0) and CTS_CTRL_IN_0(0);
+ lvl1_trigger_bus(1) <= '0';--LVL1_LVDS_TRIGGER_IN(1) and CTS_CTRL_IN_0(1);
+ lvl1_trigger_bus(2) <= '0';--LVL1_LVDS_TRIGGER_IN(2) and CTS_CTRL_IN_0(2);
+ lvl1_trigger_bus(3) <= '0';--LVL1_LVDS_TRIGGER_IN(3) and CTS_CTRL_IN_0(3);
+ lvl1_trigger_bus(4) <= '0';--LVL1_LVDS_TRIGGER_IN(4) and CTS_CTRL_IN_0(4);
+ lvl1_trigger_bus(5) <= LVL1_TTL_TRIGGER_IN(0) and CTS_CTRL_IN_0(5);
+ lvl1_trigger_bus(6) <= '0';--LVL1_TTL_TRIGGER_IN(1) and CTS_CTRL_IN_0(6);
+ lvl1_trigger_bus(7) <= '0';--LVL1_TTL_TRIGGER_IN(2) and CTS_CTRL_IN_0(7);
+ lvl1_trigger_bus(8) <= '0';--LVL1_TTL_TRIGGER_IN(3) and CTS_CTRL_IN_0(8);
+ lvl1_trigger_bus(9) <= '0';--LVL1_TTL_TRIGGER_IN(4) and CTS_CTRL_IN_0(9);
+ lvl1_trigger_bus(10) <= LVL1_FAST_TRIGG_IN and CTS_CTRL_IN_0(10);
+ lvl1_trigger_bus(11) <= lvl1_self_trigger and CTS_CTRL_IN_0(11);
+ lvl1_trigger_bus(12) <= '0';--LVL1_LVDS_TRIGGER_IN(0) and LVL1_LVDS_TRIGGER_IN(1) and CTS_CTRL_IN_0(12);
+ lvl1_trigger_bus(13) <= '0';--cntrl_register_trigger and CTS_CTRL_IN_0(13);
+ lvl1_trigger_bus(14) <= '0';--LVL1_TTL_TRIGGER_IN(0) and LVL1_TTL_TRIGGER_IN(1) and CTS_CTRL_IN_0(14);
+ lvl1_trigger_bus(15) <= '0';--LVL1_TTL_TRIGGER_IN(2) and LVL1_TTL_TRIGGER_IN(3) and CTS_CTRL_IN_0(15);
+ end if;
+ end if;
+ end process SYNC_LVL1_TRIGGERS;
+
+ BUSY_OR : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ not_all_lvl1_busy_or <= '1';
+ else
+ not_all_lvl1_busy_or <= not (lvl1_trbnet_busy_i or LVL1_LOCAL_BUSY or (LVL1_TRIGBOX_BUSY_IN and CTS_CTRL_IN_0(30)));
+ end if;
+ end if;
+ end process BUSY_OR;
+
+ ENABLE_LVL1_TRIGGERS: for i in 0 to 15 generate
+
+ THE_TRIGGER_EDGE_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_trigger_bus(i),
+ pulse => lvl1_trigger_bus_out(i)
+ );
+
+-- ENABLE_LVL1_TRIGGERS_PROC : process (CLK, RESET)
+-- begin
+-- if rising_edge(CLK) then
+-- if RESET = '1' then
+-- lvl1_trigger_bus_out(i) <= '0';
+-- else
+-- lvl1_trigger_bus_out(i) <= lvl1_trigger_bus_pulse(i) and CTS_CTRL_IN_0(i);
+-- end if;
+-- end if;
+-- end process ENABLE_LVL1_TRIGGERS_PROC;
+
+ end generate ENABLE_LVL1_TRIGGERS;
+
+ RANDOM_NUMBER_COUNTER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 12)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => rnd_counter,
+ UP_IN => '1',
+ DOWN_IN => '0');
+
+ -- ACCEPT_LVL1_TRIGGER : process (CLK, RESET,lvl1_cts_busy,lvl1_trigger_bus_out,LVL1_TRIGBOX_TRIGGER_IN,CTS_CTRL_IN_0(30),apv_double_pulse_busy)
+ ACCEPT_LVL1_TRIGGER : process (CLK,lvl1_lvl2_diff_cntr)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl1_trigger <= '0';
+ lvl1_trigger_rnd_code_i <= (others => '0');
+ -- !!!and check all signals, and stand alone trb and small design for 2 trbs and maybe corect busy and fast busy ? --~~~~~~~~~~~~~was lvl1_lvl2_diff_cntr < 255
+-- elsif lvl1_cts_busy = '0' and lvl1_lvl2_diff_cntr < 255 and (lvl1_trigger_bus_out /= 0 or (LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1')) and apv_double_pulse_busy = '0' then
+
+
+-- elsif lvl1_lvl2_diff_cntr < 255 and (lvl1_trigger_bus_out /= 0 or (LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1')) and apv_double_pulse_busy = '0' then
+ elsif (lvl1_lvl2_diff_cntr < HOW_MANY_MAX_EVENTS) and ((lvl1_trigger_bus_out /= 0 or (LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1'))) then -- and apv_double_pulse_busy = '0') then
+ lvl1_trigger <= '1';
+ lvl1_trigger_rnd_code_i <= rnd_counter(4) & rnd_counter(9) & rnd_counter(1) & rnd_counter(6) & rnd_counter(11) & rnd_counter(5) & rnd_counter(2) & rnd_counter(7);
+ else
+ lvl1_trigger_rnd_code_i <= lvl1_trigger_rnd_code_i;
+ lvl1_trigger <= '0';
+ end if;
+ end if;
+ end process ACCEPT_LVL1_TRIGGER;
+
+ COUNT_OUT_TRIGG: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => trigg_send_nr,
+ UP_IN => lvl1_trigger_pulse,
+ DOWN_IN => '0');
+
+ COUNT_IN_TRIGG: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => trigg_end_nr,
+ UP_IN => not_all_lvl1_busy_or_pulse,
+ DOWN_IN => '0');
+
+ THE_TRIGGER_OUT_EDGE_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_trigger,
+ pulse => lvl1_trigger_pulse
+ );
+
+ LVL1_TRIGGER_OUT <= lvl1_trigger_pulse;
+ LVL1_RND_NUMBER_OUT <= lvl1_trigger_rnd_code_i;
+
+ SEND_TIMING_TRIGGER : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or lvl1_timing_trigger_counter = (CTS_CTRL_IN_1(31 downto 28)+9) or TRBNET_ENABLE /=2 then
+ lvl1_timing_trigger <= '0';
+ elsif lvl1_trigger = '1' then
+ lvl1_timing_trigger <= '1';
+ else
+ lvl1_timing_trigger <= lvl1_timing_trigger;
+ end if;
+ end if;
+ end process SEND_TIMING_TRIGGER;
+
+
+ SEND_TIMING : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ LVL1_TIMING_TRIGGER_OUT <= '0';
+-- elsif CTS_CTRL_IN_0(29) ='1' then
+-- LVL1_TIMING_TRIGGER_OUT <= lvl1_timing_trigger;
+-- elsif CTS_CTRL_IN_0(29) ='0' and lvl1_trigger_code(3) = '0' then
+ elsif lvl1_trigger_code(3) = '0' then
+ LVL1_TIMING_TRIGGER_OUT <= lvl1_timing_trigger;
+-- elsif CTS_CTRL_IN_0(29) ='0' and lvl1_trigger_code(3) = '1' then
+ elsif lvl1_trigger_code(3) = '1' then
+ LVL1_TIMING_TRIGGER_OUT <= '0';
+ else
+ LVL1_TIMING_TRIGGER_OUT <= '0';
+ end if;
+ end if;
+ end process SEND_TIMING;
+
+
+ THE_TRIGGER_EDGE_TO_PULSE_FOR_APV: edge_to_pulse
+ port map (
+ clock => CLK40_IN,
+ en_clk => '1',
+ signal_in => lvl1_timing_trigger,
+ pulse => lvl1_timing_trigger_pulse
+ );
+
+ THE_DOUBLE_EDGE_TO_PULSE_FOR_APV: edge_to_pulse
+ port map (
+ clock => CLK40_IN,
+ en_clk => '1',
+ signal_in => CTS_CTRL_IN_0(31),
+ pulse => double_apv_pulse_source
+ );
+
+ APV_PULSE_FSM_CLOCK : process (CLK40_IN, RESET)
+ begin
+ if rising_edge(CLK40_IN) then
+ if RESET = '1' then
+ APV_PULSE_FSM_CURRENT <= IDLE;
+ apv_double_pulse <= '0';
+ apv_double_pulse_busy <= '0';
+ else
+ APV_PULSE_FSM_CURRENT <= APV_PULSE_FSM_NEXT;
+ apv_double_pulse <= apv_double_pulse_fsm;
+ apv_double_pulse_busy <= apv_double_pulse_busy_fsm;
+ end if;
+ end if;
+ end process APV_PULSE_FSM_CLOCK;
+
+ APV_PULSE_FSM_PROC : process (CLK40_IN)
+ begin
+ apv_double_pulse_fsm <= '0';
+ apv_double_pulse_busy_fsm <= '1';
+ case (APV_PULSE_FSM_CURRENT) is
+ when IDLE =>
+ apv_double_pulse_busy_fsm <= '0';
+ if double_apv_pulse_source = '1' then
+ APV_PULSE_FSM_NEXT <= APV_PULSE_FSM_A;
+ else
+ APV_PULSE_FSM_NEXT <= IDLE;
+ end if;
+ when APV_PULSE_FSM_A =>
+ if lvl1_timing_trigger = '0' and lvl1_trigger = '0' then
+ APV_PULSE_FSM_NEXT <= APV_PULSE_FSM_B;
+ else
+ APV_PULSE_FSM_NEXT <= APV_PULSE_FSM_A;
+ end if;
+ when APV_PULSE_FSM_B =>
+ apv_double_pulse_fsm <= '1';
+ APV_PULSE_FSM_NEXT <= APV_PULSE_FSM_C;
+ when APV_PULSE_FSM_C =>
+ APV_PULSE_FSM_NEXT <= APV_PULSE_FSM_D;
+ when APV_PULSE_FSM_D =>
+ apv_double_pulse_fsm <= '1';
+ APV_PULSE_FSM_NEXT <= IDLE;
+ when others =>
+ APV_PULSE_FSM_NEXT <= IDLE;
+ end case;
+ end process APV_PULSE_FSM_PROC;
+
+
+
+ SEND_APV_PULSE : process (CLK40_IN, RESET)
+ begin
+ if rising_edge(CLK40_IN) then
+ if RESET = '1' or TRBNET_ENABLE /=2 then
+ LVL1_APV_TRIGGER_OUT <= '0';
+ else
+ LVL1_APV_TRIGGER_OUT <= lvl1_timing_trigger_pulse or apv_double_pulse_fsm;
+ end if;
+ end if;
+ end process SEND_APV_PULSE;
+
+
+ TIMIG_TRIGGER_COUNT: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 4)
+ port map (
+ CLK => CLK,
+ RESET => lvl1_trigger,
+ COUNT_OUT => lvl1_timing_trigger_counter,
+ UP_IN => lvl1_timing_trigger,
+ DOWN_IN => '0');
+
+ END_BUSY_PULSER: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_all_lvl1_busy_or,
+ pulse => not_all_lvl1_busy_or_pulse
+ );
+
+ SET_CTS_BUSY : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or not_all_lvl1_busy_or_pulse = '1' then
+ lvl1_cts_busy <= '0';
+-- elsif lvl1_cts_busy = '0' and (lvl1_trigger_bus_out /= 0 or (LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1')) then
+-- elsif lvl1_trigger_pulse = '1' then
+ elsif (lvl1_trigger_bus /= 0 and lvl1_lvl2_diff_cntr < HOW_MANY_MAX_EVENTS) or (LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1' and lvl1_lvl2_diff_cntr < HOW_MANY_MAX_EVENTS) then
+ lvl1_cts_busy <= '1';
+ else
+ lvl1_cts_busy <= lvl1_cts_busy;
+ end if;
+ end if;
+ end process SET_CTS_BUSY;
+
+ LVL1_CTS_BUSY_OUT <= lvl1_cts_busy;
+
+ MAKE_LVL1_CODE : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl1_trigger_code <= x"1";
+ elsif CTS_CTRL_IN_2(4) = '1' then
+ lvl1_trigger_code <= CTS_CTRL_IN_2(3 downto 0);
+ elsif LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1' then
+ lvl1_trigger_code <= LVL1_TRIGBOX_TRIGGER_CODE_IN;
+ elsif lvl1_cts_busy = '0' and CTS_CTRL_IN_0(30) = '0' and CTS_CTRL_IN_0(5) = '0' then
+ lvl1_trigger_code <= x"1";
+ elsif lvl1_cts_busy = '0' and CTS_CTRL_IN_0(5) = '1' then
+ lvl1_trigger_code <= LVL1_RCTS_TYPE_IN;
+ else
+ lvl1_trigger_code <= lvl1_trigger_code;
+ end if;
+ end if;
+ end process MAKE_LVL1_CODE;
+
+ LVL1_TRIGGER_CODE_OUT <= lvl1_trigger_code;
+
+ LVL1_TAG_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 16)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => lvl1_trigger_cts_tag,
+ UP_IN => not_all_lvl1_busy_or_pulse,
+ DOWN_IN => '0');
+
+-- LVL1_TAG_SET : process (CLK, RESET)
+-- begin
+-- if rising_edge(CLK) then
+-- if RESET = '1' then
+-- lvl1_trigger_tag <= (others => '0');
+---- elsif lvl1_cts_busy = '0' and LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1' then
+-- elsif LVL1_TRIGBOX_TRIGGER_IN = '1' and CTS_CTRL_IN_0(30) = '1' then
+-- lvl1_trigger_tag <= LVL1_TRIGBOX_TRIGGER_TAG_IN;
+---- elsif lvl1_cts_busy = '0' and lvl1_trigger_bus_out /= 0 then
+-- elsif lvl1_trigger_bus_out /= 0 then
+-- lvl1_trigger_tag <= lvl1_trigger_cts_tag;
+-- else
+-- lvl1_trigger_tag <= lvl1_trigger_cts_tag;
+-- end if;
+-- end if;
+-- end process LVL1_TAG_SET;
+
+ LVL1_TRIGGER_TAG_OUT <= lvl1_trigger_cts_tag;
+
+ CTS_STASUS_0UT_0 <= lvl1_trigger_rnd_code_i & LVL1_TRIGBOX_BUSY_IN & LVL1_LOCAL_BUSY & LVL1_TRBNET_BUSY & lvl1_cts_busy & lvl1_trigger_code & lvl1_trigger_cts_tag;--trigg_send_nr trigg_end_nr & ;--lvl1_trigger_cts_tag;
+
+ --trigger rate
+ LVL1_TIMING_TEST_SIGNAL_OUT <= one_second_cntr(17);
+
+ ONE_SECOND_COUNTER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 28)
+ port map (
+ CLK => CLK,
+ RESET => one_second_reset,
+ COUNT_OUT => one_second_cntr,
+ UP_IN => '1',
+ DOWN_IN => '0');
+
+ SET_RESET_EACH_ONE_SECOND : process (CLK, RESET) --and save event rate
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ one_second_reset <= '1';
+ saved_event_rate <= (others => '0');
+ elsif one_second_cntr = x"5F5E100" then
+ one_second_reset <= '1';
+ saved_event_rate <= event_rate_cntr;
+ else
+ one_second_reset <= '0';
+ saved_event_rate <= saved_event_rate;
+ end if;
+ end if;
+ end process SET_RESET_EACH_ONE_SECOND;
+
+ LOCAL_CLK_EVENT_END_PULSE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_all_lvl1_busy_or_pulse,
+ pulse => event_end_pulse);
+
+ TRIGGER_COUNTER_RATE: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 20)
+ port map (
+ CLK => CLK,
+ RESET => one_second_reset,
+ COUNT_OUT => event_rate_cntr,
+ UP_IN => event_end_pulse,
+ DOWN_IN => '0');
+ CTS_STASUS_0UT_1(28 downto 0) <= LVL2_LOCAL_BUSY & LVL2_TRBNET_BUSY & lvl2_cts_busy & event_rate_cntr(13) & event_rate_cntr(11) & event_rate_cntr(9) & event_rate_cntr(7) & lvl1_self_trigger & apv_double_pulse_busy & saved_event_rate;
+
+
+ -----------------------------------------------------------------------------
+ -- lvl2
+ -----------------------------------------------------------------------------
+
+ THE_LVL2_LVDS_TRIGGER_EDGE_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => LVL2_LVDS_TRIGGER_IN,
+ pulse => lvl2_lvds_trigger_pulse
+ );
+
+ THE_LVL2_LOCAL_TRIGGER_EDGE_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => LVL2_LOCAL_TRIGGER_IN,
+ pulse => lvl2_local_trigger_pulse
+ );
+
+ LVL2_TAG_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 16)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => lvl2_trigger_cts_tag,
+ UP_IN => not_all_lvl2_busy_or_pulse,
+ DOWN_IN => '0');
+
+ MAKE_LVL2_TRIGGER : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl2_trigger <= '0';
+ lvl2_trigger_code <= x"1";
+ lvl2_trigger_tag <= (others => '0');
+ elsif CTS_CTRL_IN_0(17 downto 16) = "00" and not_all_lvl1_busy_or_pulse ='1' then
+ lvl2_trigger <= '1';
+ lvl2_trigger_code <= downscale & "001";
+ lvl2_trigger_tag <= lvl1_trigger_cts_tag;
+ elsif CTS_CTRL_IN_0(17 downto 16) = "01" and lvl2_lvds_trigger_pulse ='1' then
+ lvl2_trigger <= '1';
+ lvl2_trigger_code <= (downscale or LVL2_LVDS_TRIGGER_CODE_IN(3)) & LVL2_LVDS_TRIGGER_CODE_IN(2 downto 0);
+ lvl2_trigger_tag <= LVL2_LVDS_TRIGGER_TAG_IN;
+ elsif CTS_CTRL_IN_0(17 downto 16) = "10" and lvl2_local_trigger_pulse ='1' then
+ lvl2_trigger <= '1';
+ lvl2_trigger_code <= (downscale or LVL2_LOCAL_TRIGGER_CODE_IN(3)) & LVL2_LOCAL_TRIGGER_CODE_IN(2 downto 0);
+ lvl2_trigger_tag <= LVL2_LOCAL_TRIGGER_TAG_IN;
+ else
+ lvl2_trigger <= '0';
+ lvl2_trigger_code <= lvl2_trigger_code;
+ lvl2_trigger_tag <= lvl2_trigger_tag;
+ end if;
+ end if;
+ end process MAKE_LVL2_TRIGGER;
+
+
+
+ DOWNSCALE_COUNTER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => downscale,
+ COUNT_OUT => downscale_cntr,
+ UP_IN => '1',
+ DOWN_IN => '0');
+
+ CLEAR_DOWNSCALE : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ downscale <= '0';
+ elsif downscale_cntr = CTS_CTRL_IN_1(7 downto 0) and CTS_CTRL_IN_1(7 downto 0) /= x"00" then
+ downscale <= '1';
+ else
+ downscale <= '0';
+ end if;
+ end if;
+ end process CLEAR_DOWNSCALE;
+
+ LVL2_BUSY_ALL_OR : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ not_all_lvl2_busy_or <= '0';
+ else
+ not_all_lvl2_busy_or <= not (LVL2_LOCAL_BUSY or LVL2_TRBNET_BUSY);
+ end if;
+ end if;
+ end process;
+
+ THE_LVL2_BUSY_END_PULSER: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_all_lvl2_busy_or,
+ pulse => not_all_lvl2_busy_or_pulse
+ );
+
+ SET_LVL2_BUSY : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or not_all_lvl2_busy_or_pulse = '1' or (lvl2_trigger_out_pulse_synch = '1' and CTS_CTRL_IN_2(31) = '1')then
+ lvl2_cts_busy <= '0';
+-- elsif (lvl1_lvl2_diff_cntr > CTS_CTRL_IN_0(27 downto 20)) and cts_fifo_empty_a = '0' and lvl2_cts_busy = '0' then
+ elsif lvl2_trigger_out_pulse = '1' then
+ lvl2_cts_busy <= '1';
+ else
+ lvl2_cts_busy <= lvl2_cts_busy;
+ end if;
+ end if;
+ end process SET_LVL2_BUSY;
+ LVL2_CTS_BUSY_OUT <= lvl2_cts_busy;
+-- CTS_STASUS_0UT_2 <= lvl1_lvl2_diff_cntr & downscale_cntr(2) & LVL2_LOCAL_BUSY & LVL2_TRBNET_BUSY & lvl2_cts_busy & lvl2_trigger_code & lvl2_trigger_tag;
+ CTS_STASUS_0UT_2 <= cts_fifo_data_cntr_a (7 downto 0) & lvl2_trigger_code_and_tag(11 downto 0) & lvl1_lvl2_diff_cntr & cts_fifo_empty_a & cts_fifo_full_a & cts_fifo_empty_b & cts_fifo_full_b;
+-- lvl2_trigger_code_and_tag <= "00" & x"000" & lvl2_trigger_code & lvl2_trigger_tag;
+lvl2_trigger_code_and_tag <= "00" & x"0" & lvl1_trigger_rnd_code_i & lvl2_trigger_code & lvl1_trigger_cts_tag;
+
+ THE_CTS_FIFO_TRG_CODE_AND_TAG: cts_fifo_1kW
+ port map (
+ clk => CLK,
+ din => lvl2_trigger_code_and_tag,
+ rd_en => lvl2_trigger_out_pulse,
+ rst => RESET,
+ wr_en => lvl1_trigger_pulse,
+ data_count => cts_fifo_data_cntr_a,
+ dout => lvl2_trigger_code_and_tag_out,
+ empty => cts_fifo_empty_a,
+ full => cts_fifo_full_a);
+
+-- lvl2_trigger_rnd_nr <= "00" & x"000000" & lvl1_trigger_rnd_code_i;
+
+-- THE_CTS_FIFO_TRG_RND_NR: cts_fifo_1kW
+-- port map (
+-- clk => CLK,
+-- din => lvl2_trigger_rnd_nr,
+-- rd_en => lvl2_trigger_out_pulse,
+-- rst => RESET,
+-- wr_en => lvl2_trigger,
+-- data_count => cts_fifo_data_cntr_b,
+-- dout => lvl2_trigger_rnd_nr_out,
+-- empty => cts_fifo_empty_b,
+-- full => cts_fifo_full_b);
+
+ THE_LVL1_LVL2_DIFF_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => lvl1_lvl2_diff_cntr,
+ UP_IN => not_all_lvl1_busy_or_pulse,--lvl1_trigger_pulse,
+ DOWN_IN => lvl2_trigger_out_pulse);
+
+ SEND_LVL2_TRIGGER : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl2_trigger_out_i <= '0';
+ elsif (lvl1_lvl2_diff_cntr > CTS_CTRL_IN_0(27 downto 20)) and cts_fifo_empty_a = '0' and lvl2_cts_busy = '0' then
+ lvl2_trigger_out_i <= '1';
+ else
+ lvl2_trigger_out_i <= '0';
+ end if;
+ end if;
+ end process SEND_LVL2_TRIGGER;
+
+ THE_LVL2_TRIGGER_OUT_EDGE_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl2_trigger_out_i,
+ pulse => lvl2_trigger_out_pulse
+ );
+ DELAY_LVL2_TRIGGER_TO_PREPARE_DATA : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ lvl2_trigger_out_pulse_synch <= '0';
+ else
+ lvl2_trigger_out_pulse_synch <= lvl2_trigger_out_pulse;
+ end if;
+ end if;
+ end process DELAY_LVL2_TRIGGER_TO_PREPARE_DATA;
+
+ LVL2_TRIGGER_OUT <= lvl2_trigger_out_pulse_synch and (not CTS_CTRL_IN_2(31));
+ LVL2_TRIGGER_TAG_OUT <= lvl2_trigger_code_and_tag_out(15 downto 0);
+ LVL2_TRIGGER_CODE_OUT <= lvl2_trigger_code_and_tag_out(19 downto 16);
+ LVL2_RND_NUMBER_OUT <= lvl2_trigger_code_and_tag_out(27 downto 20);--lvl2_trigger_rnd_nr_out(7 downto 0);
+
+end cts;
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$711\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:2@E37<9:1:"=?;;079MKVR\3KOH_O31583:42<9<0BB][[:@FGVG:6<3:5=6<;;321644<;;0BB][[:EKB867=87;97><5IORVP?BNJ59:6=0>3:11>JSSX\^1HBO33083:45<;;0DYY^ZT;FLF956294:>6=;:HLSQQ<CAG68?7>113900?OIX\^1hd`33283:42<;=0DYY^ZT;FLQQ:4;3:5=95<4;MVPUSS2me~x1=<:1<25>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294?79H;Cg97ri~38ol:=96"11081<7<0812867?083f?<<NFY__6]\@G^GNTQ_X\AXN_E27:1<11>?=AGZ^X7^]OF]FIUR^W]BYI^FPARQFVQ:?29499675IORVP?VUGNUNA]ZV_UJQAVNXFLMJXH27:1<2`>?=AGZ^X7^]OF]EQIJX\AXN_E27:1<10>?=AGZ^X7^]OF]EQIJX\AXN_EQNSRGQP9>=878?744FNQWW>UTHOVL^@AQ[HSGPLZHBOH^N054?>0g8=?OIX\^1|\7fah_dosp|Ys`{oxd1650?06?<<NFY__6}|`g^gntq\7fX|axn\7feQnsrgqp9>=878>744FNQWW>uthoVof|ywPtipfwmYimnk\7fi1650?3g?<<NFY__6}|`g^dvhiYs`{oxd1650?07?<<NFY__6}|`g^dvhiYs`{oxdRo|sdpw8=<76;>057GAPTV9twi`Wo\7fg`Rzgrdqk[kc`i}o747>1199:>JSSX\^1HLZN_BMVJQ:?294:n675OTVSQQ<CMK_LS_JPPOVQ8=<768h057AZTQWW>ACE]NU\^R^ATS>;>586j231CXZ_UU8Q@ZBF\HUHCX@[<983:4d<13E^X][[:VP\@DRFWJE^BY27:1<2<>?=G\^[YY4kauc\gjsi|521<3<<;88LQQVR\3nnnxiPre]sjqtXxe\7fx=R|38;2=5a=>2F__\XZ5dd`vcZtcWyd\7f~R|38;2=5a=>2F__\XZ5dd`vcZtcWyd\7f~Ry38;2=66=>2F__\XZ5dd`vcZquWyd\7f~R~cur3\s9>=8788744@UURVP?bbj|mT{\7fQ\7fnup\tist:V}747>11e9:>JSSX\^1hhlzg^uq[uhszVx747>11e9:>JSSX\^1hhlzg^uq[uhszV}747>11c9:>JSSX\^1~iQkauc\gjsi|521<3?m;88LQQVR\3}ySio{a^alqkr;03:5>6O98:CG@WG;8730MIJ]A=33:<=FLMXJ0<?19:CG@WG;9;427LJKR@>27;d<IMNYM1?;:1<:?DBCZH6:8364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<:?DBCZK6:?3l4AEFQF97329427LJKRC>20;><IMNYN1?18:CG@WD;:720MIJ]B=1=<>GCL[H78364AEFQF93902KOH_L36?:8EABUJ5=546OKDS@?<;><IMNYN1712:CFg>GKEFY_SHC_TX`8EIKH[]UMYAB6;@QZJFYUMN<0NLMDUQf8FDEL]YUFM_EJR29AQ7><J\YTECH@1:A1?F2f3JKXOLJJIOCb?FGTKHNNECLm;BCPGDBBG\^Jn6MNSBCGAJSSJ;1HI?5LI29@HN2<KEAJ86MCKC18GIT>3JEFADZ[EE58GWCF\LN:7Ij4D@VB[FIRF]6;2i5KAUC\GJSI\5;5h6JNT@]@KPHS4;4o7IO[A^ALQKR;;7n0HLZN_BMVJQ:36m1OMYOPCNWMP939l2NJXLQLOTLW838c3MK_MRM@UOV?3;`<LH^JSNAZNU>;>58c3MK_MRM@UOV?<;`<LLH^KR\NM^GNTQ_b3MOIYJQ]AL]EQIJa3MOIYJQ]AL]Q@ZCIn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f3ME^X1=<:1<;?AIR\5982:5KOTV?7;1<LF__0908;EMVP939?2NDYY29>69GKPR;?7=0HB[[<9<4?AIR\53596KBPU[0?@HF;2OEN95I89:6?CGK[L>0JIMJ3:DGT1=ALYO?7K[CL49Eeiub92M87J@K1:K1?L653@;97D<=;H1;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[?=;HLUAWRXKEATHBOPRDE26>OI^LX_SNBD_EMA[WC@;2CDN?5CI39OK3=KGHNNH:5COFK@EI2<D\^:86BZT348HPR5WE>0@XZ<6:NVP6YK<2F^X9;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n29M552<F8:886@>0568J462<2D:<;:4N0240>H681>0B<>63:L251=I98:?7C?>159M54433G;:?95A1067?K76==1E=<8;;O3231=I982?7C?>929M572<F88;86@>2068J445<2D:>>:4N0070>H6:<>0B<<94:L2622<F88386@>2818J4533G;8<95A1237?K74:=1E=>=;;O3001=I9:??7C?<659M56133G;8495A12;0?K73<2D:8=:4N0620>H6<;>0B<:<4:L2012<F8>>86@>4768J420;2D:9>5A1718J4143G;3?6@>939M66=I:990B??<;O017>H5;:1E>9=4N370?K41;2D9;>5A2918J7?43G9;?6@<129M775<F:987C=;3:L016=I;?90B>9<;O1;6>H2:2D=>6@83:L447=I0;1E5>5A9518J<043G32j6@M_CWPTLHXX[E[_:5AEUULVN2<FFDN=6A=;NI;?JPBZOFD[<5_4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K<;QPFe>VUGNUNA]ZV159SVJAXMDZ_URZGRDQK8586<2ZYCJQJMQVZ[QNUMZB7=3?;;QPLCZCJX]STXE\JSI>1:42<X[ELSHC_TX]WLWCT@595=95_RNE\AHVSQV^C^H]G<5<20>VUGNUNA]ZV_UJQAVN;=7;?7]\@G^GNTQ_X\AXN_E29>068TWI@WLG[XTQ[HSGPL9199?1[^BIPELRW]ZROZLYC054?>068TWI@WLG[XTQ[HSGPL9>99k1[^BIPELRW]ZROZLYCSL]\ESV?4;7e3YXDKRKBPU[\PMTB[AUJ_^K]T=3=5g=WZFMTI@^[Y^VKV@UOWHYXI_Z32?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1=11c9SVJAXMDZ_URZGRDQK[DUTM[^783?m;QPLCZCJX]STXE\JSI]BWVCU\5?5=o5_RNE\AHVSQV^C^H]G_@QPAWR;>7;i7]\@G^GNTQ_X\AXN_EQNSRGQP9199m1[^BIPELRW]ZROZLYCSL]\ESV?<?699k1[^BIPELRW]ZROZLYCSL]\ESV?<;7e3YXDKRKBPU[\PMTB[AUEIJO[E=2=5g=WZFMTI@^[Y^VKV@UOWGOLMYK31?3a?UTHOVOF\YWPTIPFWMYIMNK_I1<11c9SVJAXMDZ_URZGRDQK[KC@I]O7?3?m;QPLCZCJX]STXE\JSI]MABGSM5>5=o5_RNE\AHVSQV^C^H]G_OGDEQC;=7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9099k1[^BIPELRW]ZROZLYCSCKHAUG?3;7c3YXDKRKBPU[\PMTB[AUEIJO[E=:94;7e3YXDKRKBPU[\PMTB[AUEIJO[E=:==>VUGNUMYAB>3:RQKBYA]EFTXE\JSI>3:45<X[ELSK[CL^VKV@UO484:?6^]OF]EQIJX\AXN_E2=>018TWI@WO_G@RZGRDQK8686;2ZYCJQIUMN\PMTB[A6?2<=4PSMD[CSKDV^C^H]G<4<27>VUGNUMYABPTIPFWM:16890\_AH_GWOHZROZLYC0:0>5:RQKBYA]EFTXE\JSI>;>586;2ZYCJQIUMN\PMTB[A632<o4PSMD[CSKDV^C^H]G_@QPAWR;87;j7]\@G^DVHIYS@[OXDRO\SDPW8486i2ZYCJQIUMN\PMTB[AUJ_^K]T=0=5d=WZFMTJXBC_UJQAVNXIZYN^Y2<>0c8TWI@WO_G@RZGRDQK[DUTM[^783?n;QPLCZ@RDEU_D_K\H^CPW@TS4<4:m6^]OF]EQIJX\AXN_EQNSRGQP9099h1[^BIPFTNO[QNUMZBTM^]JRU>4:4e<X[ELSK[CL^VKV@UOWHYXI_Z38;2=5d=WZFMTJXBC_UJQAVNXIZYN^Y27>0c8TWI@WO_G@RZGRDQK[KC@I]O7<3?n;QPLCZ@RDEU_D_K\H^LFCDRB484:m6^]OF]EQIJX\AXN_EQAEFCWA9499h1[^BIPFTNO[QNUMZBTBHINTD>0:4g<X[ELSK[CL^VKV@UOWGOLMYK34?3b?UTHOVL^@AQ[HSGPLZHBOH^N080>a:RQKBYA]EFTXE\JSI]MABGSM5<5=l5_RNE\BPJKW]BYI^FPNDEBP@:068i0\_AH_GWOHZROZLYCSCKHAUG?<?699h1[^BIPFTNO[QNUMZBTBHINTD>;:4=V>2XJAO?9f:PBIZC_\LDXEB@PAg9QEHYBP]OE_DAA_C48VAYDDB;;7_JPD@VB[FIRF]6;2<>4RE]GEQGXKF_EX1?1119Q@ZBF\HUHCX@[<3<24>TCWMK_MRM@UOV?7;773[NTHLZN_BMVJQ:368:0^IQKAUC\GJSI\5?5==5]D^FBPDYDG\D_0;0>0:PG[AGSIVIDYCZ37?31?WBXLH^JSNAZNU>;>58682XOSIO[A^ALQKR;07?0^IQJN79Q@ZTT\j1YILJPWHFWLZGd3[OJHRYFDUJ\F3=UMNINM;5]EFAFF6=U[];0_55\AWGGEQG6;2YBARM@LMKPMJHXKAOHG;5\OTP@A1=TZZ^<7^\YAM]B3>UU^HFTN95\SSC7?VUUJ=1_U]Kl;T2,c`hX{z$x\7f45ZNEGQBIIPi2_XI_QNLHCPg>STM[U]E^GMLD78RDJNL:1]ON74VHGT[Q_WM?1\IL2?>79TAD:66?1\IL2=>99TAD:4294=7ZKN<2<5?RCE494=7ZKM<0<5?RCE4;437ZKM<283:3=PMK682o5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[Gb<_[C_IRYFDUJ\Ea=PZ@^NSZGKTI]A2>QUWHI@:6Y]_BNH55=PZVNJXLQLOTLW858682]YSIO[A^ALQKR;97;;7Z\PD@VB[FIRF]692<>4WS]GEQGXKF_EX1=1119TVZBF\HUHCX@[<5<24>QUWMK_MRM@UOV?1;773^XTHLZN_BMVJQ:168:0[_QKAUC\GJSI\5=5=?5XR^FBPDYDG\D_054?>028SWYCI]KTOB[AT=:=1>QUWLD=7Z\PRRV2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO028\ZEHZLUBBKAPLHQW2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oy\7fdaa119[`hYJageyZh||inl`?djjgz~Ti`~{yc9bhhit|Vl~`a84b`ahqub<jhi`y}Qbasifv6=ddb<;7n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex;?4covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{1738gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw637<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs;?;0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f8;?4covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{5738gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw237<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs??;0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f4;84covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;0>3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0=0Pru45?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484=56matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=[wr1>2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1689`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56Vx\7f:;5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:3?<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?3Q}t748gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7<27n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<\vq013jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir08099:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939W{~=:6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=2<=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2R|{679`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06?30oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?]qp31<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0=097:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:66?=0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<3<53>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{682;94covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8181?2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2:>758gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4?4=;6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:31<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0509a:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?6Vx\7f9n5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-PVVRXag|>n6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX8<h0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ72j2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\60d<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^16f>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP44`8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR;:b:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T:8l4covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V=>n6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?<0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu44?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:::5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp7003jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz<669`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|=<<7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov622=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex;88;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr0>>1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at94`?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>7d8gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1>1_sv5g>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?4e?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>>^pw2f=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<5b>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp3e<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=2c=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq0d3jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:3`<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=[wr1k2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;0a3jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>6:Zts>j1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8381n2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|?i0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919>o1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;87<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;<7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;>7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07=;7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07Uyx;?4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP0738gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\537<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX:?;0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T?;?4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP4738gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\137<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX>?;0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T;;?4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP8728gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw24=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr6>81hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~9:<5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz<609`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov724=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr2>81hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~=:<5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz8609`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov;23=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2;74covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;Yu|?<0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?4:?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y89;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az878112iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv52>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595:45lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts>?1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>7;8gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx;84covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;0>3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru45?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4?4=56matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr1>2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191689`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06Vx\7f::5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?4;003jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?1669`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7<<7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=1=22=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx78388;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv939>>1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?44?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5=5::5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?<;0f3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~161_sv6f>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP04`8gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?:b:ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T>8l4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V9>n6matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<<h0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ32j2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\20d<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^56f>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP8748gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}<<7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov222=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex?88;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr4>>1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at544?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~>::5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp3003jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz8669`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|1<h7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:76?l0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}969W{~=o6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97<m7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f:n5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4;4=j6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7Uyx;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4T~y8l;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2;h4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz9c:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7938i;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{6b9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0;09f:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t7a8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1916g9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:0Pru4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|30?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|31?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|32?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|33?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|34?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|35?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?4g?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|38?53?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|38?]qp37<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX8?;0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP2738gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\737<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<?;0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T9;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP6738gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\337<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?<0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu44?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:::5lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp7003jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz<669`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|=<<7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov622=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex;88;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr0>>1hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at94`?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>7d8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1>1_sv5g>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?4e?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>>^pw2f=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<5b>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp3e<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=2c=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq0d3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:3`<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=[wr1k2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;0a3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>6:Zts>j1hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8381n2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|?i0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919>o1hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;87<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;<7<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;>7<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07=;7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07Uyx;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP0738gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\537<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX:?;0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T?;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP4738gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\137<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX>?;0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T;;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP899`pvYaaoe:h6m{s^djbj)sey%Toj!ltr]emci)fne9>6m{s^djbjYaaoeTkh`jr`vlvZp4W:Us\7fyQ>d:fbpdYdg|d\7f$='k;ecweZeh}g~#=$j4d`vb[firf}"9%i5kauc\gjsi|!9"h6jnt`]`kphs =#o7io{a^alqkr/= n0hlzn_bmvjq.1!m1omyoPcnwmp-1.l2njxlQlotlw,=/c3mk\7fmRm`uov?4;b<lh~jSnaznu>2:a=ci}kTob{at=0=`>bf|hUhcx`{<2<g?agsiVidycz34?f8`drfWje~by2:>e9geqgXkf\7fex181d:fbpdYdg|d\7f0:0i;ecweZeh}g~747>1d:fbpdYdg|d\7f0508;e`jp`tu911oio{h_sf\tkruWyf~\7f<Q}<1<2<>bbj|mT~iQ\7fnup\tist9Vx7=3?7;egaqbYulVzex\7fQ\7fltq2[w:56820hhlzg^pg[uhszVzgy~?Pr=1=5==cmk\7flS\7fjPpovq[ujr{8Uy090>8:fffpaXzmU{by|Ppmwp5Zt;=7;37ikmuf]q`Zvi|{U{`x}>_s>5:4><llh~kR|k_qlwvZvk}z;T~1911`9gags`W{nT|cz}_qnvw4Yu410;2<64dd`vcZtcWyd\7f~R~cur3\v9>99;1oio{h_sf\tkruW{6;2<<4dd`vcZtcWyd\7f~R|31?31?ace}nUyhR~ats]q8786:2nnnxiPre]sjqtXz595=?5kecwd[wbXxg~yS\7f2;>008``droVxoS}`{r^p?1;753moiyjQ}d^rmpwYu4?4:>6jjbte\vaYwf}xT~191159gags`W{nT|cz}_s>;>586:2nnnxiPre]sjqtXz525=?5kecwd[wbXxg~ySz2?>008``droVxoS}`{r^u?5;753moiyjQ}d^rmpwYp4;4:>6jjbte\vaYwf}xT{1=1139gags`W{nT|cz}_v>7:44<llh~kR|k_qlwvZq;=7;97ikmuf]q`Zvi|{U|0;0>2:fffpaXzmU{by|Pw=5=51=cmk\7flS\7fjPpovq[r:?294:>6jjbte\vaYwf}xT{161199gags`W~xT|cz}_qnvw4Yp494:46jjbte\swYwf}xT|a{|1^u?5;7?3moiyjQxr^rmpwYwd|y:Sz2=>0:8``droV}yS}`{r^roqv7X\7f595=55kecwd[rtXxg~yS}bzs0]t818602nnnxiPws]sjqtXxe\7fx=Ry35?3;?ace}nU|~R~ats]shpu6W~6=2<64dd`vcZquWyd\7f~R~cur3\s9199h1oio{h_vp\tkruWyf~\7f<Qx<983:4><llh~kRy}_qlwvZvk}z;T{161199gags`W~xT|cz}_qnvw7Yp494:46jjbte\swYwf}xT|a{|2^u?5;7?3moiyjQxr^rmpwYwd|y9Sz2=>0:8``droV}yS}`{r^roqv4X\7f595=55kecwd[rtXxg~yS}bzs3]t818602nnnxiPws]sjqtXxe\7fx>Ry35?3;?ace}nU|~R~ats]shpu5W~6=2<64dd`vcZquWyd\7f~R~cur0\s9199h1oio{h_vp\tkruWyf~\7f?Qx<983:4><llh~kRy}_qlwvZvk}z8T{161139gags`W~xT|cz}_s>3:44<llh~kRy}_qlwvZt;97;97ikmuf]tvZvi|{Uy0?0>2:fffpaX\7f{U{by|Pr=1=57=cmk\7flSz|Ppovq[w:36880hhlzg^uq[uhszVx793?=;egaqbYpzVzex\7fQ}<7<26>bbj|mT{\7fQ\7fnup\v9199=1oio{h_vp\tkruW{636=0>2:fffpaX\7f{U{by|Pr=:=57=cmk\7flSz|Ppovq[r:76880hhlzg^uq[uhszV}7=3?=;egaqbYpzVzex\7fQx<3<26>bbj|mT{\7fQ\7fnup\s9599;1oio{h_vp\tkruW~6?2<<4dd`vcZquWyd\7f~Ry35?31?ace}nU|~R~ats]t8386:2nnnxiPws]sjqtX\7f5=5=95kecwd[rtXxg~ySz27:1<26>bbj|mT{\7fQ\7fnup\s9>9>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$94dhl+77/03mce$>=&6:fjj-2.>2nbb%;&6:fjj-0.>2nbb%9&6:fjj->.>2nbb%7&6:fjj969?2nbb1??>69gmk:697=0hd`313<4?aoi4895;6jfn=37:2=cag6:9394dhl?53803mce0<917:fjj97?6>1oec2>9?48`lh;97=0hd`321<4?aoi4;;5;6jfn=01:2=cag69?394dhl?61803mce0?;17:fjj9416>1oec2=7?58`lh;:14<7iga<3;=2>bnf585;6jfn=13:2=cag68=394dhl?778>3mce0>=50?58`lh;;:4=7iga<2<5?aoi4=4=7iga<4<5?aoi4?4=7iga<6<5?aoi414=7iga<8<4?air|!:";6j`uu*2-==cg|~#=='7;emvp-76!11ocxz'13+;?air|!;8%55kotv+51/?3me~x%?:)99gkpr/9?#37iazt)34-==cg|~#=5'7;emvp-7>!>1ocxz'2(:8`jss ;:"46j`uu*15,><lf\7f\7f$?<&8:flqq.5; 20hb{{(36*<>bh}}"99$64dnww,70.02ndyy&=7(:8`jss ;2"46j`uu*1=,1<lf\7f\7f$>'7;emvp-57!11ocxz'30+;?air|!99%55kotv+76/03me~x%:&7:flqq.2!>1ocxz'6(58`jss >#<7iazt):*3>bh}}"2%:5kotv?4;><lf\7f\7f0<>18:flqq:69720hb{{<00=<>bh}}6:?364dnww842902ndyy2>5?:8`jss48<546j`uu>23;><lf\7f\7f0<618:flqq:617=0hb{{<0<;?air|58;255kotv?648?3me~x1<=>99gkpr;::437iazt=07:==cg|~7>807;emvp941611ocxz326<;?air|583255kotv?6<803me~x1<18:flqq:48720hb{{<23=<>bh}}68>3o4dnww865=8720hb{{<21=3>bh}}682:5kotv?0;1<lf\7f\7f0808;emvp909?2ndyy28>69gkpr;07=0hb{{<8<;?`bnn;dlh85jmqvz64=aaoeTkh`jr`vlvZp4W:&+Ob|jgdl#=+4n9?1mekaPgdlfvdrhzV|8S>Q|s0c8bidkc&Gsc\7fQkcov\akYUmz\7fgx<j4fm`oo*bdf}Unb<o4fm`oo*ack'nh#@m`uov\gjsi|8;j7kbmlj-d`f(ck&Ghcx`{_bmvjq46i2lgnae gea-`f)Jkf\7fexRm`uov05d=adkf`#jjl.ea,Ifirf}Uhcx`{40c8bidkc&moo#jl/LalqkrXkf\7fex8?n;gnahn)`lj$oo"Clotlw[firf}<:m6hcbmi,cae)lj%Fob{at^alqkr09h1m`obd/ff`*ae(Eje~byQlotlw<4g<nehgg"ikc/f`+Heh}g~Tob{at83e?cjedb%lhn kc.O`kphsWje~byQly=2=5c=adkf`#jjl.ea,Ifirf}Uhcx`{_b{?5;7a3ofi`f!hdb,gg*Kdg|d\7fSnaznu]`}9499o1m`obd/ff`*ae(Eje~byQlotlw[f\7f;;7;m7kbmlj-d`f(ck&Ghcx`{_bmvjqYdq5>5=k5ilcnh+bbd&mi$Anaznu]`kphsWjs793?i;gnahn)`lj$oo"Clotlw[firf}Uhu1811g9ehgjl'nnh"im MbmvjqYdg|d\7fSnw37?03?cjedb%lhn kc.O`kphsWje~byQcuu>3:76<nehgg"ikc/f`+Heh}g~Tob{at^nvp979:91m`obd/ff`*ae(Eje~byQlotlw[iss4;49<6hcbmi,cae)lj%Fob{at^alqkrXd|~7?3<?;gnahn)`lj$oo"Clotlw[firf}Ugyy2;>328bidkc&moo#jl/LalqkrXkf\7fexRbzt=7=65=adkf`#jjl.ea,Ifirf}Uhcx`{_mww838582lgnae gea-`f)Jkf\7fexRm`uov\hpr;?78;7kbmlj-d`f(ck&Ghcx`{_bmvjqYk}}632?>4fm`oo*ack'nh#@m`uov\gjsi|Vrd~1>1219ehgjl'nnh"im MbmvjqYdg|d\7fSua}<0<14>`kjea$kim!db-Ngjsi|VidyczPxnp?6;473ofi`f!hdb,gg*Kdg|d\7fSnaznu]{kw:46;:0jalck.egg+bd'DidyczPcnwmpZ~hz5>5>=5ilcnh+bbd&mi$Anaznu]`kphsWqey080=0:dofim(omi%hn!BcnwmpZeh}g~Ttb|36?03?cjedb%lhn kc.O`kphsWje~byQwos>4:76<nehgg"ikc/f`+Heh}g~Tob{at^zlv9>9991m`obd/ff`*ae(F8:m7kbmlj-d`f(ck&D9j6hcbmi,cae)lj%E?k5ilcnh+bbd&mi$B9h4fm`oo*ack'nh#C;i;gnahn)`lj$oo"@9f:dofim(omi%hn!A7g9ehgjl'nnh"im N9d8bidkc&moo#jl/O;20>`kjea$kim!db-`kphsW9;?7kbmlj-d`f(ck&idyczP1068bidkc&moo#jl/bmvjqY59=1m`obd/ff`*ae(kf\7fexR=>4:dofim(omi%hn!lotlw[1733ofi`f!hdb,gg*eh}g~T9<:4fm`oo*ack'nh#naznu]551=adkf`#jjl.ea,gjsi|V=:86hcbmi,cae)lj%hcx`{_931?cjedb%ym`Q}d^gm[l76:2lgnae r`o\swYbfVc:>>5ilcnh+qnuefn$mhb`gha,g1)di{xrbhz30?00?cjedb%\7fd\7fc`d.cfhjank&i?#no}rxlfp979::1m`obd/ujqijb(ilfdkdm c5-`ewt~fl~7>3<<;gnahn)s`{gdh"ojlnejg*e3'jky~t`jt=1=71=adkf`#yf}mnf,e`jho`i$o9!jqbcpa+dno'gg|V>R.gqw*h`nzz~8=6hcbmi,pmtjgm%jiaahib-`0*cvkhyn"ogh.lns_4[)e`n%anw<1:dofim(|axfci!nemmdmf)d<&ozol}j.ckd*hjwS;W%adj!mb{05>`kjea$xe|boe-baii`aj%h8"k~c`qf*go`&df{W>S!mhf-if\7f492lgnae tipnka)fmeelen!l4.grgdub&kcl"`b\7f[5_-ilb)ejs:h6hcbmi,pmtjgm%jiaahib-`0*p6494:h6hcbmi,pmtjgm%jiaahib-`0*p6484:h6hcbmi,pmtjgm%jiaahib-`0*p64;4:h6hcbmi,pmtjgm%jiaahib-`0*p64:4:h6hcbmi,pmtjgm%jiaahib-`0*p64=4996hcbmi,pmtjgm%jiaahib-`0*p6W9Ujbi>?01311>`kjea$xe|boe-baii`aj%h8"x>_0]bja6789;996hcbmi,pmtjgm%jiaahib-`0*p6W;Ujbi>?01311>`kjea$xe|boe-baii`aj%h8"x>_2]bja6789;996hcbmi,pmtjgm%jiaahib-`0*p6W=Uecy>?01317>`kjea$xe|boe-baii`aj%h9"mnrs{maq:76;90jalck.vkvhic'hogcjgl/b7,gdtuqgo\7f0<0=3:dofim(|axfci!nemmdmf)d=&ij~\7fwaeu>1:75<nehgg"zgrlmg+dckgnch#n; c`pq}kcs4:4886hcbmi,pmtjgm%jiaahib-`1*cvkhyn"ogh.lns_5[)nz~%akg}su12?cjedb%\7fd\7fc`d.cfhjank&i>#h\7flarg-fla)eezP=P bie,ng|563ofi`f!{hsol`*gbdfmbo"m:/ds`evc)j`m%aa~T2\,nma(jkp9:7kbmlj-wlwkhl&kn`bifc.a6+`wdizo%ndi!mmrX7X(jam$fot=>;gnahn)s`{gdh"ojlnejg*e2'l{hm~k!bhe-iiv\<T$fei bcx3g?cjedb%\7fd\7fc`d.cfhjank&i>#{?30?3g?cjedb%\7fd\7fc`d.cfhjank&i>#{?31?3g?cjedb%\7fd\7fc`d.cfhjank&i>#{?32?3g?cjedb%\7fd\7fc`d.cfhjank&i>#{?33?3g?cjedb%\7fd\7fc`d.cfhjank&i>#{?34?06?cjedb%\7fd\7fc`d.cfhjank&i>#{?P0^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X9Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P2^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X;Vkeh=>?000a?cjedb%\7fd\7fc`d.cfhjank&i>#{?P4^llp56788UBB[Q?229ehgjl'}byabj agnlcle(k=%hm\7f|vndv?4;443ofi`f!{hsol`*gadfmbo"m;/bcqv|hb|5;5>>5ilcnh+qnuefn$mkb`gha,g1)di{xrbhz32?00?cjedb%\7fd\7fc`d.cehjank&i?#no}rxlfp959;=1m`obd/ujqijb(iofdkdm c5-fufgtm'hbk#ccpZ2^*cus&dlb~~z<1:dofim(|axfci!nfmmdmf)d<&ozol}j.ckd*hjwS8W%adj!mb{05>`kjea$xe|boe-bbii`aj%h8"k~c`qf*go`&df{W?S!mhf-if\7f492lgnae tipnka)fneelen!l4.grgdub&kcl"`b\7f[2_-ilb)ejs8=6hcbmi,pmtjgm%jjaahib-`0*cvkhyn"ogh.lns_1[)e`n%anw>d:dofim(|axfci!nfmmdmf)d<&|:0=0>d:dofim(|axfci!nfmmdmf)d<&|:0<0>d:dofim(|axfci!nfmmdmf)d<&|:0?0>d:dofim(|axfci!nfmmdmf)d<&|:0>0>d:dofim(|axfci!nfmmdmf)d<&|:090=5:dofim(|axfci!nfmmdmf)d<&|:S=Qnne234575=2lgnae tipnka)fneelen!l4.t2[4Yffm:;<=?=5:dofim(|axfci!nfmmdmf)d<&|:S?Qnne234575=2lgnae tipnka)fneelen!l4.t2[6Yffm:;<=?=5:dofim(|axfci!nfmmdmf)d<&|:S9Qaou234575;2lgnae tipnka)fneelen!l5.abvw\7fim}6;2?=4fm`oo*rozdeo#lhcofk`+f3(khxyuck{<0<17>`kjea$xe|boe-bbii`aj%h9"mnrs{maq:56;90jalck.vkvhic'hlgcjgl/b7,gdtuqgo\7f0>0<4:dofim(|axfci!nfmmdmf)d=&ozol}j.ckd*hjwS9W%j~z!mgkqwq563ofi`f!{hsol`*gadfmbo"m:/ds`evc)j`m%aa~T1\,nma(jkp9:7kbmlj-wlwkhl&km`bifc.a6+`wdizo%ndi!mmrX6X(jam$fot=>;gnahn)s`{gdh"oilnejg*e2'l{hm~k!bhe-iiv\;T$fei bcx12?cjedb%\7fd\7fc`d.cehjank&i>#h\7flarg-fla)eezP8P bie,ng|7c3ofi`f!{hsol`*gadfmbo"m:/w3?4;7c3ofi`f!{hsol`*gadfmbo"m:/w3?5;7c3ofi`f!{hsol`*gadfmbo"m:/w3?6;7c3ofi`f!{hsol`*gadfmbo"m:/w3?7;7c3ofi`f!{hsol`*gadfmbo"m:/w3?0;423ofi`f!{hsol`*gadfmbo"m:/w3\4Zgil9:;<<<:;gnahn)s`{gdh"oilnejg*e2'\7f;T=Road12344423ofi`f!{hsol`*gadfmbo"m:/w3\6Zgil9:;<<<:;gnahn)s`{gdh"oilnejg*e2'\7f;T?Road12344423ofi`f!{hsol`*gadfmbo"m:/w3\0Zhh|9:;<<<?;gnahn)s`{gdh"kcofk`+@KW\PUECC\JG308bidkc&~c~`ak/dnlcle(MDZ_UR@@NSGD[l4f3ofi`f!{hsol`*ckgnch#HC_TX]MKKTBOVcT_Z>PSV22b>`kjea$xe|boe-fhjank&XJARKBPU[\m74<nehgg"zgrlmg+`jho`i$o9!laspzj`r;87897kbmlj-wlwkhl&ogcjgl/b6,gdtuqgo\7f0<0=2:dofim(|axfci!jlnejg*e3'jky~t`jt=0=67=adkf`#yf}mnf,aii`aj%h8"mnrs{maq:46:90jalck.vkvhic'lfdkdm c5-fufgtm'hbk#ccpZ2^*cus&dlb~~z<0:dofim(|axfci!jlnejg*e3'l{hm~k!bhe-iiv\9T$fei bcx13?cjedb%\7fd\7fc`d.gokbod'j>$i|mnsd,amb(jdyQ9Q#cfd/o`}66<nehgg"zgrlmg+`jho`i$o9!jqbcpa+dno'gg|V=R.lkg*he~;91m`obd/ujqijb(meelen!l4.grgdub&kcl"`b\7f[5_-ilb)ejs:o6hcbmi,pmtjgm%n`bifc.a7+s7;87;h7kbmlj-wlwkhl&ogcjgl/b6,r4:668i0jalck.vkvhic'lfdkdm c5-u59499j1m`obd/ujqijb(meelen!l4.t28686k2lgnae tipnka)bdfmbo"m;/w3?0;433ofi`f!{hsol`*ckgnch#n: v0]3[dhc89:;=?:4fm`oo*rozdeo#hb`gha,g1)q9V;Tmcj?012261=adkf`#yf}mnf,aii`aj%h8"x>_3]bja6789;986hcbmi,pmtjgm%n`bifc.a7+s7X;Vkeh=>?0007?cjedb%\7fd\7fc`d.gokbod'j>$z<Q;_omw45679;80jalck.vkvhic'lfdkdm c4-`ewt~fl~7<3<=;gnahn)s`{gdh"kcofk`+f3(khxyuck{<0<16>`kjea$xe|boe-fhjank&i>#no}rxlfp949:;1m`obd/ujqijb(meelen!l5.abvw\7fim}682>=4fm`oo*rozdeo#hb`gha,g0)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv04>`kjea$xe|boe-fhjank&i>#h\7flarg-fla)eezP=P bie,ng|573ofi`f!{hsol`*ckgnch#n; epabw`(ean$f`}U=]/oj`+kdq::0jalck.vkvhic'lfdkdm c4-fufgtm'hbk#ccpZ1^*hoc&dir?=5ilcnh+qnuefn$iaahib-`1*cvkhyn"ogh.lns_1[)e`n%anw>c:dofim(|axfci!jlnejg*e2'\7f;7<3?l;gnahn)s`{gdh"kcofk`+f3(~86:2<m4fm`oo*rozdeo#hb`gha,g0)q9585=n5ilcnh+qnuefn$iaahib-`1*p64:4:o6hcbmi,pmtjgm%n`bifc.a6+s7;<78?7kbmlj-wlwkhl&ogcjgl/b7,r4Y7Whdo<=>?1368bidkc&~c~`ak/dnlcle(k<%}=R?Paof34566:=1m`obd/ujqijb(meelen!l5.t2[7Yffm:;<=?=4:dofim(|axfci!jlnejg*e2'\7f;T?Road12344433ofi`f!{hsol`*ckgnch#n; v0]7[kis89:;=<m4fm`oo*rozdeo#hb`gha,gjkw9f\7f\7f=n5ilcnh+qnuefn$iaahib-`khv5g|~:j6hcbmi,pmtjgm%m`bifc.DVHIYIGGXNK?=4fm`oo*rozdeo#kb`gha,BPJKWGEE^HIPi0716>`kjea$xe|boe-ehjank&L^@AQAOOPFCZo5::1m`obd/ujqijb(neelen!IUMN\JJHUMNUb>9<=;gnahn)s`{gdh"hcofk`+CSKDVDDB_KH_h;12>`kjea$xe|boe-ehjank&L^@AQAOOPFCZoXehz:>;5ilcnh+qnuefn$jaahib-EQIJXFFDYIJQf_lcs170<nehgg"zgrlmg+cjho`i$JXBC_OMMV@AXaVgj|5?j;gnahn)s`{gdh"hcofk`+WGJWO_G@Rg=5:dofim(|axfci!ilnejg*ehey;$ol|}yogw8585=2lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f0<0=5:dofim(|axfci!ilnejg*ehey;$ol|}yogw8785=2lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f0>0<6:dofim(|axfci!ilnejg*ehey;$i|mnsd,amb(jdyQ;Q#h|t/oemwus;:1m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX5X(jam$fot=<;gnahn)s`{gdh"hcofk`+fijx8%n}no|e/`jc+kkxR8V"`gk.laz76=adkf`#yf}mnf,bii`aj%hc`~>/ds`evc)j`m%aa~T3\,nma(jkp987kbmlj-wlwkhl&lgcjgl/bmnt4)byjkxi#lfg/oot^2Z&dco"`mv1g9ehgjl'}byabj fmmdmf)dgdz:#{?30?3e?cjedb%\7fd\7fc`d.dokbod'jef|<!y1=3=5c=adkf`#yf}mnf,bii`aj%hc`~>/w3?6;7a3ofi`f!{hsol`*`kgnch#nabp0-u59599o1m`obd/ujqijb(neelen!lolr2+s7;<78<7kbmlj-wlwkhl&lgcjgl/bmnt4)q9V:Tmcj?012262=adkf`#yf}mnf,bii`aj%hc`~>/w3\5Zgil9:;<<<8;gnahn)s`{gdh"hcofk`+fijx8%}=R<Paof34566:>1m`obd/ujqijb(neelen!lolr2+s7X;Vkeh=>?0004?cjedb%\7fd\7fc`d.dokbod'jef|<!y1^6\jjr789::>85ilcnh+qnuefn$jaahib-`khv5'jky~t`jt=2=60=adkf`#yf}mnf,bii`aj%hc`~=/bcqv|hb|5;5>85ilcnh+qnuefn$jaahib-`khv5'jky~t`jt=0=60=adkf`#yf}mnf,bii`aj%hc`~=/bcqv|hb|595?;5ilcnh+qnuefn$jaahib-`khv5'l{hm~k!bhe-iiv\8T$m\7fy bfhppp65<nehgg"zgrlmg+cjho`i$obc\7f2.grgdub&kcl"`b\7f[0_-ilb)ejs8?6hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]5U'gbh#cly218bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W>S!mhf-if\7f4;2lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY7Y+knl'ghu<h4fm`oo*rozdeo#kb`gha,gjkw:&|:0=0>f:dofim(|axfci!ilnejg*ehey8$z<2>>0d8bidkc&~c~`ak/gnlcle(kfg{>"x><3<2b>`kjea$xe|boe-ehjank&ida}< v0>0:4`<nehgg"zgrlmg+cjho`i$obc\7f2.t28185?2lgnae tipnka)adfmbo"m`mq0,r4Y7Whdo<=>?1358bidkc&~c~`ak/gnlcle(kfg{>"x>_0]bja6789;9;6hcbmi,pmtjgm%m`bifc.aliu4(~8U9Sl`k0123571<nehgg"zgrlmg+cjho`i$obc\7f2.t2[6Yffm:;<=?=7:dofim(|axfci!ilnejg*ehey8$z<Q;_omw456798o0jalck.vkvhic'ofdkdm cnos[cskd8;n7kbmlj-wlwkhl&lgcjgl/bmntZ`rde8:o6hcbmi,pmtjgm%m`bifc.uq[wusWx>0jxbc119jjgjl'{nT~~zPiov24>oijea$~iQ}su]qab773`di`f!xr^pppZoi|8:0eclck.uq[wusW{ol:<5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W=S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_159nahdkc&~f|R|nm^pg[`h6=2gnaobd/uos[wgjW{nTic?7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|\7fah_dosp|Ys`{oxd%8&159svjaXmdz\7fuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi>3:42<x{elShc\7ftx]wlwct`5;5=95\7frne\ahvsqV~c~h}g<3<20>vugnUna}zv_ujqavn;;7;?7}|`g^gntq\7fX|axn\7fe2;>068twi`Wlg{xtQ{hsgpl9399=1{~biPelrw}Zrozlyc0;0>4:rqkbYbey~rSyf}erj?3;713yxdkRkbpu{\pmtb{a636=0>4:rqkbYbey~rSyf}erj?<;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)2*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'1(3a?uthoVof|ywPtipfwmYf{zoyx%<&1c9svjaXmdz\7fuRzgrdqk[dutm{~#?$?m;qplcZcjx}sTxe|jsi]bwvcu|!>"=o5\7frne\ahvsqV~c~h}g_`qpawr/= ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-0.9k1{~biPelrw}ZrozlycSl}|esv+3,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t):*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz30?3a?uthoVof|ywPtipfwmYf{zoyx1?11c9svjaXmdz\7fuRzgrdqk[dutm{~7>3?m;qplcZcjx}sTxe|jsi]bwvcu|595=o5\7frne\ahvsqV~c~h}g_`qpawr;<7;i7}|`g^gntq\7fX|axn\7feQnsrgqp9399k1{~biPelrw}ZrozlycSl}|esv?2;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=5=5a=wzfmTi`~{y^vkv`uoWhyxi\7fz38;2=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz38?3a?uthoVof|ywPtipfwmYimnk\7fi%>&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#=$?m;qplcZcjx}sTxe|jsi]mabgsm!8"=o5\7frne\ahvsqV~c~h}g_ogdeqc/; ;i7}|`g^gntq\7fX|axn\7feQaefcwa-2.9k1{~biPelrw}ZrozlycSckhaug+1,7e3yxdkRkbpu{\pmtb{aUeijo{e)4*5g=wzfmTi`~{y^vkv`uoWgolmyk'7(3a?uthoVof|ywPtipfwmYimnk\7fi%6&1c9svjaXmdz\7fuRzgrdqk[kc`i}o7<3?m;qplcZcjx}sTxe|jsi]mabgsm5;5=o5\7frne\ahvsqV~c~h}g_ogdeqc;:7;i7}|`g^gntq\7fX|axn\7feQaefcwa9599k1{~biPelrw}ZrozlycSckhaug?0;7e3yxdkRkbpu{\pmtb{aUeijo{e=7=5g=wzfmTi`~{y^vkv`uoWgolmyk36?3a?uthoVof|ywPtipfwmYimnk\7fi1911e9svjaXmdz\7fuRzgrdqk[kc`i}o747>11c9svjaXmdz\7fuRzgrdqk[kc`i}o74374psmd[cskd890|\7fah_gwohZrozlyc$='>3:rqkbYa}efTxe|jsi*2-45<x{elSk{cl^vkv`uo ;#:?6~}of]eqijX|axn\7fe&<)018twi`Wo\7fg`Rzgrdqk,1/6;2zycjQiumn\pmtb{a">%<=4psmd[cskdV~c~h}g(7+27>vugnUmyabPtipfwm.0!890|\7fah_gwohZrozlyc$5'>3:rqkbYa}efTxe|jsi>3:45<x{elSk{cl^vkv`uo484:?6~}of]eqijX|axn\7fe2=>018twi`Wo\7fg`Rzgrdqk8686;2zycjQiumn\pmtb{a6?2<=4psmd[cskdV~c~h}g<4<27>vugnUmyabPtipfwm:16890|\7fah_gwohZrozlyc0:0>5:rqkbYa}efTxe|jsi>;>586;2zycjQiumn\pmtb{a632<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6i2zycjQiumn\pmtb{aUj\7f~k}t)0*5d=wzfmTjxbc_ujqavnXizyn~y&<)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#8$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts <#:m6~}of]eqijX|axn\7feQnsrgqp-0.9h1{~biPftno[qnumzbTm~}jru*4-4g<x{elSk{cl^vkv`uoWhyxi\7fz'8(3b?uthoVl~`aQ{hsgplZgt{lx\7f0=0>a:rqkbYa}efTxe|jsi]bwvcu|5;5=l5\7frne\bpjkW}byi~fParqfvq:568k0|\7fah_gwohZrozlycSl}|esv?7;7f3yxdkRhzlm]wlwct`Vkx\7fh|{<5<2e>vugnUmyabPtipfwmYf{zoyx1;11`9svjaXn|fgSyf}erj\evubz}6=2<o4psmd[cskdV~c~h}g_`qpawr;?7;h7}|`g^dvhiYs`{oxdRo|sdpw8=<768k0|\7fah_gwohZrozlycSl}|esv?<;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnk\7fi%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;j7}|`g^dvhiYs`{oxdR`jg`vf,1/6i2zycjQiumn\pmtb{aUeijo{e)7*5d=wzfmTjxbc_ujqavnXflmjxh&9)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#;$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 1#:m6~}of]eqijX|axn\7feQaefcwa9699h1{~biPftno[qnumzbTbhintd>2:4g<x{elSk{cl^vkv`uoWgolmyk32?3b?uthoVl~`aQ{hsgplZhboh~n0>0>a:rqkbYa}efTxe|jsi]mabgsm5>5=l5\7frne\bpjkW}byi~fPndebp`:268k0|\7fah_gwohZrozlycSckhaug?2;7f3yxdkRhzlm]wlwct`Vdnklzj<6<2g>vugnUmyabPtipfwmYimnk\7fi1650?3b?uthoVl~`aQ{hsgplZhboh~n0509;sf\gim682xoSio{a^alqkr/8 ;;7\7fjPd`vb[firf}":%<>4re]geqgXkf\7fex%<&119q`Zbf|hUhcx`{(2+24>tcWmk\7fmRm`uov+0,773{nThlzn_bmvjq.2!8:0~iQkauc\gjsi|!<"==5}d^fbpdYdg|d\7f$:'>0:pg[agsiVidycz'8(33?wbXlh~jSnaznu>3:46<zmUomyoPcnwmp979991yhRjnt`]`kphs4;4:<6|k_ecweZeh}g~7?3??;sf\`drfWje~by2;>028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;97\7fjPd`vb[firf}636=0>0:pg[agsiVidycz38?78vaYbf?1yhR||t29qwq1<{kc\7fi\7f|;;rppp<=rfmoyjaax5:tbhlb13~xTmne9;vp\gim682}ySio{a^alqkr/8 ;;7z|Pd`vb[firf}":%<>4ws]geqgXkf\7fex%<&119tvZbf|hUhcx`{(2+24>quWmk\7fmRm`uov+0,773~xThlzn_bmvjq.2!8:0{\7fQkauc\gjsi|!<"==5xr^fbpdYdg|d\7f$:'>0:uq[agsiVidycz'8(33?rtXlh~jSnaznu>3:46<\7f{UomyoPcnwmp979991|~Rjnt`]`kphs4;4:<6y}_ecweZeh}g~7?3??;vp\`drfWje~by2;>028swYci}kTob{at=7=55=pzVnjxlQlotlw838682}ySio{a^alqkr;?7;97z|Pd`vb[firf}636=0>0:uq[agsiVidycz38?78swYbf?1|~R||t038ÇλºÎ·ÝÇȳɳ¿Ñº°¾`=ÖʶÚDzÚË´ÉÁ¾ÆÁxFGxji:7MNw3c19B?2=9rY<<7:69;49564?n<<1>>;;8zl1g`<63g8hj7:4$3a`>7e23tY=j7:69;49564?n<<1>>;;8:Q2`<<2010;6<==8g75>752<h1X:k4:8983>4550o?=6?=:4e9g7g4=83;1=v]80;6:=?0=9:83j8852277<>"5kh0?5o5yT3;b>5<6280:n5u\7187=<<128994k;9:3160==#:h=1=io4V3ag>7}r9l81=6{>e283?x"5;o0:m6l<b383>05=;3?8wE<n4:X56?5|9;0:<7=?:|&1e5<4j;1/>no548`8^7ee2;q?5o4;9c8~m3752900e;<j:188k0>72900c97n:188m7b32900e;?;:188k10a290/>9?54828j7272910c98j:18'617=<0:0b?:?:098k10c290/>9?54828j7272;10c98l:18'617=<0:0b?:?:298k10f290/>9?54828j7272=10c986:18'617=<0:0b?:?:498k10?290/>9?54828j7272?10c988:18'617=<0:0b?:?:698k101290/>9?54828j7272110c98::18'617=<0:0b?:?:898k103290/>9?54828j7272h10c98<:18'617=<0:0b?:?:c98k105290/>9?54828j7272j10c98>:18'617=<0:0b?:?:e98k13a290/>9?54828j7272l10c9;j:18'617=<0:0b?:?:g98k13c290/>9?54828j72728:07b::c;29 7262=3;7c<;0;32?>i3=k0;6)<;1;6:4>h5<90:>65`44c94?"5<80?5=5a252956=<g=?26=4+25390<6<f;>;6<:4;n66<?6=,;>:697?;o074?7232e?9:4?:%075?2>82d98=4>6:9l000=83.98<4;919m616=9>10c99::18'617=<0:0b?:?:0:8?j20<3:1(?:>:5;3?k4383;276a;7283>!4393>2<6`=4182e>=h<>81<7*=4087=5=i:=:1=o54o552>5<#:=;184>4n363>4e<3f><<7>5$362>1?73g8?<7?k;:m72g<72-8?=7:60:l105<6m21d8;>50;&104<3191e>9>51g98k132290/>9?54828j7272;:07b::4;29 7262=3;7c<;0;02?>o3:h0;6)<;1;67f>h5<90;76g;2883>!4393>?n6`=4182?>o3:10;6)<;1;67f>h5<90976g;2683>!4393>?n6`=4180?>o3:<0;6)<;1;67f>h5<90?76g;2583>!4393>?n6`=4186?>o3::0;6)<;1;67f>h5<90=76g;2383>!4393>?n6`=4184?>o3:80;6)<;1;67f>h5<90376g;2183>!4393>?n6`=418:?>o39o0;6)<;1;67f>h5<90j76g;1d83>!4393>?n6`=418a?>o39m0;6)<;1;67f>h5<90h76g;1b83>!4393>?n6`=418g?>o39h0;6)<;1;67f>h5<90n76g;1883>!4393>?n6`=418e?>o3910;6)<;1;67f>h5<90:<65f40594?"5<80?8o5a252954=<a=;=6=4+253901d<f;>;6<<4;h621?6=,;>:69:m;o074?7432c?=94?:%075?23j2d98=4>4:9j045=83.98<4;4c9m616=9<10e9?=:18'617=<=h0b?:?:048?l2693:1(?:>:56a?k4383;<76g;3183>!4393>?n6`=4182<>=n<;l1<7*=40870g=i:=:1=454i50f>5<#:=;189l4n363>4g<3`>9h7>5$362>12e3g8?<7?m;:k76f<72-8?=7:;b:l105<6k21b8?l50;&104<3<k1e>9>51e98m141290/>9?545`8j72728o07d:>b;29 7262=>i7c<;0;3e?>o3990;6)<;1;67f>h5<909<65f41d94?"5<80?8o5a252964=<g:ho6=4+25397f0<f;>;6=54o2``>5<#:=;1?n84n363>4=<g:hi6=4+25397f0<f;>;6?54o2`b>5<#:=;1?n84n363>6=<g:h26=4+25397f0<f;>;6954o2`;>5<#:=;1?n84n363>0=<g:h<6=4+25397f0<f;>;6;54o2`5>5<#:=;1?n84n363>2=<g:h>6=4+25397f0<f;>;6554b3c5>5<6290;wE<n4:&1e5<5i?1d>>k50;9~f4>6290:6=4?{I0b0>"5i90:4<5`19294?=zj?;1<7ol:28bg~N5i=1Q:?4>6z06>7?=;109:7=6:3:957<6838j6>>526804?5>2881?54=6;33>7g=:00947<8:379y!4f839i86*>d7823c=#:jk184l4$31;>75d3f8o<7>5;h427?6=3`?2?7>5$362>0?d3g8?<7>4;h7:6?6=,;>:687l;o074?7<3`?2=7>5$362>0?d3g8?<7<4;h7:4?6=,;>:687l;o074?5<3`?3j7>5$362>0?d3g8?<7:4;h7;a?6=,;>:687l;o074?3<3`?3h7>5$362>0?d3g8?<784;h7;g?6=,;>:687l;o074?1<3`?3n7>5$362>0?d3g8?<764;n7`0?6=3`<::7>5;n42<?6=3`?n<7>5$362>0c>3g8?<7>4;h7gb?6=,;>:68k6;o074?7<3`?oi7>5$362>0c>3g8?<7<4;h7g`?6=,;>:68k6;o074?5<3`?oo7>5$362>0c>3g8?<7:4;h7gf?6=,;>:68k6;o074?3<3`?om7>5$362>0c>3g8?<784;h7g=?6=,;>:68k6;o074?1<3`?o47>5$362>0c>3g8?<764;h426?6=3f?3m7>5;n7;=?6=3`8o?7>5;n433?6=,;>:6;??;o074?6<3f<;:7>5$362>3773g8?<7?4;n431?6=,;>:6;??;o074?4<3f<;87>5$362>3773g8?<7=4;n437?6=,;>:6;??;o074?2<3f<;>7>5$362>3773g8?<7;4;n435?6=,;>:6;??;o074?0<3f<;<7>5$362>3773g8?<794;n7eb?6=,;>:6;??;o074?><3`?j:7>5$362>0ga3g8?<7>4;h7b1?6=,;>:68oi;o074?7<3`?j87>5$362>0ga3g8?<7<4;h7b7?6=,;>:68oi;o074?5<3`?j>7>5$362>0ga3g8?<7:4;h7b5?6=,;>:68oi;o074?3<3`?j<7>5$362>0ga3g8?<784;h7:b?6=,;>:68oi;o074?1<3`?2i7>5$362>0ga3g8?<764;h41a?6=3f<9?7>5$362>34d3g8?<7>4;n416?6=,;>:6;<l;o074?7<3f<9=7>5$362>34d3g8?<7<4;n414?6=,;>:6;<l;o074?5<3f<:j7>5$362>34d3g8?<7:4;n42a?6=,;>:6;<l;o074?3<3f<:h7>5$362>34d3g8?<784;n42g?6=,;>:6;<l;o074?1<3f<:n7>5$362>34d3g8?<764;n7;4?6=3f>2m7>5;h42e?6=3`?hh7>5$362>0b13g8?<7>4;h7`g?6=,;>:68j9;o074?7<3`?hn7>5$362>0b13g8?<7<4;h7`e?6=,;>:68j9;o074?5<3`?h57>5$362>0b13g8?<7:4;h7`<?6=,;>:68j9;o074?3<3`?h;7>5$362>0b13g8?<784;h7`2?6=,;>:68j9;o074?1<3`?h97>5$362>0b13g8?<764;h0g0?6=3`<9j7>5;n421?6=3f<:57>5;n423?6=3`<:87>5;n65b?6=,;>:697?;o074?6<3f>=i7>5$362>1?73g8?<7?4;n65`?6=,;>:697?;o074?4<3f>=o7>5$362>1?73g8?<7=4;n65e?6=,;>:697?;o074?2<3f>=57>5$362>1?73g8?<7;4;n65<?6=,;>:697?;o074?0<3f>=;7>5$362>1?73g8?<794;n652?6=,;>:697?;o074?><3f>=97>5$362>1?73g8?<774;n650?6=,;>:697?;o074?g<3f>=?7>5$362>1?73g8?<7l4;n656?6=,;>:697?;o074?e<3f>==7>5$362>1?73g8?<7j4;n66b?6=,;>:697?;o074?c<3f>>i7>5$362>1?73g8?<7h4;n66`?6=,;>:697?;o074?7732e?9n4?:%075?2>82d98=4>1:9l00d=83.98<4;919m616=9;10c9;n:18'617=<0:0b?:?:018?j2213:1(?:>:5;3?k4383;?76a;5983>!4393>2<6`=41821>=h<<=1<7*=4087=5=i:=:1=;54o575>5<#:=;184>4n363>41<3f><97>5$362>1?73g8?<7?7;:m731<72-8?=7:60:l105<6121d8:=50;&104<3191e>9>51`98k115290/>9?54828j72728h07b:81;29 7262=3;7c<;0;3`?>i3?90;6)<;1;6:4>h5<90:h65`47`94?"5<80?5=5a25295`=<g=<;6=4+25390<6<f;>;6<h4;n661?6=,;>:697?;o074?4732e?994?:%075?2>82d98=4=1:9j07g=83.98<4;4c9m616=821b8?750;&104<3<k1e>9>51:9j07>=83.98<4;4c9m616=:21b8?950;&104<3<k1e>9>53:9j073=83.98<4;4c9m616=<21b8?:50;&104<3<k1e>9>55:9j075=83.98<4;4c9m616=>21b8?<50;&104<3<k1e>9>57:9j077=83.98<4;4c9m616=021b8?>50;&104<3<k1e>9>59:9j04`=83.98<4;4c9m616=i21b8<k50;&104<3<k1e>9>5b:9j04b=83.98<4;4c9m616=k21b8<m50;&104<3<k1e>9>5d:9j04g=83.98<4;4c9m616=m21b8<750;&104<3<k1e>9>5f:9j04>=83.98<4;4c9m616=9910e9?8:18'617=<=h0b?:?:038?l26>3:1(?:>:56a?k4383;976g;1483>!4393>?n6`=41827>=n<8>1<7*=40870g=i:=:1=954i530>5<#:=;189l4n363>43<3`>:>7>5$362>12e3g8?<7?9;:k754<72-8?=7:;b:l105<6?21b8>>50;&104<3<k1e>9>51998m14a290/>9?545`8j72728307d:=e;29 7262=>i7c<;0;3b?>o3:m0;6)<;1;67f>h5<90:n65f43a94?"5<80?8o5a25295f=<a=8i6=4+253901d<f;>;6<j4;h612?6=,;>:69:m;o074?7b32c?=o4?:%075?23j2d98=4>f:9j046=83.98<4;4c9m616=:910e9>i:18'617=<=h0b?:?:338?j4c93:17d<k2;29?l3a;3:1(?:>:4d`?k4383:07d;i2;29 7262<lh7c<;0;38?l3a93:1(?:>:4d`?k4383807d;i0;29 7262<lh7c<;0;18?l3bn3:1(?:>:4d`?k4383>07d;je;29 7262<lh7c<;0;78?l3bl3:1(?:>:4d`?k4383<07d;jc;29 7262<lh7c<;0;58?l3bj3:1(?:>:4d`?k4383207b=md;29 7262:i=7c<;0;28?j5ek3:1(?:>:2a5?k4383;07b=mb;29 7262:i=7c<;0;08?j5ei3:1(?:>:2a5?k4383907b=m9;29 7262:i=7c<;0;68?j5e03:1(?:>:2a5?k4383?07b=m7;29 7262:i=7c<;0;48?j5e>3:1(?:>:2a5?k4383=07b=m5;29 7262:i=7c<;0;:8?j5d03:17d;m9;29 7262<i97c<;0;28?l3e03:1(?:>:4a1?k4383;07d;m7;29 7262<i97c<;0;08?l3e>3:1(?:>:4a1?k4383907d;m5;29 7262<i97c<;0;68?l3e<3:1(?:>:4a1?k4383?07d;m3;29 7262<i97c<;0;48?l3e:3:1(?:>:4a1?k4383=07d;m1;29 7262<i97c<;0;:8?l3am3:17o<l8;295?6=8r.9m=4>809K6f1<@;k?7b?70;29?xd5i80;6kh5124952?|@;k?7)<n0;6:g>\1:3;?w?l537803?562:81?>4=d;0e>62=:j0897?>:3g965<a2;>1>>4=2;02>4`=u-<8=78<0:m4a?6=3f=o6=44i7`94?=n:0:1<75`5e83>>o51?0;66a8c;29?j1a2900c?l8:188m36=831d=:850;9j6f5=831d>l<50;9l1f<722c9484?::m17a<722c95<4?::m1e0<722e:;h4?::m106<722c9n54?::m127<722c>j7>5;h0`0?6=3`82n7>5;n5a>5<#:=;1;l5a25294>=h?00;6)<;1;5b?k4383;07b97:18'617=?h1e>9>52:9l32<72-8?=79n;o074?5<3f==6=4+25393d=i:=:1865`7483>!4393=j7c<;0;78?j13290/>9?57`9m616=>21d;>4?:%075?1f3g8?<794;n51>5<#:=;1;l5a2529<>=h<9>1<7*=408746=i:=:1<65`41094?"5<80?<>5a25295>=h<9;1<7*=408746=i:=:1>65`41294?"5<80?<>5a25297>=h;ol1<7*=408746=i:=:1865`3gg94?"5<80?<>5a25291>=h;on1<7*=408746=i:=:1:65`3ga94?"5<80?<>5a25293>=h;oh1<7*=408746=i:=:1465`41g94?"5<80?<i5a25294>=h<9i1<7*=40874a=i:=:1=65`41`94?"5<80?<i5a25296>=h<9k1<7*=40874a=i:=:1?65`41;94?"5<80?<i5a25290>=h<921<7*=40874a=i:=:1965`41594?"5<80?<i5a25292>=h<9<1<7*=40874a=i:=:1;65`41794?"5<80?<i5a2529<>=h;m81<7*=4080`4=i:=:1<65`3e294?"5<808h<5a25295>=h;jl1<7*=4080`4=i:=:1>65`3bg94?"5<808h<5a25297>=h;jn1<7*=4080`4=i:=:1865`3ba94?"5<808h<5a25291>=h;jh1<7*=4080`4=i:=:1:65`3bc94?"5<808h<5a25293>=h;j31<7*=4080`4=i:=:1465`3ea94?"5<808ho5a25294>=h;mk1<7*=4080`g=i:=:1=65`3e;94?"5<808ho5a25296>=h;m21<7*=4080`g=i:=:1?65`3e594?"5<808ho5a25290>=h;m<1<7*=4080`g=i:=:1965`3e794?"5<808ho5a25292>=h;m>1<7*=4080`g=i:=:1;65`3e194?"5<808ho5a2529<>=h;l<1<7*=4080a0=i:=:1<65`3d694?"5<808i85a25295>=h;l91<7*=4080a0=i:=:1>65`3d094?"5<808i85a25297>=h;l;1<7*=4080a0=i:=:1865`3d294?"5<808i85a25291>=h;ml1<7*=4080a0=i:=:1:65`3eg94?"5<808i85a25293>=h;mn1<7*=4080a0=i:=:1465`9283>!4393397c<;0;28?j?6290/>9?5939m616=921d5=4?:%075??53g8?<7<4;n:e>5<#:=;15?5a25297>=h0l0;6)<;1;;1?k4383>07b6k:18'617=1;1e>9>55:9l<f<72-8?=77=;o074?0<3f2i6=4+2539=7=i:=:1;65`8`83>!4393397c<;0;:8?j4d:3:1(?:>:3a2?k4383:07b<l0;29 7262;i:7c<;0;38?j4en3:1(?:>:3a2?k4383807b<me;29 7262;i:7c<;0;18?j4el3:1(?:>:3a2?k4383>07b<mc;29 7262;i:7c<;0;78?j4ej3:1(?:>:3a2?k4383<07b<ma;29 7262;i:7c<;0;58?j4e13:1(?:>:3a2?k4383207b=i0;29 7262:om7c<;0;28?j5bm3:1(?:>:2ge?k4383;07b=jd;29 7262:om7c<;0;08?j5bk3:1(?:>:2ge?k4383907b=jb;29 7262:om7c<;0;68?j5bi3:1(?:>:2ge?k4383?07b=j9;29 7262:om7c<;0;48?j5b03:1(?:>:2ge?k4383=07b=j7;29 7262:om7c<;0;:8?j>>290/>9?5899m616=821d4:4?:%075?>?3g8?<7?4;n:5>5<#:=;1455a25296>=h0<0;6)<;1;:;?k4383907b6;:18'617=011e>9>54:9l<6<72-8?=767;o074?3<3f296=4+2539<==i:=:1:65`8083>!4393237c<;0;58?j>7290/>9?5899m616=021d?ko50;&104<4n01e>9>50:9l7c>=83.98<4<f89m616=921d?k950;&104<4n01e>9>52:9l7c0=83.98<4<f89m616=;21d?k;50;&104<4n01e>9>54:9l7c2=83.98<4<f89m616==21d?k=50;&104<4n01e>9>56:9l7c4=83.98<4<f89m616=?21d?k?50;&104<4n01e>9>58:9l53c=83.98<4>6e9m616=821d=;m50;&104<6>m1e>9>51:9l53d=83.98<4>6e9m616=:21d=;o50;&104<6>m1e>9>53:9l53>=83.98<4>6e9m616=<21d=;950;&104<6>m1e>9>55:9l530=83.98<4>6e9m616=>21d=;;50;&104<6>m1e>9>57:9l532=83.98<4>6e9m616=021d=;=50;&104<6>m1e>9>59:9l534=83.98<4>6e9m616=i21d=;?50;&104<6>m1e>9>5b:9l536=83.98<4>6e9m616=k21d=8h50;&104<6>m1e>9>5d:9l50b=83.98<4>6e9m616=m21d=8m50;&104<6>m1e>9>5f:9l50d=83.98<4>6e9m616=9910c<;n:18'617=9?n0b?:?:038?j7213:1(?:>:04g?k4383;976a>5983>!4393;=h6`=41827>=h9<=1<7*=40822a=i:=:1=954o075>5<#:=;1=;j4n363>43<3f;>97>5$362>40c3g8?<7?9;:m211<72-8?=7?9d:l105<6?21d=:;50;&104<6>m1e>9>51998k413290/>9?517f8j72728307b?83;29 72628<o7c<;0;3b?>i6?;0;6)<;1;35`>h5<90:n65`16394?"5<80::i5a25295f=<g8=;6=4+253953b<f;>;6<j4;n35b?6=,;>:6<8k;o074?7b32e::44?:%075?71l2d98=4>f:9l50c=83.98<4>6e9m616=:910c<;<:18'617=9?n0b?:?:338?j4?n3:1(?:>:3:f?k4383:07b<7d;29 7262;2n7c<;0;38?j4?k3:1(?:>:3:f?k4383807b<7b;29 7262;2n7c<;0;18?j4?i3:1(?:>:3:f?k4383>07b<79;29 7262;2n7c<;0;78?j4?03:1(?:>:3:f?k4383<07b<77;29 7262;2n7c<;0;58?j4?>3:1(?:>:3:f?k4383207d<:7;29 7262;?=7c<;0;28?l42=3:1(?:>:375?k4383;07d<:4;29 7262;?=7c<;0;08?l42;3:1(?:>:375?k4383907d<:2;29 7262;?=7c<;0;68?l4293:1(?:>:375?k4383?07d<:0;29 7262;?=7c<;0;48?l43n3:1(?:>:375?k4383=07d<;e;29 7262;?=7c<;0;:8?l`4290/>9?5f39m616=821bj<4?:%075?`53g8?<7?4;hd3>5<#:=;1j?5a25296>=nmo0;6)<;1;d1?k4383907dkk:18'617=n;1e>9>54:9jaf<72-8?=7h=;o074?3<3`oi6=4+2539b7=i:=:1:65fe`83>!4393l97c<;0;58?lc>290/>9?5f39m616=021bi54?:%075?`53g8?<774;hg4>5<#:=;1j?5a2529e>=nm?0;6)<;1;d1?k4383h07dk::18'617=n;1e>9>5c:9ja1<72-8?=7h=;o074?b<3`o96=4+2539b7=i:=:1i65fe083>!4393l97c<;0;d8?lc7290/>9?5f39m616=9910eih50;&104<a:2d98=4>1:9j``<72-8?=7h=;o074?7532coh7>5$362>c4<f;>;6<=4;hf`>5<#:=;1j?5a252951=<amh1<7*=408e6>h5<90:965fd`83>!4393l97c<;0;35?>oc13:1(?:>:g08j72728=07dhn:18'617=n;1e>9>51998mc?=83.98<4i2:l105<6121bj54?:%075?`53g8?<7?n;:ke3?6=,;>:6k<4n363>4d<3`l=6=4+2539b7=i:=:1=n54ig794?"5<80m>6`=4182`>=nn=0;6)<;1;d1?k4383;n76gje;29 7262o80b?:?:0d8?lc4290/>9?5f39m616=:910ei650;&104<a:2d98=4=1:9j6=6=83.98<4=7g9m616=821b>:k50;&104<5?o1e>9>51:9j62b=83.98<4=7g9m616=:21b>:m50;&104<5?o1e>9>53:9j62d=83.98<4=7g9m616=<21b>:o50;&104<5?o1e>9>55:9j62?=83.98<4=7g9m616=>21b>:650;&104<5?o1e>9>57:9j621=83.98<4=7g9m616=021b>:850;&104<5?<1e>9>50:9j622=83.98<4=749m616=921b>:=50;&104<5?<1e>9>52:9j624=83.98<4=749m616=;21b>:?50;&104<5?<1e>9>54:9j626=83.98<4=749m616==21b>;h50;&104<5?<1e>9>56:9j63c=83.98<4=749m616=?21b>;j50;&104<5?<1e>9>58:9j63e=83.98<4=6c9m616=821b>;o50;&104<5>k1e>9>51:9j63?=83.98<4=6c9m616=:21b>;650;&104<5>k1e>9>53:9j631=83.98<4=6c9m616=<21b>;850;&104<5>k1e>9>55:9j633=83.98<4=6c9m616=>21b>;:50;&104<5>k1e>9>57:9j635=83.98<4=6c9m616=021b>;?50;&104<5>91e>9>50:9j60`=83.98<4=619m616=921b>8k50;&104<5>91e>9>52:9j60b=83.98<4=619m616=;21b>8m50;&104<5>91e>9>54:9j60d=83.98<4=619m616==21b>8o50;&104<5>91e>9>56:9j60?=83.98<4=619m616=?21b>8650;&104<5>91e>9>58:9j61b=83.98<4=4b9m616=821b>9l50;&104<5<j1e>9>51:9j61g=83.98<4=4b9m616=:21b>9750;&104<5<j1e>9>53:9j61>=83.98<4=4b9m616=<21b>9950;&104<5<j1e>9>55:9j610=83.98<4=4b9m616=>21b>9;50;&104<5<j1e>9>57:9j612=83.98<4=4b9m616=021i>n650;394?6|,;k;6<6>;I0`3>N5i=1d=5>50;9~f7e>290:6=4?{%0b4?4f>2B9o:5G2`68k75b2900qo;72;291?6=8r.9m=4>7e9K6f1<@;k?7E;j;%3;b?7<a?91<75f6883>>o093:17d<;2;29?j4?93:17pl:8583>0<729q/>l>516f8L7e03A8j86F:e:&2<c<63`<86=44i7;94?=n?80;66g=4383>>i5080;66sm59394?2=83:p(?o?:05`?M4d?2B9m95G5d9'5=`=92c=?7>5;h52>5<<a;>96=44o3:2>5<<uk?3?7>54;294~"5i90:;n5G2b58L7g33A?n7)?7f;38m35=831b;<4?::k107<722e94<4?::\7fa7=`=83>1<7>t$3c3>4c63A8h;6F=a59j2d<722c297>5;h0:3?6=3f88n7>5;|`0=c<72:0;6=u+2`296f0<@;i<7E<n4:k5e?6=3`;o;7>5;n00f?6=3th85<4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk9j<7>53;294~"5i909o;5G2b58L7g33`<j6=44i0f4>5<<g;9i6=44}c1:7?6=<3:1<v*=a182a4=O:j=0D?o;;h4b>5<<a0?1<75f28594?=h::h1<75rb2c2>5<4290;w)<n0;0`2>N5k>1C>l:4i7c94?=n9m=1<75`22`94?=zj:3>6=4;:183\7f!4f83;n=6F=c69K6d2<a?k1<75f9483>>o51>0;66a=3c83>>{e;h81<7=50;2x 7g72;i=7E<l7:J1e1=n>h0;66g>d683>>i5;k0;66sm38594?2=83:p(?o?:0g2?M4d?2B9m95f6`83>>o>=3:17d<67;29?j44j3:17pl<a283>6<729q/>l>52b48L7e03A8j86g9a;29?l7c?3:17b<<b;29?xd4100;694?:1y'6d6=9l;0D?m8;I0b0>o1i3:17d7::188m7?02900c?=m:188yg5f<3:1?7>50z&1e5<5k?1C>n94H3c7?l0f2900e<j8:188k75e2900qo=6b;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn>o::180>5<7s-8j<7<l6:J1g2=O:h>0e;o50;9j5a1=831d>>l50;9~f6?c290?6=4?{%0b4?7b92B9o:5G2`68m3g=831b584?::k1=2<722e9?o4?::\7fa7d0=8391<7>t$3c3>7e13A8h;6F=a59j2d<722c:h:4?::m17g<722wi?l950;194?6|,;k;6?m9;I0`3>N5i=1b:l4?::k2`2<722e9?o4?::\7fa7dd=83?1<7>t$3c3>41e3A8h;6F=a59'5=`=92c=?7>5;h4:>5<<a?o1<75f7083>>i5080;66sm3`;94?3=83:p(?o?:05a?M4d?2B9m95+19d95>o1;3:17d86:188m3c=831b;<4?::m1<4<722wi?lo50;794?6|,;k;6<9k;I0`3>N5i=1/=5h55:k57?6=3`<26=44i6394?=n:=81<75`29394?=zj:kh6=4::183\7f!4f83;<n6F=c69K6d2<,82m6<5f6283>>o113:17d8j:188m27=831d>5?50;9~f6gc290>6=4?{%0b4?70j2B9o:5G2`68 4>a281b:>4?::k5=?6=3`<n6=44i6394?=h:1;1<75rb2cf>5<2290;w)<n0;34f>N5k>1C>l:4$0:e>4=n>:0;66g99;29?l0b2900e:?50;9l6=7=831vn>oi:186>5<7s-8j<7?8b:J1g2=O:h>0(<6i:09j26<722c=57>5;h4f>5<<a>;1<75`29394?=zj:h;6=4::183\7f!4f83;<n6F=c69K6d2<,82m6<5f6283>>o113:17d8j:188m27=831d>5?50;9~f6d6290>6=4?{%0b4?70j2B9o:5G2`68 4>a281b:>4?::k5=?6=3`<n6=44i6394?=h:1;1<75rb240>5<3290;w)<n0;3f5>N5k>1C>l:4i7c94?=n1<0;66g=9683>>i5;k0;66sm36194?5=83:p(?o?:3a5?M4d?2B9m95f6`83>>o6l>0;66a=3c83>>{e;??1<7:50;2x 7g728o:7E<l7:J1e1=n>h0;66g65;29?l4>?3:17b<<b;29?xd4?=0;6>4?:1y'6d6=:j<0D?m8;I0b0>o1i3:17d?k7;29?j44j3:17pl<6683>1<729q/>l>51d38L7e03A8j86g9a;29?l?22900e?78:188k75e2900qo=85;297?6=8r.9m=4=c79K6f1<@;k?7d8n:188m4b02900c?=m:188yg5113:187>50z&1e5<6m81C>n94H3c7?l0f2900e4;50;9j6<1=831d>>l50;9~f61129086=4?{%0b4?4d>2B9o:5G2`68m3g=831b=i950;9l66d=831vn>8m:187>5<7s-8j<7?j1:J1g2=O:h>0e;o50;9j=0<722c95:4?::m17g<722wi?:950;194?6|,;k;6?m9;I0`3>N5i=1b:l4?::k2`2<722e9?o4?::\7fa73b=83>1<7>t$3c3>4c63A8h;6F=a59j2d<722c297>5;h0:3?6=3f88n7>5;|`03=<72:0;6=u+2`296f0<@;i<7E<n4:k5e?6=3`;o;7>5;n00f?6=3th8:k4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk9<57>53;294~"5i909o;5G2b58L7g33`<j6=44i0f4>5<<g;9i6=44}c145?6=<3:1<v*=a182a4=O:j=0D?o;;h4b>5<<a0?1<75f28594?=h::h1<75rb25b>5<4290;w)<n0;0`2>N5k>1C>l:4i7c94?=n9m=1<75`22`94?=zj:=i6=4<:183\7f!4f838h:6F=c69K6d2<a?k1<75f1e594?=h::h1<75rb25e>5<2290;w)<n0;34f>N5k>1C>l:4$0:e>4=n>:0;66g99;29?l0b2900e:?50;9l6=7=831vn>9k:186>5<7s-8j<7?8d:J1g2=O:h>0(<6i:49j26<722c=57>5;h52>5<<a;>96=44o3:2>5<<uk9<i7>55;294~"5i90:;o5G2b58L7g33-;3j7?4i7194?=n>00;66g9e;29?l162900c?6>:188yg5?83:197>50z&1e5<6?k1C>n94H3c7?!7?n3;0e;=50;9j2<<722c=i7>5;h52>5<<g;2:6=44}c1;5?6==3:1<v*=a1823g=O:j=0D?o;;%3;b?7<a?91<75f6883>>o1m3:17d9>:188k7>62900qo=72;291?6=8r.9m=4>7c9K6f1<@;k?7)?7f;38m35=831b:44?::k5a?6=3`=:6=44o3:2>5<<uk93?7>55;294~"5i90:;o5G2b58L7g33-;3j7?4i7194?=n>00;66g9e;29?l162900c?6>:188yg5?<3:197>50z&1e5<6?k1C>n94H3c7?!7?n3;0e;=50;9j2<<722c=i7>5;h52>5<<g;2:6=44}c1;1?6==3:1<v*=a1823g=O:j=0D?o;;%3;b?7<a?91<75f6883>>o1m3:17d9>:188k7>62900qo=?b;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn>?m:180>5<7s-8j<7<l6:J1g2=O:h>0e;o50;9j5a1=831d>>l50;9~f66c290?6=4?{%0b4?7b92B9o:5G2`68m3g=831b584?::k1=2<722e9?o4?::\7fa74e=8391<7>t$3c3>7e13A8h;6F=a59j2d<722c:h:4?::m17g<722wi?=h50;694?6|,;k;6<k>;I0`3>N5i=1b:l4?::k:1?6=3`82;7>5;n00f?6=3th8=i4?:283>5}#:h:1>n84H3a4?M4f<2c=m7>5;h3g3?6=3f88n7>5;|`054<72=0;6=u+2`295`7<@;i<7E<n4:k5e?6=3`3>6=44i3;4>5<<g;9i6=44}c12a?6=;3:1<v*=a181g3=O:j=0D?o;;h4b>5<<a8n<6=44o31a>5<<uk9:?7>54;294~"5i90:i<5G2b58L7g33`<j6=44i8794?=n:0=1<75`22`94?=zj:;m6=4<:183\7f!4f838h:6F=c69K6d2<a?k1<75f1e594?=h::h1<75rb236>5<3290;w)<n0;3f5>N5k>1C>l:4i7c94?=n1<0;66g=9683>>i5;k0;66sm33294?5=83:p(?o?:3a5?M4d?2B9m95f6`83>>o6l>0;66a=3c83>>{e;8=1<7:50;2x 7g728o:7E<l7:J1e1=n>h0;66g65;29?l4>?3:17b<<b;29?xd4:80;6>4?:1y'6d6=:j<0D?m8;I0b0>o1i3:17d?k7;29?j44j3:17pl<1883>1<729q/>l>51d38L7e03A8j86g9a;29?l?22900e?78:188k75e2900qo==2;297?6=8r.9m=4=c79K6f1<@;k?7d8n:188m4b02900c?=m:188yg55;3:1?7>50z&1e5<5k?1C>n94H3c7?l0f2900e<j8:188k75e2900qo==7;291?6=8r.9m=4>7c9K6f1<@;k?7)?7f;38m35=831b:44?::k5a?6=3`=:6=44o3:2>5<<uk9997>55;294~"5i90:;i5G2b58L7g33-;3j7;4i7194?=n>00;66g81;29?l43:3:17b<71;29?xd4:?0;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`06=<72<0;6=u+2`2952d<@;i<7E<n4:&2<c<63`<86=44i7;94?=n>l0;66g81;29?j4?93:17pl<2883>0<729q/>l>516`8L7e03A8j86*>8g82?l042900e;750;9j2`<722c<=7>5;n0;5?6=3th8>l4?:483>5}#:h:1=:l4H3a4?M4f<2.:4k4>;h40>5<<a?31<75f6d83>>o093:17b<71;29?xd4:k0;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`06f<72<0;6=u+2`2952d<@;i<7E<n4:&2<c<63`<86=44i7;94?=n>l0;66g81;29?j4?93:17pl<2e83>0<729q/>l>516`8L7e03A8j86*>8g82?l042900e;750;9j2`<722c<=7>5;n0;5?6=3th8?:4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk9?;7>53;294~"5i909o;5G2b58L7g33`<j6=44i0f4>5<<g;9i6=44}c10=?6=<3:1<v*=a182a4=O:j=0D?o;;h4b>5<<a0?1<75f28594?=h::h1<75rb26;>5<4290;w)<n0;0`2>N5k>1C>l:4i7c94?=n9m=1<75`22`94?=zj:9i6=4;:183\7f!4f83;n=6F=c69K6d2<a?k1<75f9483>>o51>0;66a=3c83>>{e;=31<7=50;2x 7g72;i=7E<l7:J1e1=n>h0;66g>d683>>i5;k0;66sm32f94?2=83:p(?o?:0g2?M4d?2B9m95f6`83>>o>=3:17d<67;29?j44j3:17pl<4`83>6<729q/>l>52b48L7e03A8j86g9a;29?l7c?3:17b<<b;29?xd4;o0;694?:1y'6d6=9l;0D?m8;I0b0>o1i3:17d7::188m7?02900c?=m:188yg53j3:1?7>50z&1e5<5k?1C>n94H3c7?l0f2900e<j8:188k75e2900qo=;1;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn>:l:180>5<7s-8j<7<l6:J1g2=O:h>0e;o50;9j5a1=831d>>l50;9~f624290?6=4?{%0b4?7b92B9o:5G2`68m3g=831b584?::k1=2<722e9?o4?::\7fa71b=8391<7>t$3c3>7e13A8h;6F=a59j2d<722c:h:4?::m17g<722wi?9;50;694?6|,;k;6<k>;I0`3>N5i=1b:l4?::k:1?6=3`82;7>5;n00f?6=3th88h4?:283>5}#:h:1>n84H3a4?M4f<2c=m7>5;h3g3?6=3f88n7>5;|`00c<72:0;6=u+2`296f0<@;i<7E<n4:k5e?6=3`;o;7>5;n00f?6=3th89<4?:483>5}#:h:1=:l4H3a4?M4f<2.:4k4>;h40>5<<a?31<75f6d83>>o093:17b<71;29?xd4=;0;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`016<72<0;6=u+2`2952d<@;i<7E<n4:&2<c<63`<86=44i7;94?=n>l0;66g81;29?j4?93:17pl<5583>0<729q/>l>516`8L7e03A8j86*>8g82?l042900e;750;9j2`<722c<=7>5;n0;5?6=3th8984?:483>5}#:h:1=:l4H3a4?M4f<2.:4k4>;h40>5<<a?31<75f6d83>>o093:17b<71;29?xd4=?0;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`012<72<0;6=u+2`2952d<@;i<7E<n4:&2<c<63`<86=44i7;94?=n>l0;66g81;29?j4?93:17pl<5983>0<729q/>l>516`8L7e03A8j86*>8g82?l042900e;750;9j2`<722c<=7>5;n0;5?6=3th8944?:483>5}#:h:1=:l4H3a4?M4f<2.:4k4>;h40>5<<a?31<75f6d83>>o093:17b<71;29?xd5ll0;694?:1y'6d6=9l;0D?m8;I0b0>o1i3:17d7::188m7?02900c?=m:188yg4bm3:1?7>50z&1e5<5k?1C>n94H3c7?l0f2900e<j8:188k75e2900qo<j0;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn?ki:180>5<7s-8j<7<l6:J1g2=O:h>0e;o50;9j5a1=831d>>l50;9~f7c5290?6=4?{%0b4?7b92B9o:5G2`68m3g=831b584?::k1=2<722e9?o4?::\7fa6c6=8391<7>t$3c3>7e13A8h;6F=a59j2d<722c:h:4?::m17g<722wi>h:50;694?6|,;k;6<k>;I0`3>N5i=1b:l4?::k:1?6=3`82;7>5;n00f?6=3th9j<4?:283>5}#:h:1>n84H3a4?M4f<2c=m7>5;h3g3?6=3f88n7>5;|`1a3<72=0;6=u+2`295`7<@;i<7E<n4:k5e?6=3`3>6=44i3;4>5<<g;9i6=44}c0e6?6=;3:1<v*=a181g3=O:j=0D?o;;h4b>5<<a8n<6=44o31a>5<<uk8n47>54;294~"5i90:i<5G2b58L7g33`<j6=44i8794?=n:0=1<75`22`94?=zj;l86=4<:183\7f!4f838h:6F=c69K6d2<a?k1<75f1e594?=h::h1<75rb3gb>5<3290;w)<n0;3f5>N5k>1C>l:4i7c94?=n1<0;66g=9683>>i5;k0;66sm2g694?5=83:p(?o?:3a5?M4d?2B9m95f6`83>>o6l>0;66a=3c83>>{e:li1<7:50;2x 7g728o:7E<l7:J1e1=n>h0;66g65;29?l4>?3:17b<<b;29?xd5n<0;6>4?:1y'6d6=:j<0D?m8;I0b0>o1i3:17d?k7;29?j44j3:17pl=f783>6<729q/>l>52b48L7e03A8j86g9a;29?l7c?3:17b<<b;29?xd5n00;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`1bd<72<0;6=u+2`2952d<@;i<7E<n4:&2<c<63`<86=44i7;94?=n>l0;66g81;29?j4?93:17pl=fc83>0<729q/>l>516`8L7e03A8j86*>8g82?l042900e;750;9j2`<722c<=7>5;n0;5?6=3th9jn4?:483>5}#:h:1=:l4H3a4?M4f<2.:4k4>;h40>5<<a?31<75f6d83>>o093:17b<71;29?xd5nm0;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`1b`<72<0;6=u+2`2952d<@;i<7E<n4:&2<c<63`<86=44i7;94?=n>l0;66g81;29?j4?93:17pl=fg83>0<729q/>l>516`8L7e03A8j86*>8g82?l042900e;750;9j2`<722c<=7>5;n0;5?6=3th8<=4?:483>5}#:h:1=:l4H3a4?M4f<2.:4k4>;h40>5<<a?31<75f6d83>>o093:17b<71;29?xd4880;684?:1y'6d6=9>h0D?m8;I0b0>"60o0:7d8<:188m3?=831b:h4?::k45?6=3f83=7>5;|`66d<72=0;6=u+2`295`7<@;i<7E<n4:k5e?6=3`3>6=44i3;4>5<<g;9i6=44}c71f?6=<3:1<v*=a182a4=O:j=0D?o;;h4b>5<<a0?1<75f28594?=h::h1<75rb40`>5<3290;w)<n0;3f5>N5k>1C>l:4i7c94?=n1<0;66g=9683>>i5;k0;66sm53f94?2=83:p(?o?:0g2?M4d?2B9m95f6`83>>o>=3:17d<67;29?j44j3:17pl:2d83>1<729q/>l>51d38L7e03A8j86g9a;29?l?22900e?78:188k75e2900qo;<d;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn8=j:187>5<7s-8j<7?j1:J1g2=O:h>0e;o50;9j=0<722c95:4?::m17g<722wi9>h50;694?6|,;k;6<k>;I0`3>N5i=1b:l4?::k:1?6=3`82;7>5;n00f?6=3th>8=4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk??=7>54;294~"5i90:i<5G2b58L7g33`<j6=44i8794?=n:0=1<75`22`94?=zj<8>6=4;:183\7f!4f83;<o6F=c69K6d2<,82m685f6283>>o093:17d<;2;29?j4?93:17pl:5c83>1<729q/>l>51d38L7e03A8j86g9a;29?l?22900e?78:188k75e2900qo;:c;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn8;k:187>5<7s-8j<7?j1:J1g2=O:h>0e;o50;9j=0<722c95:4?::m17g<722wi98k50;694?6|,;k;6<k>;I0`3>N5i=1b:l4?::k:1?6=3`82;7>5;n00f?6=3th>9k4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk?=i7>54;294~"5i90:i<5G2b58L7g33`<j6=44i8794?=n:0=1<75`22`94?=zj<<m6=4;:183\7f!4f83;n=6F=c69K6d2<a?k1<75f9483>>o51>0;66a=3c83>>{e=>:1<7:50;2x 7g728o:7E<l7:J1e1=n>h0;66g65;29?l4>?3:17b<<b;29?xd2?80;694?:1y'6d6=9l;0D?m8;I0b0>o1i3:17d7::188m7?02900c?=m:188yg30:3:187>50z&1e5<6m81C>n94H3c7?l0f2900e4;50;9j6<1=831d>>l50;9~f031290?6=4?{%0b4?70k2B9o:5G2`68 4>a281b:>4?::k45?6=3`8?>7>5;n0;5?6=3th>;k4?:583>5}#:h:1=:m4H3a4?M4f<2.:4k4:;h40>5<<a>;1<75f25094?=h:1;1<75rb5fb>5<3290;w)<n0;3f5>N5k>1C>l:4i7c94?=n1<0;66g=9683>>i5;k0;66sm4e`94?2=83:p(?o?:0g2?M4d?2B9m95f6`83>>o>=3:17d<67;29?j44j3:17pl;db83>1<729q/>l>51d38L7e03A8j86g9a;29?l?22900e?78:188k75e2900qo:kd;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn9jj:187>5<7s-8j<7?j1:J1g2=O:h>0e;o50;9j=0<722c95:4?::m17g<722wi8hj50;694?6|,;k;6<k>;I0`3>N5i=1b:l4?::k:1?6=3`82;7>5;n00f?6=3th?ih4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk>nj7>54;294~"5i90:i<5G2b58L7g33`<j6=44i8794?=n:0=1<75`22`94?=zj=l;6=4;:183\7f!4f83;n=6F=c69K6d2<a?k1<75f9483>>o51>0;66a=3c83>>{e<o;1<7:50;2x 7g728o:7E<l7:J1e1=n>h0;66g65;29?l4>?3:17b<<b;29?xd2890;694?:1y'6d6=9l;0D?m8;I0b0>o1i3:17d7::188m7?02900c?=m:188yg3793:187>50z&1e5<6m81C>n94H3c7?l0f2900e4;50;9j6<1=831d>>l50;9~f065290?6=4?{%0b4?7b92B9o:5G2`68m3g=831b584?::k1=2<722e9?o4?::\7fa155=83>1<7>t$3c3>4c63A8h;6F=a59j2d<722c297>5;h0:3?6=3f88n7>5;|`641<72=0;6=u+2`295`7<@;i<7E<n4:k5e?6=3`3>6=44i3;4>5<<g;9i6=44}c727?6=<3:1<v*=a182a4=O:j=0D?o;;h4b>5<<a0?1<75f28594?=h::h1<75rb437>5<3290;w)<n0;3f5>N5k>1C>l:4i7c94?=n1<0;66g=9683>>i5;k0;66sm50794?2=83:p(?o?:0g2?M4d?2B9m95f6`83>>o>=3:17d<67;29?j44j3:17pl:1783>1<729q/>l>51d38L7e03A8j86g9a;29?l?22900e?78:188k75e2900qo;>7;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn9o7:187>5<7s-8j<7?j1:J1g2=O:h>0e;o50;9j=0<722c95:4?::m17g<722wi8o750;194?6|,;k;6?m9;I0`3>N5i=1b:l4?::k2`2<722e9?o4?::\7fa0d?=83>1<7>t$3c3>4c63A8h;6F=a59j2d<722c297>5;h0:3?6=3f88n7>5;|`7fd<72:0;6=u+2`296f0<@;i<7E<n4:k5e?6=3`;o;7>5;n00f?6=3th?ml4?:583>5}#:h:1=h?4H3a4?M4f<2c=m7>5;h;6>5<<a;3<6=44o31a>5<<uk>in7>53;294~"5i909o;5G2b58L7g33`<j6=44i0f4>5<<g;9i6=44}c6bf?6=<3:1<v*=a182a4=O:j=0D?o;;h4b>5<<a0?1<75f28594?=h::h1<75rb5``>5<4290;w)<n0;0`2>N5k>1C>l:4i7c94?=n9m=1<75`22`94?=zj=kh6=4;:183\7f!4f83;n=6F=c69K6d2<a?k1<75f9483>>o51>0;66a=3c83>>{e<kn1<7=50;2x 7g72;i=7E<l7:J1e1=n>h0;66g>d683>>i5;k0;66sm4`f94?2=83:p(?o?:0g2?M4d?2B9m95f6`83>>o>=3:17d<67;29?j44j3:17pl;bd83>6<729q/>l>52b48L7e03A8j86g9a;29?l7c?3:17b<<b;29?xd3il0;694?:1y'6d6=9l;0D?m8;I0b0>o1i3:17d7::188m7?02900c?=m:188yg2en3:1?7>50z&1e5<5k?1C>n94H3c7?l0f2900e<j8:188k75e2900qo:nf;290?6=8r.9m=4>e09K6f1<@;k?7d8n:188m<3=831b>4950;9l66d=831vn9m?:180>5<7s-8j<7<l6:J1g2=O:h>0e;o50;9j5a1=831d>>l50;9~f1e629086=4?{%0b4?4d>2B9o:5G2`68m3g=831b=i950;9l66d=831vn9mm:186>5<7s-8j<7?8b:J1g2=O:h>0(<6i:09j26<722c=57>5;h4f>5<<a>;1<75`29394?=zj=ih6=4::183\7f!4f83;<n6F=c69K6d2<,82m6<5f6283>>o113:17d8j:188m27=831d>5?50;9~f1ec290>6=4?{%0b4?70j2B9o:5G2`68 4>a281b:>4?::k5=?6=3`<n6=44i6394?=h:1;1<75rb5af>5<2290;w)<n0;34f>N5k>1C>l:4$0:e>4=n>:0;66g99;29?l0b2900e:?50;9l6=7=831vn9mi:186>5<7s-8j<7?8b:J1g2=O:h>0(<6i:09j26<722c=57>5;h4f>5<<a>;1<75`29394?=zj=n;6=4::183\7f!4f83;<n6F=c69K6d2<,82m6<5f6283>>o113:17d8j:188m27=831d>5?50;9~f1b6290>6=4?{%0b4?70j2B9o:5G2`68 4>a281b:>4?::k5=?6=3`<n6=44i6394?=h:1;1<75rb5f1>5<2290;w)<n0;34f>N5k>1C>l:4$0:e>4=n>:0;66g99;29?l0b2900e:?50;9l6=7=831vn9j<:186>5<7s-8j<7?8b:J1g2=O:h>0(<6i:09j26<722c=57>5;h4f>5<<a>;1<75`29394?=zj=lj6=4<:183\7f!4f83;oo6F=c69K6d2<,82m685f19194?=n91>1<75`22`94?=zj=l26=4<:183\7f!4f83;oo6F=c69K6d2<,82m685f19194?=n91>1<75`22`94?=zj=l36=4<:183\7f!4f83;oo6F=c69K6d2<,82m685f19194?=n91>1<75`22`94?=zj=l<6=4<:183\7f!4f83;oo6F=c69K6d2<,82m685f19194?=n91>1<75`22`94?=zj<8:6=4<:183\7f!4f83;oo6F=c69K6d2<,82m6<j4i0:0>5<<a82?6=44o31a>5<<uk?;i7>53;294~"5i90:hn5G2b58L7g33-;3j7?k;h3;7?6=3`;387>5;n00f?6=3th?i54?:283>5}#:h:1=im4H3a4?M4f<2.:4k4>d:k2<6<722c:494?::m17g<722wi9?>50;794?6|,;k;6<k?;I0`3>N5i=1/=5h51d9j5=5=831b=5:50;9j5=3=831b=5850;9l66d=831vn8?i:186>5<7s-8j<7?j0:J1g2=O:h>0(<6i:0g8m4>42900e<6;:188m4>22900e<69:188k75e2900qo;>e;291?6=8r.9m=4>e19K6f1<@;k?7)?7f;3f?l7?;3:17d?74;29?l7?=3:17d?76;29?j44j3:17pl:1e83>0<729q/>l>51d28L7e03A8j86*>8g82a>o60:0;66g>8583>>o60<0;66g>8783>>i5;k0;66sm51f94?3=83:p(?o?:0g3?M4d?2B9m95+19d95`=n9191<75f19694?=n91?1<75f19494?=h::h1<75rb42`>5<2290;w)<n0;3f4>N5k>1C>l:4$0:e>4c<a8286=44i0:7>5<<a82>6=44i0:5>5<<g;9i6=44}c73f?6==3:1<v*=a182a5=O:j=0D?o;;%3;b?7b3`;3?7>5;h3;0?6=3`;397>5;h3;2?6=3f88n7>5;|`64d<72<0;6=u+2`295`6<@;i<7E<n4:&2<c<6m2c:4>4?::k2<1<722c:484?::k2<3<722e9?o4?::\7fa0`1=83?1<7>t$3c3>4c73A8h;6F=a59'5=`=9l1b=5=50;9j5=2=831b=5;50;9j5=0=831d>>l50;9~f1c1290>6=4?{%0b4?7b82B9o:5G2`68 4>a28o0e<6<:188m4>32900e<6::188m4>12900c?=m:188yg2b=3:197>50z&1e5<6m91C>n94H3c7?!7?n3;n7d?73;29?l7?<3:17d?75;29?l7?>3:17b<<b;29?xd3m=0;684?:1y'6d6=9l:0D?m8;I0b0>"60o0:i6g>8283>>o60=0;66g>8483>>o60?0;66a=3c83>>{e=>i1<7=50;2x 7g728nh7E<l7:J1e1=#91l1=i5f19194?=n91>1<75`22`94?=zj<>i6=4<:183\7f!4f83;oo6F=c69K6d2<,82m6<j4i0:0>5<<a82?6=44o31a>5<<uk?<n7>55;294~"5i90:i=5G2b58L7g33-;3j7?j;h3;7?6=3`;387>5;h3;1?6=3`;3:7>5;n00f?6=3th>8l4?:483>5}#:h:1=h>4H3a4?M4f<2.:4k4>e:k2<6<722c:494?::k2<0<722c:4;4?::m17g<722wi9:o50;794?6|,;k;6<k?;I0`3>N5i=1/=5h51d9j5=5=831b=5:50;9j5=3=831b=5850;9l66d=831vn8:6:186>5<7s-8j<7?j0:J1g2=O:h>0(<6i:0g8m4>42900e<6;:188m4>22900e<69:188k75e2900qo;89;291?6=8r.9m=4>e19K6f1<@;k?7)?7f;3f?l7?;3:17d?74;29?l7?=3:17d?76;29?j44j3:17pl:4983>0<729q/>l>51d28L7e03A8j86*>8g82a>o60:0;66g>8583>>o60<0;66g>8783>>i5;k0;66sm4e794?5=83:p(?o?:0f`?M4d?2B9m95+19d9=>o60:0;66g>8583>>i5;k0;66sm56:94?3=83:p(?o?:0g3?M4d?2B9m95+19d95`=n9191<75f19694?=n91?1<75f19494?=h::h1<75rb464>5<2290;w)<n0;3f4>N5k>1C>l:4$0:e>4c<a8286=44i0:7>5<<a82>6=44i0:5>5<<g;9i6=44}c75=?6=;3:1<v*=a182`f=O:j=0D?o;;%3;b?7c3`;3?7>5;h3;0?6=3f88n7>5;|`67=<72:0;6=u+2`295ae<@;i<7E<n4:&2<c<6l2c:4>4?::k2<1<722e9?o4?::\7fa13>=83?1<7>t$3c3>4c73A8h;6F=a59'5=`=9l1b=5=50;9j5=2=831b=5;50;9j5=0=831d>>l50;9~f050290>6=4?{%0b4?7b82B9o:5G2`68 4>a28o0e<6<:188m4>32900e<6::188m4>12900c?=m:188yg31?3:197>50z&1e5<6m91C>n94H3c7?!7?n3;n7d?73;29?l7?<3:17d?75;29?l7?>3:17b<<b;29?xd2;?0;684?:1y'6d6=9l:0D?m8;I0b0>"60o0:i6g>8283>>o60=0;66g>8483>>o60?0;66a=3c83>>{e=?<1<7;50;2x 7g728o;7E<l7:J1e1=#91l1=h5f19194?=n91>1<75f19794?=n91<1<75`22`94?=zj<9>6=4::183\7f!4f83;n<6F=c69K6d2<,82m6<k4i0:0>5<<a82?6=44i0:6>5<<a82=6=44o31a>5<<uk?=97>55;294~"5i90:i=5G2b58L7g33-;3j7?j;h3;7?6=3`;387>5;h3;1?6=3`;3:7>5;n00f?6=3th>?94?:483>5}#:h:1=h>4H3a4?M4f<2.:4k4>e:k2<6<722c:494?::k2<0<722c:4;4?::m17g<722wi99h50;694?6|,;k;6<jj;I0`3>N5i=1/=5h5659j5=5=831b=5:50;9j5=3=831d>>l50;9~f036290>6=4?{%0b4?7b82B9o:5G2`68 4>a28=27d?73;29?l7?<3:17d?75;29?l7?>3:17b<<b;29?xd3l=0;6>4?:1y'6d6=9mi0D?m8;I0b0>"60o027d?73;29?l7?<3:17b<<b;29?xd4190;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<9383>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd41=0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<9783>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4110;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<9`83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd41j0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<9d83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4>=0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<6783>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4>10;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<6`83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4>j0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<6d83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4?90;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<7383>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd48j0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<0d83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4990;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<1383>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd49=0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<1783>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4910;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<1`83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4;10;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<3`83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4;j0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<3d83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4<90;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<4383>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4<=0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<4783>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd5lo0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl=e083>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd5m:0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl=e483>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd5m>0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl=e883>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd5mk0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl=ee83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4i10;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<7b83>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd4:=0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl<5183>7<729q/>l>51e`8L7e03A8j86*>8g8:?l7?;3:17b<<b;29?xd5n>0;6?4?:1y'6d6=9mh0D?m8;I0b0>"60o027d?73;29?j44j3:17pl;b183>1<729q/>l>51eg8L7e03A8j86*>8g82f>o60:0;66g>8583>>o60<0;66a=3c83>>{e<k;1<7:50;2x 7g728nn7E<l7:J1e1=#91l1?l5f19194?=n91>1<75f19794?=h::h1<75rb5`1>5<3290;w)<n0;3ga>N5k>1C>l:4$0:e>6g<a8286=44i0:7>5<<a82>6=44o31a>5<<uk>i?7>54;294~"5i90:hh5G2b58L7g33-;3j7=n;h3;7?6=3`;387>5;h3;1?6=3f88n7>5;|`7f1<72=0;6=u+2`295ac<@;i<7E<n4:&2<c<4i2c:4>4?::k2<1<722c:484?::m17g<722wi9?=50;794?6|,;k;6<k?;I0`3>N5i=1/=5h5189j5=5=831b=5:50;9j5=3=831b=5850;9l66d=831vn9l::187>5<7s-8j<7?ke:J1g2=O:h>0(<6i:2c8m4>42900e<6;:188m4>22900c?=m:188yg2e>3:187>50z&1e5<6ll1C>n94H3c7?!7?n39j7d?73;29?l7?<3:17d?75;29?j44j3:17pl;b683>1<729q/>l>51eg8L7e03A8j86*>8g80e>o60:0;66g>8583>>o60<0;66a=3c83>>{e=1=1<7:50;2x 7g728nn7E<l7:J1e1=#91l19o5f19194?=n91>1<75f19794?=h::h1<75rb5`;>5<3290;w)<n0;3ga>N5k>1C>l:4$0:e>6g<a8286=44i0:7>5<<a82>6=44o31a>5<<uk?><7>55;294~"5i90:i=5G2b58L7g33-;3j7o4i0:0>5<<a82?6=44i0:6>5<<a82=6=44o31a>5<<uk>2h7>55;294~"5i90:i=5G2b58L7g33-;3j7:4i0:0>5<<a82?6=44i0:6>5<<a82=6=44o31a>5<<uk>mn7>52;294~"5i90:h>5G2b58L7g33`;3>7>5;n00f?6=3th>484?:b094?6|,;k;6?6=;I0`3>N5i=1Q:?4l{68;>g<d2891=94>5;35>a<b28=1=54rn005>5=i9=21<6*=99823d=#:0i1>6*>9180?!7>9390(<7=:29'5<5=;2.:594<;%3:1?5<,83=6>5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;2h7=4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d3817d=#9m:1>6*>d081?!7??390(<67:29'5=?=;2.:4l4<;%3;f?5<,82h6>5+1e6952g<,8n>6<9n;%0;7?44i2.9494=3`9m6<4=:=1e>4=5259'6<?=::k0(<6k:29'5=c=;2.9n>4=b39'6g2=:k80b?l::368j7d12;>0(?7k:39j20<722c=:7>5;h4`>5<<a?n1<75f16594?=n:0>1<75f28794?=n9>21<75f28g94?=n:0l1<75`6683>>i103:17d:>:18'617=<91e>9>50:9j7c<72-8?=7:?;o074?7<3`9n6=4+253905=i:=:1>65f3e83>!4393>;7c<;0;18?l5d290/>9?5419m616=<21b8l4?:%075?273g8?<7;4;h6:>5<#:=;18=5a25292>=n<10;6)<;1;63?k4383=07d:8:18'617=<91e>9>58:9j03<72-8?=7:?;o074??<3`>>6=4+253905=i:=:1m65f4583>!4393>;7c<;0;`8?l24290/>9?5419m616=k21b8?4?:%075?273g8?<7j4;h1a>5<#:=;18=5a2529a>=n=80;6)<;1;73?k4383:07d:i:18'617==91e>9>51:9j0`<72-8?=7;?;o074?4<3`>o6=4+253915=i:=:1?65f4b83>!4393?;7c<;0;68?l3f290/>9?5519m616==21b944?:%075?373g8?<784;h7;>5<#:=;19=5a25293>=n=>0;6)<;1;73?k4383207d;9:18'617==91e>9>59:9j10<72-8?=7;?;o074?g<3`??6=4+253915=i:=:1n65f5283>!4393?;7c<;0;a8?l35290/>9?5519m616=l21b8o4?:%075?373g8?<7k4;hce>5<#:=;1mh5a25294>=nim0;6)<;1;cf?k4383;07dom:18'617=il1e>9>52:9jed<72-8?=7oj;o074?5<3`k26=4+2539e`=i:=:1865fa983>!4393kn7c<;0;78?lg0290/>9?5ad9m616=>21bm;4?:%075?gb3g8?<794;hc6>5<#:=;1mh5a2529<>=ni=0;6)<;1;cf?k4383307do<:18'617=il1e>9>5a:9je7<72-8?=7oj;o074?d<3`k;6=4+2539e`=i:=:1o65f9g83>!4393kn7c<;0;f8?l?b290/>9?5ad9m616=m21b5i4?:%075?gb3g8?<7h4;h;`>5<#:=;1mh5a252955=<a0h1<7*=408ba>h5<90:=65f9`83>!4393kn7c<;0;31?>o>13:1(?:>:`g8j72728907d77:18'617=il1e>9>51598m<1=83.98<4ne:l105<6=21bn;4?:%075?gb3g8?<7?9;:ka1?6=,;>:6lk4n363>41<3`h?6=4+2539e`=i:=:1=554ic194?"5<80ji6`=4182=>=nj;0;6)<;1;cf?k4383;j76gm1;29 7262ho0b?:?:0`8?ld7290/>9?5ad9m616=9j10elm50;&104<fm2d98=4>d:9je4<72-8?=7oj;o074?7b32c2:7>5$362>dc<f;>;6<h4;hf3>5<#:=;1ok5a25294>=nkl0;6)<;1;ae?k4383;07dml:18'617=ko1e>9>52:9jgg<72-8?=7mi;o074?5<3`ij6=4+2539gc=i:=:1865fc883>!4393im7c<;0;78?le?290/>9?5cg9m616=>21bo:4?:%075?ea3g8?<794;ha5>5<#:=;1ok5a2529<>=nk<0;6)<;1;ae?k4383307dm;:18'617=ko1e>9>5a:9jg6<72-8?=7mi;o074?d<3`i:6=4+2539gc=i:=:1o65fc183>!4393im7c<;0;f8?lda290/>9?5cg9m616=m21bnh4?:%075?ea3g8?<7h4;h`g>5<#:=;1ok5a252955=<aki1<7*=408`b>h5<90:=65fbc83>!4393im7c<;0;31?>oei3:1(?:>:bd8j72728907dl6:18'617=ko1e>9>51598mg>=83.98<4lf:l105<6=21bh:4?:%075?ea3g8?<7?9;:kg2?6=,;>:6nh4n363>41<3`n>6=4+2539gc=i:=:1=554ie694?"5<80hj6`=4182=>=nl:0;6)<;1;ae?k4383;j76gk2;29 7262jl0b?:?:0`8?lb6290/>9?5cg9m616=9j10enj50;&104<dn2d98=4>d:9jg7<72-8?=7mi;o074?7b32ci;7>5$362>f`<f;>;6<h4;hde>5<#:=;1jh5a25294>=nnm0;6)<;1;df?k4383;07dhl:18'617=nl1e>9>52:9jbg<72-8?=7hj;o074?5<3`;;87>5$362>4643g8?<7>4;h336?6=,;>:6<><;o074?7<3`;;=7>5$362>4643g8?<7<4;h334?6=,;>:6<><;o074?5<3`8jo7>5$362>7ge3g8?<7>4;h0be?6=,;>:6?om;o074?7<3`8j57>5$362>7ge3g8?<7<4;h0b<?6=,;>:6?om;o074?5<3`8i=7>5$362>7d73g8?<7>4;h0bb?6=,;>:6?l?;o074?7<3`8ji7>5$362>7d73g8?<7<4;h0b`?6=,;>:6?l?;o074?5<3f;:i7>5$362>47c3g8?<7>4;n32g?6=,;>:6<?k;o074?7<3f;:m7>5$362>47c3g8?<7<4;n32=?6=,;>:6<?k;o074?5<3f;:47>5$362>47c3g8?<7:4;n323?6=,;>:6<?k;o074?3<3f;::7>5$362>47c3g8?<784;n321?6=,;>:6<?k;o074?1<3f;:87>5$362>47c3g8?<764;n327?6=,;>:6<?k;o074??<3f;:>7>5$362>47c3g8?<7o4;n325?6=,;>:6<?k;o074?d<3f;;j7>5$362>47c3g8?<7m4;n33a?6=,;>:6<?k;o074?b<3f;;h7>5$362>47c3g8?<7k4;n33g?6=,;>:6<?k;o074?`<3f;;n7>5$362>47c3g8?<7??;:m24d<72-8?=7?>d:l105<6921d==750;&104<69m1e>9>51398k46?290/>9?510f8j72728907b??7;29 72628;o7c<;0;37?>i68?0;6)<;1;32`>h5<90:965`13794?"5<80:=i5a252953=<g88?6=4+253954b<f;>;6<94;n317?6=,;>:6<?k;o074?7?32e:>?4?:%075?76l2d98=4>9:9l577=83.98<4>1e9m616=9h10c<<?:18'617=98n0b?:?:0`8?j76n3:1(?:>:03g?k4383;h76a>1c83>!4393;:h6`=4182`>=h98:1<7*=40825a=i:=:1=h54o026>5<#:=;1=<j4n363>4`<3f;?<7>5$362>45a3g8?<7>4;n30a?6=,;>:6<=i;o074?7<3f;8o7>5$362>45a3g8?<7<4;n30f?6=,;>:6<=i;o074?5<3f;8m7>5$362>45a3g8?<7:4;n30=?6=,;>:6<=i;o074?3<3f;847>5$362>45a3g8?<784;n303?6=,;>:6<=i;o074?1<3f;8:7>5$362>45a3g8?<764;n301?6=,;>:6<=i;o074??<3f;887>5$362>45a3g8?<7o4;n307?6=,;>:6<=i;o074?d<3f;8=7>5$362>45a3g8?<7m4;n304?6=,;>:6<=i;o074?b<3f;9j7>5$362>45a3g8?<7k4;n31a?6=,;>:6<=i;o074?`<3f;9h7>5$362>45a3g8?<7??;:m26f<72-8?=7?<f:l105<6921d=?l50;&104<6;o1e>9>51398k44f290/>9?512d8j72728907b?=9;29 726289m7c<;0;37?>i6:10;6)<;1;30b>h5<90:965`15594?"5<80:?k5a252953=<g8>=6=4+253956`<f;>;6<94;n371?6=,;>:6<=i;o074?7?32e:894?:%075?74n2d98=4>9:9l515=83.98<4>3g9m616=9h10c<:=:18'617=9:l0b?:?:0`8?j7393:1(?:>:01e?k4383;h76a>3e83>!4393;8j6`=4182`>=h9:81<7*=40827c=i:=:1=h54o004>5<#:=;1=>h4n363>4`<3f;?h7>5$362>42d3g8?<7>4;n37f?6=,;>:6<:l;o074?7<3f;?m7>5$362>42d3g8?<7<4;n37=?6=,;>:6<:l;o074?5<3f;>>7>5$362>4363g8?<7>4;n364?6=,;>:6<;>;o074?7<3f;?j7>5$362>4363g8?<7<4;n37a?6=,;>:6<;>;o074?5<3th>9?4?:583>5}#:h:1=ih4H3a4?M4f<2.:4k464:k2<6<722c:494?::k2<0<722e:h54?::\7fa172=8391<7>t$3c3>4bc3A8h;6F=a59'5=`=9j1b=5=50;9j5=2=831d=i650;9~w2c=83;=wS9j;<113?0>34999786;<112?0>34994786;<11=?0>3499m786;<11f?0>3499o786;<11`?0>348m5786;<0ee?0>348mn786;<0eg?0>348mh786;<0ea?0>348mj786;<134?0>349;=786;<6b<?0f34>i578n;<6g0?44j2wx=:k50;5xZ2b<V8=n70;:6;0;5>;3l<0:495255d95=2<5<?;6<6;;<6:`?7?>2wx:o4?:52xZ3d<5<296;=4=4:7>35<5<2:6;=4=4:0>35<5:ki6;=4=2c:>35<5:kj6;=4=2c`>35<5:ko6;=4=2cf>35<5:km6;=4=2`3>35<5:h:6;=4=25e>35<5:=o6;=4=25f>35<5:2;6;=4=2:2>35<5:296;=4=2:0>35<5:2?6;=4=2:6>35<5:8<6;=4=206>35<5:8=6;=4=20;>35<5:826;=4=20b>35<5:8i6;=4=20`>35<5:8o6;=4=272>35<5:?96;=4=270>35<5:??6;=4=276>35<5:?=6;=4=274>35<5:?36;=4=27:>35<5;l26;=4=3db>35<5;li6;=4=3d`>35<5;lo6;=4=3df>35<5;lm6;=4=223>35<5:::6;=4=406>35<5<?=6;=4=45e>35<5=ii6;=4=5a`>35<5=io6;=4=5af>35<5=im6;=4=5f3>35<5=n:6;=4=5f1>35<5=n86;=4=4:6>3e<5<2>6;j4}r0:4?6=99qU>4>4=5f7>4>434>i<7?74:?7f4<60=168o<5196891d4282?70:m4;3;0>;3j<0:49524c495=2<5=h<6<6;;<7;3?7?<27?n54>859>106=91<0197k:0:7?832:3;3?63:2582<1=z{;2=6=4n8z\6`>X5j>1U>l<4^4a8Z75c3W8j96P=429]634<V;i97S<l0:\1fc=Y:ko0R?lk;_0ag>X5jk1U>oo4^3`:?[4?n2T94i5Q29a8Z7>e3W83m6P=889]6=><V;2<7S<76:?1g=<6091695<5709>1=2=?816?5h5949>7<7=1<16?4=5949>7<3=1<16?495949>7<?=1<16?4l5949>7<b=1<16?;=5949>733=1<16?;95949>73?=1<16?;l5949>73b=1<16?;h5949>727=1<16?=l5949>75b=1<16?=h5949>747=1<16?<=5949>743=1<16?<95949>74?=1<16?>95949>76?=1<16?>l5949>76b=1<16?>h5949>717=1<16?9=5949>713=1<16>ik5949>6`6=1<16>h<5949>6`2=1<16>h85949>6`>=1<16>ho5949>6`e=1<169?o5949>17d=1<169?m5949>17b=1<169?k5949>16b=1<169>k5949>16`=1<1699>5949>117=1<1698l5949>10e=1<1698j5949>10c=1<1698h5949>13c=1<169;h5949>126=1<169:?5949>124=1<169:h5709>0ag=1<168il5949>0ae=1<168ij5949>0ac=1<168hj5949>0`c=1<168hh5949>0c6=1<168k?5949>156=1<169=?5949>154=1<169==5949>152=1<169<=5949>142=1<169<;5949>140=1<169<95949>1=3=><1695;5679>1=3=:0>0186::3;6?83?=3>:70;75;66?83?=3>?70;75;60?83?=3>970;75;1a?83?=3?:70;75;76?83?=3??70;75;70?83?=3?970;75;6a?83?=3n;70;75;af?83?=3ih70;75;aa?83?=3ij70;75;a:?83?=3i370;75;a4?83?=3i=70;75;a6?83?=3i?70;75;a0?83?=3i:70;75;a3?83?=3hm70;75;`f?83?=3ho70;75;``?83?=3hi70;75;`b?83?=3h270;75;`;?83?=3n<70;75;f5?83?=3n>70;75;f7?83?=3n870;75;f1?83?=3n:70;75;ag?83?=3i970;75;`4?83?=3lm70;75;d`?83?=3;;863:848247=:=1?1==?4=4:6>46734?397<m1:?6<0<5io1695;52`g890>22;ko7p}=9783>0}Y:0<0186=:361?83?<38?>63:808107=:=191>9<4}r342?6=9;qU;n5Q164890422;2:70:k4;3;0>;3j90:48524c395=3<5=h96<6:;<6a7?7?=27?n94>849>175=91>019l::0:6?82e>3;3963;b682<0=:=1=1=5;4=5`;>4>234?><7?75:?7=a<60<1698<51968yv1a2909>vP8f:?0eg<11278m4499:?0ed<11278mn499:?0ea<11278mh499:?0ec<11278n=499:?0f4<11278;k499:?03a<11278;h499:?0<5<112784<499:?0<7<112784>499:?0<1<1127848499:?014<112789?499:?016<1127899499:?010<112789;499:?012<1127895499:?01<<1127?h84=3c9>175=91?0186::3c`?83?=38jm63:8481e<=:=1?1>l64}r0`7?6=<rT9o>524e795=5<5<?;6<6<;<6:`?7?;2wx;o4?:2y]3g=:<m91>5?4=5`;>4>43ty<57>54z\4=>;3io02963;d381<4=:<k=1=5=4}r5;>5<3sW=370:ne;;6?82c9383=63;b782<6=z{>=1<7:t^65891gc20?019j?:3:2?82e=3;3?6s|7783>1}Y??168lm5949>0f`=:1;019l;:0:0?xu0=3:18vP85:?7eg<>=27?oh4=809>0g5=9190q~9;:187\7f[1334>jm77:;<6``?4?927?n?4>829~w25=83>pR:=4=5c:><3<5=ih6?6>;<6a5?7?;2wx;?4?:5y]37=:<h2158524b`96=7<5=h;6<6<;|q:7?6=jrT?<95Q41g8Z<5<5:?26?6>;<6f<?7?;27>8o4>829>13?=919018=7:0:0?85283;3?63;fc82<7=:=1?1?k5rs8394?d|V=:97S:?c:\:5>;4=1094<524gc95=2<5=o<6<6:;<77e?7?=27>:54>849>161=91?01>:9:0:0?83?=39n7p}60;29f~X3881U8=l4^82896302;2:70:ia;3;7>;3m>0:4>5255c95=5<5<<36<6<;<703?7?;278894>829>1=3=;m1v\7f5h50;`xZ1673W>;m6P7f:?013<508168k75196891c1282>70;;9;3;1>;2>>0:485252495=3<5:>96<6<;<7;1?5d3ty3i7>5bz\0bc=Y<930R5k4=276>7>634>m57?73:?7a3<60:16997519189000282870;<6;3;7>;4<90:4>5259790d=z{1n1<7lt^2df?[2702T3h63<5581<4=:<o21=5:4=5g6>4>234??47?75:?623<60<169>;51978965b282870;75;6:?xu?k3:1nvP<fe9]051<V1i01>;<:3:2?82a03;3?63;e482<6=:==21=5=4=445>4>434?897?73:?07f<60:1695;5499~w=d=83hpR>hl;_632>X?j2789?4=809>0c1=91>019k;:0:6?833?3;3963:6482<0=:=:>1=5;4=21b>4>434?397:8;|q;e?6=jrT8jo5Q4178Z=g<5:?:6?6>;<6e3?7?;27?i94>829>111=9190188::0:0?834<3;3?63<3982<6=:=1?18;5rs2f1>5<2sW9o>63<2e81<4=:<l21=5:4=46a>4>3349987?73:\7fp7a6=83?pR>j?;<11g?4?927?i:4>879>11g=91<01>?n:0:0?xu4ko0;68uQ3bd8964e2;2:70:j7;3;0>;2<h0:495230:95=5<uz9hi7>55z\0g`=:;;k1>5?4=5g5>4>134??57?76:?053<60:1v\7f>mk:186\7f[5dl278>44=809>0`0=91>018:6:0:7?856<3;3?6s|3ba94?3|V:ih70==8;0;5>;3m<0:4;5255:95=0<5:;96<6<;|q0gg<72<qU?nl4=204>7>634>n97?74:?60=<60=16?<>51918yv5di3:19vP<c`9>770=:1;019k;:0:5?833?3;3:63<0d82<6=z{:i26=4:{_1`=>;4:<094<524d695=2<5<><6<6;;<13g?7?;2wx444?:cy]7ae<V:o=7S66;<135?4?927>><4>859>15c=91>0189l:0:7?83113;3863:3982<1=::o=1=5=4=4:6>1`<uz2<6=4m{_1ge>X4m=1U4:5231296=7<5<8;6<69;<73`?7?>27>;o4>879>13>=91<018=8:0:5?84bl3;3?63:8487a>{t0?0;6ouQ3e;8Z6c43W2=70<if;0;5>;2:90:495251f95=2<5<=i6<6;;<75<?7?<27>?:4>859>6`d=9190186::5f8yv>2290iwS=k8:\0a7=Y0<16>kk52938907a282=70;?c;3;2>;2?h0:4;5257595=0<5<9=6<69;<0f=?7?;27>484;c:\7fp<1<72kqU?i94^2g2?[>3348mh7<71:?65c<60=169=m51968901f282?70;97;3;0>;2;?0:49522d595=5<5<2>68o4}r:0>5<esW9o:6P<e19]<6=::oi1>5?4=43f>4>134?;n7?76:?63<<60?169;8519489052282=70<j5;3;7>;20<0>56s|8383>g}Y;m?0R>ji;_:1?84aj383=63:1d82<1=:=9h1=5:4=45:>4>334?=:7?74:?670<60=16>h=5191890>22<20q~6>:18a\7f[5c<2T8hh5Q809>6cg=:1;018?k:0:5?837i3;3:63:7982<3=:=??1=584=417>4>1348n=7?73:?6<0<2?2wx4=4?:cy]7a5<V:no7S6?;<0e=?4?927>=i4>859>15g=91>01897:0:7?831=3;3863:3582<1=::ml1=5=4=4:6>00<uz9m<7>55z\0b5=:;1?1>5?4=42f>4>434?<o7?73:?03f<60:1v\7f>kj:186\7f[5bm278494=809>15b=91?0189m:0:6?850:3;3?6s|3df94?3|V:oo70=73;0;5>;28m0:4>5256`95=5<5:=;6<6<;|q0af<72<qU?hm4=2:1>7>634?;o7?75:?63d<60<16?;k51918yv5bj3:19vP<ec9>7=7=:1;018>l:0:0?830i3;3?63<6b82<6=z{:oj6=4:{_1fe>;409094<5251`95=3<5<=26<6:;<15e?7?;2wx?h750;7xZ6c>349<j7<71:?64g<60:169:751918960?28287p}<e983>0}Y;l201>9j:3:2?837i3;3963:7982<0=:;?<1=5=4}r1f3?6==rT8i:5236f96=7<5<:j6<6<;<74<?7?;278:94>829~w6`f290?wS=ia:?0f4<508169??5191896g?28287p}<f983>1}Y;o201>l?:3:2?83583;3963<9d82<6=z{:l<6=4;{_1e3>;4io094<5253295=5<5:3h6<6<;|q0b3<72=qU?k84=2cf>7>634?:j7?75:?0=d<60:1v\7f>h::187\7f[5a=278mi4=809>14`=91901>77:0:0?xu4n=0;69uQ3g6896gd2;2:70;>e;3;1>;41?0:4>5rs2d0>5<3sW9m?63<ac81<4=:=8o1=5=4=2;7>4>43ty8j?4?:5y]7c4<5:kj6?6>;<72`?7?=2785?4>829~w6`6290?wS=i1:?0e<<508169<j5191896?728287p}>6d83>7}Y9?o0186::063?xu6>j0;6?uQ17a890>2289n7p}>6c83>7}Y9?h0186::01`?xu6>h0;6?uQ17c890>2289i7p}>6983>7}Y9?20186::01b?xu6>>0;6?uQ175890>228927p}>6783>7}Y9?<0186::01;?xu6><0;6?uQ177890>2289<7p}>6583>7}Y9?>0186::073?xu6>:0;6?uQ171890>2289=7p}>6383>7}Y9?80186::016?xu6>80;6?uQ173890>2289?7p}>6183>7}Y9?:0186::010?xu6=o0;6?uQ14d890>2289:7p}>5e83>7}Y9<n0186::013?xu6=j0;6?uQ14a890>2288m7p}>5c83>7}Y9<h0186::00f?xu6=h0;6?uQ14c890>2288o7p}>5883>7}Y9<30186::00`?xu6=10;6?uQ14:890>2288i7p}>5683>7}Y9<=0186::00b?xu6=?0;6?uQ144890>228827p}>5483>7}Y9<?0186::00;?xu6==0;6?uQ146890>228><7p}>7483>7}Y9>?0186::065?xu6?=0;6?uQ166890>228>n7p}>7283>7}Y9>90186::066?xu6?;0;6?uQ160890>228>?7p}>7083>7}Y9>;0186::060?xu6?90;6?uQ162890>228>97p}>6g83>7}Y9?l0186::062?xu6>00;6?uQ17;890>2289o7p}>5d83>7}Y9<o0186::011?xu6=:0;6?uQ141890>2288<7p}i3;296~Xa;27>484nf:\7fpb4<72;qUj<525979ea=z{o:1<7<t^g2890>22hh0q~ki:181\7f[ca34?397on;|qf`?6=:rTnh63:848b=>{tmj0;6?uQeb9>1=3=i11v\7fhl50;0xZ`d<5<2>6l94}rgb>5<5sWoj70;75;c5?xub13:1>vPj9:?6<0<al2wxi54?:3y]a==:=1?1m85rsd594?4|Vl=0186::`68yvc12909wSk9;<7;1?g43tyn97>52z\f1>;20<0j>6s|e583>7}Ym=1695;5a19~w`4=838pRh<4=4:6><`<uzo:6=4={_g2?83?=33n7p}j0;296~Xb827>4846d:\7fp`c<72;qUhk525979=f=z{mo1<7<t^eg890>220h0q~jk:181\7f[bc34?3977n;|qgg?6=:rToo63:848:=>{tlk0;6?uQdc9>1=3=111v\7fio50;0xZag<5<2>6494}rf:>5<5sWn270;75;`5?xuai3:1>vPia:?6<0<e=2wxj44?:3y]b<=:=1?1jo5rsg:94?4|Vo20186::c68yv`02909wSh8;<7;1?d43tym:7>52z\e2>;20<0i>6s|f483>7}Yn<1695;5b09~wc2=838pRk:4=4:6>g6<uzon6=4={_gf?83?=3kh7p}j3;296~Xb;27>484n1:\7fp`=<72;qUh5525979=3=z{;l36=4>4z?1g<<5;l16?5h56`9>7<`=>h16?;=56`9>725=>h16?=l56`9>74d=>h16?>956`9>711=>h16>ik56`9>6`c=>h169?o56`9>16b=>h1698l56`9>13c=>h168io56`9>0`b=>h169=>56`9>145=>h1695;51658yv3?93:1>v3:8385=>;208094<5rs4:1>5<58r7>4?4=809>1=7=?816??956d9>773=:=801><9:7g8964?2?o01><6:7g8964f2?o01><m:7g8964d2?o01><k:7g897`>2?o01?hn:7g897`e2?o01?hl:7g897`c2?o01?hj:7g897`a2?o01>>?:7g896662?o018<::361?82dj3<n70:lc;4f?82dl3<n70:le;4f?82dn3<n70:k0;4f?82c93<n70:k2;4f?82c;3<n70;77;3;7>;20<095k5rs4:0>5<5s4?38786;<7;7?4?92wx95:50;02\7f83?<383=63:82845>;4ik0=i63<a885a>;4ih098?523`a92`=:;hn1:h523`g92`=:;hl1:h523c292`=:;k;1:h5236d92`=:;>n1>9<4=25f>3c<5:2;6;k4=2:2>3c<5:296;k4=2:0>3c<5:2?6;k4=2:6>3c<5:?:6;k4=271>3c<5:?86;k4=277>3c<5:?>6;k4=275>3c<5:?<6;k4=27;>3c<5:?26;k4=475>72534?<j7<;2:?60c<60<1695;528g8yv5>83:1?v3<8g81=2=:;0l1=i94=2;3>75e3ty84k4?:2y>7=`=::h01>7>:7c896g72?k0q~=76;296~;41o09?o523`;934=z{:396=4<{<1:5?4>?278m=4>d69>7<4=::h0q~=61;297~;41809?o5238192d=:;h;1:l5rs2:4>5<5s49j<7<<b:?0ed<092wx?4:50;1x96?42;3<70=n1;3g3>;41=09?o5rs2;0>5<4s492?7<<b:?0=0<1i278m?49a:\7fp7=>=838p1>o>:31a?85fj3=:7p}<9783>6}:;0?1>494=2c1>4b03492:7<<b:\7fp7<3=839p1>7::31a?85>?3<j70=n3;4b?xu4000;6?u23`0966d<5:kh6:?4}r1:<?6=;r785:4=969>7d5=9m=01>77:31a?xu41>0;6>u2385966d<5:326;o4=2c7>3g<uz93m7>52z?0e6<5;k16?lj5709~w6?f2908w0=69;0:3>;4i=0:h:5238c966d<uz9257>53z?0=<<5;k16?4l56`9>7d3=>h1v\7f>6m:181\7f85f<388n63<ad845>{t;0i1<7=t=2;a>7?0349j97?k7:?0=f<5;k1v\7f>7m:180\7f85>j388n63<9e85e>;4i?0=m6s|39a94?4|5:k>6?=m;<1bb?163ty85h4?:2y>7<b=:0=01>o9:0f4?85>m388n6s|38f94?4|5:3o6?=m;<1b3?0f3ty84i4?:3y>7d0=::h01>l?:638yv5f03:1>v3<a682`2=:;h21>>l4}r1;a?6=:r78m:4=3c9>7g7=?81v\7f>8;:180\7f851;382;63<7282`2=:;?>1>>l4}r157?6=;r78:>4=3c9>733=>h16?::56`9~w63f2909w0=83;00f>;4?m0<=6s|37494?5|5:<>6?78;<140?7c?278:;4=3c9~w6022908w0=95;00f>;4>>0=m63<7485e>{t;<h1<7<t=257>75e349<i79>;|q02=<72:q6?;952858961228n<70=98;00f>{t;?=1<7=t=244>75e349=578n;<142?0f3ty89n4?:3y>723=::h01>9i:638yv51i3:1?v3<6881=2=:;><1=i94=24b>75e3ty8:44?:2y>73?=::h01>8m:7c896102?k0q~=:d;296~;4??09?o52392934=z{:<h6=4<{<15f?4>?278;:4>d69>73e=::h0q~=9b;297~;4>k09?o5237f92d=:;>21:l5rs27f>5<5s49<;7<<b:?0<4<092wx?;k50;1x960c2;3<70=88;3g3>;4>l09?o5rs24g>5<4s49=h7<<b:?02c<1i278;449a:\7fp70`=838p1>97:31a?85?:3=:7p}<7183>6}:;?l1>494=25:>4b0349<<7<<b:\7fp73`=839p1>8i:31a?85093<j70=8a;4b?xu4>90;6?u236;966d<5:286:?4}r146?6=;r78;<4=969>72g=9m=01>9=:31a?xu4?80;6?u2363966d<5:=i6;o4}r155?6=:r78;l4=3c9>7=2=?81v\7f>9l:181\7f850j3;o;63<7b817g=z{:<96=4={<14f?44j27848481:\7fp75e=839p1>>m:3;4?856j3;o;63<0b817g=z{::i6=4<{<13f?44j278<i49a:?05f<1i2wx?=<50;0x967e2;9i70==5;52?xu48l0;6>u231f96<1<5:;h6<j8;<13a?44j2wx?=j50;1x966c2;9i70=?f;4b?856l3<j7p}<0283>7}:;8i1>>l4=205>27<uz9:<7>53z?04c<51>16?<j51e5896772;9i7p}<0g83>6}:;9l1>>l4=232>3g<5:;n6;o4}r130?6=:r78=i4=3c9>771=?81v\7f>?=:180\7f8569382;63<1d82`2=:;881>>l4}r125?6=;r78=<4=3c9>745=>h16?<h56`9~w6622909w0=>e;00f>;4:10<=6s|30694?5|5:;86?78;<12b?7c?278=94=3c9~w6742908w0=>3;00f>;49<0=m63<2185e>{t;9<1<7<t=23e>75e3499579>;|q053<72:q6?<;52858964728n<70=>6;00f>{t;8?1<7=t=236>75e349:;78n;<115?0f3ty8<:4?:3y>776=::h01><n:638yv5603:1?v3<1681=2=:;;;1=i94=23;>75e3ty8=:4?:2y>741=::h01>?6:7c896452?k0q~=?8;296~;4:809?o5233`934=z{:;j6=4<{<12=?4>?278>?4>d69>74g=::h0q~=>9;296~;49009?o5233192d=z{::26=4={<116?44j278>n481:\7fp772=838p1><<:0f4?855<388n6s|31c94?4|5:886?=m;<11`?163ty8?54?:2y>761=:0=01>:8:0f4?8540388n6s|32594?5|5:9<6?=m;<10=?0f349?478n;|q06`<72;q6?99522`896362>;0q~=<a;297~;4;0095:5235:95a1<5:9j6?=m;|q07<<72:q6?>7522`8965e2?k01>:6:7c8yv55n3:1>v3<49817g=:;<81;<5rs21`>5<4s498n7<67:?00<<6l>16?>m522`8yv54j3:1?v3<3c817g=:;:n1:l5235c92d=z{:9;6=4={<17=?44j2789>481:\7fp76c=839p1>=k:3;4?853i3;o;63<3d817g=z{:9o6=4<{<10`?44j278?k49a:?00g<1i2wx?>?50;0x962f2;9i70=:4;52?xu4<90;6>u232d96<1<5:>i6<j8;<174?44j2wx?>h50;1x965a2;9i70=;1;4b?853k3<j7p}<3383>7}:;=h1>>l4=276>27<uz9?>7>53z?004<51>16?9m51e5896252;9i7p}<4083>6}:;=;1>>l4=260>3g<5:>o6;o4}r107?6=:r788n4=3c9>700=?81v\7f>:;:180\7f853;382;63<4e82`2=:;=>1>>l4}r177?6=;r788>4=3c9>713=>h16?9k56`9~w6532909w0=;d;00f>;4=>0<=6s|35494?5|5:>>6?78;<17a?7c?2788;4=3c9~w6222909w0=;5;00f>;4<o0=m6s|32794?4|5:>n6?=m;<16<?163ty89=4?:3y>71`=9m=01>;?:31a?xu4;?0;6?u235d966d<5:?26:?4}r0gb?6=;r79hh4=969>6`c=9m=01?ji:31a?xu5ll0;6>u22eg966d<5;o;6;o4=3ge>3g<uz8o97>52z?1a`<5;k16>k75709~w7c62908w0<j0;0:3>;5mo0:h:522d3966d<uz8n<7>53z?1a5<5;k16>h<56`9>6c6=>h1v\7f?j9:181\7f84bn388n63=f`845>{t:l91<7=t=3g1>7?0348m<7?k7:?1a6<5;k1v\7f?k=:180\7f84b:388n63=e585e>;5n80=m6s|2e594?4|5;l;6?=m;<0ef?163ty9i84?:2y>6`2=:0=01?h>:0f4?84b=388n6s|2d694?5|5;o?6?=m;<0f2?0f348m>78n;|q1`=<72;q6>k?522`897`d2>;0q~<j7;297~;5m?095:522g095a1<5;o<6?=m;|q1a3<72:q6>h8522`897c?2?k01?h<:7c8yv4c13:1>v3=f3817g=::on1;<5rs3g:>5<4s48n47<67:?1b6<6l>16>h7522`8yv4b03:1?v3=e9817g=::lk1:l522g692d=z{;nj6=4={<0e7?44j279jh481:\7fp6`d=839p1?kn:3;4?84a<3;o;63=ec817g=z{;oj6=4<{<0fe?44j279in49a:?1b0<1i2wx>il50;0x97`32;9i70<if;52?xu5mm0;6>u22da96<1<5;l>6<j8;<0f`?44j2wx>hm50;0x97cd2;9i70<i6;4b?xu5lj0;6?u22g7966d<5::;6:?4}r0e3?6=:r79j;4>d69>6c1=::h0q~<kd;296~;5n?09?o52313934=z{<8m6=4={<71e?4>?27>?94=3c9~w0412909w0;=a;00f>;2:k0=m6s|52294?4|5<8i6?78;<701?44j2wx9?950;0x904e2;9i70;=c;4b?xu2;80;6?u253a96<1<5<9=6?=m;|q66=<72;q69?m522`8904c2?k0q~;<2;296~;2:m095:52525966d<uz?957>52z?66a<5;k169?k56`9~w0542909w0;=e;0:3>;2;109?o5rs46`>5<5s4?9i7<<b:?666<60:1v\7f8:=:181\7f834l382;63:46817g=z{<926=4={<70`?44j27>?h49a:\7fp115=838p18=j:3;4?8330388n6s|52c94?4|5<9n6?=m;<70b?0f3ty>894?:3y>16`=:0=018:6:31a?xu2;k0;6?u252d966d<5<>;6;o4}r771?6=:r7>8=4=969>11g=::h0q~;<c;296~;2<909?o5255392d=z{<>=6=4={<775?4>?27>8o4=3c9~w02c2909w0;;1;00f>;2:=0:4>5rs401>5<5s4?9979>;<717?44j2wx9;>50;0x903e2;3<70;95;00f>{t=<=1<7<t=47a>75e34?>o78n;|q624<72;q698m5285890012;9i7p}:5983>7}:=<i1>>l4=47g>3g<uz?=>7>52z?61a<51>169;9522`8yv3213:1>v3:5e817g=:=<o1:l5rs440>5<5s4?>i7<67:?62=<5;k1v\7f8;n:181\7f832m388n63:5g85e>{t=?>1<7<t=47e>7?034?=57<<b:\7fp12b=838p18;i:31a?832:3;396s|56194?4|5<<n6?78;<74<?44j2wx9;o50;0x900b2;9i70;9f;4b?xu2?=0;6?u257d96<1<5<=26?=m;|q62g<72;q69;h522`890172?k0q~;85;296~;2?9095:5256c966d<uz?=o7>52z?635<5;k169:?56`9~w0112909w0;81;0:3>;2?k09?o5rs44g>5<5s4?<=7<<b:?637<1i2wx9:950;0x90152;3<70;8c;00f>{t=>o1<7<t=451>75e34?>=7?73:\7fp11c=838p18;9:63890362;9i7p}:7g83>7}:=>l1>5?4=46e>4>43ty?hk4?:3y>0ag=:0=019k;:31a?xu3l?0;6?u24ec966d<5=ni6;o4}r6f4?6=:r7?ho4=969>0`3=::h0q~:k7;296~;3lk09?o524ea92d=z{=o:6=4={<6gg?4>?27?i;4=3c9~w1b?2909w0:kc;00f>;3lm0=m6s|4d094?4|5=no6?78;<6f3?44j2wx8i750;0x91bc2;9i70:ke;4b?xu3m:0;6?u24eg96<1<5=o36?=m;|q7b7<72;q68hj5285891`02;9i7p};e883>7}:<ln1>>l4=5gf>3g<uz>m?7>52z?7a`<51>168k6522`8yv2bi3:1>v3;ed817g=:<ll1:l5rs5d7>5<5s4>nj7<67:?7b<<5;k1v\7f9km:181\7f82bn388n63;f185e>{t<o?1<7<t=5d3>7?034>mm7<<b:\7fp0`e=838p19h?:31a?82a93<j7p};f783>7}:<o;1>494=5da>75e3ty><84?:3y>156=:0=018>n:31a?xu3nj0;6?u2512966d<5<::6;o4}r732?6=:r7><<4=969>15d=::h0q~:id;296~;28809?o5251092d=z{<:<6=4={<736?4>?27><n4=3c9~w1`b2909w0;?2;00f>;28:0=m6s|51:94?4|5<:86?78;<73`?44j2wx8kh50;0x90642;9i70;?4;4b?xu2800;6?u251696<1<5<:n6?=m;|q65=<72;q69<=52858907c2;9i7p}:0g83>7}:=891>>l4=437>3g<uz?:57>52z?651<51>169<k522`8yv3683:1>v3:15817g=:=8?1:l5rs43b>5<5s4?:97<67:?65c<5;k1v\7f8?>:181\7f836=388n63:1785e>{t=8h1<7<t=435>7?034?9<7<<b:\7fp144=838p18?9:31a?836?3<j7p}:1b83>7}:=8=1>494=402>75e3ty?o>4?:2y>0d>=:0=019l6:0f4?82e8388n6s|4`:94?5|5=k36?=m;<6b=?0f34>im78n;|q7=c<72;q68o7522`891ee2>;0q~:l4;297~;3i0095:524cc95a1<5=h:6?=m;|q7e<<72:q68l7522`891gf2?k019lm:7c8yv2f83:1>v3;b`817g=:<ji1;<5rs5a6>5<4s4>jm7<67:?7fg<6l>168o<522`8yv2fi3:1?v3;a`817g=:<hh1:l524ca92d=z{=k:6=4={<6af?44j27?oi481:\7fp0f0=839p19om:3;4?82ek3;o;63;b2817g=z{=ki6=4<{<6bf?44j27?mn49a:?7fa<1i2wx8l<50;0x91dd2;9i70:le;52?xu3k>0;6>u24`a96<1<5=ho6<j8;<6a0?44j2wx8lm50;1x91gd2;9i70:nd;4b?82em3<j7p};a283>7}:<kn1>>l4=5ae>27<uz>h47>53z?7ea<51>168ok51e5891d22;9i7p};ae83>6}:<hn1>>l4=5cf>3g<5=hm6;o4}r6b0?6=:r7?nh4=3c9>0a6=?81v\7f9m6:180\7f82fm382;63;bg82`2=:<k<1>>l4}r6ba?6=;r7?mh4=3c9>0d`=>h168n>56`9~w1g22909w0:mf;00f>;3l80<=6s|4bc94?5|5=km6?78;<6`4?7c?27?n:4=3c9~w1ga2909w0:nf;00f>;3k80=m6s|4`494?4|5=i;6?=m;<6g6?163ty?o?4?:3y>0f7=9m=019l7:31a?xu3i>0;6?u24b3966d<5=n86:?4}r6:a?6=ir7?oo499:?7gf<1127?oi499:?7g`<1127?ok499:?7`5<1127?h<499:?7`7<1127?h>499:?7=a<5;k1v\7f8;::181\7f833n388n63:5082<3=z{<?86=4={<765?7?<27>9=4=3c9~w0332909w0;:1;3;1>;2=;0:h55rs311>5<5s4?9?7?76:?661<6l11v\7f869:181\7f83??388n63:84823==zuk8h57>51;294~"5i909m;5G2b58L7g33f88i7>5;|q1`1<72;qU>i:4=3c2>3d<uz<:>7>52z\557=::h;1>4>4}r421?6=;rT8o55Q607897e?282;7p}=d083>7}Y:m;01?o>:4f8yv06<3:1>vP9159>6d7=:0<0q~8>9;296~X19016>l?52c58yv4c;3:1>vP=d29>6d7=>91v\7f97n:181\7f[2>i279m<4>779~w34b2909wS8=e:?1e4<5k:1v\7f;?8:181\7f[06?279m<4=a39~w7b72909wS<k0:?1e4<2k2wx9kk50;0xZ0`b348j=7<75:\7fp1=?=838pR866;<0b5?44l2wx:<=50;0xZ374348j=7<61:\7fp24>=838pR;?7;<0b5?4f=2wx95>50;0xZ0>7348j=7?8e:\7fp1=g=838pR86n;<0b5?43;2wx:<o50;0xZ37f348j=7<m8:\7fp1f2=838pR8m;;<0b5?41:2wx>i<50;0xZ7b5348j=7;i;|q56c<72;qU:?h4=3c2>7e33ty==;4?:3y]240<5;k:6?7m;|q0g2<72;qU?oj4=3c2>2d<uz9h97>52z\0ff=::h;1;45rs2a7>5<5sW9in63=a084<>{t;j91<7<t^2`b?84f93=<7p}<c383>7}Y;k301?o>:648yv5d93:1>vP<b99>6d7=?<1v\7f>m?:181\7f[5e?279m<484:\7fp7g`=838pR>l9;<0b5?143ty8nh4?:3y]7g3<5;k:6:<4}r41`?6=:rT=>>522`396f4<uz<9n7>52z\567=::h;1>n>4}r41e?6=:rT=><522`396g`<uz<957>52z\565=::h;1>ok4}r41<?6=:rT==k522`396gb<uz<9;7>52z\55`=::h;1>om4}r412?6=:rT==i522`396gd<uz<997>52z\55f=::h;1>oo4}r410?6=:rT==o522`396g?<uz>2=7>52z\72c=::h;1=;k4}r6;b?6=:rT?:h522`3953e<uz>3i7>52z\72a=::h;1=;l4}r6;`?6=:rT?:n522`3953g<uz>3n7>52z\72d=::h;1=;64}r6;e?6=:rT?:4522`39531<uz>357>52z\72==::h;1=;84}r6;<?6=:rT?::522`39533<uz>3;7>52z\723=::h;1=;:4}r6;2?6=:rT?:8522`39535<uz>397>52z\721=::h;1=;<4}r6;0?6=:rT?:>522`39537<uz>3?7>52z\727=::h;1=;>4}r6;6?6=:rT?:<522`3950`<uz>3<7>52z\71c=::h;1=8j4}r64b?6=:rT?9h522`3950e<uz><i7>52z\71a=::h;1=8l4}r64`?6=:rT?9n522`3950g<uz><o7>52z\71g=::h;1=874}r64f?6=:rT?9l522`3950><uz><m7>52z\71<=::h;1=894}r64=?6=:rT?95522`39500<uz><47>52z\712=::h;1=8;4}r643?6=:rT?9;522`39502<uz>247>52z\730=::h;1=:;4}r6:3?6=:rT?;9522`39522<uz>2:7>52z\736=::h;1=:=4}r6:1?6=:rT?;?522`39524<uz>287>52z\734=::h;1=:?4}r6:7?6=:rT?;=522`39526<uz>2>7>52z\72g=::h;1=;h4}r6;g?6=:rT?:=522`3953?<uz>3=7>52z\710=::h;1=8k4}r642?6=:rT?99522`39505<uz<:=7>52z\542=::h;1>5h4}r43b?6=:rT=<;522`396=b<uz<;i7>52z\540=::h;1>5m4}r43`?6=:rT=<9522`396=d<uz<;o7>52z\546=::h;1>5o4}r43f?6=:rT=<?522`396=?<uz<;m7>52z\544=::h;1>564}r43=?6=:rT=<=522`396=1<uz<;47>52z\6bc=::h;1>584}r7a4?6=:rT>m;522`39601<uz?ji7>52z\6e0=::h;1>8;4}r7b`?6=:rT>m9522`39602<uz?jo7>52z\6e6=::h;1>8=4}r7bf?6=:rT>m?522`39604<uz?jm7>52z\6e4=::h;1>8?4}r7b=?6=:rT>m=522`39606<uz?j47>52z\6=c=::h;1>9h4}r7b3?6=:rT>5h522`3961c<uz>?o7>52z\76d=::h;1j>5rs56b>5<5sW>9563=a08e5>{t<=31<7<t^50;?84f93l;7p};4983>7}Y<;=01?o>:dd8yv23>3:1>vP;249>6d7=mm1v\7f9:::181\7f[25<279m<4jc:\7fp012=838pR9<<;<0b5?ce3ty?8>4?:3y]074<5;k:6ho4}r676?6=:rT?><522`39a<=z{=>:6=4={_614>;5i80n46s|45294?4|V=;m70<n1;g4?xu3;o0;6?uQ40g897g62l<0q~:<e;296~X39m16>l?5e49~w15c2909wS:>c:?1e4<b<2wx8>l50;0xZ17f348j=7k=;|q77d<72;qU8<74=3c2>`7<uz>857>52z\75==::h;1i=5rs51;>5<5sW>:;63=a08gb>{t<:=1<7<t^535?84f93nn7p};3783>7}Y<8?01?o>:ef8yv24=3:1>vP;159>6d7=lj1v\7f9=;:181\7f[26;279m<4kb:\7fp065=838pR9?=;<0b5?bf3ty???4?:3y]047<5;k:6i74}r667?6=:rT??=522`39bd=z{=?96=4={_61b>;5i80m56s|44394?4|V=8n70<n1;d;?xu3=90;6?uQ43f897g62o=0q~:;f;296~X3:j16>l?5f79~w12b2909wS:=b:?1e4<a=2wx89j50;0xZ141348j=7h;;|q702<72;qU8<l4=3c2>`c<uz>8o7>52z\755=::h;1i>5rs512>5<5sW>;j63=a08g<>{t=on1<7<t^4d0?84f9383<6s|5g`94?4|V<l970<n1;04a>{t=ok1<7<t^4d2?84f938<h6s|5g;94?4|V<l;70<n1;04g>{t=o21<7<t^4ge?84f938<n6s|5g594?4|V<on70<n1;04e>{t=o<1<7<t^4gg?84f938<56s|5g794?4|V<oh70<n1;04<>{t=o>1<7<t^4ga?84f938<;6s|5dc94?4|V<o;70<n1;042>{t=l21<7<t^4fe?84f938<86s|5d594?4|V<nn70<n1;047>{t=l<1<7<t^4fg?84f938<>6s|5d794?4|V<nh70<n1;045>{t=l>1<7<t^4fa?84f938<<6s|5d194?4|V<nj70<n1;05b>{t=l81<7<t^4f:?84f938=i6s|5d394?4|V<n370<n1;05`>{t=m=1<7<t^4ag?84f938=o6s|5e794?4|V<ih70<n1;05e>{t=m>1<7<t^4aa?84f938=56s|5e194?4|V<ij70<n1;05<>{t=m81<7<t^4a:?84f938=;6s|5e394?4|V<i370<n1;052>{t=m:1<7<t^4a4?84f938=96s|5bd94?4|V<i=70<n1;050>{t=jo1<7<t^4a6?84f938=?6s|5b194?4|V<h270<n1;055>{t=j;1<7<t^4`;?84f938>j6s|5b294?4|V<h<70<n1;06a>{t=kl1<7<t^4`5?84f938>h6s|5cg94?4|V<h>70<n1;06g>{t=kn1<7<t^4`7?84f938>n6s|5ca94?4|V<h870<n1;06e>{t=kh1<7<t^4`1?84f938>56s|5cc94?4|V<h:70<n1;06<>{t=0n1<7<t^4;0?84f938?h6s|58`94?4|V<3970<n1;07f>{t=0k1<7<t^4;2?84f938?m6s|58;94?4|V<3;70<n1;07=>{t=021<7<t^4:e?84f938?46s|58594?4|V<2n70<n1;073>{t=0<1<7<t^4:g?84f938?:6s|58794?4|V<2h70<n1;071>{t=0>1<7<t^4:a?84f938?86s|20`94?7|5;i26?=j;|\7fp6a2=838pR?j;;<42>7b33-8j?7<>b:\7fp01e=838pR9<n;<42>14f3-8j?7<=f:\7fp01g=838pR9<6;<42>14>3-8j?7<<0:\7fp01?=838pR9<7;<42>14?3-8j?7<<1:\7fp01>=838pR9<8;<42>1403-8j?7<<3:\7fp010=838pR9<:;<42>1423-8j?7<<4:\7fp013=838pR9<;;<42>1433-8j?7?j4:\7fp012=838pR9<<;<42>1443-8j?7?jc:\7fp015=838pR9<=;<42>1453-8j?7?i7:\7fp014=838pR9<>;<42>1463-8j?7<?2:\7fp017=838pR9<?;<42>1473-8j?7<?d:\7fp016=838pR9?i;<42>17a3-8j?7<>6:\7fp06`=838pR9?j;<42>17b3-8j?7<>7:\7fp06c=838pR9?k;<42>17c3-8j?7<>8:\7fp06b=838pR9?l;<42>17d3-8j?7<>9:\7fp06d=838pR9?n;<42>17f3-8j?7<>a:\7fp06g=838pR9?6;<42>17>3-8j?7<>c:\7fp06?=838pR9?7;<42>17?3-8j?7<>d:\7fp06>=838pR9?8;<42>1703-8j?7<>e:\7fp061=838pR9?9;<42>1713-8j?7<>f:\7fp060=838pR9?:;<42>1723-8j?7<=0:\7fp063=838pR9?;;<42>1733-8j?7<=1:\7fp062=838pR9?<;<42>1743-8j?7<=2:\7fp065=838pR9?=;<42>1753-8j?7<=3:\7fp064=838pR9?>;<42>1763-8j?7<=4:\7fp005=838pR9=?;<42>1573-8j?7<=5:\7fp004=838pR9<i;<42>14a3-8j?7<=6:\7fp007=838pR9<j;<42>14b3-8j?7<=7:\7fp006=838pR9<k;<42>14c3-8j?7<=8:\7fp01`=838pR9<l;<42>14d3-8j?7<=9:\7fp01c=838pR9<m;<42>14e3-8j?7<=a:\7fp01b=838pR9<9;<42>1413-8j?7<=b:\7fp011=838pR9?m;<42>17e3-8j?7<=c:\7fp06e=838pR9??;<42>1773-8j?7<=d:\7fp067=838pR9>i;<42>16a3-8j?7<=e:\7fp244=838pR;?=;<42>3753-8j?7<<5:\7fp242=838pR;?;;<42>3733-8j?7<<6:\7fp27c=838pR;<j;<42>34b3-8j?7<<7:\7fp7f1=838pR>lk;<42>6dc3-8j?7?j5:\7fp7f3=838pR>ll;<42>6dd3-8j?7?j6:\7fp7f2=838pR>lm;<42>6de3-8j?7?j7:\7fp7f5=838pR>ln;<42>6df3-8j?7?j8:\7fp7f4=838pR>l6;<42>6d>3-8j?7?j9:\7fp7f7=838pR>l7;<42>6d?3-8j?7?ja:\7fp7f6=838pR>l8;<42>6d03-8j?7?jb:\7fp7g`=838pR>l9;<42>6d13-8j?7?jd:\7fp7gc=838pR>l:;<42>6d23-8j?7?je:\7fp0<7=838pR98i;<42>10a3-8j?7?jf:\7fp0=`=838pR98j;<42>10b3-8j?7?i0:\7fp0=c=838pR98k;<42>10c3-8j?7?i1:\7fp0=b=838pR98l;<42>10d3-8j?7?i2:\7fp0=d=838pR98n;<42>10f3-8j?7?i3:\7fp0=g=838pR986;<42>10>3-8j?7?i4:\7fp0=?=838pR987;<42>10?3-8j?7?i5:\7fp0=>=838pR988;<42>1003-8j?7?i6:\7fp0=1=838pR989;<42>1013-8j?7?i8:\7fp0=0=838pR98:;<42>1023-8j?7?i9:\7fp0=3=838pR98;;<42>1033-8j?7?ia:\7fp0=2=838pR98<;<42>1043-8j?7?ib:\7fp0=5=838pR98=;<42>1053-8j?7?ic:\7fp0=4=838pR98>;<42>1063-8j?7?id:\7fp0=6=838pR9;i;<42>13a3-8j?7?ie:\7fp02`=838pR9;j;<42>13b3-8j?7?if:\7fp02c=838pR9;k;<42>13c3-8j?7<?0:\7fp02b=838pR9;l;<42>13d3-8j?7<?1:\7fp02e=838pR9;m;<42>13e3-8j?7<?3:\7fp02d=838pR9;n;<42>13f3-8j?7<?4:\7fp02g=838pR9;6;<42>13>3-8j?7<?5:\7fp02?=838pR9;7;<42>13?3-8j?7<?6:\7fp02>=838pR9;8;<42>1303-8j?7<?7:\7fp021=838pR9;9;<42>1313-8j?7<?8:\7fp0<>=838pR99:;<42>1123-8j?7<?9:\7fp0<1=838pR99;;<42>1133-8j?7<?a:\7fp0<0=838pR99<;<42>1143-8j?7<?b:\7fp0<3=838pR99=;<42>1153-8j?7<?c:\7fp0<2=838pR99>;<42>1163-8j?7<?e:\7fp0<5=838pR99?;<42>1173-8j?7<?f:\7fp0<4=838pR98m;<42>10e3-8j?7<>0:\7fp0=e=838pR98?;<42>1073-8j?7<>1:\7fp0=7=838pR9;:;<42>1323-8j?7<>2:\7fp020=838pR9;;;<42>1333-8j?7<>3:\7fp0<g=838pR97n;<42>1?f3-8j?7<>4:\7fp1=6=838pR86?;<42>0>73-8j?7<>5:\7f~jdd12908wE<n4:\7fmeg1=839pD?o;;|lbf=<72:qC>l:4}oca=?6=;rB9m95rn``b>5<4sA8j86saac`94?5|@;k?7p`nbb83>6}O:h>0qcomd;297~N5i=1vbllj:180\7fM4f<2wemoh50;1xL7g33tdjo=4?:2yK6d2<ugkh=7>53zJ1e1=zfhi96=4<{I0b0>{iij91<7=tH3c7?xhfk=0;6>uG2`68ykgd=3:1?vF=a59~jde12908wE<n4:\7fmef1=839pD?o;;|lbg=<72:qC>l:4}oc`=?6=;rB9m95rn`ab>5<4sA8j86saab`94?5|@;k?7p`ncb83>6}O:h>0qcold;297~N5i=1vblmj:180\7fM4f<2wemnh50;1xL7g33tdjh=4?:2yK6d2<ugko=7>53zJ1e1=zfhn96=4<{I0b0>{iim91<7=tH3c7?xhfl=0;6>uG2`68ykgc=3:1?vF=a59~jdb12908wE<n4:\7fmea1=839pD?o;;|lb`=<72:qC>l:4}ocg=?6=;rB9m95rn`fb>5<4sA8j86saae`94?5|@;k?7p`ndb83>6}O:h>0qcokd;297~N5i=1vbljj:180\7fM4f<2wemih50;1xL7g33tdji=4?:2yK6d2<ugkn=7>53zJ1e1=zfho96=4<{I0b0>{iil91<7=tH3c7?xhfm=0;6>uG2`68ykgb=3:1?vF=a59~jdc12908wE<n4:\7fme`1=839pD?o;;|lba=<72:qC>l:4}ocf=?6=;rB9m95rn`gb>5<4sA8j86saad`94?5|@;k?7p`neb83>6}O:h>0qcojd;297~N5i=1vblkj:180\7fM4f<2wemhh50;1xL7g33tdjj=4?:2yK6d2<ugkm=7>53zJ1e1=zfhl96=4<{I0b0>{iio91<7=tH3c7?xhfn=0;6>uG2`68ykga=3:1?vF=a59~jd`12908wE<n4:\7fmec1=839pD?o;;|lbb=<72:qC>l:4}oce=?6=;rB9m95rn`db>5<4sA8j86saag`94?5|@;k?7p`nfb83>6}O:h>0qcoid;297~N5i=1vblhj:180\7fM4f<2wemkh50;1xL7g33tdi<=4?:2yK6d2<ugh;=7>53zJ1e1=zfk:96=4<{I0b0>{ij991<7=tH3c7?xhe8=0;6>uG2`68ykd7=3:1?vF=a59~jg612908wE<n4:\7fmf51=839pD?o;;|la4=<72:qC>l:4}oc3g?6=9rB9m95rn`37>5<6jrB9m95rn`33>5<6sA8j86saa0794?7|@;k?7p`n1683>4}O:h>0qco>b;295~N5i=1vbl?k:182\7fM4f<2wem<k50;3xL7g33tdj=k4?:0yK6d2<ugk>h7>51zJ1e1=zfh?n6=4>{I0b0>{ii<l1<7?tH3c7?xhf>90;6<uG2`68ykg193:1=vF=a59~jd05290:wE<n4:\7fme35=83;pD?o;;|lb21<728qC>l:4}oc51?6=9rB9m95rn`:;>5<6sA8j86saa9;94?7|@;k?7p`n8`83>4}O:h>0qco7b;295~N5i=1vbl6l:182\7fM4f<2wem5j50;3xL7g33tdj4h4?:0yK6d2<ugk3j7>51zJ1e1=zfh3;6=4>{I0b0>{ii0;1<7?tH3c7?xhf1;0;6<uG2`68ykg>;3:1=vF=a59~jd?3290:wE<n4:\7fme<3=83;pD?o;;|lb=3<728qC>l:4}oc:3?6=9rB9m95rn`;;>5<6sA8j86saa8;94?7|@;k?7p`n9`83>4}O:h>0qco6b;295~N5i=1vbl7l:182\7fM4f<2wem4j50;3xL7g33tdj5h4?:0yK6d2<ugk2j7>51zJ1e1=zfhk;6=4>{I0b0>{iih;1<7?tH3c7?xhfi;0;6<uG2`68ykgf;3:1=vF=a59~jdg3290:wE<n4:\7fmed3=83;pD?o;;|lbe3<728qC>l:4}ocb3?6=9rB9m95rn`c;>5<6sA8j86saa`;94?7|@;k?7p`na`83>4}O:h>0qconb;295~N5i=1vblol:182\7fM4f<2wemlj50;3xL7g33tdjmh4?:0yK6d2<ugkjj7>51zJ1e1=zfhh;6=4>{I0b0>{iik;1<7?tH3c7?xhfj;0;6<uG2`68ykge;3:1=vF=a59~jdd3290:wE<n4:\7fm<=`=83;pD?o;;|l;=3<728qC>l:4}o:b5?6=9rB9m95rn9c1>5<6sA8j86sa8`194?7|@;k?7p`7a583>4}O:h>0qc6n5;295~N5i=1vb5o9:182\7fM4f<2we4l950;3xL7g33td3m54?:0yK6d2<ug2j57>51zJ1e1=zf1kj6=4>{I0b0>{i0hh1<7?tH3c7?xh?ij0;6<uG2`68yk>fl3:1=vF=a59~j=gb290:wE<n4:\7fm<d`=83;pD?o;;|l;f5<728qC>l:4}o:a5?6=9rB9m95rn9`1>5<6sA8j86sa8c194?7|@;k?7p`7b583>4}O:h>0qc6m5;295~N5i=1vb5l9:182\7fM4f<2we4o950;3xL7g33td3n54?:0yK6d2<ug2i57>51zJ1e1=zf1hj6=4>{I0b0>{i0kh1<7?tH3c7?xh?jj0;6<uG2`68yk>el3:1=vF=a59~j=db290:wE<n4:\7fm<g`=83;pD?o;;|l;g5<728qC>l:4}o:`5?6=9rB9m95rn9a1>5<6sA8j86sa8b194?7|@;k?7p`7c583>4}O:h>0qc6l5;295~N5i=1vb5m9:182\7fM4f<2we4n950;3xL7g33td3o54?:0yK6d2<ug2h57>51zJ1e1=zf1ij6=4>{I0b0>{i0jh1<7?tH3c7?xh?kj0;6<uG2`68yk>dl3:1=vF=a59~j<75290:wE<n4:\7fm=45=83;pD?o;;|l:51<728qC>l:4}o;21?6=9rB9m95rn835>5<6sA8j86sa90594?7|@;k?7p`61983>4}O:h>0qc7>9;295~N5i=1vb4?n:182\7fM4f<2we5<l50;3xL7g33td2=n4?:0yK6d2<ug3:h7>51zJ1e1=zf0;n6=4>{I0b0>{i18l1<7?tH3c7?xh>:90;6<uG2`68yk?593:1=vF=a59~j<45290:wE<n4:\7fm=75=83;pD?o;;|l:61<728qC>l:4}o;11?6=9rB9m95rn805>5<6sA8j86sa93594?7|@;k?7p`62983>4}O:h>0qc7=9;295~N5i=1vb4<n:182\7fM4f<2we5?l50;3xL7g33td2>n4?:0yK6d2<ug39h7>51zJ1e1=zf08n6=4>{I0b0>{i1;l1<7?tH3c7?xh>;90;6<uG2`68yk?493:1=vF=a59~j<55290:wE<n4:\7fm=65=83;pD?o;;|l:71<728qC>l:4}o;01?6=9rB9m95rn815>5<6sA8j86sa92594?7|@;k?7p`63983>4}O:h>0qc7<9;295~N5i=1vb4=n:182\7fM4f<2we5>l50;3xL7g33td2?n4?:0yK6d2<ug38h7>51zJ1e1=zf09n6=4>{I0b0>{i1:l1<7?tH3c7?xh><90;6<uG2`68yk?393:1=vF=a59~j<25290:wE<n4:\7fm=15=83;pD?o;;|l:01<728qC>l:4}o;71?6=9rB9m95rn865>5<6sA8j86sa95594?7|@;k?7p`64983>4}O:h>0qc7;9;295~N5i=1vb4:n:182\7fM4f<2we59l50;3xL7g33td28n4?:0yK6d2<ug3?h7>51zJ1e1=zf0>n6=4>{I0b0>{i1=l1<7?tH3c7?xh>=90;6<uG2`68yk?293:1=vF=a59~j<35290:wE<n4:\7fm=05=83;pD?o;;|l:11<728qC>l:4}o;61?6=9rB9m95rn875>5<6sA8j86sa94594?7|@;k?7p`65983>4}O:h>0qc7:9;295~N5i=1vb4;n:182\7fM4f<2we58l50;3xL7g33td29n4?:0yK6d2<ug3>h7>51zJ1e1=zf0?n6=4>{I0b0>{i1<l1<7?tH3c7?xh>>90;6<uG2`68yk?193:1=vF=a59~j<05290:wE<n4:\7fm=35=83;pD?o;;|l:21<728qC>l:4}o;51?6=9rB9m95rn845>5<6sA8j86sa97594?7|@;k?7p`66983>4}O:h>0qc799;295~N5i=1vb48n:182\7fM4f<2we5;l50;3xL7g33td2:n4?:0yK6d2<ug3=h7>51zJ1e1=zf0<n6=4>{I0b0>{i1?l1<7?tH3c7?xh>?90;6<uG2`68yk?093:1=vF=a59~j<15290:wE<n4:\7fm=25=83;pD?o;;|l:31<728qC>l:4}o;41?6=9rB9m95rn855>5<6sA8j86sa96594?7|@;k?7p`67983>4}O:h>0qc789;295~N5i=1vb49n:182\7fM4f<2we5:l50;3xL7g33td2;n4?:0yK6d2<ug3<h7>51zJ1e1=zf0=n6=4>{I0b0>{i1>l1<7?tH3c7?xh>090;6<uG2`68yk??93:1=vF=a59~j<>5290:wE<n4:\7fm==5=83;pD?o;;|l:<1<728qC>l:4}o;;1?6=9rB9m95rn8:5>5<6sA8j86sa99594?7|@;k?7p`68983>4}O:h>0qc779;295~N5i=1vb46n:182\7fM4f<2we55l50;3xL7g33td24n4?:0yK6d2<ug33h7>51zJ1e1=zf02n6=4>{I0b0>{i11l1<7?tH3c7?xh>190;6<uG2`68yk?>93:1=vF=a59~j<?5290:wE<n4:\7fm=<5=83;pD?o;;|l:=1<728qC>l:4}o;:1?6=9rB9m95rn8;5>5<6sA8j86sa98594?7|@;k?7p`69983>4}O:h>0qc769;295~N5i=1vb47n:182\7fM4f<2we54l50;3xL7g33td25n4?:0yK6d2<ug32h7>51zJ1e1=zf03n6=4>{I0b0>{i10l1<7?tH3c7?xh>i90;6<uG2`68yk?f93:1=vF=a59~j<g5290:wE<n4:\7fm=d5=83;pD?o;;|l:e1<728qC>l:4}o;b1?6=9rB9m95rn8c5>5<6sA8j86sa9`594?7|@;k?7p`6a983>4}O:h>0qc7n9;295~N5i=1vb4on:182\7fM4f<2we5ll50;3xL7g33td2mn4?:0yK6d2<ug3jh7>51zJ1e1=zf0kn6=4>{I0b0>{i1hl1<7?tH3c7?xh>j90;6<uG2`68yk?e93:1=vF=a59~j<d5290:wE<n4:\7fm=g5=83;pD?o;;|l:f1<728qC>l:4}o;a1?6=9rB9m95rn8`5>5<6sA8j86sa9c594?7|@;k?7p`6b983>4}O:h>0qc7m9;295~N5i=1vb4ln:182\7fM4f<2we5ol50;3xL7g33td2nn4?:0yK6d2<ug3ih7>51zJ1e1=zf0hn6=4>{I0b0>{i1kl1<7?tH3c7?xh>k90;6<uG2`68yk?d93:1=vF=a59~j<e5290:wE<n4:\7fm=f5=83;pD?o;;|l:g1<728qC>l:4}o;`1?6=9rB9m95rn8a5>5<6sA8j86sa9b594?7|@;k?7p`6c983>4}O:h>0qc7l9;295~N5i=1vb4mn:182\7fM4f<2we5nl50;3xL7g33td2on4?:0yK6d2<ug3hh7>51zJ1e1=zf0in6=4>{I0b0>{i1jl1<7?tH3c7?xh>l90;6<uG2`68yk?c93:1=vF=a59~j<b5290:wE<n4:\7fm=a5=83;pD?o;;|l:`1<728qC>l:4}o;g1?6=9rB9m95rn8f5>5<6sA8j86sa9e594?7|@;k?7p`6d983>4}O:h>0qc7k9;295~N5i=1vb4jn:182\7fM4f<2we5il50;3xL7g33td2hn4?:0yK6d2<ug3oh7>51zJ1e1=zf0nn6=4>{I0b0>{i1ml1<7?tH3c7?xh>m90;6<uG2`68yk?b93:1=vF=a59~j<c5290:wE<n4:\7fm=`5=83;pD?o;;|l:a1<728qC>l:4}o;f1?6=9rB9m95rn8g5>5<6sA8j86sa9d594?7|@;k?7p`6e983>4}O:h>0qc7j9;295~N5i=1vb4kn:182\7fM4f<2we5hl50;3xL7g33td2in4?:0yK6d2<ug3nh7>51zJ1e1=zf0on6=4>{I0b0>{i1ll1<7?tH3c7?xh>n90;6<uG2`68yk?a93:1=vF=a59~j<`5290:wE<n4:\7fm=c5=83;pD?o;;|l:b1<728qC>l:4}o;e1?6=9rB9m95rn8d5>5<6sA8j86sa9g594?7|@;k?7p`6f983>4}O:h>0qc7i9;295~N5i=1vb4hn:182\7fM4f<2we5kl50;3xL7g33td2jn4?:0yK6d2<ug3mh7>51zJ1e1=zf0ln6=4>{I0b0>{i1ol1<7?tH3c7?xhf890;6<uG2`68ykg793:1=vF=a59~jd65290:wE<n4:\7fme55=83;pD?o;;|lb41<728qC>l:4}oc31?6=9rB9m95rn`25>5<6sA8j86saa1594?7|@;k?7p`nb483>4}O:h>0qpsr@AAxgf7=ko?>54kr@A@x4xFGXrwKL
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file cts_fifo.vhd when simulating
+-- the core, cts_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY cts_fifo IS
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(8 downto 0);
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+END cts_fifo;
+
+ARCHITECTURE cts_fifo_a OF cts_fifo IS
+-- synthesis translate_off
+component wrapped_cts_fifo
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(8 downto 0);
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+end component;
+
+-- Configuration specification
+ for all : wrapped_cts_fifo use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+ generic map(
+ c_rd_freq => 100,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 0,
+ c_din_width => 34,
+ c_has_wr_data_count => 0,
+ c_implementation_type => 0,
+ c_family => "virtex4",
+ c_has_wr_rst => 0,
+ c_wr_freq => 100,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_rd_depth => 512,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 9,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 1,
+ c_rd_pntr_width => 9,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 9,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 9,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 9,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 1,
+ c_prog_full_thresh_negate_val => 509,
+ c_wr_depth => 512,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 510,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "512x36",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_cts_fifo
+ port map (
+ clk => clk,
+ din => din,
+ rd_en => rd_en,
+ rst => rst,
+ wr_en => wr_en,
+ data_count => data_count,
+ dout => dout,
+ empty => empty,
+ full => full);
+-- synthesis translate_on
+
+END cts_fifo_a;
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$56a\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0122?45<9'::j6??:HLSQQ<wzfmTi`~{y^vkv`uo400;2?84118JJUSS2yxdkRkbpu{\pmtb{aUj\7f~k}t=;94;4138:1EC^ZT;rqkbYbey~rSyf}erj\j`af|l626=0>e:33>LHW]]0{~biPftno[qnumzb757>124924?OIX\^1|\7fah_gwohZrozlycSl}|esv?=?69:<1:<7GAPTV9twi`Wo\7fg`Rzgrdqk[kc`i}o757>118924?IR\Y__6io{a^alqkr;13:5=n5>0;MVPUSS2{nThlzn_bmvjq:>294:o6??:NWWTPR=\7f{UomyoPcnwmp9?=87;?7<;5IORVP?GCL[K7=94?>06850<NFY__6LJKRC>20?69928?7?><000877<NFY__6IGN<2394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFK7?<4?>01877<H]]Z^X7J@B=12>586:29?6D@_UU8gmk:4;3:5=95<4;MVPUSS2me~x1=<:1<2?1763=0BB][[:EKSE95=87;:794FNQWW>AOWJ591<3??;58JJUSS2^OJ0>4?>0280?OIX\^1[HL33;2=57=32F__\XZ5DNRB86<7688087AZTQWW>AIWJ591<3:44G6@b>2qdq>;jk?>49/247=1==1=9>>>;968=56>:23J>6O=8:CG@WG;8730MIJ]A=33:<=FLMXJ0<?19:CG@WG;9;427LJKR@>27;d<IMNYM1?;:1<:?DBCZH6:8364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<:?DBCZK6:?3l4AEFQF97329427LJKRC>20;><IMNYN1?18:CG@WD;:720MIJ]B=1=<>GCL[H78364AEFQF93902KOH_L36?:8EABUJ5=546OKDS@?<;><IMNYN1719:CP]KEXZLM97OO<;CW1<>DR[VCEJB?4C`9@EVEFLLCEMl5LARAB@@OIJk1HM^MNDDMVPDd<KHYHMIK@UU@1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;ED1?AO13MCJ0=08;EKB8469?2NBM1?>>69GMD:6:7=0HDO312<4?AOF48>5;6JFA=36:2=CAH6::394DHC?52803MCJ0<617:FJE97>6?1OEL2>>69GMD:587=0HDO320<4?AOF4;85;6JFA=00:2=CAH698394DHC?60803MCJ0?817:FJE9406>1OEL2=8?58@LG;:04=7IGN<3<4?AOF4::556JFA=12>5803MCJ0>?16:FJE959>2NBM1:16:FJE939>2NBM1816:FJE919>2NBM1616:FJE9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;1<L@H7=;08;EKA8419?2NBN1?7>69GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1<L@H7>908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>6>1OE]O30?58@LVF484<7IG_A=0==>BNXH686=08;EKSE959?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>5;6J@A=36:2=CGH6::394DNC?52803MEJ0<617:FLE97>6?1OCL2>>69GKD:587=0HBO320<4?AIF4;85;6J@A=00:2=CGH698394DNC?60803MEJ0?817:FLE9406>1OCL2=8?58@JG;:04=7IAN<3<4?AIF4::556J@A=12>5803MEJ0>?16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9?2NDMR\JG79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;1<LFH7=808;EMA8409?2NDN1?8>69GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1<LFH7>>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?<LFH7?<4?>69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JDXZLM<7IA_A=2=3>BHXH6:2:5KOQC?6;?<LFZJ0>4?>69GKUG;;7=0HB^M<1<4?AIWJ5;5;6J@PC>1:<=CGYH7?7>17:FLTG:46=1NMN><;DLB7>CIJ<1MMA]J2:DG0>@CKL90JI^;;GFSA0=Aieyn=6I<;FLG6>O7:2C:>6G=2:K0<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41><AGC_\R>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1<AGC_S=H8;HLJPZ77?2CEEYQ>169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1<AGC_S<H8;HLJPZ47?2CEEYQ=169JJLRX:;=0ECG[_314?LHN\V8?;6GAIU]112=NF@^T>;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@1<AGC_S?H8;HLJPZ57?2CEEYQ<169JJLRX;;=0ECG[_214?LHN\V9?;6GAIU]012=NF@^T?;94IOKW[6103@DBXR=77:KMMQY41>1BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1<AGC_S>H9;HLJPZG13@DBXRL6;HLJPZ@NDL;97D@YESV\GIMXLFKT^HI>2:KMR@TSWJF@SIAM_SGD7>OHJ;1GE?5CO79OKDBBL>1GCJGLAM68HPR6<2F^X?84LTV1[I2<D\^8:6BZT2]O0>JR\=?0A^I@N49NQ]E^k2Gjfb|Yesqjkke<E`dd~[k}shmm7>H79?1E<Rgav29M552<F8::86@>0268J461;2D:=95A1027?K769=1E=<<;;O3271=I98>?7C?>559M54033G;:;95A10:7?K761:1E=?:4N0030>H6:8>0B<<=4:L2662<F88?86@>2468J441<2D:>::4N00;0>H6:090B<=;;O3041=I9:;?7C?<259M56533G;8895A1277?K74>=1E=>9;;O30<1=I9:387C?;4:L2052<F8>:86@>4368J424<2D:89:4N0660>H6<?>0B<:84:L20=2<F8>2?6@>559M50633G;>=95A1407?K72;=1E=8:;;O3616=I9?90B<9<;O3;7>H61;1E>>5A2118J7743G89?6@=329M615<F;?87C<93:L136=I:190B?7<;O137>H49:1E??=4N210?K53;2D89>5A3718J6143G93?6@<939M27=I?;1E4?5A9g9MFZDR[YCES]\@PR58J@RPG[A?7CAAE09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P48VDKE9?l0^LCPEYVFJVOHFVKm7_OB_DZWAKUNGGUIo6\JAE]TMAROWHi0^HOK_VKGPMYE>2XNKNKN6:PFCFCE92Y37^OYEECWE45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UC5k2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq4c3\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmp44b3\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmp465l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq45l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq55l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq25l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq35l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq05l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq15l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq>5l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq?4:2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq5:5??5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Tot2>>208Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_b{?6;553\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZe~4:48>6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1339V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>6:64<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[f\7f;>7997X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vir0:0<2:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=:=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\hpr;87987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vf~x1?1329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPltv?6;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZjr|595?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz34?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^nvp939;:1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXd|~7:3=<;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRbzt=5=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\hpr;07987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vf~x171329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?4;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZ~hz5;5?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|32?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^zlv959;:1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXpfx783=<;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRv`r=7=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\|jt;>7987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vrd~191329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?<;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZ~hz535>:5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!lotlw[5403\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'je~byQ>269V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsW;8<7X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#naznu]062=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)dg|d\7fS9<8;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/bmvjqY2:>1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%hcx`{_704?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+firf}U<>:5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!lotlw[=403\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'je~byQ62e9V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsWge\7f<=>>2d9V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsWge\7f<=>>13;8Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.abvw\7fim}6;2?74U1-dvc(un&mg<#|k/fppw+tt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'xo#j||s/pppv)d9&ij~\7fwaeu>1:7?<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,chs\7f&ngP=P hmr,nw7`<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(od\7fs"jcT3\,div(j{;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2?>368Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28586:?1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7<3?P1318Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28485<2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~86:2<<9;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=3=5Z75;2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~8692?:4U1-dvc(un&mg<#|k/fppw+tt|z%h="x><3<263=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;:7;T=?=4U1-dvc(un&mg<#|k/fppw+tt|z%h="x><2<10>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:4688=7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1=11^317>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:36;>0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:090>279V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/w3?0;7X9;30Y=!hrg,qb*ak8'xo#j||s/pppv)d:&ij~\7fwaeu>3:7?<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*efz{seiy2>>3;8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.abvw\7fim}692?74U1-dvc(un&mg<#|k/fppw+tt|z%h>"mnrs{maq:46;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$k`{w.foX5X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fppw+tt|z%h>"ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!re-dvvu)zz~x#n< glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.enq}(`eR>V"jc|.lq17>S7'nxm"\7fh gm2-va)`zzy%~~z|/b0,r4:76;90Y=!hrg,qb*ak8'xo#j||s/pppv)d:&|:0<0=3:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0>1:75<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p64:49?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<2;>3`8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0]2[dhc89:;=?l4U1-dvc(un&mg<#|k/fppw+tt|z%h>"x>_3]bja6789;9n6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&|:S9Qnne23457582_;#j|i.sd,ci6)zm%l~~}!rrvp+fijx98;7X> gsd-vc)`d9$yh"i}sr,qwqu(kfg{=?74U1-dvc(un&mg<#|k/fppw+tt|z%ym`Qjmqvz[cdXa:;0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\mZkrp9:;<>>4U1-dvc(un&mg<#|k/fppw+tt|z%ym`Qjmqvz[cdXaVey<=>?359V4*aun'xm#jb?.sf,cwut&{y\7f\7f"|nm^gntq\7fXnkUbSb|?012\WR65>2_;#j|i.sd,ci6)zm%l~~}!rrvp+wgjWlg{xtQf1e9V4*aun'xm#jb?.sf,vdkXzmUnbRg>229V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f=?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov161=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}9986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at507?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs=;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz9259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq15<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex5<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw=7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}979W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<3<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw33?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:36Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}939:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<7<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;?7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:?6;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>;:Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:36;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?1;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<7<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{632?o4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;178o7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8<8Xz};m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5:5=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=6=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?1;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9099o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;?7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|525=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~753?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR>>e:W3+bta&{l$ka>!re-qtkru'je~byQ>1d9V4*aun'xm#jb?.sf,vuhsz&idyczP20g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_23f?P6(o{l%~k!hl1,q`*twf}x$ob{at^62a>S7'nxm"\7fh gm2-va)uxg~y#naznu]65`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\24c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[27b3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ>6m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY>:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86;2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?31?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28785:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9595>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2;>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?1;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64?49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=191239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>;:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;178:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S=<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_002?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[7463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W:8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S9<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_402?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[3463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W>8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S5<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_80:?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-`ewt~fl~7<3<6;T2,cw`)zo%l`= xr.etwv(p{}y$o=!laspzj`r;97827X> gsd-vc)`d9$|~"ixsr,twqu(k9%hm\7f|vndv?6;4>3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)di{xrbhz33?0e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~yx"z}{s.a3+bkrp'mfW<S!glq-iv4a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&~x$kz}|.vqww*e7'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$|~"ixsr,twqu(k9%laxv!glY7Y+aj{'gx>45Z0.eqb+ta'nf;"z| gvqp*rus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&~x$kz}|.vqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= xr.etwv(p{}y$o<!hmtz-ch]6U'mf\7f#c|2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+bkrp'mfW9S!glq-iv443\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g4)q95:5>>5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#{?31?00?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-u5949::1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'\7f;7?3<<;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1=6=6g=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1^1\ekb789::>o5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#{?P4^cm`567888;7X> gsd-vc)`d9$|~"ixsr,twqu(kfg{<?>4U1-dvc(un&mg<#y}/fupw+qt|z%hc`~>299V4*aun'xm#jb?.vp,crut&~y\7f\7f"|nm^dvhiYdgdh9m6[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSk{cl^alig72:01^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVl~`aQlol`16d=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l=43;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXkfgi5?64U1-dvc(un&mg<#y}/fupw+qt|z%ym`Qiumn\bgYn:<1^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVl~`aQf259V4*aun'xm#jb?.vp,crut&~y\7f\7f"y}_sqw[a76l2_;#j|i.sd,ci6)\7f{%ym`Qxr^gm[l75;2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov261=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}8986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at207?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex:<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw<72<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~2>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}969:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=[wr512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<0<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7>3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;:7Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:46;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=6=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1:1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir080=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;>78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?2;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>4:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot28>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1612b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq525S\7fz=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5:5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:66;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?6;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<2<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1:12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6>2?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;>78j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8285i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=:=6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f26>3f8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx753Q}t0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<1<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>2:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8786n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:468l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4=4:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}6>2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0;0>f:W3+bta&{l$ka>!ws-ttkru'je~by28>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<9<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>::4c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[57b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ76m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY59l1^<"i}f/pe+bj7&~x${}`{r.alqkrX;8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW=;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V?:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U==h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T;<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS5?j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR7=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=2=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:66;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7>3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><2<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5929:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86>2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?36?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28285:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9525>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<26>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\477<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T>??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P3338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\077<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X=;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T:??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P7338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\<77<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X1><0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\8T$ym` }/w6\jjoia}$ym`!\DQ,PMKAKMVZYE@ \DQ55?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0_-vdk)z&|?Scafnhv-vdk([MZ%_D@HLD]SVLK)[MZ:n6[?/fpe*w`(elg$x`~Pr`o\vaYbf8i0Y=!hrg,qb*kbe&~f|R|nm^pg[`h69:1^<"i}f/pe+wgjW~xTic?k;T2,cw`)zo%y\7fylck.pg[wusWhyyij<?;T2,cw`)zo%y\7fylck.pg[wusWhyyijQk1328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim(zmUy\7fyQlol`2`>S7'nxm"\7fh rrvahn)ulVxxxRm`mc32a>S7'nxm"\7fh rrvahn)ulVxxxR|jg=2=5`=R8&myj#|i/sqwfim(zmUy\7fyQ}ef>1:4b<]9%l~k }f.pppgjl'{nT~~zPrde\44b<]9%l~k }f.pppgjl'{nT~~zPrde\64b<]9%l~k }f.pppgjl'~xT~~zParpfc76<]9%l~k }f.pppgjl'~xT~~zParpfcZb6:91^<"i}f/pe+wusjea${\7fQ}su]bwwc`Wm8:o6[?/fpe*w`(zz~i`f!xr^pppZehek;o7X> gsd-vc)u{}hgg"y}_sqw[fijj8;n7X> gsd-vc)u{}hgg"y}_sqw[wc`484:h6[?/fpe*w`(zz~i`f!xr^pppZtboV;j7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA3=PMH6;2;5XE@>2:3=PMH69255XE@>0>5813^OJ0>09;VGA85813^OI0<09;VGA878?3^OI0>4?>79TAG:46k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_Cf8SWOSMV]BHYFPAe9TVLRBW^COXEQM1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF5:ZLVF_13QY_@DL8;YQW[BHC?2RXXRXLCc9[[FIUMVCEJB84Xe`\Ma`<PmbT\\7fgbVdppmjh682RoaRCnjnpUawungg;;7Ujb_LkmkwPbzzcdbn5nllmppZcjx}si7lbborv\bpjk>2hjof{\7fd:`bgnswWdkygh|<;bnhg>es{VlbjbQ>kV0b?frtWocmcR?dW-djbjY`mgoymya}_w6\0)HHFL&ECCK<b89`pvYaaoeT=fY#fhdl[bcim{k\7fc\7fQy4^6/\7ffYoizUbbyQllj?3(fYoizUx~~z20-a\vaYazl{6=!mPh`q\vaYci}kTob{at<2/gZbnfV}bhyf235.`[mgtW~xThlzn_bmvjq;7$jUmyabPfmcdwZtt|V|j`0?#c^knticjmg~jxdaa_u{sa86+kV\7fxiRkbbdfgaaYumn7; nQxr^dqat;6$jU~\7fhQkotv\vvr:9%iTycjjrgnlsZjh\7f4:'oRfns^muaw`kg~7; nQ\7frdnleaYki}oeot3>,b]gkprX\7f`n\7fd0=;,b]nwbiiW\7fkg1<"l_sf\``vs`4;;>9"l_icp[phcm{lgcz3?,b]kevYfddexxRhzlm?3(fYoizUy\7fy3>,b]geqgXkf\7fexRyfduj>55*dWakxSz|Pabi>4)eX}zoTinm20-a\gjkjggUh`bmd=0.`[rtXijaT`by20-a\vaYwf}xT{dj{h<33(fYr{lUm{kzPd`vb[firf}7; nQgar]bhhit|Vof|yw20-a\vaYci}kTob{at^uj`qn:99&hSbxjrgnlsZjh\7f4:'oRy}_qlwvZqnl}b6=="l_qplcZcjx}sTxt~j=1.`[rtXlh~jSnaznu]tmaro58:'oR~}emmb`Ztboz7; nQkotv\vvrX~hf6<!mPh`q\`drfWje~by3>,b]svjaXn|fgSyf}erj\j`af|lU}ma3>033/gZquWmo{xe3>036/gZvugnUna}zv_ujqavnXflmjxhQyam?0(fYwzfmTi`~{y^vkv`uoWhyxi\7fzPv`n>6)eX`hyTzlbfd<2/gZvugnUmyabPtipfwmYf{zoyxRxnl<3367*dgdzdbh`{_ocna8es{VlbjbQ>kV.`[sgkamUgcz3?,b]svlkXn`ldSyw\7fe<3h|60+kVzycjQiumn\p|vb59&hS`kbos{\p|vb58&v>;5ltr]emciX9b]Tjdh`_fgmawgsg{U}8R:Pxrv\5a=ci}kTob{at)2*`>bf|hUhcx`{(0+g?agsiVidycz'2(f8`drfWje~by&<)e9geqgXkf\7fex%:&d:fbpdYdg|d\7f$8'k;ecweZeh}g~#:$j4d`vb[firf}"<%i5kauc\gjsi|!2"h6jnt`]`kphs 0#o7io{a^alqkr;87n0hlzn_bmvjq:66m1omyoPcnwmp949l2njxlQlotlw868c3mk\7fmRm`uov?0;b<lh~jSnaznu>6:a=ci}kTob{at=4=`>bf|hUhcx`{<6<g?agsiVidycz38?d8`drfWje~by26:1<g?agsiVidycz39?58`gosm{x=7iga(1+5?aoi 8#<7iga(02*3>bnf!;:%:5kio*26,1<l`d#=>'8;ekm,42.?2nbb%?:)69gmk.6> =0hd`'16+4?aoi 82";6jfn)3:-3=cag"9%:5kio*14,1<l`d#><'8;ekm,74.?2nbb%<<)69gmk.5< =0hd`'24+4?aoi ;<";6jfn)04-2=cag"94$94dhl+6</13mce$>'8;ekm,66.?2nbb%=>)69gmk.4: =0hd`'32+5?aoi =#=7iga(4+5?aoi ?#=7iga(6+5?aoi 1#=7iga(8+5?aoi494<7iga<02=3>bnf5;:2:5kio>26;1<l`d7=>08;ekm8429?2nbb1?:>69gmk:6>7=0hd`316<4?aoi4825;6jfn=3::3=cag6:2:5kio>14;1<l`d7><08;ekm8749?2nbb1<<>69gmk:5<7=0hd`324<4?aoi4;<5;6jfn=04:2=cag694394dhl?6<813mce0?08;ekm8669?2nbb1=>>69gmk:4:730hd`33283:2=cag68?384dhl?7;0<l`d78384dhl?1;0<l`d7:384dhl?3;0<l`d74384dhl?=;1<lf\7f\7f$='8;emvp-7.02ndyy&>0(:8`jss 8;"46j`uu*26,><lf\7f\7f$<=&8:flqq.6< 20hb{{(07*<>bh}}"::$64dnww,41.02ndyy&>8(:8`jss 83";6j`uu*1-==cg|~#>='7;emvp-46!11ocxz'23+;?air|!88%55kotv+61/?3me~x%<:)99gkpr/:?#37iazt)04-==cg|~#>5'7;emvp-4>!>1ocxz'3(:8`jss ::"46j`uu*05,><lf\7f\7f$><&8:flqq.4; =0hb{{(5+4?air|!?";6j`uu*5-2=cg|~#;$94dnww,=/03me~x%7&7:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf\7f\7f0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf\7f\7f0?618:flqq:517=0hb{{<3<;?air|59;255kotv?748?3me~x1==>`9gkpr;;:0;255kotv?76803me~x1=17:flqq:36>1ocxz35?58`jss4?4<7iazt=5=3>bh}}632:5kotv?=;><mmcm>cik5:gntq\7f4>2lbjbQheogqeqiuW\7f>T8!.Wimkm|%EHZL*Lick}aumq$46)9':8Sd~<4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|\7fah_dosp|Ys`{oxd%8&159svjaXmdz\7fuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-40<x{elShc\7ftx]wlwct`531<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5\7frne\ahvsqV~c~h}g_`qpawr/9 ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-4.9k1{~biPelrw}ZrozlycSl}|esv+7,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)6*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'5(3a?uthoVof|ywPtipfwmYf{zoyx%8&1c9svjaXmdz\7fuRzgrdqk[dutm{~#;$?m;qplcZcjx}sTxe|jsi]bwvcu|!2"=o5\7frne\ahvsqV~c~h}g_`qpawr/1 ;o7}|`g^gntq\7fX|axn\7feQnsrgqp9?=87;i7}|`g^gntq\7fX|axn\7feQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnk\7fi%:&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5\7frne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntq\7fX|axn\7feQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7c3yxdkRkbpu{\pmtb{aUeijo{e=;94;?<x{elSk{cl018twi`Wo\7fg`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|\7fah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axn\7fe&8)018twi`Wo\7fg`Rzgrdqk,=/6;2zycjQiumn\pmtb{a"2%<;4psmd[cskdV~c~h}g<883:4g<x{elSk{cl^vkv`uoWhyxi\7fz'0(3b?uthoVl~`aQ{hsgplZgt{lx\7f$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5\7frne\bpjkW}byi~fParqfvq.4!8k0|\7fah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw,</6k2zycjQiumn\pmtb{aUj\7f~k}t=;94;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnk\7fi%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;j7}|`g^dvhiYs`{oxdR`jg`vf,1/6i2zycjQiumn\pmtb{aUeijo{e)7*5d=wzfmTjxbc_ujqavnXflmjxh&9)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#;$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 1#:m6~}of]eqijX|axn\7feQaefcwa-?.9j1{~biPftno[qnumzbTbhintd>:>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;;7\7fjPd`vb[firf}"2%<>4re]geqgXkf\7fex1>1139q`Zbf|hUhcx`{<883:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus911\7fa}!Pcf-`pvYaaoeT=fY!nfm7?qtrm01~bik}fmmt1>pfd`n=7z|Pabi5?rtXkea:<6y}_ecweZeh}g~#<$??;vp\`drfWje~by&>)028swYci}kTob{at)0*55=pzVnjxlQlotlw,6/682}ySio{a^alqkr/< ;;7z|Pd`vb[firf}">%<>4ws]geqgXkf\7fex%8&119tvZbf|hUhcx`{(6+24>quWmk\7fmRm`uov+<,773~xThlzn_bmvjq.>!880{\7fQkauc\gjsi|531<3;4ws]fj3=pzVxxxpNOp835?EF\7f<:21J7:51zQ6=?5cj3?1=><m61595`>7nrd8?=4>;o105?2<,:8n6><7;|Q63?5cj3?1=><m61595`>7n2Y:9:4<e183>455j?:<6<k7119P12<4m90;6<==b724>4c?981o?>950;395~U2139on7;5120a251=9l2;j6x[1bg94?7=93;:9v]:9;1gf?3=9:8i:=951d:3b>"4910:955Y33d96~s6>80:7x?92;28y!7en38:7o=<7;2911<42<>pD>?9;[6a>6}603;<6n4r$0f0>6503-99h7=kd:k776<722c?844?::m0``<722e8hn4?::k073<722c??84?::m0f4<72-;h=7=k2:l2g5<732e8n=4?:%3`5?5c:2d:o=4>;:m0ec<72-;h=7=k2:l2g5<532e8mh4?:%3`5?5c:2d:o=4<;:m0ef<72-;h=7=k2:l2g5<332e8mo4?:%3`5?5c:2d:o=4:;:m0ed<72-;h=7=k2:l2g5<132e8m44?:%3`5?5c:2d:o=48;:m0e=<72-;h=7=k2:l2g5<?32e8m:4?:%3`5?5c:2d:o=46;:m0e3<72-;h=7=k2:l2g5<f32e8m84?:%3`5?5c:2d:o=4m;:m0e1<72-;h=7=k2:l2g5<d32e8m>4?:%3`5?5c:2d:o=4k;:m0e4<72-;h=7=k2:l2g5<b32e8m=4?:%3`5?5c:2d:o=4i;:m0=c<72-;h=7=k2:l2g5<6821d?4k50;&2g4<4l;1e=n>51098k6?c290/=n?53e08j4e728807b=6c;29 4e62:n97c?l0;30?>i41k0;6)?l1;1g6>h6k90:865`38c94?"6k808h?5a1b2950=<g:326=4+1b397a4<f8i;6<84;n1:<?6=,8i:6>j=;o3`4?7032e8n:4?:%3`5?5c:2d:o=4>8:9l7g0=83.:o<4<d39m5f6=9010c>l::18'5f7=;m80b<m?:0c8?j5e<3:1(<m>:2f1?k7d83;i76a<b283>!7d939o>6`>c182g>=h;k81<7*>c080`7=i9j:1=i54o2cg>5<#9j;1?i<4n0a3>4c<3f9j>7>5$0a2>6b53g;h<7?i;:m0=2<72-;h=7=k2:l2g5<5821d?4850;&2g4<4l;1e=n>52098m60d290/=n?539f8j4e72910e>8m:18'5f7=;1n0b<m?:098m60f290/=n?539f8j4e72;10e>86:18'5f7=;1n0b<m?:298m600290/=n?539f8j4e72=10e>89:18'5f7=;1n0b<m?:498m602290/=n?539f8j4e72?10e>8;:18'5f7=;1n0b<m?:698m604290/=n?539f8j4e72110e>8=:18'5f7=;1n0b<m?:898m606290/=n?539f8j4e72h10e>8?:18'5f7=;1n0b<m?:c98m63a290/=n?539f8j4e72j10e>;j:18'5f7=;1n0b<m?:e98m63d290/=n?539f8j4e72l10e>;m:18'5f7=;1n0b<m?:g98m63f290/=n?539f8j4e728:07d=:9;29 4e62:2o7c?l0;32?>o4=10;6)?l1;1;`>h6k90:>65f34594?"6k8084i5a1b2956=<a:?=6=4+1b397=b<f8i;6<:4;h161?6=,8i:6>6k;o3`4?7232c8994?:%3`5?5?l2d:o=4>6:9j705=83.:o<4<8e9m5f6=9>10e>9=:18'5f7=;1n0b<m?:0:8?l5093:1(<m>:2:g?k7d83;276g<7183>!7d9393h6`>c182e>=n;?l1<7*>c080<a=i9j:1=o54i24f>5<#9j;1?5j4n0a3>4e<3`9=h7>5$0a2>6>c3g;h<7?k;:k02=<72-;h=7=7d:l2g5<6m21b?8j50;&2g4<40m1e=n>51g98m635290/=n?539f8j4e72;:07d=:1;29 4e62:2o7c?l0;02?>i4<=0;6)?l1;17a>h6k90;76a<4283>!7d939?i6`>c182?>i4<;0;6)?l1;17a>h6k90976a<4083>!7d939?i6`>c180?>i4<90;6)?l1;17a>h6k90?76a<3g83>!7d939?i6`>c186?>i4;l0;6)?l1;17a>h6k90=76a<3e83>!7d939?i6`>c184?>i4;j0;6)?l1;17a>h6k90376a<3c83>!7d939?i6`>c18:?>d49>0;6<4?:1yK740<,8n86>?8;n3aa?6=3th:<<4?:083>5}O;8<0(<j<:022?j7783:17pl;a;29f3<dn3;:9vF<179Y0g<6>r<1m7j57;g9=?7?28=1n7m58;a9a?7?2m0<6<95b;c9=?>=>3w/=i=532c8 4332ol0(8<532:8 0>=;:30(<l6:0`g?j54:3:17d:<4;29?l5bk3:1(<m>:2gg?k7d83:07d=jb;29 4e62:oo7c?l0;38?l5bi3:1(<m>:2gg?k7d83807d=j9;29 4e62:oo7c?l0;18?l5b03:1(<m>:2gg?k7d83>07d=j7;29 4e62:oo7c?l0;78?l5b>3:1(<m>:2gg?k7d83<07d=j5;29 4e62:oo7c?l0;58?l5b<3:1(<m>:2gg?k7d83207d=j3;29 4e62:oo7c?l0;;8?j27<3:17d:<7;29?j24j3:17d:>9;29 4e62=;j7c?l0;28?l2603:1(<m>:53b?k7d83;07d:>7;29 4e62=;j7c?l0;08?l26>3:1(<m>:53b?k7d83907d:>5;29 4e62=;j7c?l0;68?l26<3:1(<m>:53b?k7d83?07d:>3;29 4e62=;j7c?l0;48?l26:3:1(<m>:53b?k7d83=07d:>1;29 4e62=;j7c?l0;:8?l2683:1(<m>:53b?k7d83307d:<3;29?j5b93:17b=j2;29?l54=3:17b:<0;29 4e62=997c?l0;28?j25n3:1(<m>:511?k7d83;07b:=e;29 4e62=997c?l0;08?j25l3:1(<m>:511?k7d83907b:=c;29 4e62=997c?l0;68?j25j3:1(<m>:511?k7d83?07b:=a;29 4e62=997c?l0;48?j2513:1(<m>:511?k7d83=07b:=8;29 4e62=997c?l0;:8?j25?3:1(<m>:511?k7d83307d=i7;29 4e62:l37c?l0;28?l5a>3:1(<m>:2d;?k7d83;07d=i5;29 4e62:l37c?l0;08?l5a<3:1(<m>:2d;?k7d83907d=i3;29 4e62:l37c?l0;68?l5a:3:1(<m>:2d;?k7d83?07d=i1;29 4e62:l37c?l0;48?l5a83:1(<m>:2d;?k7d83=07d=jf;29 4e62:l37c?l0;:8?l5bm3:1(<m>:2d;?k7d83307d:;9;29?j23?3:1(<m>:56;?k7d83:07b:;6;29 4e62=>37c?l0;38?j23=3:1(<m>:56;?k7d83807b:;4;29 4e62=>37c?l0;18?j23;3:1(<m>:56;?k7d83>07b:;2;29 4e62=>37c?l0;78?j2393:1(<m>:56;?k7d83<07b:;0;29 4e62=>37c?l0;58?j24n3:1(<m>:56;?k7d83207b:<e;29 4e62=>37c?l0;;8?j5cm3:17b=kc;29?l27m3:1(<m>:52e?k7d83:07d:?d;29 4e62=:m7c?l0;38?l27k3:1(<m>:52e?k7d83807d:?b;29 4e62=:m7c?l0;18?l27i3:1(<m>:52e?k7d83>07d:?9;29 4e62=:m7c?l0;78?l2703:1(<m>:52e?k7d83<07d:?7;29 4e62=:m7c?l0;58?l27>3:1(<m>:52e?k7d83207d:?5;29 4e62=:m7c?l0;;8?l24l3:17d=kf;29?l54>3:17d:;a;29?j24>3:17b:<c;29?j24i3:17d:<5;29?j5e93:1(<m>:2f1?k7d83:07b=m0;29 4e62:n97c?l0;38?j5fn3:1(<m>:2f1?k7d83807b=ne;29 4e62:n97c?l0;18?j5fk3:1(<m>:2f1?k7d83>07b=nb;29 4e62:n97c?l0;78?j5fi3:1(<m>:2f1?k7d83<07b=n9;29 4e62:n97c?l0;58?j5f03:1(<m>:2f1?k7d83207b=n7;29 4e62:n97c?l0;;8?j5f>3:1(<m>:2f1?k7d83k07b=n5;29 4e62:n97c?l0;`8?j5f<3:1(<m>:2f1?k7d83i07b=n3;29 4e62:n97c?l0;f8?j5f93:1(<m>:2f1?k7d83o07b=n0;29 4e62:n97c?l0;d8?j5>n3:1(<m>:2f1?k7d83;;76a<9d83>!7d939o>6`>c1825>=h;0n1<7*>c080`7=i9j:1=?54o2;`>5<#9j;1?i<4n0a3>45<3f92n7>5$0a2>6b53g;h<7?;;:m0=d<72-;h=7=k2:l2g5<6=21d?4750;&2g4<4l;1e=n>51798k6??290/=n?53e08j4e728=07b=m7;29 4e62:n97c?l0;3;?>i4j?0;6)?l1;1g6>h6k90:565`3c794?"6k808h?5a1b295d=<g:h?6=4+1b397a4<f8i;6<l4;n1a7?6=,8i:6>j=;o3`4?7d32e8n?4?:%3`5?5c:2d:o=4>d:9l7db=83.:o<4<d39m5f6=9l10c>o=:18'5f7=;m80b<m?:0d8?j5>?3:1(<m>:2f1?k7d838;76a<9783>!7d939o>6`>c1815>=n;?i1<7*>c080<a=i9j:1<65f37`94?"6k8084i5a1b295>=n;?k1<7*>c080<a=i9j:1>65f37;94?"6k8084i5a1b297>=n;?=1<7*>c080<a=i9j:1865f37494?"6k8084i5a1b291>=n;??1<7*>c080<a=i9j:1:65f37694?"6k8084i5a1b293>=n;?91<7*>c080<a=i9j:1465f37094?"6k8084i5a1b29=>=n;?;1<7*>c080<a=i9j:1m65f37294?"6k8084i5a1b29f>=n;<l1<7*>c080<a=i9j:1o65f34g94?"6k8084i5a1b29`>=n;<i1<7*>c080<a=i9j:1i65f34`94?"6k8084i5a1b29b>=n;<k1<7*>c080<a=i9j:1==54i27:>5<#9j;1?5j4n0a3>47<3`9>47>5$0a2>6>c3g;h<7?=;:k012<72-;h=7=7d:l2g5<6;21b?8850;&2g4<40m1e=n>51598m632290/=n?539f8j4e728?07d=:4;29 4e62:2o7c?l0;35?>o4=:0;6)?l1;1;`>h6k90:;65f36094?"6k8084i5a1b295==<a:=:6=4+1b397=b<f8i;6<74;h144?6=,8i:6>6k;o3`4?7f32c8:k4?:%3`5?5?l2d:o=4>b:9j73c=83.:o<4<8e9m5f6=9j10e>8k:18'5f7=;1n0b<m?:0f8?l5103:1(<m>:2:g?k7d83;n76g<5e83>!7d9393h6`>c182b>=n;<81<7*>c080<a=i9j:1>=54i272>5<#9j;1?5j4n0a3>77<3f98?7>5;h100?6=3`>987>5$0a2>1423g;h<7>4;h617?6=,8i:69<:;o3`4?7<3`>9>7>5$0a2>1423g;h<7<4;h615?6=,8i:69<:;o3`4?5<3`>9<7>5$0a2>1423g;h<7:4;h62b?6=,8i:69<:;o3`4?3<3`>:i7>5$0a2>1423g;h<784;h62`?6=,8i:69<:;o3`4?1<3`>:o7>5$0a2>1423g;h<764;h62f?6=,8i:69<:;o3`4??<3f9?87>5$0a2>62b3g;h<7>4;n177?6=,8i:6>:j;o3`4?7<3f9?>7>5$0a2>62b3g;h<7<4;n175?6=,8i:6>:j;o3`4?5<3f9?<7>5$0a2>62b3g;h<7:4;n10b?6=,8i:6>:j;o3`4?3<3f98i7>5$0a2>62b3g;h<784;n10`?6=,8i:6>:j;o3`4?1<3f98o7>5$0a2>62b3g;h<764;n10f?6=,8i:6>:j;o3`4??<3`>;>7>5$0a2>1643g;h<7>4;h635?6=,8i:69><;o3`4?7<3`>;<7>5$0a2>1643g;h<7<4;h1eb?6=,8i:69><;o3`4?5<3`9mi7>5$0a2>1643g;h<7:4;h1e`?6=,8i:69><;o3`4?3<3`9mo7>5$0a2>1643g;h<784;h1ef?6=,8i:69><;o3`4?1<3`9mm7>5$0a2>1643g;h<764;h1e=?6=,8i:69><;o3`4??<3f9><7>5;h612?6=3k99n7>51;294~"6l:0:<<5G33c8L6713f;;<7>5;|`06f<7280;6=u+1e19741<@:8j7E=>6:m2f`<722wi?=950;194?6|,8n86kl4H20b?M56>2B?46*>5g877<=#99o1=6g;c;29?l3f2900c<m<:188yg5683:1?7>50z&2`6<aj2B8>l5G3048L1><,8?m69=6;%33a?7<a=i1<75f5`83>>i6k:0;66sm31494?5=83:p(<j<:g`8L64f3A9::6F;8:&21c<3;01/==k51:k7g?6=3`?j6=44o0a0>5<<uk9;i7>55;294~"6l:0mi6F<2`9K740<@=20(<;i:51:?!77m3;0e9m50;9j14<722c>m7>5;h3`6?6=3f;h?7>5;|`04c<72:0;6=u+1e19bg=O;;k0D>?9;I6;?!72n3>856*>0d82?l2d2900e8o50;9l5f5=831vn>>::186>5<7s-;o?7hj;I11e>N49?1C855+14d906?<,8:n6<5f4b83>>o293:17d;n:188m4e52900c<m<:188yg57l3:187>50z&2`6<al2B8>l5G3048 46b281b8n4?::k6e?6=3`;h>7>5;n3`7?6=3th8<n4?:583>5}#9m91ji5G33c8L6713-;;i7?4i5a94?=n=h0;66g>c383>>i6k:0;66sm30694?2=83:p(<j<:gf8L64f3A9::6*>0d82?l2d2900e8o50;9j5f4=831d=n=50;9~f752290?6=4?{%3g7?`c3A99m6F<179'55c=<2c?o7>5;h7b>5<<a8i96=44o0a0>5<<uk8857>54;294~"6l:0mh6F<2`9K740<,8:n695f4b83>>o2i3:17d?l2;29?j7d;3:17pl=ag83>1<729q/=i=5fe9K77g<@:;=7)??e;68m1e=831b9l4?::k2g7<722e:o>4?::\7fa6dc=83>1<7>t$0f0>cb<@:8j7E=>6:&24`<63`>h6=44i4c94?=n9j81<75`1b194?=zj;ko6=4;:183\7f!7c;3lo7E==a:J053=#99o1=6g;c;29?l3f2900e<m=:188k4e42900qo?kf;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn<h=:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f4c7290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa5c5=8391<7>t$0f0>64>3A99m6F<179j16<722c:984?::m2ff<722wi=h?50;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th:j94?:283>5}#9m91??74H20b?M56>2c>?7>5;h361?6=3f;io7>5;|`2a7<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c3e1?6=;3:1<v*>d2806<=O;;k0D>?9;h70>5<<a8?>6=44o0``>5<<uk;n?7>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj8l=6=4<:183\7f!7c;39956F<2`9K740<a<91<75f14794?=h9ki1<75rb0g7>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm1g594?5=83:p(<j<:20:?M55i2B8=;5f5283>>o6=<0;66a>bb83>>{e9l?1<7:50;2x 4b428<;7E==a:J053=n=:0;66g:c;29?l7dj3:17b?mc;29?xd6n10;6>4?:1y'5a5=;;30D><n;I122>o2;3:17d?:5;29?j7ek3:17pl>e783>1<729q/=i=51728L64f3A9::6g:3;29?l3d2900e<mm:188k4dd2900qo?i9;297?6=8r.:h>4<289K77g<@:;=7d;<:188m4322900c<ll:188yg7b?3:187>50z&2`6<6>91C??o4H235?l342900e8m50;9j5fd=831d=om50;9~f4`f29086=4?{%3g7?5512B8>l5G3048m05=831b=8;50;9l5ge=831vn<hm:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f4`d290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm1gf94?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th:jh4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg7an3:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb323>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>=?50;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd58;0;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c037?6==3:1<v*>d28eg>N4:h1C?<84$02f>4=n<j0;66g:1;29?l312900e8o50;9l5f5=831vn?>;:186>5<7s-;o?7hl;I11e>N49?1/==k51:k7g?6=3`?:6=44i4494?=n=h0;66a>c283>>{e:9?1<7;50;2x 4b42oi0D><n;I122>"68l0:7d:l:188m07=831b9;4?::k6e?6=3f;h?7>5;|`155<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c03b?6=<3:1<v*>d28225=O;;k0D>?9;h70>5<<a<i1<75f1b`94?=h9ki1<75rb32f>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm21f94?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl=0b83>1<729q/=i=51728L64f3A9::6g:3;29?l3d2900e<mm:188k4dd2900qo<=8;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?<8:187>5<7s-;o?7?90:J06d=O;8<0e8=50;9j1f<722c:oo4?::m2ff<722wi>?850;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th9>84?:583>5}#9m91=;>4H20b?M56>2c>?7>5;h7`>5<<a8ii6=44o0``>5<<uk8987>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj;396=4;:183\7f!7c;3;=<6F<2`9K740<a<91<75f5b83>>o6kk0;66a>bb83>>{e:0;1<7:50;2x 4b428<;7E==a:J053=n=:0;66g:c;29?l7dj3:17b?mc;29?xd5190;694?:1y'5a5=9?:0D><n;I122>o2;3:17d;l:188m4ee2900c<ll:188yg4?n3:187>50z&2`6<6>91C??o4H235?l342900e8m50;9j5fd=831d=om50;9~f7>b290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa6<d=83>1<7>t$0f0>4073A99m6F<179j16<722c>o7>5;h3`f?6=3f;io7>5;|`1=d<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c0:=?6=<3:1<v*>d28225=O;;k0D>?9;h70>5<<a<i1<75f1b`94?=h9ki1<75rb3;;>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm28594?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl=7483>0<729q/=i=5fb9K77g<@:;=7)??e;38m1e=831b9<4?::k62?6=3`?j6=44o0a0>5<<uk8<87>55;294~"6l:0mo6F<2`9K740<,8:n6<5f4b83>>o293:17d;9:188m0g=831d=n=50;9~f715290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm26394?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9;>4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg4083:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb34e>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>;j50;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd5>j0;684?:1y'5a5=nl1C??o4H235?!77m3>0e9m50;9j14<722c>m7>5;h3`6?6=3f;h?7>5;|`12`<72<0;6=u+1e19bf=O;;k0D>?9;%33a?7<a=i1<75f5083>>o2>3:17d;n:188k4e42900qo<90;297?6=8r.:h>4<289K77g<@:;=7d;<:188m4322900c<ll:188yg42n3:1?7>50z&2`6<4:01C??o4H235?l342900e<;::188k4dd2900qo<:5;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?;j:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f734290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa60b=8391<7>t$0f0>64>3A99m6F<179j16<722c:984?::m2ff<722wi>8?50;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th99n4?:283>5}#9m91??74H20b?M56>2c>?7>5;h361?6=3f;io7>5;|`10c<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c06f?6=;3:1<v*>d2806<=O;;k0D>?9;h70>5<<a8?>6=44o0``>5<<uk8?h7>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj;?j6=4<:183\7f!7c;39956F<2`9K740<a<91<75f14794?=h9ki1<75rb36a>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm24;94?5=83:p(<j<:20:?M55i2B8=;5f5283>>o6=<0;66a>bb83>>{e:=31<7:50;2x 4b428<;7E==a:J053=n=:0;66g:c;29?l7dj3:17b?mc;29?xd5=10;6>4?:1y'5a5=;;30D><n;I122>o2;3:17d?:5;29?j7ek3:17pl=4683>1<729q/=i=51728L64f3A9::6g:3;29?l3d2900e<mm:188k4dd2900qo<:7;297?6=8r.:h>4<289K77g<@:;=7d;<:188m4322900c<ll:188yg43=3:187>50z&2`6<6>91C??o4H235?l342900e8m50;9j5fd=831d=om50;9~f7>>290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm29:94?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th94:4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg4?>3:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb3:6>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>5:50;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd50:0;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c0;6?6==3:1<v*>d28eg>N4:h1C?<84$02f>4=n<j0;66g:1;29?l312900e8o50;9l5f5=831vn?6>:186>5<7s-;o?7hl;I11e>N49?1/==k51:k7g?6=3`?:6=44i4494?=n=h0;66a>c283>>{e:1:1<7;50;2x 4b42oi0D><n;I122>"68l0:7d:l:188m07=831b9;4?::k6e?6=3f;h?7>5;|`1ag<72<0;6=u+1e19bf=O;;k0D>?9;%33a?7<a=i1<75f5083>>o2>3:17d;n:188k4e42900qo<ja;291?6=8r.:h>4ic:J06d=O;8<0(<>j:09j0f<722c>=7>5;h75>5<<a<k1<75`1b194?=zj;o36=4::183\7f!7c;3lh7E==a:J053=#99o1=6g;c;29?l362900e8850;9j1d<722e:o>4?::\7fa6`1=83?1<7>t$0f0>ce<@:8j7E=>6:&24`<63`>h6=44i4394?=n=?0;66g:a;29?j7d;3:17pl=e883>0<729q/=i=5fb9K77g<@:;=7)??e;38m1e=831b9<4?::k62?6=3`?j6=44o0a0>5<<uk8n:7>55;294~"6l:0mo6F<2`9K740<,8:n6<5f4b83>>o293:17d;9:188m0g=831d=n=50;9~f7c2290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm2d194?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9i?4?:483>5}#9m91jh5G33c8L6713-;;i7:4i5a94?=n=80;66g:a;29?l7d:3:17b?l3;29?xd5m=0;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c0g2?6=;3:1<v*>d2806<=O;;k0D>?9;h70>5<<a8?>6=44o0``>5<<uk8o97>53;294~"6l:08>45G33c8L6713`?86=44i076>5<<g8hh6=44}c0`f?6=<3:1<v*>d28225=O;;k0D>?9;h70>5<<a<i1<75f1b`94?=h9ki1<75rb3f7>5<4290;w)?k3;11=>N4:h1C?<84i4194?=n9<?1<75`1ca94?=zj;i26=4;:183\7f!7c;3;=<6F<2`9K740<a<91<75f5b83>>o6kk0;66a>bb83>>{e:m91<7=50;2x 4b42:827E==a:J053=n=:0;66g>5483>>i6jj0;66sm2b594?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl=d383>6<729q/=i=533;8L64f3A9::6g:3;29?l72=3:17b?mc;29?xd5k<0;694?:1y'5a5=9?:0D><n;I122>o2;3:17d;l:188m4ee2900c<ll:188yg4c93:1?7>50z&2`6<4:01C??o4H235?l342900e<;::188k4dd2900qo<l3;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?j?:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f7e6290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa6f`=8391<7>t$0f0>64>3A99m6F<179j16<722c:984?::m2ff<722wi>oh50;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th9oh4?:283>5}#9m91??74H20b?M56>2c>?7>5;h361?6=3f;io7>5;|`1fa<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c0``?6=;3:1<v*>d2806<=O;;k0D>?9;h70>5<<a8?>6=44o0``>5<<uk8in7>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj;lm6=4::183\7f!7c;3lh7E==a:J053=#99o1=6g;c;29?l362900e8850;9j1d<722e:o>4?::\7fa6cc=83?1<7>t$0f0>ce<@:8j7E=>6:&24`<63`>h6=44i4394?=n=?0;66g:a;29?j7d;3:17pl=fe83>0<729q/=i=5fb9K77g<@:;=7)??e;38m1e=831b9<4?::k62?6=3`?j6=44o0a0>5<<uk8mo7>55;294~"6l:0mo6F<2`9K740<,8:n6<5f4b83>>o293:17d;9:188m0g=831d=n=50;9~f7`e290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm2gc94?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9j44?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg4a03:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb3d4>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>k850;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd49;0;6>4?:1y'5a5=9<k0D><n;I122>"68l0:56g>0383>>o68:0;66a>bb83>>{e;931<7=50;2x 4b428?j7E==a:J053=#99o1=45f11094?=n9991<75`1ca94?=zj;k>6=4::183\7f!7c;3;>i6F<2`9K740<,8:n6?;4i021>5<<a8:86=44i027>5<<a8:>6=44o0``>5<<uk88>7>55;294~"6l:0:9h5G33c8L6713-;;i7<:;h336?6=3`;;?7>5;h330?6=3`;;97>5;n3ag?6=3th9m94?:483>5}#9m91=8k4H20b?M56>2.:<h4=5:k247<722c:<>4?::k241<722c:<84?::m2ff<722wi>>?50;794?6|,8n86<;j;I11e>N49?1/==k5249j554=831b===50;9j552=831b==;50;9l5ge=831vn?o<:186>5<7s-;o?7?:e:J06d=O;8<0(<>j:378m4652900e<><:188m4632900e<>::188k4dd2900qo<<0;291?6=8r.:h>4>5d9K77g<@:;=7)??e;06?l77:3:17d??3;29?l77<3:17d??5;29?j7ek3:17pl=a383>0<729q/=i=514g8L64f3A9::6*>0d811>o68;0;66g>0283>>o68=0;66g>0483>>i6jj0;66sm23d94?3=83:p(<j<:07f?M55i2B8=;5+11g960=n9981<75f11194?=n99>1<75f11794?=h9ki1<75rb3`3>5<4290;w)?k3;36e>N4:h1C?<84$02f>47<a8:96=44i020>5<<g8hh6=44}c0b5?6==3:1<v*>d2821`=O;;k0D>?9;%33a?423`;;>7>5;h337?6=3`;;87>5;h331?6=3f;io7>5;|`16`<72<0;6=u+1e1950c<@:8j7E=>6:&24`<5=2c:<?4?::k246<722c:<94?::k240<722e:nn4?::\7fa64c=83?1<7>t$0f0>43b3A99m6F<179'55c=:<1b==<50;9j555=831b==:50;9j553=831d=om50;9~f77e290>6=4?{%3g7?72m2B8>l5G3048 46b2;?0e<>=:188m4642900e<>;:188m4622900c<ll:188yg4603:197>50z&2`6<6=l1C??o4H235?!77m38>7d??2;29?l77;3:17d??4;29?l77=3:17b?mc;29?xd59<0;684?:1y'5a5=9<o0D><n;I122>"68l0996g>0383>>o68:0;66g>0583>>o68<0;66a>bb83>>{e:881<7;50;2x 4b428?n7E==a:J053=#99o1>85f11094?=n9991<75f11694?=n99?1<75`1ca94?=zj;9<6=4::183\7f!7c;3;>i6F<2`9K740<,8:n6?>4i021>5<<a8:86=44i027>5<<a8:>6=44o0``>5<<uk8j57>54;294~"6l:0:9n5G33c8L6713-;;i7<8;h336?6=3`;;?7>5;h330?6=3f;io7>5;|`1eg<72<0;6=u+1e1950c<@:8j7E=>6:&24`<a?2c:<?4?::k246<722c:<94?::k240<722e:nn4?::\7fa66g=8391<7>t$0f0>43f3A99m6F<179'55c=981b==<50;9j555=831d=om50;9~f77a290>6=4?{%3g7?72m2B8>l5G3048 46b2;?0e<>=:188m4642900e<>;:188m4622900c<ll:188yg46k3:197>50z&2`6<6=l1C??o4H235?!77m38>7d??2;29?l77;3:17d??4;29?l77=3:17b?mc;29?xd5900;684?:1y'5a5=9<o0D><n;I122>"68l0996g>0383>>o68:0;66g>0583>>o68<0;66a>bb83>>{e:8<1<7;50;2x 4b428?n7E==a:J053=#99o1>85f11094?=n9991<75f11694?=n99?1<75`1ca94?=zj;;86=4::183\7f!7c;3;>i6F<2`9K740<,8:n6?;4i021>5<<a8:86=44i027>5<<a8:>6=44o0``>5<<uk8>:7>52;294~"6l:0:945G33c8L6713-;;i7?>;h336?6=3f;io7>5;|`111<72;0;6=u+1e1950?<@:8j7E=>6:&24`<692c:<?4?::m2ff<722wi>8<50;094?6|,8n86<;6;I11e>N49?1/==k5109j554=831d=om50;9~f73729096=4?{%3g7?7212B8>l5G3048 46b28;0e<>=:188k4dd2900qo<;e;296?6=8r.:h>4>589K77g<@:;=7)??e;32?l77:3:17b?mc;29?xd5<j0;6?4?:1y'5a5=9<30D><n;I122>"68l0:=6g>0383>>i6jj0;66sm25c94?4=83:p(<j<:07:?M55i2B8=;5+11g954=n9981<75`1ca94?=zj;>36=4=:183\7f!7c;3;>56F<2`9K740<,8:n6<?4i021>5<<g8hh6=44}c072?6=:3:1<v*>d2821<=O;;k0D>?9;%33a?763`;;>7>5;n3ag?6=3th9on4?:383>5}#9m91=874H20b?M56>2.:<h4>1:k247<722e:nn4?::\7fa6fg=8381<7>t$0f0>43>3A99m6F<179'55c=981b==<50;9l5ge=831vn?m7:181>5<7s-;o?7?:9:J06d=O;8<0(<>j:038m4652900c<ll:188yg4d>3:1>7>50z&2`6<6=01C??o4H235?!77m3;:7d??2;29?j7ek3:17pl=c583>7<729q/=i=514;8L64f3A9::6*>0d825>o68;0;66a>bb83>>{e:j81<7<50;2x 4b428?27E==a:J053=#99o1=<5f11094?=h9ki1<75rb3a3>5<5290;w)?k3;36=>N4:h1C?<84$02f>47<a8:96=44o0``>5<<uk8ii7>52;294~"6l:0:945G33c8L6713-;;i7?>;h336?6=3f;io7>5;|`1ff<72;0;6=u+1e1950?<@:8j7E=>6:&24`<692c:<?4?::m2ff<722wi>;?50;094?6|,8n86<;6;I11e>N49?1/==k5109j554=831d=om50;9~f7b029096=4?{%3g7?7212B8>l5G3048 46b28;0e<>=:188k4dd2900qo?j8;290?6=8r.:h>4>5b9K77g<@:;=7)??e;01?l77:3:17d??3;29?l77<3:17b?mc;29?xd6m00;694?:1y'5a5=9<i0D><n;I122>"68l09:6g>0383>>o68:0;66g>0583>>i6jj0;66sm1dc94?2=83:p(<j<:07`?M55i2B8=;5+11g963=n9981<75f11194?=n99>1<75`1ca94?=zj8oi6=4;:183\7f!7c;3;>o6F<2`9K740<,8:n6?84i021>5<<a8:86=44i027>5<<g8hh6=44}c3fg?6=<3:1<v*>d2821f=O;;k0D>?9;%33a?413`;;>7>5;h337?6=3`;;87>5;n3ag?6=3th:ii4?:583>5}#9m91=8m4H20b?M56>2.:<h4=6:k247<722c:<>4?::k241<722e:nn4?::\7fa5`c=83>1<7>t$0f0>43d3A99m6F<179'55c=:?1b==<50;9j555=831b==:50;9l5ge=831vn<ki:187>5<7s-;o?7?:c:J06d=O;8<0(<>j:348m4652900e<><:188m4632900c<ll:188yg7a83:187>50z&2`6<6=j1C??o4H235?!77m38=7d??2;29?l77;3:17d??4;29?j7ek3:17pl<0283>1<729q/=i=514a8L64f3A9::6*>0d87=>o68;0;66g>0283>>o68=0;66a>bb83>>{e9o;1<7:50;2x 4b428?h7E==a:J053=#99o1>;5f11094?=n9991<75f11694?=h9ki1<75rb3cb>5<2290;w)?k3;36a>N4:h1C?<84$02f>44<a8:96=44i020>5<<a8:?6=44i026>5<<g8hh6=44}c033?6==3:1<v*>d2821`=O;;k0D>?9;%33a?443`;;>7>5;h337?6=3`;;87>5;h331?6=3f;io7>5;|`045<72j81<7>t$0f0>4e33A99m6F<179Y0g<dso0:<7?<:0695d<6j3;h6<j514822?7b28l1qcmn:19maf<73-;?j7<4$073>7=#99<1?6*>0680?!770390(<>6:29'55g=;2.:<o4<;%3`g?`f3-;hj7<4$02e>6=#98:1?6*>1080?!76:390(<?<:29'542=;2.:=84<;%322?5<,8;<6>5+10:97>"690087)?>a;18 47e2:1/=<m53:&25a<43-;:i7=4$03e>6=#9;:1?6*>2080?!75:390(<<<:29'572=;2.:>84<;%312?5<,88<6>5+13:97>"6:0087)?=a;18 44e2:1/=?m53:&26a<43-;9i7=4$00e>6=#9::1?6*>3080?!74:390(<=<:29'562=;2.:?84<;%302?5<,89<6>5+12:97>"6;0087)?<a;18 45e2:1/=>m53:&27a<43-;8i7=4$01e>6=#9=:1?6*>4080?!73:390(<:<:29'512=;2.:884<;%372?5<,8><6>5+15:97>"6<0087)?;a;18 42e2:1/=9m53:&20a<43-;?i7=4$072>4de3-;>>7hn;%367?`f3-;h97?mb:&2g3<6jk1e=n95139m5f>=9;1/=nj51c`8 46d2:1/==j53:&061<4::1/??;53318j6412880b><8:008 4b72;1b8i4?::k7a?6=3`??6=44i4794?=nn10;66g>c883>>o6kh0;66gi9;29?l7c93:17d?k2;29?j2a2900c8>50;9j6`<72-;h=7<k;o3`4?6<3`8h6=4+1b396a=i9j:1=65f2c83>!7d938o7c?l0;08?l4f290/=n?52e9m5f6=;21b>44?:%3`5?4c3g;h<7:4;h14>5<#9j;1>i5a1b291>=n;?0;6)?l1;0g?k7d83<07d=::18'5f7=:m1e=n>57:9j71<72-;h=7<k;o3`4?><3`986=4+1b396a=i9j:1565f3383>!7d938o7c?l0;c8?l56290/=n?52e9m5f6=j21b?=4?:%3`5?4c3g;h<7m4;h0e>5<#9j;1>i5a1b29`>=n:10;6)?l1;0g?k7d83o07d=j:18'5f7=;m1e=n>50:9j7f<72-;h=7=k;o3`4?7<3`9i6=4+1b397a=i9j:1>65f3`83>!7d939o7c?l0;18?l5>290/=n?53e9m5f6=<21b8:4?:%3`5?5c3g;h<7;4;h65>5<#9j;1?i5a1b292>=n<<0;6)?l1;1g?k7d83=07d:;:18'5f7=;m1e=n>58:9j06<72-;h=7=k;o3`4??<3`>96=4+1b397a=i9j:1m65f4083>!7d939o7c?l0;`8?l27290/=n?53e9m5f6=k21b?k4?:%3`5?5c3g;h<7j4;h1;>5<#9j;1?i5a1b29a>=n??0;6)?l1;56?k7d83:07d9;:18'5f7=?<1e=n>51:9j37<72-;h=79:;o3`4?4<3`=:6=4+1b3930=i9j:1?65f7183>!7d93=>7c?l0;68?l0a290/=n?5749m5f6==21b:h4?:%3`5?123g;h<784;h4g>5<#9j;1;85a1b293>=n>j0;6)?l1;56?k7d83207d8m:18'5f7=?<1e=n>59:9j2d<72-;h=79:;o3`4?g<3`<26=4+1b3930=i9j:1n65f6683>!7d93=>7c?l0;a8?l01290/=n?5749m5f6=l21b:84?:%3`5?123g;h<7k4;h47>5<#9j;1;85a1b29b>=n>:0;6)?l1;56?k7d83;;76g92;29 4e62>?0b<m?:038?l06290/=n?5749m5f6=9;10e;>50;&2g4<0=2d:o=4>3:9j1c<72-;h=79:;o3`4?7332c>i7>5$0a2>23<f8i;6<;4;h5g>5<#9j;1;85a1b2953=<a>i1<7*>c0841>h6k90:;65f7c83>!7d93=>7c?l0;3;?>o0i3:1(<m>:678j4e728307d96:18'5f7=?<1e=n>51`98m2>=83.:o<485:l2g5<6j21b;:4?:%3`5?123g;h<7?l;:k47?6=,8i:6:;4n0a3>4b<3`<36=4+1b3930=i9j:1=h54i4f94?"6k80<96`>c182b>=n1>0;6)?l1;;5?k7d83:07d7::18'5f7=1?1e=n>51:9j=6<72-;h=779;o3`4?4<3`396=4+1b39=3=i9j:1?65f9083>!7d933=7c?l0;68?l?7290/=n?5979m5f6==21b4k4?:%3`5??13g;h<784;h:f>5<#9j;15;5a1b293>=n0m0;6)?l1;;5?k7d83207d6l:18'5f7=1?1e=n>59:9j<g<72-;h=779;o3`4?g<3`2j6=4+1b39=3=i9j:1n65f8983>!7d933=7c?l0;a8?l>0290/=n?5979m5f6=l21b4;4?:%3`5??13g;h<7k4;h:6>5<#9j;15;5a1b29b>=n0=0;6)?l1;;5?k7d83;;76g73;29 4e620<0b<m?:038?l>5290/=n?5979m5f6=9;10e5?50;&2g4<>>2d:o=4>3:9j<5<72-;h=779;o3`4?7332c<j7>5$0a2><0<f8i;6<;4;h;f>5<#9j;15;5a1b2953=<a0n1<7*>c08:2>h6k90:;65f9b83>!7d933=7c?l0;3;?>o>j3:1(<m>:848j4e728307d7n:18'5f7=1?1e=n>51`98m<?=83.:o<466:l2g5<6j21b554?:%3`5??13g;h<7?l;:k:0?6=,8i:6484n0a3>4b<3`226=4+1b39=3=i9j:1=h54i6g94?"6k802:6`>c182b>=ni:0;6)?l1;c1?k7d83:07do>:18'5f7=i;1e=n>51:9je5<72-;h=7o=;o3`4?4<3`3m6=4+1b39e7=i9j:1?65fa983>!7d93k<7c?l0;28?lg1290/=n?5a69m5f6=921bm84?:%3`5?g03g;h<7<4;hc7>5<#9j;1m:5a1b297>=n;8n1<7*>c0805f=i9j:1<65f30`94?"6k808=n5a1b295>=n;8k1<7*>c0805f=i9j:1>65f30;94?"6k808=n5a1b297>=n;;81<7*>c08064=i9j:1<65f33294?"6k808><5a1b295>=n;8l1<7*>c08064=i9j:1>65f30g94?"6k808><5a1b297>=hk;0;6)?l1;a2?k7d83:07bm?:18'5f7=k81e=n>51:9lf`<72-;h=7m>;o3`4?4<3fho6=4+1b39g4=i9j:1?65`bb83>!7d93i:7c?l0;68?jde290/=n?5c09m5f6==21dnl4?:%3`5?e63g;h<784;n`:>5<#9j;1o<5a1b293>=hj10;6)?l1;a2?k7d83207bl8:18'5f7=k81e=n>59:9lf3<72-;h=7m>;o3`4?g<3fh>6=4+1b39g4=i9j:1n65`b283>!7d93i:7c?l0;a8?jd5290/=n?5c09m5f6=l21dn<4?:%3`5?e63g;h<7k4;n`3>5<#9j;1o<5a1b29b>=hio0;6)?l1;a2?k7d83;;76ane;29 4e62j;0b<m?:038?jgc290/=n?5c09m5f6=9;10clm50;&2g4<d92d:o=4>3:9leg<72-;h=7m>;o3`4?7332ejm7>5$0a2>f7<f8i;6<;4;na:>5<#9j;1o<5a1b2953=<gj21<7*>c08`5>h6k90:;65`c683>!7d93i:7c?l0;3;?>id>3:1(<m>:b38j4e728307bm::18'5f7=k81e=n>51`98kf2=83.:o<4l1:l2g5<6j21do>4?:%3`5?e63g;h<7?l;:mab?6=,8i:6n?4n0a3>4b<3fh?6=4+1b39g4=i9j:1=h54o`;94?"6k80h=6`>c182b>=hm=0;6)?l1;g0?k7d83:07bk=:18'5f7=m:1e=n>51:9la5<72-;h=7k<;o3`4?4<3fnm6=4+1b39a6=i9j:1?65`dd83>!7d93o87c?l0;68?jbc290/=n?5e29m5f6==21dhn4?:%3`5?c43g;h<784;nfa>5<#9j;1i>5a1b293>=hlh0;6)?l1;g0?k7d83207bj6:18'5f7=m:1e=n>59:9l`=<72-;h=7k<;o3`4?g<3fn<6=4+1b39a6=i9j:1n65`d483>!7d93o87c?l0;a8?jb3290/=n?5e29m5f6=l21dh>4?:%3`5?c43g;h<7k4;nf1>5<#9j;1i>5a1b29b>=hl80;6)?l1;g0?k7d83;;76ak0;29 4e62l90b<m?:038?jea290/=n?5e29m5f6=9;10cnk50;&2g4<b;2d:o=4>3:9lga<72-;h=7k<;o3`4?7332eho7>5$0a2>`5<f8i;6<;4;nga>5<#9j;1i>5a1b2953=<glk1<7*>c08f7>h6k90:;65`e883>!7d93o87c?l0;3;?>ib03:1(<m>:d18j4e728307bk8:18'5f7=m:1e=n>51`98k`0=83.:o<4j3:l2g5<6j21di84?:%3`5?c43g;h<7?l;:mf5?6=,8i:6h=4n0a3>4b<3fn=6=4+1b39a6=i9j:1=h54ob`94?"6k80n?6`>c182b>=hn80;6)?l1;d3?k7d83:07bki:18'5f7=n91e=n>51:9la`<72-;h=7h?;o3`4?4<3foo6=4+1b39b5=i9j:1?65`f783>!7d93l>7c?l0;28?j`3290/=n?5f49m5f6=921dj>4?:%3`5?`23g;h<7<4;nd1>5<#9j;1j85a1b297>=zj:::6=4l2;294~"6l:0:o95G33c8L6713S>i6nui:02956<6<3;j6<l51b82`?7228<1=h4>f;\7fmgd<73goh6=5+15d96>"6=9097)??6;18 4602:1/==653:&24<<43-;;m7=4$02a>6=#9ji1jl5+1bd96>"68o087)?>0;18 4762:1/=<<53:&256<43-;:87=4$036>6=#98<1?6*>1680?!760390(<?6:29'54g=;2.:=o4<;%32g?5<,8;o6>5+10g97>"69o087)?=0;18 4462:1/=?<53:&266<43-;987=4$006>6=#9;<1?6*>2680?!750390(<<6:29'57g=;2.:>o4<;%31g?5<,88o6>5+13g97>"6:o087)?<0;18 4562:1/=><53:&276<43-;887=4$016>6=#9:<1?6*>3680?!740390(<=6:29'56g=;2.:?o4<;%30g?5<,89o6>5+12g97>"6;o087)?;0;18 4262:1/=9<53:&206<43-;?87=4$066>6=#9=<1?6*>4680?!730390(<:6:29'51g=;2.:8o4<;%37g?5<,8>o6>5+15g97>"6=80:no5+1409bd=#9<91jl5+1b795gd<,8i=6<lm;o3`3?753g;h47?=;%3``?7ej2.:<n4<;%33`?5<,:8?6><<;%111?55;2d8>;4>2:l062<6:2.:h=4=;h6g>5<<a=o1<75f5583>>o2=3:17dh7:188m4e>2900e<mn:188mc?=831b=i?50;9j5a4=831d8k4?::m64?6=3`8n6=4+1b396a=i9j:1<65f2b83>!7d938o7c?l0;38?l4e290/=n?52e9m5f6=:21b>l4?:%3`5?4c3g;h<7=4;h0:>5<#9j;1>i5a1b290>=n;>0;6)?l1;0g?k7d83?07d=9:18'5f7=:m1e=n>56:9j70<72-;h=7<k;o3`4?1<3`9?6=4+1b396a=i9j:1465f3283>!7d938o7c?l0;;8?l55290/=n?52e9m5f6=i21b?<4?:%3`5?4c3g;h<7l4;h13>5<#9j;1>i5a1b29g>=n:o0;6)?l1;0g?k7d83n07d<7:18'5f7=:m1e=n>5e:9j7`<72-;h=7=k;o3`4?6<3`9h6=4+1b397a=i9j:1=65f3c83>!7d939o7c?l0;08?l5f290/=n?53e9m5f6=;21b?44?:%3`5?5c3g;h<7:4;h64>5<#9j;1?i5a1b291>=n<?0;6)?l1;1g?k7d83<07d:::18'5f7=;m1e=n>57:9j01<72-;h=7=k;o3`4?><3`>86=4+1b397a=i9j:1565f4383>!7d939o7c?l0;c8?l26290/=n?53e9m5f6=j21b8=4?:%3`5?5c3g;h<7m4;h1e>5<#9j;1?i5a1b29`>=n;10;6)?l1;1g?k7d83o07d99:18'5f7=?<1e=n>50:9j31<72-;h=79:;o3`4?7<3`=96=4+1b3930=i9j:1>65f7083>!7d93=>7c?l0;18?l17290/=n?5749m5f6=<21b:k4?:%3`5?123g;h<7;4;h4f>5<#9j;1;85a1b292>=n>m0;6)?l1;56?k7d83=07d8l:18'5f7=?<1e=n>58:9j2g<72-;h=79:;o3`4??<3`<j6=4+1b3930=i9j:1m65f6883>!7d93=>7c?l0;`8?l00290/=n?5749m5f6=k21b:;4?:%3`5?123g;h<7j4;h46>5<#9j;1;85a1b29a>=n>=0;6)?l1;56?k7d83l07d8<:18'5f7=?<1e=n>51198m34=83.:o<485:l2g5<6921b:<4?:%3`5?123g;h<7?=;:k54?6=,8i:6:;4n0a3>45<3`?m6=4+1b3930=i9j:1=954i4g94?"6k80<96`>c1821>=n?m0;6)?l1;56?k7d83;=76g8c;29 4e62>?0b<m?:058?l1e290/=n?5749m5f6=9110e:o50;&2g4<0=2d:o=4>9:9j3<<72-;h=79:;o3`4?7f32c<47>5$0a2>23<f8i;6<l4;h54>5<#9j;1;85a1b295f=<a>91<7*>c0841>h6k90:h65f6983>!7d93=>7c?l0;3f?>o2l3:1(<m>:678j4e728l07d78:18'5f7=1?1e=n>50:9j=0<72-;h=779;o3`4?7<3`386=4+1b39=3=i9j:1>65f9383>!7d933=7c?l0;18?l?6290/=n?5979m5f6=<21b5=4?:%3`5??13g;h<7;4;h:e>5<#9j;15;5a1b292>=n0l0;6)?l1;;5?k7d83=07d6k:18'5f7=1?1e=n>58:9j<f<72-;h=779;o3`4??<3`2i6=4+1b39=3=i9j:1m65f8`83>!7d933=7c?l0;`8?l>?290/=n?5979m5f6=k21b4:4?:%3`5??13g;h<7j4;h:5>5<#9j;15;5a1b29a>=n0<0;6)?l1;;5?k7d83l07d6;:18'5f7=1?1e=n>51198m=5=83.:o<466:l2g5<6921b4?4?:%3`5??13g;h<7?=;:k;5?6=,8i:6484n0a3>45<3`2;6=4+1b39=3=i9j:1=954i6d94?"6k802:6`>c1821>=n1l0;6)?l1;;5?k7d83;=76g6d;29 4e620<0b<m?:058?l?d290/=n?5979m5f6=9110e4l50;&2g4<>>2d:o=4>9:9j=d<72-;h=779;o3`4?7f32c257>5$0a2><0<f8i;6<l4;h;;>5<#9j;15;5a1b295f=<a0>1<7*>c08:2>h6k90:h65f8883>!7d933=7c?l0;3f?>o0m3:1(<m>:848j4e728l07do<:18'5f7=i;1e=n>50:9je4<72-;h=7o=;o3`4?7<3`k;6=4+1b39e7=i9j:1>65f9g83>!7d93k97c?l0;18?lg?290/=n?5a69m5f6=821bm;4?:%3`5?g03g;h<7?4;hc6>5<#9j;1m:5a1b296>=ni=0;6)?l1;c4?k7d83907d=>d;29 4e62:;h7c?l0;28?l56j3:1(<m>:23`?k7d83;07d=>a;29 4e62:;h7c?l0;08?l5613:1(<m>:23`?k7d83907d==2;29 4e62:8:7c?l0;28?l5583:1(<m>:202?k7d83;07d=>f;29 4e62:8:7c?l0;08?l56m3:1(<m>:202?k7d83907bm=:18'5f7=k81e=n>50:9lg5<72-;h=7m>;o3`4?7<3fhn6=4+1b39g4=i9j:1>65`be83>!7d93i:7c?l0;18?jdd290/=n?5c09m5f6=<21dno4?:%3`5?e63g;h<7;4;n`b>5<#9j;1o<5a1b292>=hj00;6)?l1;a2?k7d83=07bl7:18'5f7=k81e=n>58:9lf2<72-;h=7m>;o3`4??<3fh=6=4+1b39g4=i9j:1m65`b483>!7d93i:7c?l0;`8?jd4290/=n?5c09m5f6=k21dn?4?:%3`5?e63g;h<7j4;n`2>5<#9j;1o<5a1b29a>=hj90;6)?l1;a2?k7d83l07boi:18'5f7=k81e=n>51198kdc=83.:o<4l1:l2g5<6921dmi4?:%3`5?e63g;h<7?=;:mbg?6=,8i:6n?4n0a3>45<3fki6=4+1b39g4=i9j:1=954o`c94?"6k80h=6`>c1821>=hk00;6)?l1;a2?k7d83;=76al8;29 4e62j;0b<m?:058?je0290/=n?5c09m5f6=9110cn850;&2g4<d92d:o=4>9:9lg0<72-;h=7m>;o3`4?7f32eh87>5$0a2>f7<f8i;6<l4;na0>5<#9j;1o<5a1b295f=<gkl1<7*>c08`5>h6k90:h65`b583>!7d93i:7c?l0;3f?>if13:1(<m>:b38j4e728l07bk;:18'5f7=m:1e=n>50:9la7<72-;h=7k<;o3`4?7<3fo;6=4+1b39a6=i9j:1>65`dg83>!7d93o87c?l0;18?jbb290/=n?5e29m5f6=<21dhi4?:%3`5?c43g;h<7;4;nf`>5<#9j;1i>5a1b292>=hlk0;6)?l1;g0?k7d83=07bjn:18'5f7=m:1e=n>58:9l`<<72-;h=7k<;o3`4??<3fn36=4+1b39a6=i9j:1m65`d683>!7d93o87c?l0;`8?jb2290/=n?5e29m5f6=k21dh94?:%3`5?c43g;h<7j4;nf0>5<#9j;1i>5a1b29a>=hl;0;6)?l1;g0?k7d83l07bj>:18'5f7=m:1e=n>51198ka6=83.:o<4j3:l2g5<6921dok4?:%3`5?c43g;h<7?=;:m`a?6=,8i:6h=4n0a3>45<3fio6=4+1b39a6=i9j:1=954oba94?"6k80n?6`>c1821>=hmk0;6)?l1;g0?k7d83;=76aja;29 4e62l90b<m?:058?jc>290/=n?5e29m5f6=9110ch650;&2g4<b;2d:o=4>9:9la2<72-;h=7k<;o3`4?7f32en:7>5$0a2>`5<f8i;6<l4;ng6>5<#9j;1i>5a1b295f=<gl;1<7*>c08f7>h6k90:h65`d783>!7d93o87c?l0;3f?>idj3:1(<m>:d18j4e728l07bh>:18'5f7=n91e=n>50:9lac<72-;h=7h?;o3`4?7<3fon6=4+1b39b5=i9j:1>65`ee83>!7d93l;7c?l0;18?j`1290/=n?5f49m5f6=821dj94?:%3`5?`23g;h<7?4;nd0>5<#9j;1j85a1b296>=hn;0;6)?l1;d6?k7d83907pl=3983>6<729q/=i=514`8L64f3A9::6*>0d810>o68;0;66g>0283>>i6=?0;66sm2`a94?2=83:p(<j<:07g?M55i2B8=;5+11g91g=n9981<75f11194?=n99>1<75`14494?=z{:9=6=4;5z\073=:;9=18n5230290f=:;9<18n5231g90f=:;9l18n5231790f=:;9n18n5231a90f=:;8>18n5222790f=:::318n522`d90f=::ho18n522`f90f=:9oi18n521gf90f=:9oo18n521gd90f=::9:18n5221390f=::9818n5221190f=::9>18n5221790f=::>?18n5226690f=::>818n5226390f=::>918n5226290f=::?l18n5227f90f=::?i18n5227g90f=::1318n5229:90f=::1=18n5229490f=::1?18n5229690f=::1918n5229090f=::1;18n5229290f=::lh18n522dc90f=::l218n522d590f=::l318n522d490f=::l?18n522d190f=::l818n522d690f=::ol18n522gg90f=::on18n522ga90f=::oh18n522gc90f=::o318n522g:90f=::o=18n522g490f=:;9:19952312910=:;9;19952313910=z{=986=4>1z\776=:::k1==<4=0g;>46334;n57??4:?2ad<68=16=hl5116894cd28:?70?jd;330>;6ml0:<9521dd9552<58l;6<>;;<137?77<27:j<4>059>6dg=99?01?>8:027?84403;;>63=ab8247=z{=9:6=4kfz\015=Y;:90R9=l;_60e>X4;;1U8>84^2g2?[24j2T8i?5Q4168Z1203W>?:6P;449]012<V=>87S:;2:\704=Y<=:0R9=i;_60a>X3;91U8?h4^50f?[25l2T?>n5Q43`8Z14f3W>956P;299]071<5:8i6<>?;<13a?3f349;97;n;<13`?3f349;o7;n;<120?3f348jj7;n;<024?3d348;j7;l;<03a?3d348;h7;l;<03g?3d348947;l;<013?3d3489:7;l;<011?3d348987;l;<0:6?3d3482=7;l;<0:4?3d3483j7;l;<0;a?3d3482n7;l;<0:e?3d348257;l;<0:<?3d3482;7;l;<061?3d348>?7;l;<065?3d348?j7;l;<07`?3d348?n7;l;<07=?3d348?;7;l;<071?3d348hn7;l;<0`=?3d348h;7;l;<0`1?3d348h?7;l;<0`5?3d348ij7;l;<0a`?3d348in7;l;<134?2c349;<7:j;<134?7d1278<=4>c`9>756=9m;01>>?:3g896672:;01>>?:22896672;l01>>?:3:896672:o01>>?:53896672=:01>>?:2d896672:201>>?:64896672>>01>>?:60896672>;01>>?:62896672?l01>>?:7g896672?n01>>?:7a896672?h01>>?:7c896672?301>>?:75896672?<01>>?:77896672?>01>>?:858966720?01>>?:818966720801>>?:838966720:01>>?:9d8966721o01>>?:9f8966721i01>>?:9`8966721k01>>?:9:8966721=01>>?:948966721?01>>?:968966721901>>?:908966721;01>>?:92896672>l01>>?:8g8966720n01>>?:8a8966720h01>>?:8c8966720301>>?:8:8966720>01>>?:9;896672>o01>>?:`1896672h;01>>?:`:896672h<01>>?:`7896672h>01>>?:201?8578399<63<01805c=:;9:1?<k4=222>1b<5:::69k4=222>4e>349;=7?la:?044<6l816?=?52d9>757=;816?=?5319>757=:o16?=?5299>757=;l16?=?5409>757=<916?=?53g9>757=;116?=?5779>757=?=16?=?5739>757=?816?=?5719>757=>o16?=?56d9>757=>m16?=?56b9>757=>k16?=?56`9>757=>016?=?5669>757=>?16?=?5649>757=>=16?=?5969>757=1<16?=?5929>757=1;16?=?5909>757=1916?=?58g9>757=0l16?=?58e9>757=0j16?=?58c9>757=0h16?=?5899>757=0>16?=?5879>757=0<16?=?5859>757=0:16?=?5839>757=0816?=?5819>757=?o16?=?59d9>757=1m16?=?59b9>757=1k16?=?59`9>757=1016?=?5999>757=1=16?=?5889>757=?l16?=?5a29>757=i816?=?5a19>757=1o16?=?5a99>757=i?16?=?5a49>757=i=16?=?5330896662:8;70=?1;12b>;48808=h5rs516>5<4sW>8963<0d82g7=:;9?1=n<4}r1gg?6=:rT8hn5222;95f5<uz>?57>54z\70<=::k:1==<4=3cb>463348;;7??5:\7fp7ac=838pR>jj;<0ba?7d;2wx?9h50;1xZ623348;97?l3:?2b4<68;1v\7f>:k:187\7f[53;27:i:4:c:?141<6k:16=k>51108yv53k3:18vP<439>5`0==j16>==51b1894ca28:97p}<4c83>1}Y;=;01<k::4a8976528i870?je;336>{t;=k1<7:t^263?87b<3?h70<?1;3`7>;6mm0:<?5rs26:>5<3sW98j63>e286g>;5890:o>521da9554<uz9?47>54z\07`=:9l819n521gd95f5<58oi6<>=;|q002<72=qU?>j4=0g2>0e<58ln6<m<;<3fe?77:2wx?9850;6xZ65d34;n<7;l;<3e`?7d;27:i44>039~w622290?wS=<b:?2`c<2k27:jn4>c29>5`>=9980q~=k3;296~X4j816?=?5d09~w6b62909wS=m0:?044<c82wx?i>50;0xZ6ga349;=7mi;|q0gc<72;qU?lk4=222>fc<uz9hh7>52z\0ef=:;9;1oi5rs2a`>5<5sW9jn63<008`g>{t;jh1<7<t^2cb?85793oi7p}<c`83>7}Y;h301>>>:dc8yv5d13:1>vP<a99>757=m01v\7f>m7:181\7f[5f?278<<4j8:\7fp7f1=838pR>o9;<135?c03ty8o;4?:3y]7d3<5:::6h84}r1`1?6=:rT8m9523139a0=z{:i?6=4={_1b7>;4880n=6s|3b094?4|V:k:70=?1;f5?xu4k80;6?uQ3`2896662jh0q~=l0;296~X41o16?=>5f29~w6da2909wS=6e:?045<c92wx?ok50;0xZ6?c349;<7j?;|q0fa<72;qU?4m4=223>f`<uz9io7>52z\0=g=:;9:1oh5rs2`a>5<5sW92m63<018``>{t;kk1<7<t^2;:?85783ih7p}<b883>7}Y;0201>>?:d`8yv5ci3:1>vP<b69>756=mh1v\7f>j6:181\7f[5e>278<=4i2:\7fp7a>=838pR>l:;<134?c>3ty8h:4?:3y]7g2<5::;6h64}r1g2?6=:rT8n>523129a2=z{:n>6=4={_1a6>;4890n:6s|3e694?4|V:ko70=?0;g6?xu4kl0;6?uQ3`0896672l;0q~=l3;296~X41>16?=>5d79~w6d?2909wS=66:?045<dj2wx?5k50;0xZ60d349;=78<;|q0<f<72;qU?;l4=222>34<uz93n7>52z\02d=:;9;1:<5rs2:b>5<5sW9=563<00854>{t;121<7<t^244?85793?m7p}<8683>7}Y;?<01>>>:4g8yv5?>3:1>vP<649>757=?m1v\7f>6::181\7f[51<278<<48c:\7fp7=2=838pR>8<;<135?1e3ty84>4?:3y]734<5:::6:o4}r1;6?6=:rT8:<5231393<=z{:2:6=4={_154>;4880<46s|39294?4|V:?m70=?1;54?xu4?o0;6?uQ34g896662>90q~=8d;296~X4=j16?=?5699~w61d2909wS=:b:?044<2l2wx?:l50;0xZ63f349;<7o?;|q03d<72;qU?874=223>35<uz9<57>52z\01==:;9:1:?5rs25;>5<5sW9>;63<01855>{t;>=1<7<t^275?85783<;7p}<7783>7}Y;<?01>>?:4d8yv50=3:1>vP<559>756==l1v\7f>9;:181\7f[52;278<=48d:\7fp7<3=838pR>9=;<134?1d3ty8594?:3y]727<5::;64h4}r1:7?6=:rT8;=5231293g=z{:396=4={_15b>;4890<m6s|38394?4|V:<n70=?0;5:?xu4190;6?uQ37f896672>20q~=7f;296~X4>116?=>5769~w6>>2909wS=:d:?045<0;2wx?:k50;0xZ635349;<787;|q036<72;qU?8?4=223>0b<uz;=87>5bz?06f<6jl16>=m5529>672==:16>5k5529>6<1==:16>895529>613==:16>nj5529>6gd==:16?=>5f99>757=n11v\7f>>9:180\7f857?3?j70=?6;3`7>;48<0>=6s|31594?4|5::<6<m<;<13=?77:2wx?=h50;1x96772<k01>>j:438966a28i87p}<1183>7}:;8:1=n=4=231>4653ty8<84?:2y>750==h16?=;51b18966>28:87p}<0d83>6}:;9o1=n=4=22e>0g<5:;96<><;|q04=<72:q6?=j51b08966d28i970=?9;3ag>{t;9h1<7<>{<13`?7d;279?84>c39>66?=9j801<hl:44894`c2<<01<hj:44894`a2<<01?>?:44897662<<01?>=:44897642<<01?>;:44897622<<01?9::44897132<<01?9=:44897162<<01?9<:44897172<<01?8i:448970c2<<01?8l:0a1?841m3?=70<79;75?84?03?=70<77;75?84?>3?=70<75;75?84?<3?=70<73;75?84?:3?=70<71;75?84?83?=7p}<0`83>1}:;9i1=n=4=220>465349;<7?k2:?044<6l;1v\7f>?>:181\7f856<3;h>63<1382ff=z{:;86=4>9z?051<6k:16>lh51b0897gb28i970<nd;3`6>;5mk0>:63=e`862>;5m10>:63=e6862>;5m00>:63=e7862>;5m<0>:63=e2862>;5m;0:o?522d6913=::ol19;522gg913=::on19;522ga913=::oh19;522gc913=::o319;522g:913=::o=19;522g4913=::h31==:4}r002?6=;r79?84:a:?17<<2i279?:4>bb9~w752290:=v3=3482g6=:::=1===4=31b>46434;n47??3:?2a<<68:16=ho5111894ce28:870?jc;337>;6mm0:<>521dg9555<58om6<><;<3e4?77;278<>4>029>5c7=99901?on:021?847?3;;?63=ab8246=z{;km6=4={<0bb?7d;279m44>029~w7g?2908w0<ne;7b?84fl3?j70<nb;3ag>{t:hn1<7;t=3cg>4e4348i<7??3:?1e<<68;16>lo51118976028:97p}>d583>41|58nm68=4=0d1>05<5;=>68?4=357>07<5;=968?4=352>07<5;=868?4=353>07<5;<m68?4=34g>07<5;<h68?4=34f>07<5;2268?4=3:;>07<5;2<68?4=3:5>07<5;2>68?4=3:7>07<5;2868?4=3:1>07<5;2:68?4=3:3>07<5;9j6<ll;|q2a=<72:q6=ih51b`894`528?>70?j8;3ag>{t9ml1<7=t=0fe>4dd34;n<7;<;<3e7?343ty:h84?:3y>5c4=9ki01<hl:4c8yv7b13:1?v3>e182gg=:9o91=8;4=0g:>4dd3ty:i=4?:2y>5`6=9ki01<k>:41894`32<90q~?k7;296~;6n:0:nn521gf91d=z{8oj6=4<{<3f5?7dj27:j94>549>5`g=9ki0q~?j1;297~;6m80:nn521d0916=:9o?19>5rs0f;>5<5s4;m87?mc:?2b`<2i2wx=hl50;1x94c528ii70?i5;361>;6mk0:nn5rs0g1>5<4s4;n>7?mc:?2a6<2;27:j;4:3:\7fp5a?=838p1<h::0``?87an3?j7p}>eb83>6}:9l91=nl4=0d5>43234;no7?mc:\7fp5`5=839p1<k<:0``?87b<3?870?i7;70?xu6lh0;6?u21g495ge<5;:;68o4}r3f`?6=;r7:i94>cc9>5c1=9<?01<kk:0``?xu6m=0;6>u21d695ge<58o>68=4=0d;>05<uz;on7>52z?2b2<6jj16>=?55`9~w4cb2908w0?j5;3`f>;6n10:98521dg95ge<uz;n97>53z?2a0<6jj16=h85529>5c?==:1v\7f<jl:181\7f87a03;io63=0386e>{t9ll1<7=t=0g5>4ee34;m57?:5:?2ac<6jj1v\7f<k9:180\7f87b>3;io63>e6867>;6nh0>?6s|1ef94?4|58l26<ll;<037?3f3ty:j=4?:2y>5`1=9jh01<hn:076?87a83;io6s|1d594?4|58o<6<ll;<3ef?343ty:hh4?:3y>5cg=9ki01?>;:4c8yv7a93:1>v3>fc8210=:9o;1=om4}r3g2?6=:r7:jo4>bb9>653==h1v\7f?>9:18a\7f87ak3?:70?id;72?87am3?:70?if;72?84783?:70<?1;72?847:3?:70<?3;72?847<3?:70<?5;72?847?3;io6s|21`94?4|5;;;68=4=32e>4dd3ty9=i4?:3y>646=9jh01??j:0``?xu5;:0;6?u220295ge<5;9<6<>=;|q14d<72;q6>=h5529>65c=9ki0q~<>a;296~;58o0:oo5220`95ge<uz8;57>52z?14`<2;279<i4>bb9~w7702909w0<?e;3`f>;5910:nn5rs32;>5<5s48;h7;<;<03g?7ek2wx><:50;0x976c28ii70<>5;3ag>{t:8;1<7<t=32`>4ee348:>7?mc:\7fp675=838p1?<7:418974028hh7p}=2e83>7}::;21=nl4=311>4dd3ty9?94?:3y>67>=9ki01?=7:020?xu5:;0;6?u2235916=::;<1=om4}r01g?6=:r79>:4>cc9>667=9ki0q~<=1;296~;5:?0>?63=2482ff=z{;8i6=4={<012?7dj279?=4>bb9~w7472909w0<=5;70?845<3;io6s|23c94?4|5;8>6<mm;<01b?7ek2wx>?750;0x974328ii70<=e;3ag>{t:1n1<7<t=3;1>05<5;3:6<ll;|q15c<72;q6>4<51b`8977a28hh7p}=a783>7}::081=om4=3c`>4633ty94n4?:3y>6<7==:16>4>51ca8yv46k3:1>v3=9082gg=::8i1=om4}r0;f?6=:r795=4:3:?1<c<6jj1v\7f??6:181\7f84>83;hn63=1882ff=z{;2j6=4={<0;b?343483i7?mc:\7fp640=838p1?6i:0aa?846>3;io6s|20194?4|5;2n6<mm;<027?7ek2wx>4850;0x97?e2<901?7n:0``?xu5i90;6?u228`95fd<5;k>6<ll;|q1e2<72;q6>4l51ca897ge28:?7p}=9483>7}::0k19>5228;95ge<uz82j7>52z?1=d<6kk16>l:51ca8yv4><3:1>v3=98867>;5110:nn5rs3;f>5<5s48257?lb:?1e6<6jj1v\7f?7<:181\7f84>03?870<67;3ag>{t:0n1<7<t=3;;>4ee348j>7?mc:\7fp6<e=838p1?78:0aa?84f93;io6s|25694?4|5;=>68o4=343>4dd3ty9:o4?:5y>623=9j901?66:4c8975528:970<91;336>{t:=91<7<t=357>0g<5;?m6<ll;|q12d<72=q6>::51b1897>?2<k01?==:027?842>3;;>6s|25394?4|5;=968o4=37g>4dd3ty9:54?:5y>624=9j901?69:4c8975628:?70<:2;336>{t:=:1<7<t=352>0g<5;?h6<ll;|q122<72=q6>:?51b1897>22<k01?=?:021?84283;;>6s|25094?4|5;=868o4=37f>4dd3ty9:44?:5y>625=9j901?68:4c8975628:970<:4;336>{t::l1<7<t=353>0g<5;?i6<ll;|q123<72=q6>:>51b1897>32<k01?=?:027?843m3;;>6s|22g94?4|5;<m68o4=37b>4dd3ty9:84?:5y>63`=9j901?6<:4c8974a28:970<;c;336>{t::i1<7<t=34g>0g<5;?36<ll;|q126<72=q6>;j51b1897>62<k01?<j:021?84303;;>6s|22`94?4|5;<h68o4=374>4dd3ty9:?4?:5y>63e=9j901?6?:4c8974b28:?70<;6;336>{t::n1<7<t=34f>0g<5;?26<ll;|q121<72=q6>;k51b1897>52<k01?<i:027?843i3;;>6s|24794?4|5;<;68=4=376>4dd3ty9:<4?:3y>636=9<?01?8>:0``?xu5=:0;6>u224d916=::<?19>5224195ge<uz8>:7>53z?11c<6=<16>8;51b`8973128hh7p}=5083>6}::<o19>52241916=::<;1=om4}r060?6=;r799h4>549>605=9jh01?;;:0``?xu5<o0;6>u224f916=::<;19>5225d95ge<uz8>>7>53z?11a<6=<16>8?51b`8973528hh7p}=4e83>6}::<i19>5225d916=::=n1=om4}r064?6=;r799n4>549>61`=9jh01?;?:0``?xu5<k0;6>u224`916=::=n19>5225`95ge<uz8?i7>53z?11g<6=<16>9j51b`8972b28hh7p}=4883>6}::<k19>5225`916=::=31=om4}r07g?6=;r799l4>549>61d=9jh01?:l:0``?xu5<>0;6>u224;916=::=319>5225595ge<uz8?m7>53z?11<<6=<16>9751b`8972f28hh7p}=4483>6}::<219>52255916=::=?1=om4}r07<?6=;r79954>549>611=9jh01?:7:0``?xu5<?0;6>u22459503<5;>>6<mm;<072?7ek2wx>:h50;4x97>>28i870<n5;337>;59l0:<?5220d9554<5::;6>m4=222>6e<uz8<i7>56z?1<=<6k:16>l;51178977b28:?70<>f;330>;48908n63<0080f>{t:>n1<78t=3:4>4e4348j87??3:?15g<68;16><m5110896672:k01>>>:2c8yv40k3:1:v3=8782g6=::h>1==;4=33a>463348:o7??4:?045<41278<<4<9:\7fp62d=83<p1?6::0a0?84f;3;;?63=198247=::831==<4=223>11<5:::6994}r04e?6=>r79494>c29>6d5=99?01??7:027?84613;;863<01872>;4880?:6s|26;94?0|5;286<m<;<0b6?77;279=84>039>640=99801>>?:57896662=?0q~<88;292~;50;0:o>522`09553<5;;>6<>;;<022?77<278<=4;4:?044<3<2wx>:950;4x97>628i870<n1;337>;59;0:<?522019554<5::;69=4=222>15<uz8<:7>56z?1<5<6k:16>l?51178977528:?70<>3;330>;4890?>63<00876>{t;9>1<7?j{<0ff?36348nm7;>;<0f<?36348n;7;>;<0f=?36348n:7;>;<0f1?36348n?7;>;<0f6?36348n87;>;<0eb?36348mi7;>;<0e`?36348mo7;>;<0ef?36348mm7;>;<0e=?36348m47;>;<0e3?36348m:7;>;<0a4?7ek279?:4>059>756=;8n01>>?:23a?857839:m63<01805<=:;9;1?<j4=222>67e349;=7=>a:?044<4901v\7f?ln:181\7f84bj3?j70<k6;3ag>{t:l;1<7:t=3ga>4e4348mj7;n;<0b1?77:279h:4>039~w7d>2909w0<ja;7b?84c=3;io6s|2d294?2|5;oj6<m<;<0ea?3f348j97??4:?1gf<68;1v\7f?l8:181\7f84b03?j70<k3;3ag>{t:mo1<7:t=3g;>4e4348mo7;n;<0b0?77<279o54>039~w7d12909w0<j7;7b?84c:3;io6s|2ef94?2|5;o<6<m<;<0ef?3f348j?7??2:?1g3<68;1v\7f?l7:181\7f84b13?j70<k4;3ag>{t:ml1<7:t=3g:>4e4348mh7;n;<0b0?77:279ol4>039~w7d22909w0<j6;7b?84c93;io6s|2ea94?2|5;o=6<m<;<0ee?3f348j?7??4:?1g1<68;1v\7f?l;:181\7f84b=3?j70<k0;3ag>{t:mh1<7:t=3g6>4e4348m57;n;<0b6?77:279o?4>039~w7d52909w0<j3;7b?84dm3;io6s|2e;94?2|5;o86<m<;<0e3?3f348j=7??2:?1f`<68;1v\7f?l>:181\7f84b:3?j70<ld;3ag>{t:m21<7:t=3g1>4e4348m:7;n;<0b5?77<279nn4>039~w7d42909w0<j4;7b?84dn3;io6s|2ec94?2|5;o?6<m<;<0e<?3f348j>7??4:?1g5<68;1v\7f?mm:181\7f84c>3?870<lb;3ag>{t:m=1<7<t=3f5>432348o;7?mc:\7fp6f?=839p1?j::41897ee2<901?m6:0``?xu5kj0;6>u22e79503<5;ii6<mm;<0`g?7ek2wx>n950;1x97b32<901?m6:41897e028hh7p}=c`83>6}::m>1=8;4=3a:>4ee348hm7?mc:\7fp6f3=839p1?j<:41897e02<901?m::0``?xu5k10;6>u22e19503<5;i<6<mm;<0`<?7ek2wx>n=50;1x97b52<901?m::41897e428hh7p}=c783>6}::m81=8;4=3a6>4ee348h:7?mc:\7fp6f7=839p1?j>:41897e42<901?m>:0``?xu5k=0;6>u22e39503<5;i86<mm;<0`0?7ek2wx>oh50;1x97b72<901?m>:41897da28hh7p}=c383>6}::m:1=8;4=3a2>4ee348h>7?mc:\7fp6gb=839p1?mi:41897da2<901?lk:0``?xu5k90;6>u22bd9503<5;hm6<mm;<0`4?7ek2wx>ol50;1x97eb2<901?lk:41897de28hh7p}=bd83>6}::jo1=8;4=3`g>4ee348ii7?mc:\7fp6ge=839p1?mk:076?84ej3;hn63=bb82ff=z{;l>6=49{<0eb?7d;279??4>029>64c=99901??i:020?857838h70=?1;0`?xu5n=0;6;u22gg95f5<5;996<>:;<02a?77=279=k4>049>756=:k16?=?52c9~w7`4290=w0<id;3`7>;5;80:<>5220`9555<5;;h6<><;<134?4f349;=7<n;|q1b7<72?q6>km51b18975628:>70<>b;331>;59j0:<85231296<=:;9;1>45rs3d2>5<1s48mn7?l3:?175<68:16><651118977>28:870=?0;14?857939<7p}=f183>3}::ok1=n=4=313>462348:47??5:?15<<68<16?=>5379>757=;?1v\7f?ki:185\7f84a13;h?63=2g8246=::8?1===4=335>464349;<7=:;<135?523ty9ih4?:7y>6c>=9j901?<i:026?846=3;;963=178240=:;9:1?952313971=z{;oo6=49{<0e3?7d;279>h4>029>644=99901??<:020?857839870=?1;10?xu5mj0;6;u22g495f5<5;8n6<>:;<026?77=279=>4>049>756=;;16?=?5339~w4042909w0<<7;331>;5;10:9;5rs3c:>5<5s48j57?mc:?1eg<68;1v\7f?on:181\7f84fj3;;?63=a`82ff=z{;kh6=4={<0bf?77=279mn4>579~w6652908w0=?3;3ag>;4890m563<008e=>{z{:9=6=4={_102>;3i398:6*<1482e5=z{:2n6=4={_15g>;3i39=o6*<1482f0=z{:2h6=4={_15f>;3i39=n6*<1482f3=z{:2i6=4={_15e>;3i39=m6*<1482f2=z{:2j6=4={_15=>;3i39=56*<1482f==z{:236=4={_153>;3i39=;6*<148220=z{:2<6=4={_152>;3i39=:6*<14822<=z{:2=6=4={_151>;3i39=96*<148231=z{:2>6=4={_150>;3i39=86*<14823c=z{:2?6=4={_157>;3i39=?6*<1482<d=z{:286=4={_156>;3i39=>6*<1482=0=z{:296=4={_155>;3i39==6*<1482=f=z{:2:6=4={_154>;3i39=<6*<1482=a=z{:2;6=4={_16b>;3i39>j6*<1482=`=z{:=m6=4={_16a>;3i39>i6*<1482=c=z{:=o6=4={_16g>;3i39>o6*<1482e4=z{:=h6=4={_16f>;3i39>n6*<1482e7=z{:=i6=4={_16e>;3i39>m6*<1482e6=z{:=j6=4={_16=>;3i39>56*<1482e1=z{:=26=4={_16<>;3i39>46*<1482e0=z{:=36=4={_163>;3i39>;6*<1482e3=z{:=<6=4={_162>;3i39>:6*<1482e2=z{:==6=4={_161>;3i39>96*<1482e==z{:=>6=4={_160>;3i39>86*<1482e<=z{:=?6=4={_167>;3i39>?6*<1482ed=z{:3>6=4={_146>;3i39<>6*<1482eg=z{:3?6=4={_145>;3i39<=6*<1482ef=z{:386=4={_144>;3i39<<6*<1482ea=z{:396=4={_15b>;3i39=j6*<1482e`=z{:3:6=4={_15a>;3i39=i6*<1482ec=z{:3;6=4={_15`>;3i39=h6*<1482f5=z{:2m6=4={_15<>;3i39=46*<1482f4=z{:226=4={_16`>;3i39>h6*<1482f7=z{:=n6=4={_166>;3i39>>6*<1482f6=z{:=86=4={_165>;3i39>=6*<1482f1=z{=986=4={_607>;3i3>8?6*<148223=z{=9>6=4={_601>;3i3>896*<148222=z{=>26=4={_67=>;3i3>?56*<14822==z{:>m6=4={_170>;3i39?86*<14822d=z{:>o6=4={_177>;3i39??6*<14822g=z{:>h6=4={_176>;3i39?>6*<14822f=z{:>i6=4={_175>;3i39?=6*<14822a=z{:>j6=4={_174>;3i39?<6*<14822`=z{:>26=4={_10b>;3i398j6*<14822c=z{:>36=4={_10a>;3i398i6*<148235=z{:><6=4={_10`>;3i398h6*<148234=z{:>=6=4={_10g>;3i398o6*<148237=z{:>>6=4={_10f>;3i398n6*<148236=z{:n86=4={_1a5>;3i39i=6*<148230=z{:n:6=4={_1a4>;3i39i<6*<148233=z{:n;6=4={_1bb>;3i39jj6*<148232=z{:im6=4={_1ba>;3i39ji6*<14823==z{:io6=4={_1bg>;3i39jo6*<14823<=z{:ih6=4={_1bf>;3i39jn6*<14823d=z{:ii6=4={_1be>;3i39jm6*<14823g=z{:ij6=4={_1b=>;3i39j56*<14823f=z{:i26=4={_1b<>;3i39j46*<14823a=z{:i36=4={_1b3>;3i39j;6*<14823`=z{:i<6=4={_1b2>;3i39j:6*<1482<5=z{:i=6=4={_1b1>;3i39j96*<1482<4=z{:i>6=4={_1b0>;3i39j86*<1482<7=z{:i?6=4={_1b7>;3i39j?6*<1482<6=z{:i96=4={_1b5>;3i39j=6*<1482<1=z{:i:6=4={_1b4>;3i39j<6*<1482<0=z{:i;6=4={_1:b>;3i392j6*<1482<3=z{:hm6=4={_1:a>;3i392i6*<1482<2=z{:hn6=4={_1:`>;3i392h6*<1482<==z{:ho6=4={_1:g>;3i392o6*<1482<<=z{:hh6=4={_1:f>;3i392n6*<1482<g=z{:hi6=4={_1:e>;3i392m6*<1482<f=z{:hj6=4={_1:=>;3i39256*<1482<a=z{:h26=4={_1:<>;3i39246*<1482<`=z{:nj6=4={_1a3>;3i39i;6*<1482<c=z{:n26=4={_1a2>;3i39i:6*<1482=5=z{:n36=4={_1a1>;3i39i96*<1482=4=z{:n<6=4={_1a0>;3i39i86*<1482=7=z{:n=6=4={_1a7>;3i39i?6*<1482=6=z{:n>6=4={_1a6>;3i39i>6*<1482=1=z{:n?6=4={_1b`>;3i39jh6*<1482=3=z{:in6=4={_1b6>;3i39j>6*<1482=2=z{:i86=4={_1:3>;3i392;6*<1482===z{:h36=4={_1:2>;3i392:6*<1482=<=z{:nh6=4={_1gg>;3i39oo6*<1482=d=z{:nn6=4={_1ga>;3i39oi6*<1482=g=zug=jh7>52zJ053=zf>kn6=4={I122>{i?hl1<7<tH235?xh0j90;6?uG3048yk1e93:1>vF<179~j2d52909wE=>6:\7fm3g5=838pD>?9;|l4f1<72;qC?<84}o5a1?6=:rB8=;5rn6`5>5<5sA9::6sa7c594?4|@:;=7p`8b983>7}O;8<0qc9m9;296~N49?1vb:ln:181\7fM56>2we;ol50;0xL6713td<nn4?:3yK740<ug=ih7>52zJ053=zf>hn6=4={I122>{i?kl1<7<tH235?xh0k90;6?uG3048yk1d93:1>vF<179~j2e52909wE=>6:\7fm3f5=838pD>?9;|l4g1<72;qC?<84}o5`1?6=:rB8=;5rn6a5>5<5sA9::6sa7b594?4|@:;=7p`8c983>7}O;8<0qc9l9;296~N49?1vb:mn:181\7fM56>2we;nl50;0xL6713td<on4?:3yK740<ug=hh7>52zJ053=zf>in6=4={I122>{i?jl1<7<tH235?xh0l90;6?uG3048yk1c93:1>vF<179~j2b52909wE=>6:\7fm3a5=838pD>?9;|l4`1<72;qC?<84}o5g1?6=:rB8=;5rn6f5>5<5sA9::6sa7e594?4|@:;=7p`8d983>7}O;8<0qc9k9;296~N49?1vb:jn:181\7fM56>2we;il50;0xL6713td<hn4?:3yK740<ug=oh7>52zJ053=zf>nn6=4={I122>{i?ml1<7<tH235?xh0m90;6?uG3048yk1b93:1>vF<179~j2c52909wE=>6:\7fm3`5=838pD>?9;|l4a1<72;qC?<84}o5f1?6=:rB8=;5rn6g5>5<5sA9::6sa7d594?4|@:;=7p`8e983>7}O;8<0qc9j9;296~N49?1vb:kn:181\7fM56>2we;hl50;0xL6713td<in4?:3yK740<ug=nh7>52zJ053=zf>on6=4={I122>{i?ll1<7<tH235?xh0n90;6?uG3048yk1a93:1>vF<179~j2`52909wE=>6:\7fm3c5=838pD>?9;|l4b1<72;qC?<84}o5e1?6=:rB8=;5rn6d5>5<5sA9::6sa7g594?4|@:;=7p`8f983>7}O;8<0qc9i9;296~N49?1vb:hn:181\7fM56>2we;kl50;0xL6713td<jn4?:3yK740<ug=mh7>52zJ053=zf>ln6=4={I122>{i?ol1<7<tH235?xh?890;6?uG3048yk0>i3:1=vF<179~j3cc290:wE=>6:\7fm2`c=83;pD>?9;|l5ac<728qC?<84}o4e4?6=9rB8=;5rn7d2>5<6sA9::6sa6g094?7|@:;=7p`9f283>4}O;8<0qc8i4;295~N49?1vb;h::182\7fM56>2we:k850;3xL6713td=j:4?:0yK740<ug<m47>51zJ053=zf?l26=4>{I122>{i>ok1<7?tH235?xh1nk0;6<uG3048yk0ak3:1=vF<179~j3`c290:wE=>6:\7fm2cc=83;pD>?9;|l5bc<728qC?<84}o534?6=9rB8=;5rn622>5<6sA9::6sa71094?7|@:;=7p`80283>4}O;8<0qc9?4;295~N49?1vb:>::182\7fM56>2we;=850;3xL6713td<<:4?:0yK740<ug=;47>51zJ053=zf>:26=4>{I122>{i?9k1<7?tH235?xh08k0;6<uG3048yk17k3:1=vF<179~j26c290:wE=>6:\7fm35c=83;pD>?9;|l44c<728qC?<84}o524?6=9rB8=;5rn632>5<6sA9::6sa70094?7|@:;=7p`81283>4}O;8<0qc9>4;295~N49?1vb:?::182\7fM56>2we;<850;3xL6713td<=:4?:0yK740<ug=:47>51zJ053=zf>;26=4>{I122>{i?8k1<7?tH235?xh09k0;6<uG3048yk16k3:1=vF<179~j27c290:wE=>6:\7fm34c=83;pD>?9;|l45c<728qC?<84}o514?6=9rB8=;5rn602>5<6sA9::6sa73094?7|@:;=7p`82283>4}O;8<0qc9=4;295~N49?1vb:<::182\7fM56>2we;?850;3xL6713td<>:4?:0yK740<ug=947>51zJ053=zf>826=4>{I122>{i?;k1<7?tH235?xh0:k0;6<uG3048yk15k3:1=vF<179~j24c290:wE=>6:\7fm37c=83;pD>?9;|l46c<728qC?<84}o504?6=9rB8=;5rn612>5<6sA9::6sa72094?7|@:;=7p`83283>4}O;8<0qc9<4;295~N49?1vb:=::182\7fM56>2we;>850;3xL6713td<?:4?:0yK740<ug=847>51zJ053=zf>926=4>{I122>{i?:k1<7?tH235?xh0;k0;6<uG3048yk14k3:1=vF<179~j25c290:wE=>6:\7fm36c=83;pD>?9;|l47c<728qC?<84}o574?6=9rB8=;5rn662>5<6sA9::6sa75094?7|@:;=7p`84283>4}O;8<0qc9;4;295~N49?1vb::::182\7fM56>2we;9850;3xL6713td<8:4?:0yK740<ug=?47>51zJ053=zf>>26=4>{I122>{i?=k1<7?tH235?xh0<k0;6<uG3048yk13k3:1=vF<179~j22c290:wE=>6:\7fm31c=83;pD>?9;|l40c<728qC?<84}o564?6=9rB8=;5rn672>5<6sA9::6sa74094?7|@:;=7p`85283>4}O;8<0qc9:4;295~N49?1vb:;::182\7fM56>2we;8850;3xL6713td<9:4?:0yK740<ug=>47>51zJ053=zf>?26=4>{I122>{i?<k1<7?tH235?xh0=k0;6<uG3048yk12k3:1=vF<179~j23c290:wE=>6:\7fm30c=83;pD>?9;|l41c<728qC?<84}o554?6=9rB8=;5rn642>5<6sA9::6sa77094?7|@:;=7p`86283>4}O;8<0qc994;295~N49?1vb:8::182\7fM56>2we;;850;3xL6713td<::4?:0yK740<ug==47>51zJ053=zf><26=4>{I122>{i??k1<7?tH235?xh0>k0;6<uG3048yk11k3:1=vF<179~j20c290:wE=>6:\7fm33c=83;pD>?9;|l42c<728qC?<84}o544?6=9rB8=;5rn652>5<6sA9::6sa76094?7|@:;=7p`87283>4}O;8<0qc984;295~N49?1vb:9::182\7fM56>2we;:850;3xL6713td<;:4?:0yK740<ug=<47>51zJ053=zf>=26=4>{I122>{i?>k1<7?tH235?xh0?k0;6<uG3048yk10k3:1=vF<179~j21c290:wE=>6:\7fm32c=83;pD>?9;|l43c<728qC?<84}o5;4?6=9rB8=;5rn6:2>5<6sA9::6sa79094?7|@:;=7p`88283>4}O;8<0qc974;295~N49?1vb:6::182\7fM56>2we;5850;3xL6713td<4:4?:0yK740<ug=347>51zJ053=zf>226=4>{I122>{i?1k1<7?tH235?xh00k0;6<uG3048yk1?k3:1=vF<179~j2>c290:wE=>6:\7fm3=c=83;pD>?9;|l4<c<728qC?<84}o5:4?6=9rB8=;5rn6;2>5<6sA9::6sa78094?7|@:;=7p`89283>4}O;8<0qc964;295~N49?1vb:7::182\7fM56>2we;4850;3xL6713td<5:4?:0yK740<ug=247>51zJ053=zf>326=4>{I122>{i?0k1<7?tH235?xh01k0;6<uG3048yk1>k3:1=vF<179~j2?c290:wE=>6:\7fm3<c=83;pD>?9;|l4=c<728qC?<84}o5b4?6=9rB8=;5rn6c2>5<6sA9::6sa7`094?7|@:;=7p`8a283>4}O;8<0qc9n4;295~N49?1vb:o::182\7fM56>2we;l850;3xL6713td<m:4?:0yK740<ug=j47>51zJ053=zf>k26=4>{I122>{i?hk1<7?tH235?xh0ik0;6<uG3048yk1fk3:1=vF<179~yx{GHJq2=;4k345e37dzHIHp<pNOPz\7fCD
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file cts_fifo_1kW.vhd when simulating
+-- the core, cts_fifo_1kW. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY cts_fifo_1kW IS
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(9 downto 0);
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+END cts_fifo_1kW;
+
+ARCHITECTURE cts_fifo_1kW_a OF cts_fifo_1kW IS
+-- synthesis translate_off
+component wrapped_cts_fifo_1kW
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(9 downto 0);
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+end component;
+
+-- Configuration specification
+ for all : wrapped_cts_fifo_1kW use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 0,
+ c_din_width => 34,
+ c_has_wr_data_count => 0,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 0,
+ c_family => "virtex4",
+ c_use_embedded_reg => 0,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 1,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_msgon_val => 1,
+ c_rd_depth => 1024,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 10,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 1,
+ c_rd_pntr_width => 10,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 10,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 10,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 10,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 1,
+ c_prog_full_thresh_negate_val => 1021,
+ c_wr_depth => 1024,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 1022,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "1kx36",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_cts_fifo_1kW
+ port map (
+ clk => clk,
+ din => din,
+ rd_en => rd_en,
+ rst => rst,
+ wr_en => wr_en,
+ data_count => data_count,
+ dout => dout,
+ empty => empty,
+ full => full);
+-- synthesis translate_on
+
+END cts_fifo_1kW_a;
+
--- /dev/null
+##############################################################
+#
+# Xilinx Core Generator version K.39
+# Date: Tue Jun 15 12:49:46 2010
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = False
+SET asysymbol = False
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = False
+SET designentry = VHDL
+SET device = xc4vlx40
+SET devicefamily = virtex4
+SET flowvendor = Other
+SET formalverification = False
+SET foundationsym = False
+SET implementationfiletype = Ngc
+SET package = ff1148
+SET removerpms = False
+SET simulationfiles = Behavioral
+SET speedgrade = -10
+SET verilogsim = False
+SET vhdlsim = True
+# END Project Options
+# BEGIN Select
+SELECT Fifo_Generator family Xilinx,_Inc. 4.4
+# END Select
+# BEGIN Parameters
+CSET almost_empty_flag=false
+CSET almost_full_flag=false
+CSET component_name=cts_fifo_1kW
+CSET data_count=true
+CSET data_count_width=10
+CSET disable_timing_violations=false
+CSET dout_reset_value=0
+CSET empty_threshold_assert_value=2
+CSET empty_threshold_negate_value=3
+CSET enable_ecc=false
+CSET enable_int_clk=false
+CSET fifo_implementation=Common_Clock_Block_RAM
+CSET full_flags_reset_value=1
+CSET full_threshold_assert_value=1022
+CSET full_threshold_negate_value=1021
+CSET input_data_width=34
+CSET input_depth=1024
+CSET output_data_width=34
+CSET output_depth=1024
+CSET overflow_flag=false
+CSET overflow_sense=Active_High
+CSET performance_options=Standard_FIFO
+CSET programmable_empty_type=No_Programmable_Empty_Threshold
+CSET programmable_full_type=No_Programmable_Full_Threshold
+CSET read_clock_frequency=1
+CSET read_data_count=false
+CSET read_data_count_width=10
+CSET reset_pin=true
+CSET reset_type=Asynchronous_Reset
+CSET underflow_flag=false
+CSET underflow_sense=Active_High
+CSET use_dout_reset=true
+CSET use_embedded_registers=false
+CSET use_extra_logic=false
+CSET valid_flag=false
+CSET valid_sense=Active_High
+CSET write_acknowledge_flag=false
+CSET write_acknowledge_sense=Active_High
+CSET write_clock_frequency=1
+CSET write_data_count=false
+CSET write_data_count_width=10
+# END Parameters
+GENERATE
+# CRC: cfe72d5a
+
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VComponents.all;
+
+entity cts_menager is
+ port(
+ CLK : in std_logic;
+ RESET : in std_logic;
+ ---------------------------------------------------------------------------
+ -- in
+ ---------------------------------------------------------------------------
+ DATA_TYPE_IN : in std_logic_vector(3 downto 0);
+ ADDRESS : in std_logic_vector(31 downto 0)
+ DATA_IN : in std_logic_vector(31 downto 0);
+ INTERRUPT : in std_logic_vector(3 downto 0);
+ ---------------------------------------------------------------------------
+ -- out
+ ---------------------------------------------------------------------------
+ STROBE_IN : in std_logic;
+ DATA_TYPE_OUT : out std_logic_vector(3 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ ---------------------------------------------------------------------------
+ -- optical link
+ ---------------------------------------------------------------------------
+ TX_EN : out std_logic;
+ TX_CLK : in std_logic;
+ RX_CLK : in std_logic;
+ RX_DV : in std_logic;
+ RX_ER : in std_logic
+ );
+end cts_menager;
+architecture cts_menager of cts_menager is
+
+begin
+
+
+
+end cts_menager;
+
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+use work.trb_net16_hub_func.all;
+use ieee.std_logic_arith.all;
+
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+use work.trb_net16_hub_func.all;
+use ieee.std_logic_arith.all;
+
+entity cts_scaler is
+
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ SIGNAL_IN : in std_logic_vector(7 downto 0);
+ CTS_SCALER_0UT : out std_logic_vector(31 downto 0)
+ );
+end cts_scaler;
+
+architecture cts_scaler of cts_scaler is
+ signal local_counter : std_logic_vector(2 downto 0);
+ signal simplified_signal_in : std_logic_vector(3 downto 0);
+ signal gloal_counter : std_logic_vector(31 downto 0);
+begin
+
+ SET_PATTERN : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ simplified_signal_in(0) <= SIGNAL_IN(0) or SIGNAL_IN(1);
+ simplified_signal_in(1) <= SIGNAL_IN(2) or SIGNAL_IN(3);
+ simplified_signal_in(2) <= SIGNAL_IN(4) or SIGNAL_IN(5);
+ simplified_signal_in(3) <= SIGNAL_IN(6) or SIGNAL_IN(7);
+ end if;
+ end process SET_PATTERN;
+
+ SET_LOCAL : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ case simplified_signal_in is
+ when "0000" => local_counter <= "000";
+ when "0001" => local_counter <= "001";
+ when "0010" => local_counter <= "001";
+ when "0011" => local_counter <= "010";
+ when "0100" => local_counter <= "001";
+ when "0101" => local_counter <= "010";
+ when "0110" => local_counter <= "010";
+ when "0111" => local_counter <= "011";
+ when "1000" => local_counter <= "001";
+ when "1001" => local_counter <= "010";
+ when "1010" => local_counter <= "010";
+ when "1011" => local_counter <= "011";
+ when "1100" => local_counter <= "010";
+ when "1101" => local_counter <= "011";
+ when "1110" => local_counter <= "011";
+ when "1111" => local_counter <= "100";
+ end if;
+ end process SET_LOCAL;
+
+ SCALER : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ gloal_counter <= (others => '0');
+ else
+ gloal_counter <= gloal_counter + local_counter; --can be divided in
+ --four parts and at
+ --the end this parts
+ --could be add...
+ end if;
+ end if;
+ end process SCALER;
+
+ CTS_SCALER_0UT <= gloal_counter;
+
+end cts_scaler;
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$6;g\7f4g<,[o}e~g`n;"2*413&;$>"9 > %1g?*nhel%fm\7fkd/robhiYaaoe$x`~ _be,`drfWhno~h}|_um\watfeVlbjb agn384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0127?5@7:81:?6?!032857<NFY__6}|`g^gntq\7fX|axn\7fe2>1;2=62=6:3CE\XZ5psmd[`kw|pU\7fd\7fk|h^cpw`ts48;1<3<8;009MKVR\3zycjQjmqvz[qnumzbTbhintd>25?699o1:>7GAPTV9twi`Wo\7fg`Rzgrdqk847=878=7<<5IORVP?vugnUmyabPtipfwmYf{zoyx1?>:1<12>752@D[YY4\7frne\bpjkW}byi~fPndebp`:693:5=l5>2;MVPUSS2mk\7fmRm`uov?54<768n0=?4@UURVP?tcWmk\7fmRm`uov?54<768n0=?4@UURVP?quWmk\7fmRm`uov?54<768>0=84FNQWW>DBCZH6:87>115921?OIX\^1MIJ]B=37>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294m79xcx52eb4730$;;=68>2:46>LHW]]0oec294;2=51=1=3E^X][[:emvp90329497;7;;7;:21=?:=;?75:=109:0>?780805;:4974:6>GD02KOH_O30?;8EABUI5;;245NDEPB847912KOH_O313<:?DBCZH6:?3l4AEFQE97329427LJKR@>20;><IMNYM1?18:CG@WG;:720MIJ]A=1=<>GCL[K78364AEFQE93902KOH_O36?:8EABUI5=546OKDSC?<;><IMNYM1718:CG@WD;8730MIJ]B=33:<=FLMXI0<?19:CG@WD;9;427LJKRC>27;d<IMNYN1?;:1<:?DBCZK6:8364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?912KXUCMPRDE0?GS502H^_RGAFN38Gd=DIZIJHHGAA`9@EVEFLLCENo5LARAB@@IR\Hh0OL]LAEGLQQD53JO:>6MGEBI\HLEBFZOTXT^J2:AJ0>EKCH>0OAEM3:AOV<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F1?AO13MCJ0=08;EKB8469?2NBM1?>>69GMD:6:7=0HDO312<4?AOF48>5;6JFA=36:2=CAH6::394DHC?52803MCJ0<617:FJE97>6?1OEL2>>69GMD:587=0HDO320<4?AOF4;85;6JFA=00:2=CAH698394DHC?60803MCJ0?817:FJE9406>1OEL2=8?58@LG;:04=7IGN<3<4?AOF4::556JFA=12>5803MCJ0>?16:FJE959>2NBM1:16:FJE939>2NBM1816:FJE919>2NBM1616:FJE9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;1<L@H7=;08;EKA8419?2NBN1?7>69GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1<L@H7>908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>6>1OE]O30?58@LVF484<7IG_A=0==>BNXH686=08;EKSE959?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>5;6J@A=36:2=CGH6::394DNC?52803MEJ0<617:FLE97>6?1OCL2>>69GKD:587=0HBO320<4?AIF4;85;6J@A=00:2=CGH698394DNC?60803MEJ0?817:FLE9406>1OCL2=8?58@JG;:04=7IAN<3<4?AIF4::556J@A=12>5803MEJ0>?16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9?2NDMR\JG79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;1<LFH7=808;EMA8409?2NDN1?8>69GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1<LFH7>>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?<LFH7?<4?>69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JDXZLM<7IA_A=2=3>BHXH6:2:5KOQC?6;?<LFZJ0>4?>69GKUG;;7=0HB^M<1<4?AIWJ5;5;6J@PC>1:<=CGYH7?7>17:FLTG:46;1N8>5JN@18AKD33O:9<?5I239E<0=AIEYN>6HK3:DGG1=ALJO87KJ_4:DGT@3<Nhfxi<5H3:EM@4=N:2C;>6G>2:K16>O402CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@:?LHN\VLB@H=4IOT26>OI^LX_SNBD_EMB[WC@9;1BB[K]T^AOOZBHJVXNK>5FOC08HL4<DF<0@BOKEE58HJANKHF?7A[[159OQQ413E__>RJ9;MWW6ZJ33E__?;5CUU1\H1=K]]>=7A[[4^F5?ISS<VF>7@]HOO78IP^DQj1Fmga}Vdppmjhd3Dcec\7fXjrrklj7=I9:1E===4N030?K75;2D:?>5A1568J424<2D:89:4N0650>H6<1>0B<:63:L216=I9?>0B<8:4:L2232<F8<<86@>6968J40>;2D:;95A1627?K709=1E=:<;;O3471=I9>>?7C?8559M52033G;<;95A16:7?K701:1E=5:4N0:30>H608>0B<6=4:L2<62<F82?86@>8468J4>1<2D:4::4N0:;0>H60090B<7;;O3:41=I90;?7C?6259M5<533G;2895A1877?K7>>=1E=49;;O3:<1=I90387C<?4:L1452<F;::86@=0368J764<2D9<9:4N3260>H58?>0B?>84:L14=2<F;:2?6@=159M64633G8:=95A2007?K46;=1E><:;;O0211=I:8<?7C<>759M64>33G8:5>5A2368J747<2D9><:4N3010>H5::>0B?<;4:L1602<F;8=86@=2668J74?<2D9>4=4N317?K448=1E>>?;;O0021=I::=?7C<<859M66?43G8?86@=4168J726<2D98?:4N3600>H5<=>0B?::4:L1032<F;><?6@=559M60633G8>=95A2407?K42;=1E>8:;;O0611=I:<<?7C<:759M60>33G8>5>5A2768J707<2D9:<=4N350?K4?;2D95>5A3118J6743G99?6@<329M715<F:?87C=93:L036=I;190B>7<;O637>H39:1E8?=4N510?K23;2D?9>5A4718J1143G>3?6@;929M155<F<;87C;=3:L676=I==90B8;<;O757>H2?:1E95=4N4;1?K043G<;?6@9129M275<F?987C8;3:L516=I>?90B;9<;O4;7>H11;1E;>5A7118J2443G=??6@8839M<6=I0990B5<<;O:77>H?=;1E5k5AB^@VWUOIWYXD\^94NDVTKWM33GEEI<5@2:MH5>V33YKYXl5_IO]AQVOCPk1[ECQMURLBI@5<X[O:7\84R@OA53`<ZHGTIUZJNRKLJZGa3[KFSHV[EOQJKKYEk2XNMIQXIEVK[De<ZLKOSZGKTI]A2>TBOJOJ:6\JGBGA5>U?3ZK]IIO[A018WLKXKFFGE^G@N^AKAFM13ZE^^NK8;RPUEIYF?2YYZLBPB59PWWG33ZYYN95[YQG06>S7'nxm"\7fh gbz-gim\7f'Drd~R|k_qlwvZadWqey<=>?_Sgpqir6;;1^<"i}f/pe+be\7f&jf`t"Cwos]q`Zvi|{UloRv`r1235ZTb{|f\7f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678;UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeyS\7fjPpovq[beXpfx;<==PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0127[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzex\7fQhc^zlv567=VXn\7fxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQ\7fnup\cfY\7fg{:;<;Q]erwop4553\:$k\7fh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?015\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd\7f~Ril_ymq456?W[oxyaz>339V4*aun'xm#jmw.bnh|*K\7fg{UyhR~ats]dgZ~hz9:;5R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc\7f>?11]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&Gsc\7fQxr^rmpwY`kVrd~=>?2^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2346YUmz\7fgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789>T^h}zlu306>S7'nxm"\7fh gbz-gim\7f'Drd~Ry}_qlwvZadWqey<=>:_Sgpqir6;;1^<"i}f/pe+be\7f&jf`t"Cwos]tvZvi|{UloRv`r1232ZTb{|f\7f=><4U1-dvc(un&mht#mcky-N|jtX\7f{U{by|Pgb]{kw678>UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=6PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}012:[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzex\7fQhc^zlv5668VXn\7fxb{1338Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio>3:74<]9%l~k }f.e`|+ekcq%yhR~ats]amk:687897X> gsd-vc)`kq$h`fv re]sjqtXj`d7=<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<0<15>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm878592_;#j|i.sd,cf~)keas#\7fjPpovq[goi4:49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce090=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<4<15>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm838592_;#j|i.sd,cf~)keas#\7fjPpovq[goi4>49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce050=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<8<14>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[5473\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhX9;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU:<??4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ>1328Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#\7fjPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^514>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[=473\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhX1;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc\7f>?0104?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789::>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123571<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<<?=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4565:>1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?2005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt78999;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0120670<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<9<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34526:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?5358Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678<;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}01256f=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;:<?PSV21g>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[}iu89:==<Q\W00`?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<:=R]X23a8Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678?;:S^Y<269V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^zlv567>;8=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01513>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[}iu89:<=?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234=4d3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhXpfx;<=6>1^QT47e<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<5?>_RU262=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;4?<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2?>338Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc=33:77<]9%l~k }f.e`|+ekcq%yhR~ats]dg9766;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>328Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc=;=5c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[57a3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY6:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZadW8:9<6[?/fpe*w`(ojr%oaew/sf\tkruWniT=<?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_23e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]75c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[07a3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY19o1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadW>;m7X> gsd-vc)`kq$h`fv re]sjqtXojU3=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS4<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8585?2_;#j|i.sd,cf~)keas#\7fjPpovq[beXizxnk1??>358Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`a;9849:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=3=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn692?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?7;413\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{ol090=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc939:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyij29>348Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`a;?78=7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh<9<12>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtbo535>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]2470<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT=<<:;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[7423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olS><:;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[1423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olS8<:;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[3423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olS:<:;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[=423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olS4<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;878j7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3?5585i2_;#j|i.sd,cf~)keas#\7fjPpovq[beXizxnkRj><03=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyijQk1=:=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=171299V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44>3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?P110:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T=<<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X:;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]06==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R:=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W<837X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3\27><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q8299V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqabYc9V2946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[<423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY\7fg{:;<=<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2344423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY\7fg{:;<?<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2346423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY\7fg{:;<9<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2340423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY\7fg{:;<;<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2342423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY\7fg{:;<5<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos234<423\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY\7fg{:;==<8;T2,cw`)zo%lou lljz,phvX~hf~i=Q?_ymq4567:01^<"i}f/pe+be\7f&jf`t"zbp^tbhpc7W9Usc\7f>?01126d=R8&myj#|i/fa{*fjlp&~f|Rxnltg3[5Y\7fg{:;<==>13;8Q5)`zo$yj"ilx/aoo})seyU}ma{j0^2\|jt789:88?j4U1-dvc(un&mht#mcky-wiuYqie\7fn<R>Pxnp34564<VY\<?74U1-dvc(un&mht#mcky-wiuYqie\7fn<R>Pxnp34564=;20Y=!hrg,qb*adp'iggu!{mq]ueisb8V:Ttb|?01246f=R8&myj#|i/fa{*fjlp&~f|Rxnltg3[5Y\7fg{:;<=Qmde613>S7'nxm"\7fh gbz-gim\7f'}g{S{ocud2\5Z~hz9:;<?64U1-dvc(un&mht#mcky-wiuYqie\7fn<R?Pxnp34565:01^<"i}f/pe+be\7f&jf`t"zbp^tbhpc7W8Usc\7f>?01066<=R8&myj#|i/fa{*fjlp&~f|Rxnltg3[4Y\7fg{:;<=<6269V4*aun'xm#jmw.bnh|*rjxV|j`xk=_1]{kw6789837X> gsd-vc)`kq$h`fv tlr\rdjrm;U;Sua}0123571<]9%l~k }f.e`|+ekcq%\7fa}Qyamwf6Z7Xpfx;<=>=8:W3+bta&{l$knv!cmi{+qkwW\7fkgyh<P1^zlv567888:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7<3<=;T2,cw`)zo%lou lljz,swYwf}xTnd`311<16>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm8479:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf5;5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn=1=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj929:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf5?5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb181209V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn=5=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj9>9:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf535>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR>=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_002?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\55463\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhX988;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT>?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ<219V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^614>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[0473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhX>;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU<>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR6=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_805?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt789:9;6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0123570<]9%l~k }f.e`|+ekcq%|~R~ats]amkY\7fg{:;<<<8;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34576:?1^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfVrd~=>?3348Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]{kw678=837X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?016157d<]9%l~k }f.e`|+ekcq%|~R~ats]amkY\7fg{:;<9Qmde012>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[}iu89:>>l5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r1231ZUP9;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?070`?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt789<:=R]X03a8Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]{kw678?;:S^Y=269V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv567>;8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01512>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[}iu89:3>l5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123<ZUP9;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?0804?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt7893:>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0=0=1:W3+bta&{l$knv!cmi{+rtXxg~ySjm311<15>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa?548582_;#j|i.sd,cf~)keas#z|Ppovq[be;978;7X> gsd-vc)`kq$h`fv ws]sjqtXoj692?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1=1219V4*aun'xm#jmw.bnh|*quWyd\7f~Ril<5<14>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa?1;473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cf:16;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5=5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh050=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm39?3e?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]35c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[4473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfY68;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV;:=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS??i;T2,cw`)zo%lou lljz,swYwf}xTknQ<1g9V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_53e?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]65c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[37a3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfY09o1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadW1;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU2>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>3:71<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7==0=7:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc9766;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi31?05?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`4;49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=1=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6?2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?1;413\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{ol0;0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc919:?1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWhyyij27>348Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`a;178>7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_106?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`W88=7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_0212>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboV;:>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]160=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU8>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]760=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU>>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]560=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU<>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef];60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU2>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5969:h1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWhyyijQk1=33:7g<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2>1?0:?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;7=3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;:7827X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_e3?7;4>3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?34?0:?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;793<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;>7827X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_e3?3;4>3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?38?0:?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;753<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X8;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]26<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R??289V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqabYc9V;:>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z4502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_20;?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;T8?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y2:11^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWhyyijQk1^41<>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboVn:S:<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X0;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]:60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012460=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:3>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012:60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89;;?l5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\g|:76:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_b{?5584i2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qly=3=7d=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Tot2=>2c8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wjs7?3=n;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Ze~4=48m6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]`}939;h1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pcx>5:6g<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Snw37?1b?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vir050<a:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Ydq535?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\hpr;879h7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^nvp9776:i0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_mww8479;k1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pltv?5;5e3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rbzt=0=7g=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:T`xz33?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vf~x1:13c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~793=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Zjr|5<5?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\hpr;?79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^nvp9>9;k1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pltv?=;5e3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rv`r=2=7f=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Ttb|311<0g>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*Kt}kUyhRjl_h]pqg6789Usc\7f2>1?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vrd~1?13c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx7>3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Z~hz595?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\|jt;<79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv939;k1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pxnp?2;5e3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rv`r=5=7g=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Ttb|38?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vrd~171289V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`U;>45Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!}d^f`[lY6:h1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]247g<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(zmUooRgP100:?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS?<6;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/sf\`fYnW:827X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#\7fjPdb]j[14>3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_40:?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS;<6;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/sf\`fYnW>827X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#\7fjPdb]j[=4>3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_811?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS~{m01238584;2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^qvf56785;;2>=4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX{|h;<=>310<06>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*tcWmiTeR}zb1234979;;1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]pqg6789692><4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX{|h;<=>33?11?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS~{m01238184:2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^qvf56785?5??5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!}d^f`[lYt}k:;<=29>208Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,vaYckVcT\7fxl?012?3;553\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_rwa45674148>6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"|k_ea\mZurj9:;<171289V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/bcqv|hb|5:5>45Z0.eqb+ta'nf;"\7fj gscp*wus{&i;#no}rxlfp979:01^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'jky~t`jt=0=6<=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+fguzpdnx1=1289V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/bcqv|hb|5>5>k5Z0.eqb+ta'nf;"\7fj gscp*wus{&i;#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]6U'mf\7f#c|2g9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+bkrp'mfW9S!glq-iv4a3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U:]/enw+kt::1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'\7f;7<3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=66=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+s7;:7887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1=1229V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/w3?0;443\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)q95?5>o5Z0.eqb+ta'nf;"\7fj gscp*wus{&i;#{?P0^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R?Paof34566:k1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'\7f;T>Road123444e3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)q9V9Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+s7X<Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-u5Z3Xign;<=>>289V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/bcqv|hb|5:5>45Z0.eqb+ta'nf;"\7fj gscp*wus{&i:#no}rxlfp979:01^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'jky~t`jt=0=6<=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+fguzpdnx1=1289V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/bcqv|hb|5>5>k5Z0.eqb+ta'nf;"\7fj gscp*wus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]6U'mf\7f#c|2g9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+bkrp'mfW9S!glq-iv4a3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U:]/enw+kt::1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'\7f;7<3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=3=66=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+s7;:7887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1=1229V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/w3?0;443\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)q95?5>o5Z0.eqb+ta'nf;"\7fj gscp*wus{&i:#{?P0^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R?Paof34566:k1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'\7f;T>Road123444e3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)q9V9Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+s7X<Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-u5Z3Xign;<=>>219V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m`mq214>S7'nxm"\7fh gm2-va)`zhy%~~z|/bmnt44>3\:$k\7fh!rg-dh5(ul&mym~ }suq,vdkXmdz\7fuRhm_h13?P6(o{l%~k!hl1,q`*auiz$y\7fy} r`o\ahvsqVliSdQ`r123467<]9%l~k }f.eo4+tc'nxj\7f#||tr-qehYbey~rSklPi^mq45679;<0Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_h3g?P6(o{l%~k!hl1,q`*tfeVxoSh`Pi000?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by?=5:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp465=2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex<?=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp7433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f??:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov761=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}?986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at707?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz7259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq?512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<1<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0=0Pru0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7==0=d:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~48:5S\7fz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4849o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az848Xz}827X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?6;4d3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw32?]qp7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2<>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp682R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5>5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}929W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8085k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<4<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw36?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7:3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6<2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:06Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}9>9:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=:=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<8<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir040Pru0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0=0=b:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5;;2?l4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;9849i6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv9766Vx\7f>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:66;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?6;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<2<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1:12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{6>2?o4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;>78j7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8285i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRv`r=:=6d=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Usc\7f26>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<1<14>S7'nxm"\7fh gm2-va)uxg~y#naznu>24;473\:$k\7fh!rg-dh5(ul&x{by| cnwmp97668l0Y=!hrg,qb*ak8'xo#\7f~ats-`kphs484:j6[?/fpe*w`(oe:%~i!}povq+firf}692<h4U1-dvc(un&mg<#|k/srmpw)dg|d\7f0>0>f:W3+bta&{l$ka>!re-qtkru'je~by2;>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<4<2b>S7'nxm"\7fh gm2-va)uxg~y#naznu>5:4`<]9%l~k }f.eo4+tc'{zex\7f!lotlw8286n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:?68l0Y=!hrg,qb*ak8'xo#\7f~ats-`kphs404:i6[?/fpe*w`(oe:%~i!}povq+firf}U;=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T=<h4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS<>>f:W3+bta&{l$ka>!re-qtkru'je~byQ>10g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_33f?P6(o{l%~k!hl1,q`*twf}x$ob{at^12a>S7'nxm"\7fh gm2-va)uxg~y#naznu]75`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\14c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[37b3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ16m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY?9l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX1;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;7<3<<;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><02=66=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:697897X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=0=67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:46;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;783<=;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><4<16>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5909:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?38?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28<8592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V:9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R?=2:W3+bta&{l$ka>!re-qtkru'je~byQk1^3367=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y69;;0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;T>??4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?P3338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\077<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7X=;;0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;T:??4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?P7338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\<77<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7X1=80Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567Wjs7<3:<;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rmv<02=07=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)J{|hT{\7fQkauc\gjsi|VcT\7fxl?012\g|:66=80Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567Wjs7>3:=;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rmv<2<76>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]`}929<;1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%F\7fxlPws]geqgXkf\7fexRgPst`3456Xkp6>29<4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Snw36?61?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^az8283:2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Ydq5258?5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:Tot26>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_mww8583<2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Yk}}6:<3:;;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rbzt=32:15<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[iss484??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Ugyy2=>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_mww8683;2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Yk}}6?29=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sa{{<4<77>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]oqq:16=90Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567We\7f\7f0:0;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qcuu>;:15<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[iss404??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Usc\7f2?>568Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_ymq8469<=1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%F\7fxlPws]geqgXkf\7fexRgPst`3456Xpfx7=<0;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qwos>2:15<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[}iu4;4??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Usc\7f2<>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_ymq8183;2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Y\7fg{6>29=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sua}<7<77>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]{kw:06=90Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567Wqey050;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qwos>::67<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(\7f{UomyoPcnwmpZoX8:;0Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi${\7fQkauc\gjsi|VcT=><4U1-dvc(un&mg<#y}/fubw+aplj:%{im ws]geqgXkf\7fexRgP1111?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[47492_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaV88=6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR=<1:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^605>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*quWmk\7fmRm`uov\mZ3492_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaV<8=6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR9<1:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^:05>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*quWmk\7fmRm`uov\mZ?4i2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaVy~n=>?0=2=7g=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lYt}k:;<=2>0?1a?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[vse89:;0<?13`9V4*aun'xm#jb?.vp,crgt&n}oo= xdb-tvZbf|hUhcx`{_h]pqg67896:2>o4U1-dvc(un&mg<#y}/fubw+aplj:%{im ws]geqgXkf\7fexRgPst`3456;:79j7X> gsd-vc)`d9$|~"ixar,dsae7&~nh#z|Pd`vb[firf}UbS~{m01238684i2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaVy~n=>?0=6=7d=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lYt}k:;<=2:>2c8Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,swYci}kTob{at^k\wpd789:7:3=n;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/vp\`drfWje~byQf_rwa45674>48m6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR}zb12349>9;h1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%|~Rjnt`]`kphsW`Uxyo>?01>::7?<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvw\7fim}6:2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~\7fwaeu>0:7?<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*efz{seiy2;>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,chs\7f&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(od\7fs"jcT3\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ6^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j;$k`{w.foX1X(`ez$f\7f?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><1<17>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n? v0>0:75<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*p64=49?6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j;$z<2:>3`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n? v0]2[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_3]bja6789;9n6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j;$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S9Qnne234575j2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(~8U>Sl`k012357?<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvw\7fim}6:2?74U1-dvc(un&mg<#y}/fubw+qt|z%h>"mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jyns/uppv)d:&ij~\7fwaeu>0:7?<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*efz{seiy2;>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR:V"jc>.l31b>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,chs\7f&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f4(od\7fs"jcT3\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ6^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j8$k`{w.foX1X(`ez$f\7f?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><1<17>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< v0>0:75<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*p64=49?6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j8$z<2:>3`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< v0]2[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_3]bja6789;9n6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j8$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S9Qnne234575j2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f4(~8U>Sl`k0123576<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`khv6:91^<"i}f/pe+bj7&~x$kzo|.vqww*ehey8946[?/fpe*w`(oe:%{\7f!hw`q-svrt'{kfSk{cl^da[l423\:$k\7fh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSd<l;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[lYhz9:;<?j4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZiu89:;=<j4U1-dvc(un&mg<#y}/scn[rtXmgUb=<h4U1-dvc(un&mg<#y}/scn[rtXmgUb=R?>f:W3+bta&{l$ka>!ws-qehYpzVoeSd?P20d8Q5)`zo$yj"ic0/uq+wgjW~xTicQf1^12b>S7'nxm"\7fh gm2-sw)uidU|~Rka_h3\04`<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Z36n2_;#j|i.sd,ci6)\7f{%ym`Qxr^gm[l7X>;90Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp4423\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f==<:;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw54433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f>?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov061=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}>986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at407?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs>;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz8259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq>5<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex4<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;878h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?4;Yu|;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>24;4c3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw311<\vq4>3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw31?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp692?m4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:56Vx\7f>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}959:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=[wr512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<5<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir090Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs793<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;=7Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:16;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=5=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu191_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir050=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~414T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;178h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?=;Yu|;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?4;4e3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<02=6g=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f2>1?0f?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0<?1_sv1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1?12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{692?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;;78j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8185i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=7=6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f29>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7;3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4149m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv9?99o1^<"i}f/pe+bj7&~x${}`{r.alqkr;878;7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5;;2?>4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0<?11g9V4*aun'xm#jb?.vp,suhsz&idycz31?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=0=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?7;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9299o1^<"i}f/pe+bj7&~x${}`{r.alqkr;=7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5<5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7;3?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1611g9V4*aun'xm#jb?.vp,suhsz&idycz39?3f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^22a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]25c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\557a3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ769l1^<"i}f/pe+bj7&~x${}`{r.alqkrX:8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW:;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V>:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U>=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T:<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS:?j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR6>e:W3+bta&{l$ka>!ws-ttkru'je~byQ6239V4*aun'xm#jb?.vp,suhsz&idyczPd0>3:75<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;9949?6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=1?>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?5;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb64;49>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=1=1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>7:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;=7897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0;0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=5=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:?6;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;753<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[4453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W8:9>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=R?>209V4*aun'xm#jb?.vp,suhsz&idyczPd0]164=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y4:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U?><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q:209V4*aun'xm#jb?.vp,suhsz&idyczPd0]564=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y0:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U3><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q6239V4*aun'xm#jb?.vp,suhsz&idyczPd3>3:75<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4;9949?6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>1?>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?5;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb54;49>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>1=1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>7:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4;=7897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn90;0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=5=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7:?6;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8753<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[4453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb5W8:9>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R?>209V4*aun'xm#jb?.vp,suhsz&idyczPd3]164=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y4:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U?><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q:209V4*aun'xm#jb?.vp,suhsz&idyczPd3]564=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y0:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U3><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q6709V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv\4Zkrp9:;<<QFNW]32g=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX8Vg~t=>?004a?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvpZ7Xe|r;<=>>519V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<1<64>S7'nxm"\7fh mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?4;373\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:66<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87699<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87799<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87499<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87599<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87299<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87399<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87099<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87199<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87>99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87?99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86699<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86799<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86499<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86599<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86299<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86399<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86099<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86199<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86>99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86?99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81699<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81799<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81499<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81599<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81299<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81399<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81099<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81199<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81>99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{81?99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80699<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80799<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80499<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80599<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80299<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80399<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80099<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80199<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80>99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{80?99<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{83699<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{83799<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{83499<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{83599<n0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{83299<h0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$\7fhbPphrf8582i2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&yn`R~fpd]324=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}kP0^pppuis=;1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre996;28<4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<>31?71?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338782:2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd685959?5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i==2;>408Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b02?1;353\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg774?4>>6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:<191539V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>;:04<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;87?97X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:0<0:2:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>1=0=17=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva54:46<80Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8;783;=;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?><4<66>S7'nxm"\7fh mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`25909=;1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986<28<4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<?38?71?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318582:2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6:5;59?5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?2=>408Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b00?7;353\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg754=4>>6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1;1539V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>5:04<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf44;?7?97X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;9050:2:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>3=2=17=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:66<80Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj897>3;=;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?<<2<66>S7'nxm"\7fh mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27929=;1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6>28<4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<=36?71?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc308282:2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6;5259<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i81>1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=3=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0949=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<5959<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i81:1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=7=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0909=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<5=59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8161509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=2=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1979=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5859<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i91=1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=6=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1939=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5<59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i9191509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=:=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2969=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5;59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:1<1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=1=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2929=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5?59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:181509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=5=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva29>9=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?5:59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;1?1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm7=0=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva3959=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?5>59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;1;1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm7=4=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva3919=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?5259<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i41>1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm8=3=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<949=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre05959<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i41:1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm8=7=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<909=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre05=59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i4161509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm9=2=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=979=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre15859<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i51=1509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm9=6=14=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=939=81^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre15<59<5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i5191509V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm9=:=33=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY3Y+tfe'x$z9Qaohljp+tfe&YO\#]FNFNF[UTNE'YO\:94U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP==S!r`o-v*p3Wgebbdz!r`o,WAV)[@DL@HQ_RHO-WAV0?2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^76U'xja#| v5]mklhn|'xja"]KP/QJJBJBWYXBA#]KP658Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T13_-vdk)z&|?Scafnhv-vdk([MZ%_D@HLD]SVLK)[MZ<;6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;8Q#|nm/p,r1Yig`dbx#|nm.QGT+UNFNFNS]\FM/QGT21<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX51[)zhg%~"x;_omjjlr)zhg$_I^!SHLDH@YWZ@G%_I^86:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?R.scn*w)q<Vddecg{.scn+VBW&ZCEKAKPPSKN*VBW??1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]5U'xja#| v5]mklhn|'xja"]KP/QJJBJBWYXBA#]KP648Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T3\,qeh(u'\7f>Tbbgaiu,qeh)TLY$XECICE^RQMH(TLY==7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS=W%~lc!r.t7[kinf`~%~lc SER-WLH@DLU[^DC!SER42>S7'nxm"\7fh mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ7^*wgj&{%}8R``iokw*wgj'ZN["^GAGMG\TWOJ&ZN[;;5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ=Q#|nm/p,r1Yig`dbx#|nm.QGT+UNFNFNS]\FM/QGT20<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX3X(uid$y#{:Pnnkmmq(uid%XH] \IOEOAZVUAD$XH]99;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W5S!r`o-v*p3Wgebbdz!r`o,WAV)[@DL@HQ_RHO-WAV0>2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^?Z&{kf"\7f!y4^llmkos&{kf#^J_.RKMCICXX[CF"^J_1c9V4*aun'xm#`kb/uos[wgjW{nTic?l;T2,cw`)zo%fi`!{mq]qehYulVoe=<=4U1-dvc(un&xjaR|k_dl27>S7'nxm"\7fh r`o\swYbf8n0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtbo;:0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtboVn:>=5Z0.eqb+ta'{y\7fnae re]qwqYf{{olSi<>c:W3+bta&{l$~~zmlj-q`Ztt|Vidao?k;T2,cw`)zo%y\7fylck.pg[wusWjefn<?j;T2,cw`)zo%y\7fylck.pg[wusW{ol0=0>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1?11d9V4*aun'xm#\7f}{bmi,vaYu{}Uyij2=>0f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP00f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP10f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP20f8Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg328Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg^f265=R8&myj#|i/sqwfim(\7f{Uy\7fyQnssgd[a46k2_;#j|i.sd,vvredb%|~R||t^alig7c3\:$k\7fh!rg-qwqdkc&}yS\7f}{_bmnf47b3\:$k\7fh!rg-qwqdkc&}yS\7f}{_sgd8586m2_;#j|i.sd,vvredb%|~R||t^pfc9799m1^<"i}f/pe+wusjea${\7fQ}su]qabY79m1^<"i}f/pe+wusjea${\7fQ}su]qabY6i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD48S@G;87<0[HO31?48S@G;:720[HO33;2=2>QBI595:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;d<_[C_IRHFRRV`?RTN\LUFCIKPAb9TVLRBWDEOIRLk;VPJP@YPAM^CSLj4WSKWAZQNL]BTN<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE85WOSAZ2>^T\ECI;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE=7Ujm_Hfe?]boWYxba[k}shmm55=_ldUFmga}Vdppmjh682RoaRCfnnpUawunggi0mac`su]fiur~j2kgab}{_gwoh3=eija~|i5mabivtZkfzboy?6mck0c8`drfWhno~h}|_um\watfeVlbjb=7;ecweZgcl{ox\7fRz`_rfqehYaaoe'jdh`_fgmawgsg{U}8R:#NNLF(KIIM:n?7io{a^cg`wct{V~dS~j}al]emci+n`ldSjkaescwkwYq<V>'wnQgar]jjqYddb7; nQgar]pvvr:8%iT~iQirds>5)eX`hyT~iQkauc\gjsi|4;'oRjfn^uj`qn:><&hSeo|_vp\`drfWje~by3>,b]eqijXnekl\7fR||t^tbh87+kVcf|akbeovbpliiW}s{i0<#c^wpaZcjjlnoiiQ}ef?3(fYpzVlyi|3>,b]vw`Ycg|~T~~z21-a\qkbbzofd{Rb`w<2/gZnf{Ve}i\7fhcov?3(fYwzlfdmiQcaugmg|;6$jUocxzPwhfwl802$jUf\7fjaa_wco94*dW{nThh~{h<63=3*dWakxSx`kesdokr;7$jUcm~QnllmppZ`rde7; nQgar]qwq;6$jUomyoPcnwmpZqnl}b6=?"l_icp[rtXija6<!mPurg\afe:8%iTobcboo]`hjel59&hSz|Pabi\hjq:8%iT~iQ\7fnup\slbs`4;9 nQzsd]escrXlh~jSnaznu?3(fYoizUj``a|t^gntq\7f:8%iT~iQkauc\gjsi|V}bhyf213.`[jpbzofd{Rb`w<2/gZquWyd\7f~Ryfduj>57*dWyxdkRkbpu{\p|vb59&hSz|Pd`vb[firf}U|eizg=00/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;3808'oRy}_egspm;380<'oR~}of]fiur~W}byi~fPndebp`Yqie78 nQ\7frne\ahvsqV~c~h}g_`qpawrX~hf6>!mPh`q\rdjnl4:'oR~}of]eqijX|axn\7feQnsrgqpZpfd4>;5>"lolrlj`hsWgkfi0jnt`]b`atb{zU\7fcR}kr`o\bl`h$jU}magk_mmt95*dWyxbaRhffn]w}uc:<br2 nQ\7frne\bpjkW}s{i0>#c^ofijt~W}s{i0?#}268`drfWhno~h}|_um\watfeVlbjbQiigm\c`hbzh~d~Rx;_5]{wqY6l2njxlQlotlw,5/c3mk\7fmRm`uov+5,c<lh~jSnaznu*24,c<lh~jSnaznu*25,b<lh~jSnaznu*1-a=ci}kTob{at)1*`>bf|hUhcx`{(5+g?agsiVidycz'5(f8`drfWje~by&9)e9geqgXkf\7fex%9&d:fbpdYdg|d\7f$5'k;ecweZeh}g~#5$j4d`vb[firf}6;2<>4d`vb[firf}6:=7>17:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)69gmk.60 =0hd`'18+5?aoi ;#<7iga(32*3>bnf!8:%:5kio*16,1<l`d#>>'8;ekm,72.?2nbb%<:)69gmk.5> =0hd`'26+4?aoi ;2";6jfn)0:-3=cag"8%:5kio*04,1<l`d#?<'8;ekm,64.?2nbb%=<)69gmk.4< =0hd`'34+4?aoi :<";6jfn)14-2=cag"84$94dhl+7</13mce$9'8;ekm,16.?2nbb%:>)69gmk.3: =0hd`'42+4?aoi =>";6jfn)66-2=cag"?:$94dhl+02/03mce$96&7:fjj-2>!?1oec&:)69gmk.28 =0hd`'50+4?aoi <8";6jfn)70-2=cag">8$94dhl+10/03mce$88&7:fjj-30!>1oec&:8(58`lh/=0#=7iga(7+4?aoi ?:";6jfn)42-2=cag"=>$94dhl+26/03mce$;:&6:fjj-1.>2nbb%6&6:fjj-?.>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;1<l`d7=;08;ekm8419?2nbb1?7>69gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1<l`d7>908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;1<l`d7??08;ekm8659?2nbb1=;>69gmk:4=7=0hd`337<4?aoi4:=5;6jfn=1;:2=cag685384dhl?7;1<l`d78=08;ekm8179?2nbb1:=>69gmk:3;7=0hd`345<4?aoi4=?5;6jfn=65:2=cag6?;394dhl?0=803mce09716:fjj929?2nbb1;?>69gmk:297=0hd`353<4?aoi4<95;6jfn=77:2=cag6>9394dhl?13803mce08917:fjj93?6>1oec2:9?48`lh;=7=0hd`361<4?aoi4?;5;6jfn=41:2=cag6=?374dhl?21<76>1oec294?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf\7f\7f$<;&8:flqq.6> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,><lf\7f\7f$>:&8:flqq.4= 20hb{{(24*<>bh}}"8;$64dnww,6>.02ndyy&<9(58`jss =#37iazt)63-==cg|~#8<'7;emvp-25!11ocxz'42+;?air|!>?%55kotv+00/?3me~x%:9)99gkpr/<>#37iazt)6;-==cg|~#84'8;emvp-3.02ndyy&:0(:8`jss <;"46j`uu*66,><lf\7f\7f$8=&8:flqq.2< 20hb{{(47*<>bh}}">:$64dnww,01.02ndyy&:8(:8`jss <3";6j`uu*5-==cg|~#:='7;emvp-06!11ocxz'63+;?air|!<8%55kotv+21/03me~x%9&7:flqq.?!>1ocxz'9(58`jss49437iazt=33:==cg|~7=<07;emvp975611ocxz312<;?air|5;?255kotv?508?3me~x1?9>99gkpr;9>437iazt=3;:==cg|~7=408;emvp97902ndyy2=0?:8`jss4;;546j`uu>16;><lf\7f\7f0?=18:flqq:5<720hb{{<37=<>bh}}69:364dnww871902ndyy2=8?:8`jss4;35;6j`uu>1:==cg|~7?=07;emvp956611ocxz333<;?air|598255kotv?718?3me~x1=:>99gkpr;;?437iazt=14:==cg|~7?507;emvp95>6>1ocxz33?:8`jss4=:546j`uu>75;><lf\7f\7f09<18:flqq:3;720hb{{<56=<>bh}}6?9364dnww810902ndyy2;7?:8`jss4=2546j`uu>7=;1<lf\7f\7f0907;emvp937611ocxz350<;?air|5?9255kotv?168?3me~x1;;>99gkpr;=<437iazt=75:==cg|~79:07;emvp93?611ocxz358<4?air|5?546j`uu>54;><lf\7f\7f0;?18:flqq:1:720hb{{<71=e>bh}}6=87>18:flqq:1<7=0hb{{<7<4?air|5=5;6j`uu>;:2=cg|~75364eeke6kac=2of|yw<6:djbjY`mgoymya}_w6\0)&_aecet-M@RD"Dakcui}ey,<>!1/20[lv4<2l~`a94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq\7f6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-43<x{elShc\7ftx]wlwct`!;;%<;4psmd[`kw|pU\7fd\7fk|h)32-42<x{elShc\7ftx]wlwct`!8"=95\7frne\ahvsqV~c~h}g(2+20>vugnUna}zv_ujqavn/< ;?7}|`g^gntq\7fX|axn\7fe&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,733yxdkRkbpu{\pmtb{a"2%<94psmd[`kw|pU\7fd\7fk|h=32>586j2zycjQjmqvz[qnumzbTm~}jru*3-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(0+2g>vugnUna}zv_ujqavnXizyn~y&>0(3`?uthoVof|ywPtipfwmYf{zoyx%?>)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$?'>b:rqkbYbey~rSyf}erj\evubz}"8%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts =#:n6~}of]fiur~W}byi~fParqfvq.2!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,3/6j2zycjQjmqvz[qnumzbTm~}jru*4-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(9+2f>vugnUna}zv_ujqavnXizyn~y&6)0g8twi`Wlg{xtQ{hsgplZgt{lx\7f0<?50?3a?uthoVof|ywPtipfwmYimnk\7fi%>&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#=$?l;qplcZcjx}sTxe|jsi]mabgsm!;;%<m4psmd[`kw|pU\7fd\7fk|h^lfcdrb 8;"=o5\7frne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntq\7fX|axn\7feQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnk\7fi%9&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#4$?m;qplcZcjx}sTxe|jsi]mabgsm!3"=h5\7frne\ahvsqV~c~h}g_ogdeqc;980;245\7frne\bpjk9:1{~biPftno[qnumzb#<$?<;qplcZ`rdeU\7fd\7fk|h)3*51=wzfmTjxbc_ujqavn/99#:86~}of]eqijX|axn\7fe&>1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeU\7fd\7fk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=>5\7frne\bpjkW}byi~f'9(35?uthoVl~`aQ{hsgpl976294:m6~}of]eqijX|axn\7feQnsrgqp-6.9h1{~biPftno[qnumzbTm~}jru*2-4d<x{elSk{cl^vkv`uoWhyxi\7fz'11+2f>vugnUmyabPtipfwmYf{zoyx%?>)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#>$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts :#:m6~}of]eqijX|axn\7feQnsrgqp-2.9h1{~biPftno[qnumzbTm~}jru*6-4g<x{elSk{cl^vkv`uoWhyxi\7fz'6(3b?uthoVl~`aQ{hsgplZgt{lx\7f$:'>a:rqkbYa}efTxe|jsi]bwvcu|!2"=l5\7frne\bpjkW}byi~fParqfvq.>!8n0|\7fah_gwohZrozlycSl}|esv?54<768k0|\7fah_gwohZrozlycSckhaug+4,7f3yxdkRhzlm]wlwct`Vdnklzj(0+2f>vugnUmyabPtipfwmYimnk\7fi%??)0`8twi`Wo\7fg`Rzgrdqk[kc`i}o#=<'>a:rqkbYa}efTxe|jsi]mabgsm!8"=l5\7frne\bpjkW}byi~fPndebp`.4!8k0|\7fah_gwohZrozlycSckhaug+0,7f3yxdkRhzlm]wlwct`Vdnklzj(4+2e>vugnUmyabPtipfwmYimnk\7fi%8&1`9svjaXn|fgSyf}erj\j`af|l"<%<o4psmd[cskdV~c~h}g_ogdeqc/0 ;j7}|`g^dvhiYs`{oxdR`jg`vf,</6l2zycjQiumn\pmtb{aUeijo{e=32>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-47<zmUomyoPcnwmp-77!8;0~iQkauc\gjsi|!;:%<>4re]geqgXkf\7fex%<&119q`Zbf|hUhcx`{(2+24>tcWmk\7fmRm`uov+0,773{nThlzn_bmvjq.2!8:0~iQkauc\gjsi|!<"==5}d^fbpdYdg|d\7f$:'>0:pg[agsiVidycz'8(33?wbXlh~jSnaznu*:-46<zmUomyoPcnwmp969981yhRjnt`]`kphs48:5=>5}d^fbpdYdg|d\7f0<?50?32?wbXlh~jSnaznu>25;773{nThlzn_bmvjq:668:0~iQkauc\gjsi|585==5}d^fbpdYdg|d\7f0>0>0:pg[agsiVidycz34?33?wbXlh~jSnaznu>6:46<zmUomyoPcnwmp909991yhRjnt`]`kphs4>4:<6|k_ecweZeh}g~743??;sf\`drfWje~by26>49q`Zci>2xoS\7f}{3:ppp2=tj`~n~\7f:4ssqw0>ru}l30ycjjrgnls0=qieco:6y}_`ah2>quWjf`==5xr^fbpdYdg|d\7f$='>0:uq[agsiVidycz'1(32?rtXlh~jSnaznu*24,763~xThlzn_bmvjq.69 ;;7z|Pd`vb[firf}"9%<>4ws]geqgXkf\7fex%=&119tvZbf|hUhcx`{(5+24>quWmk\7fmRm`uov+1,773~xThlzn_bmvjq.1!8:0{\7fQkauc\gjsi|!="==5xr^fbpdYdg|d\7f$5'>0:uq[agsiVidycz'9(33?rtXlh~jSnaznu>3:47<\7f{UomyoPcnwmp9776890{\7fQkauc\gjsi|5;:6=0>1:uq[agsiVidycz310<24>quWmk\7fmRm`uov?5;773~xThlzn_bmvjq:568:0{\7fQkauc\gjsi|595==5xr^fbpdYdg|d\7f090>0:uq[agsiVidycz35?33?rtXlh~jSnaznu>5:46<\7f{UomyoPcnwmp919991|~Rjnt`]`kphs414:<6y}_ecweZeh}g~753;4ws]fj3=pzVxxxpNOp0af6>FGp80M694>{R7`>2602>0:??7>508163`3sg?n;7?4n4g;>1=#=l?19ih4}R7b>2602>0:??7>508163`33Z;>j79?c;29564>9<;1>?8i4:Q6e?17k3:1=><61439670a?2n>ih4?:082\7fV3d2>:<6:4>33;214<5:?l?7{Z=2b83>4<62;h8w^;l:624>2<6;;3:9<4=27d7?!3dn3;=<6X:e781\7fp71k3;0y<8k:19~ 77c28l0n8kj:18b5?5=i8qC9nj4Z5g90~`=9909>7<>:|&174<2ml1/9h:571;8m21?2900c:8=:18'64`=??n0b??j:198k206290/><h577f8j77b2810c:8n:18'64`=??n0b??j:398k20>290/><h577f8j77b2:10c:87:18'64`=??n0b??j:598k200290/><h577f8j77b2<10c:89:18'64`=??n0b??j:798k202290/><h577f8j77b2>10c:8;:18'64`=??n0b??j:998k204290/><h577f8j77b2010c:8?:18'64`=??n0b??j:`98k23a290/><h577f8j77b2k10e:7m:188k2>2290/><h57828j77b2910c:6;:18'64`=?0:0b??j:098k2>c290/><h57828j77b2;10c:6l:18'64`=?0:0b??j:298k2>e290/><h57828j77b2=10c:6n:18'64`=?0:0b??j:498k2>>290/><h57828j77b2?10c:67:18'64`=?0:0b??j:698k2>0290/><h57828j77b2110c:69:18'64`=?0:0b??j:898k2>4290/><h57828j77b2h10c:6=:18'64`=?0:0b??j:c98k26f2900c:>7:188m2>62900e:9n:188k3e6290/><h57138j77b2910c;m?:18'64`=?9;0b??j:098k3da290/><h57138j77b2;10c;lj:18'64`=?9;0b??j:298k3dc290/><h57138j77b2=10c;lm:18'64`=?9;0b??j:498k3df290/><h57138j77b2?10c;l6:18'64`=?9;0b??j:698k3d?290/><h57138j77b2110c;l8:18'64`=?9;0b??j:898k3d1290/><h57138j77b2h10c;l::18'64`=?9;0b??j:c98k3d3290/><h57138j77b2j10c;l<:18'64`=?9;0b??j:e98k3d5290/><h57138j77b2l10c;l?:18'64`=?9;0b??j:g98k3ga290/><h57138j77b28:07b8ne;29 77a2>::7c<>e;32?>i1im0;6)<>f;535>h59l0:>65`6`a94?"59o0<<<5a20g956=<g?ki6=4+20d9357<f;;n6<:4;n4be?6=,;;m6:>>;o02a?7232e=m44?:%02b?1792d9=h4>6:9l2d>=83.9=k48009m64c=9>10c;o8:18'64`=?9;0b??j:0:8?j0f=3:1(??i:622?k46m3;276a9a583>!46n3=;=6`=1d82e>=h>h91<7*=1g8444=i:8o1=o54o7c1>5<#:8l1;=?4n33f>4e<3f<j=7>5$33e>2663g8:i7?k;:m5e5<72-8:j79?1:l15`<6m21d:4h50;&15c<0881e><k51g98k3?b290/><h57138j77b2;:07b86d;29 77a2>::7c<>e;02?>i11j0;6)<>f;535>h59l09>65`68c94?"59o0<<<5a20g966=<g?326=4+20d9357<f;;n6?:4;n4:<?6=,;;m6:>>;o02a?4232e=5:4?:%02b?1792d9=h4=6:9l2<0=83.9=k48009m64c=:>10c;7::18'64`=?9;0b??j:3:8?j0><3:1(??i:622?k46m38276a99283>!46n3=;=6`=1d81e>=h>081<7*=1g8444=i:8o1>o54o7;2>5<#:8l1;=?4n33f>7e<3f<3j7>5$33e>2663g8:i7<k;:m5<`<72-8:j79?1:l15`<5m21d:5j50;&15c<0881e><k52g98k3>d290/><h57138j77b2::07b87b;29 77a2>::7c<>e;12?>i10h0;6)<>f;535>h59l08>65`69;94?"59o0<<<5a20g976=<g?236=4+20d9357<f;;n6>:4;n4;3?6=,;;m6:>>;o02a?5232e=4;4?:%02b?1792d9=h4<6:9l2f2=83.9=k48009m64c=;>10c;m<:18'64`=?9;0b??j:2:8?j0d:3:1(??i:622?k46m39276a9bb83>!46n3=;=6`=1d80e>=h>k;1<7*=1g8444=i:8o1?o54o7c5>5<#:8l1;=?4n33f>6e<3f<2n7>5$33e>2663g8:i7=k;:m5=5<72-8:j79?1:l15`<4m21d:5;50;&15c<0881e><k53g98k3>3290/><h57138j77b2=:07d8<e;29 77a2?=n7c<>e;28?l04l3:1(??i:75f?k46m3;07d8<c;29 77a2?=n7c<>e;08?l04j3:1(??i:75f?k46m3907d8<a;29 77a2?=n7c<>e;68?l0403:1(??i:75f?k46m3?07d8<7;29 77a2?=n7c<>e;48?l04>3:1(??i:75f?k46m3=07d8<5;29 77a2?=n7c<>e;:8?l04<3:1(??i:75f?k46m3307d8<3;29 77a2?=n7c<>e;c8?l04:3:1(??i:75f?k46m3h07d8<1;29 77a2?=n7c<>e;a8?l0483:1(??i:75f?k46m3n07d8=f;29 77a2?=n7c<>e;g8?l05l3:1(??i:75f?k46m3l07d8=c;29 77a2?=n7c<>e;33?>o1:k0;6)<>f;44a>h59l0:=65f63c94?"59o0=;h5a20g957=<a?826=4+20d922c<f;;n6<=4;h41<?6=,;;m6;9j;o02a?7332c=>:4?:%02b?00m2d9=h4>5:9j270=83.9=k497d9m64c=9?10e;<::18'64`=>>o0b??j:058?l05<3:1(??i:75f?k46m3;376g92383>!46n3<<i6`=1d82=>=n>;;1<7*=1g853`=i:8o1=l54i703>5<#:8l1::k4n33f>4d<3`<:j7>5$33e>31b3g8:i7?l;:k55`<72-8:j788e:l15`<6l21b:<j50;&15c<1?l1e><k51d98m37d290/><h566g8j77b28l07d8>b;29 77a2?=n7c<>e;03?>o19h0;6)<>f;44a>h59l09=65f60;94?"59o0=;h5a20g967=<a?;<6=4+20d922c<f;;n6?=4;h422?6=,;;m6;9j;o02a?4332c==84?:%02b?00m2d9=h4=5:9j242=83.9=k497d9m64c=:?10e;?<:18'64`=>>o0b??j:358?l06:3:1(??i:75f?k46m38376g91083>!46n3<<i6`=1d81=>=n>8:1<7*=1g853`=i:8o1>l54i72e>5<#:8l1::k4n33f>7d<3`<;i7>5$33e>31b3g8:i7<l;:k54f<72-8:j788e:l15`<5l21b:=l50;&15c<1?l1e><k52d98m36f290/><h566g8j77b2;l07d8?9;29 77a2?=n7c<>e;13?>o1810;6)<>f;44a>h59l08=65f61594?"59o0=;h5a20g977=<a?:=6=4+20d922c<f;;n6>=4;h431?6=,;;m6;9j;o02a?5332c=<94?:%02b?00m2d9=h4<5:9j255=83.9=k497d9m64c=;?10e;:>:18'64`=>>o0b??j:258?l0383:1(??i:75f?k46m39376g93g83>!46n3<<i6`=1d80=>=n>:31<7*=1g853`=i:8o1?l54i70f>5<#:8l1::k4n33f>6d<3`<9?7>5$33e>31b3g8:i7=l;:k55=<72-8:j788e:l15`<4l21b:=j50;&15c<1?l1e><k53d98m365290/><h566g8j77b2:l07d8?1;29 77a2?=n7c<>e;63?>o0=l0;66l:cd83>4<729qC9nj4$312>0eb3f8:o7>5;|`242<7280;6=uG5bf8 75628:<7b??6;29?xd3l3:1==8521a96g5|@<io7W:j:04x<?e=n331==4m:30964<c2l0j6h4>0;01>c<>2;;1h7m5b;c9<?{#::;19k?4$07`>4623-?>68ki;%7a>0`73-8:;7<>b:m6a<<722c<;44?::k457<72-8:j79>b:l15`<732c<=<4?:%02b?16j2d9=h4>;:k45d<72-8:j79>b:l15`<532c<=44?:%02b?16j2d9=h4<;:k45=<72-8:j79>b:l15`<332c<=:4?:%02b?16j2d9=h4:;:k453<72-8:j79>b:l15`<132c<=84?:%02b?16j2d9=h48;:k451<72-8:j79>b:l15`<?32c<=>4?:%02b?16j2d9=h46;:k455<72-8:j79>b:l15`<f32c<<k4?:%02b?16j2d9=h4m;:m473<722c<;n4?::m43c<722c<8:4?:%02b?1282d9=h4?;:k403<72-8:j79:0:l15`<632c<8k4?:%02b?1282d9=h4=;:k40`<72-8:j79:0:l15`<432c<8i4?:%02b?1282d9=h4;;:k40f<72-8:j79:0:l15`<232c<8o4?:%02b?1282d9=h49;:k40d<72-8:j79:0:l15`<032c<844?:%02b?1282d9=h47;:k40=<72-8:j79:0:l15`<>32c<884?:%02b?1282d9=h4n;:k401<72-8:j79:0:l15`<e32c<;54?::m44a<722e<<h4?::k6af<722e<:?4?:%02b?11l2d9=h4?;:m424<72-8:j799d:l15`<632e<:l4?:%02b?11l2d9=h4=;:m42<<72-8:j799d:l15`<432e<:54?:%02b?11l2d9=h4;;:m422<72-8:j799d:l15`<232e<:;4?:%02b?11l2d9=h49;:m420<72-8:j799d:l15`<032e<:94?:%02b?11l2d9=h47;:m426<72-8:j799d:l15`<>32e<:=4?:%02b?11l2d9=h4n;:m41c<72-8:j799d:l15`<e32c<=k4?:%02b?1502d9=h4?;:k45`<72-8:j79=8:l15`<632c<>:4?:%02b?1502d9=h4=;:k463<72-8:j79=8:l15`<432c<>84?:%02b?1502d9=h4;;:k461<72-8:j79=8:l15`<232c<>>4?:%02b?1502d9=h49;:k467<72-8:j79=8:l15`<032c<><4?:%02b?1502d9=h47;:k465<72-8:j79=8:l15`<>32c<=i4?:%02b?1502d9=h4n;:k45f<72-8:j79=8:l15`<e32c<5o4?::m4<0<72-8:j7960:l15`<732e<494?:%02b?1>82d9=h4>;:m4<a<72-8:j7960:l15`<532e<4n4?:%02b?1>82d9=h4<;:m4<g<72-8:j7960:l15`<332e<4l4?:%02b?1>82d9=h4:;:m4<<<72-8:j7960:l15`<132e<454?:%02b?1>82d9=h48;:m4<2<72-8:j7960:l15`<?32e<4;4?:%02b?1>82d9=h46;:m4<6<72-8:j7960:l15`<f32e<4?4?:%02b?1>82d9=h4m;:m44d<722e<<54?::k47d<72-8:j79;3:l15`<732c<?44?:%02b?13;2d9=h4>;:k407<72-8:j79;3:l15`<532c<8<4?:%02b?13;2d9=h4<;:k405<72-8:j79;3:l15`<332c<?k4?:%02b?13;2d9=h4:;:k47`<72-8:j79;3:l15`<132c<?i4?:%02b?13;2d9=h48;:k47f<72-8:j79;3:l15`<?32c<?o4?:%02b?13;2d9=h46;:k47=<72-8:j79;3:l15`<f32c<?:4?:%02b?13;2d9=h4m;:k4<4<722c<<o4?::k6aa<722c<5n4?::m43g<722e<4=4?::m43`<722c<;l4?::m5g4<72-8:j79?1:l15`<732e=o=4?:%02b?1792d9=h4>;:m5fc<72-8:j79?1:l15`<532e=nh4?:%02b?1792d9=h4<;:m5fa<72-8:j79?1:l15`<332e=no4?:%02b?1792d9=h4:;:m5fd<72-8:j79?1:l15`<132e=n44?:%02b?1792d9=h48;:m5f=<72-8:j79?1:l15`<?32e=n:4?:%02b?1792d9=h46;:m5f3<72-8:j79?1:l15`<f32e=n84?:%02b?1792d9=h4m;:m5f1<72-8:j79?1:l15`<d32e=n>4?:%02b?1792d9=h4k;:m5f7<72-8:j79?1:l15`<b32e=n=4?:%02b?1792d9=h4i;:m5ec<72-8:j79?1:l15`<6821d:lk50;&15c<0881e><k51098k3gc290/><h57138j77b28807b8nc;29 77a2>::7c<>e;30?>i1ik0;6)<>f;535>h59l0:865`6`c94?"59o0<<<5a20g950=<g?k26=4+20d9357<f;;n6<84;n4b<?6=,;;m6:>>;o02a?7032e=m:4?:%02b?1792d9=h4>8:9l2d3=83.9=k48009m64c=9010c;o;:18'64`=?9;0b??j:0c8?j0f;3:1(??i:622?k46m3;i76a9a383>!46n3=;=6`=1d82g>=h>h;1<7*=1g8444=i:8o1=i54o7c3>5<#:8l1;=?4n33f>4c<3f<2j7>5$33e>2663g8:i7?i;:m5=`<72-8:j79?1:l15`<5821d:4j50;&15c<0881e><k52098k3?d290/><h57138j77b2;807b86a;29 77a2>::7c<>e;00?>i1100;6)<>f;535>h59l09865`68:94?"59o0<<<5a20g960=<g?3<6=4+20d9357<f;;n6?84;n4:2?6=,;;m6:>>;o02a?4032e=584?:%02b?1792d9=h4=8:9l2<2=83.9=k48009m64c=:010c;7<:18'64`=?9;0b??j:3c8?j0>:3:1(??i:622?k46m38i76a99083>!46n3=;=6`=1d81g>=h>1l1<7*=1g8444=i:8o1>i54o7:f>5<#:8l1;=?4n33f>7c<3f<3h7>5$33e>2663g8:i7<i;:m5<f<72-8:j79?1:l15`<4821d:5l50;&15c<0881e><k53098k3>f290/><h57138j77b2:807b879;29 77a2>::7c<>e;10?>i1010;6)<>f;535>h59l08865`69594?"59o0<<<5a20g970=<g?2=6=4+20d9357<f;;n6>84;n4`0?6=,;;m6:>>;o02a?5032e=o>4?:%02b?1792d9=h4<8:9l2f4=83.9=k48009m64c=;010c;ll:18'64`=?9;0b??j:2c8?j0e93:1(??i:622?k46m39i76a9a783>!46n3=;=6`=1d80g>=h>0h1<7*=1g8444=i:8o1?i54o7;3>5<#:8l1;=?4n33f>6c<3f<397>5$33e>2663g8:i7=i;:m5<1<72-8:j79?1:l15`<3821b:>k50;&15c<1?l1e><k50:9j26b=83.9=k497d9m64c=921b:>m50;&15c<1?l1e><k52:9j26d=83.9=k497d9m64c=;21b:>o50;&15c<1?l1e><k54:9j26>=83.9=k497d9m64c==21b:>950;&15c<1?l1e><k56:9j260=83.9=k497d9m64c=?21b:>;50;&15c<1?l1e><k58:9j262=83.9=k497d9m64c=121b:>=50;&15c<1?l1e><k5a:9j264=83.9=k497d9m64c=j21b:>?50;&15c<1?l1e><k5c:9j266=83.9=k497d9m64c=l21b:?h50;&15c<1?l1e><k5e:9j27b=83.9=k497d9m64c=n21b:?m50;&15c<1?l1e><k51198m34e290/><h566g8j77b28;07d8=a;29 77a2?=n7c<>e;31?>o1:00;6)<>f;44a>h59l0:?65f63:94?"59o0=;h5a20g951=<a?8<6=4+20d922c<f;;n6<;4;h412?6=,;;m6;9j;o02a?7132c=>84?:%02b?00m2d9=h4>7:9j272=83.9=k497d9m64c=9110e;<=:18'64`=>>o0b??j:0;8?l0593:1(??i:75f?k46m3;j76g92183>!46n3<<i6`=1d82f>=n>8l1<7*=1g853`=i:8o1=n54i73f>5<#:8l1::k4n33f>4b<3`<:h7>5$33e>31b3g8:i7?j;:k55f<72-8:j788e:l15`<6n21b:<l50;&15c<1?l1e><k52198m37f290/><h566g8j77b2;;07d8>9;29 77a2?=n7c<>e;01?>o19>0;6)<>f;44a>h59l09?65f60494?"59o0=;h5a20g961=<a?;>6=4+20d922c<f;;n6?;4;h420?6=,;;m6;9j;o02a?4132c==>4?:%02b?00m2d9=h4=7:9j244=83.9=k497d9m64c=:110e;?>:18'64`=>>o0b??j:3;8?l0683:1(??i:75f?k46m38j76g90g83>!46n3<<i6`=1d81f>=n>9o1<7*=1g853`=i:8o1>n54i72`>5<#:8l1::k4n33f>7b<3`<;n7>5$33e>31b3g8:i7<j;:k54d<72-8:j788e:l15`<5n21b:=750;&15c<1?l1e><k53198m36?290/><h566g8j77b2:;07d8?7;29 77a2?=n7c<>e;11?>o18?0;6)<>f;44a>h59l08?65f61794?"59o0=;h5a20g971=<a?:?6=4+20d922c<f;;n6>;4;h437?6=,;;m6;9j;o02a?5132c=8<4?:%02b?00m2d9=h4<7:9j216=83.9=k497d9m64c=;110e;=i:18'64`=>>o0b??j:2;8?l0413:1(??i:75f?k46m39j76g92d83>!46n3<<i6`=1d80f>=n>;91<7*=1g853`=i:8o1?n54i73;>5<#:8l1::k4n33f>6b<3`<;h7>5$33e>31b3g8:i7=j;:k547<72-8:j788e:l15`<4n21b:=?50;&15c<1?l1e><k54198k0cf2900e8km:188m233290/><h574f8j77b2910e:;<:18'64`=?<n0b??j:098m23d290/><h574f8j77b2;10e:;m:18'64`=?<n0b??j:298m23f290/><h574f8j77b2=10e:;6:18'64`=?<n0b??j:498m23?290/><h574f8j77b2?10e:;8:18'64`=?<n0b??j:698m231290/><h574f8j77b2110e:;::18'64`=?<n0b??j:898m235290/><h574f8j77b2h10e:;>:18'64`=?<n0b??j:c98k0`2290/><h55gd8j77b2910c8h;:18'64`==ol0b??j:098k0`c290/><h55gd8j77b2;10c8hl:18'64`==ol0b??j:298k0`e290/><h55gd8j77b2=10c8hn:18'64`==ol0b??j:498k0`>290/><h55gd8j77b2?10c8h7:18'64`==ol0b??j:698k0`0290/><h55gd8j77b2110c8h9:18'64`==ol0b??j:898k0`4290/><h55gd8j77b2h10c8h=:18'64`==ol0b??j:c98m24d290/><h57278j77b2910e:<m:18'64`=?:?0b??j:098m253290/><h57278j77b2;10e:=<:18'64`=?:?0b??j:298m255290/><h57278j77b2=10e:=>:18'64`=?:?0b??j:498m257290/><h57278j77b2?10e:<i:18'64`=?:?0b??j:698m24b290/><h57278j77b2110e:<k:18'64`=?:?0b??j:898m24f290/><h57278j77b2h10e:<6:18'64`=?:?0b??j:c98k3672900e:;j:188f0c5290:6=4?{%005?77?2B>i<5G5bf8k4612900qo;j3;295?6=8r.9?<4:cd9K1`7<@<io7b<>c;29?xd2jh0;6>4?:1y'667=99:0D8k>;I7``>N3k2.::l487e9'543=:2c?j7>5;h7g>5<<g;8:6=44}c7`1?6=;3:1<v*=308245=O=l;0D8mk;I6`?!71i3=<h6*>1481?l2a2900e8j50;9l677=831vn8l6:180>5<7s-88=7??0:J6a4=O=jn0D9m4$04b>21c3-;:97<4i5d94?=n=m0;66a=2083>>{e=j91<7;50;2x 75628:?7E;j1:J6ga=O<j1/=;o576f8 4722;1b8k4?::k60?6=3`?o6=44i303>5<<g;8:6=44}c7`0?6=;3:1<v*=308245=O=l;0D8mk;I6`?!71i3=<h6*>1481?l2a2900e8j50;9l677=831vn8l7:186>5<7s-88=7??4:J6a4=O=jn0D9m4$04b>21c3-;:97<4i5d94?=n==0;66g:d;29?l4583:17b<=1;29?xd2k;0;694?:1y'667=9990D8k>;I7``>"69<097d:i:188m0b=831b>?>50;9l677=831vn8m>:187>5<7s-88=7??3:J6a4=O=jn0(<?::39j0c<722c>h7>5;h014?6=3f89=7>5;|`6g5<72=0;6=u+2239555<@<o:7E;ld:&250<53`>m6=44i4f94?=n:;:1<75`23394?=zj<ii6=4;:183\7f!4493;;?6F:e09K1fb<,8;>6?5f4g83>>o2l3:17d<=0;29?j4593:17pl:c`83>1<729q/>>?51118L0c63A?hh6*>1481?l2a2900e8j50;9j676=831d>??50;9~f6e3290?6=4?{%005?77;2B>i<5G5bf8 4722?1b8k4?::k6`?6=3`89<7>5;n015?6=3th8o:4?:583>5}#::;1===4H4g2?M3dl2.:=849;h6e>5<<a<n1<75f23294?=h:;;1<75rb25`>5<3290;w)<<1;335>N2m81C9nj4$036>7=n<o0;66g:9;29?l3c2900c?<>:188yg50l3:187>50z&174<6881C9h?4H4ag?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th84=4?:583>5}#::;1==?4H4g2?M3dl2.:=84=;h6e>5<<a<31<75f5e83>>i5:80;66sm39394?2=83:p(?=>:022?M3b92B>oi5+10796>o3n3:17d;6:188m0b=831d>??50;9~f6>5290?6=4?{%005?7792B>i<5G5bf8 4722;1b8k4?::k6=?6=3`?o6=44o302>5<<uk93?7>54;294~"5;80:<<5G5d38L0ec3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl<8583>1<729q/>>?51138L0c63A?hh6*>1481?l2a2900e8750;9j1a<722e9><4?::\7fa7=3=83>1<7>t$312>4663A?n=6F:ce9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj:2=6=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo=77;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`03`<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e;>l1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn97;:187>5<7s-88=7??3:J6a4=O=jn0(<?::39j0c<722c>h7>5;h014?6=3f89=7>5;|`7=6<72=0;6=u+2239555<@<o:7E;ld:&250<53`>m6=44i4f94?=n:;:1<75`23394?=zj=>i6=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo:;c;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`70c<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e<<:1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn9;>:187>5<7s-88=7??1:J6a4=O=jn0(<?::39j0c<722c>57>5;h7g>5<<g;8:6=44}c666?6=<3:1<v*=308244=O=l;0D8mk;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd3=:0;694?:1y'667=99;0D8k>;I7``>"69<097d:i:188m0?=831b9i4?::m164<722wi88:50;694?6|,;9:6<>>;I7f5>N2km1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb576>5<3290;w)<<1;335>N2m81C9nj4$036>7=n<o0;66g:9;29?l3c2900c?<>:188yg22>3:187>50z&174<6881C9h?4H4ag?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th?8i4?:583>5}#::;1==?4H4g2?M3dl2.:=84=;h6e>5<<a<31<75f5e83>>i5:80;66sm45g94?2=83:p(?=>:022?M3b92B>oi5+10796>o3n3:17d;6:188m0b=831d>??50;9~f60329086=4?{%005?71:2B>i<5G5bf8 4722;=0e<>6:188m46f2900c??n:188yg5213:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f61729086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>88:180>5<7s-88=7?92:J6a4=O=jn0(<?::358m46>2900e<>n:188k77f2900qo=:b;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn>9<:180>5<7s-88=7;j0:J6a4=O=jn0e8850;9j50b=831d><o50;9~f60?29086=4?{%005?71:2B>i<5G5bf8 4722;=0e<>6:188m46f2900c??n:188yg52k3:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f61329086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>86:180>5<7s-88=7?92:J6a4=O=jn0(<?::358m46>2900e<>n:188k77f2900qo=:d;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn>9::180>5<7s-88=7;j0:J6a4=O=jn0e8850;9j50b=831d><o50;9~f60f29086=4?{%005?71:2B>i<5G5bf8 4722;=0e<>6:188m46f2900c??n:188yg52m3:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f61129086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>8m:180>5<7s-88=7?92:J6a4=O=jn0(<?::358m46>2900e<>n:188k77f2900qo=:f;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn>98:180>5<7s-88=7;j0:J6a4=O=jn0e8850;9j50b=831d><o50;9~f60d29086=4?{%005?71:2B>i<5G5bf8 4722;=0e<>6:188m46f2900c??n:188yg5183:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f61?29086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>8k:180>5<7s-88=7?92:J6a4=O=jn0(<?::358m46>2900e<>n:188k77f2900qo=91;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn>96:180>5<7s-88=7;j0:J6a4=O=jn0e8850;9j50b=831d><o50;9~f60b29086=4?{%005?71:2B>i<5G5bf8 4722;=0e<>6:188m46f2900c??n:188yg51:3:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f61f29086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>8i:180>5<7s-88=7?92:J6a4=O=jn0(<?::358m46>2900e<>n:188k77f2900qo=93;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn>9m:180>5<7s-88=7;j0:J6a4=O=jn0e8850;9j50b=831d><o50;9~f60229086=4?{%005?71:2B>i<5G5bf8 4722;=0e<>6:188m46f2900c??n:188yg52i3:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f61629086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>89:180>5<7s-88=7?92:J6a4=O=jn0(<?::358m46>2900e<>n:188k77f2900qo=82;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg24;3:1?7>50z&174<6>;1C9h?4H4ag?!76=38<7d??9;29?l77i3:17b<>a;29?xd3:10;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg24n3:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:<6;297?6=8r.9?<4>639K1`7<@<io7)?>5;04?l7713:17d??a;29?j46i3:17pl;2`83>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo:;2;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg24?3:1?7>50z&174<6>;1C9h?4H4ag?!76=38<7d??9;29?l77i3:17b<>a;29?xd3:k0;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg23;3:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:<8;297?6=8r.9?<4>639K1`7<@<io7)?>5;04?l7713:17d??a;29?j46i3:17pl;2b83>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo:;4;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg2413:1?7>50z&174<6>;1C9h?4H4ag?!76=38<7d??9;29?l77i3:17b<>a;29?xd3:m0;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg23=3:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:<a;297?6=8r.9?<4>639K1`7<@<io7)?>5;04?l7713:17d??a;29?j46i3:17pl;2d83>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo:;6;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg24j3:1?7>50z&174<6>;1C9h?4H4ag?!76=38<7d??9;29?l77i3:17b<>a;29?xd3:o0;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg23?3:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:<c;297?6=8r.9?<4>639K1`7<@<io7)?>5;04?l7713:17d??a;29?j46i3:17pl;3183>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo:;8;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg24l3:1?7>50z&174<6>;1C9h?4H4ag?!76=38<7d??9;29?l77i3:17b<>a;29?xd3;80;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg2313:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:<e;297?6=8r.9?<4>639K1`7<@<io7)?>5;04?l7713:17d??a;29?j46i3:17pl;3383>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo:;a;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg24<3:1?7>50z&174<6>;1C9h?4H4ag?!76=38<7d??9;29?l77i3:17b<>a;29?xd3:00;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg2383:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:<5;297?6=8r.9?<4>639K1`7<@<io7)?>5;04?l7713:17d??a;29?j46i3:17pl;4083>6<729q/>>?55d28L0c63A?hh6g:6;29?l72l3:17b<>a;29?xd41l0;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg5>l3:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f6?d290?6=4?{%005?71j2B>i<5G5bf8m00=831b9h4?::k16<<722e9=l4?::\7fa7<d=83>1<7>t$312>40e3A?n=6F:ce9j13<722c>i7>5;h01=?6=3f8:m7>5;|`0=d<72=0;6=u+223953d<@<o:7E;ld:k62?6=3`?n6=44i30:>5<<g;;j6=44}c1:=?6=<3:1<v*=30822g=O=l;0D8mk;h75>5<<a<o1<75f23;94?=h:8k1<75rb2`6>5<3290;w)<<1;35f>N2m81C9nj4i4494?=n=l0;66g=2883>>i59h0;66sm3c694?2=83:p(?=>:04a?M3b92B>oi5f5783>>o2m3:17d<=9;29?j46i3:17pl<b283>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo=m2;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn>l>:187>5<7s-88=7?9b:J6a4=O=jn0e8850;9j1`<722c9>44?::m15d<722wi?o>50;694?6|,;9:6<8m;I7f5>N2km1b9;4?::k6a?6=3`8957>5;n02e?6=3th?:i4?:583>5}#::;1=;l4H4g2?M3dl2c>:7>5;h7f>5<<a;826=44o33b>5<<uk>=o7>54;294~"5;80::o5G5d38L0ec3`?=6=44i4g94?=n:;31<75`20c94?=zj=<i6=4;:183\7f!4493;=n6F:e09K1fb<a<<1<75f5d83>>o5:00;66a=1`83>>{e<?k1<7:50;2x 75628<i7E;j1:J6ga=n=?0;66g:e;29?l4513:17b<>a;29?xd3>00;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg2103:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f1>3290?6=4?{%005?71j2B>i<5G5bf8m00=831b9h4?::k16<<722e9=l4?::\7fa0=5=83>1<7>t$312>40e3A?n=6F:ce9j13<722c>i7>5;h01=?6=3f8:m7>5;|`7<7<72=0;6=u+223953d<@<o:7E;ld:k62?6=3`?n6=44i30:>5<<g;;j6=44}c6;5?6=<3:1<v*=30822g=O=l;0D8mk;h75>5<<a<o1<75f23;94?=h:8k1<75rb5:3>5<3290;w)<<1;35f>N2m81C9nj4i4494?=n=l0;66g=2883>>i59h0;66sm46d94?2=83:p(?=>:04a?M3b92B>oi5f5783>>o2m3:17d<=9;29?j46i3:17pl=5683>1<729q/>>?51138L0c63A?hh6*>1481?l2a2900e8750;9j1a<722e9><4?::\7fa600=83>1<7>t$312>4663A?n=6F:ce9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj;?m6=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo<:e;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`11a<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e:<i1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn?;m:187>5<7s-88=7??1:J6a4=O=jn0(<?::39j0c<722c>57>5;h7g>5<<g;8:6=44}c06e?6=<3:1<v*=308244=O=l;0D8mk;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd5=00;694?:1y'667=99;0D8k>;I7``>"69<097d:i:188m0?=831b9i4?::m164<722wi>8650;694?6|,;9:6<>>;I7f5>N2km1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb376>5<3290;w)<<1;335>N2m81C9nj4$036>7=n<o0;66g:9;29?l3c2900c?<>:188yg42<3:187>50z&174<6881C9h?4H4ag?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th9il4?:583>5}#::;1==?4H4g2?M3dl2.:=84=;h6e>5<<a<31<75f5e83>>i5:80;66sm2d;94?2=83:p(?=>:022?M3b92B>oi5+10796>o3n3:17d;6:188m0b=831d>??50;9~f7`5290?6=4?{%005?7792B>i<5G5bf8 4722;1b8k4?::k6=?6=3`?o6=44o302>5<<uk8m=7>54;294~"5;80:<<5G5d38L0ec3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl=f183>1<729q/>>?51138L0c63A?hh6*>1481?l2a2900e8750;9j1a<722e9><4?::\7fa6``=83>1<7>t$312>4663A?n=6F:ce9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj;on6=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo<jd;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`1af<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e:lh1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn?k7:187>5<7s-88=7??1:J6a4=O=jn0(<?::39j0c<722c>57>5;h7g>5<<g;8:6=44}c0f3?6=<3:1<v*=308244=O=l;0D8mk;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd4<<0;694?:1y'667=99;0D8k>;I7``>"69<097d:i:188m0?=831b9i4?::m164<722wi?9:50;694?6|,;9:6<>>;I7f5>N2km1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb26g>5<3290;w)<<1;335>N2m81C9nj4$036>7=n<o0;66g:9;29?l3c2900c?<>:188yg53k3:187>50z&174<6881C9h?4H4ag?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th88o4?:583>5}#::;1==?4H4g2?M3dl2.:=84=;h6e>5<<a<31<75f5e83>>i5:80;66sm35c94?2=83:p(?=>:022?M3b92B>oi5+10796>o3n3:17d;6:188m0b=831d>??50;9~f62>290?6=4?{%005?7792B>i<5G5bf8 4722;1b8k4?::k6=?6=3`?o6=44o302>5<<uk9?47>54;294~"5;80:<<5G5d38L0ec3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl<4683>1<729q/>>?51138L0c63A?hh6*>1481?l2a2900e8750;9j1a<722e9><4?::\7fa710=83>1<7>t$312>4663A?n=6F:ce9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj:>86=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo=;2;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`1f2<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e:k<1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn?li:187>5<7s-88=7??1:J6a4=O=jn0(<?::39j0c<722c>57>5;h7g>5<<g;8:6=44}c0aa?6=<3:1<v*=308244=O=l;0D8mk;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd5jm0;694?:1y'667=99;0D8k>;I7``>"69<097d:i:188m0?=831b9i4?::m164<722wi>om50;694?6|,;9:6<>>;I7f5>N2km1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb3`a>5<3290;w)<<1;335>N2m81C9nj4$036>7=n<o0;66g:9;29?l3c2900c?<>:188yg4ei3:187>50z&174<6881C9h?4H4ag?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th9n44?:583>5}#::;1==?4H4g2?M3dl2.:=84=;h6e>5<<a<31<75f5e83>>i5:80;66sm2c:94?2=83:p(?=>:022?M3b92B>oi5+10796>o3n3:17d;6:188m0b=831d>??50;9~f7d2290?6=4?{%005?7792B>i<5G5bf8 4722;1b8k4?::k6=?6=3`?o6=44o302>5<<uk8i87>54;294~"5;80:<<5G5d38L0ec3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl<2e83>1<729q/>>?51138L0c63A?hh6F;c:&22d<0?m1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb20`>5<3290;w)<<1;335>N2m81C9nj4H5a8 40f2>=o7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`070<72=0;6=u+2239557<@<o:7E;ld:J7g>"6>h0<;i5+10796>o3n3:17d;6:188m0b=831d>??50;9~f653290?6=4?{%005?7792B>i<5G5bf8L1e<,8<j6:9k;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd4;:0;694?:1y'667=99;0D8k>;I7``>N3k2.::l487e9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj:996=4;:183\7f!4493;;=6F:e09K1fb<@=i0(<8n:65g?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th8?<4?:583>5}#::;1==?4H4g2?M3dl2B?o6*>6`843a=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn>=?:187>5<7s-88=7??1:J6a4=O=jn0D9m4$04b>21c3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl<2g83>1<729q/>>?51138L0c63A?hh6F;c:&22d<0?m1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb20f>5<3290;w)<<1;335>N2m81C9nj4H5a8 40f2>=o7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`06g<72=0;6=u+2239557<@<o:7E;ld:J7g>"6>h0<;i5+10796>o3n3:17d;6:188m0b=831d>??50;9~f64f290?6=4?{%005?7792B>i<5G5bf8L1e<,8<j6:9k;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd51o0;694?:1y'667=99;0D8k>;I7``>N3k2.::l487e9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj;3n6=4;:183\7f!4493;;=6F:e09K1fb<@=i0(<8n:65g?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th9m:4?:583>5}#::;1==?4H4g2?M3dl2B?o6*>6`843a=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn?o9:187>5<7s-88=7??1:J6a4=O=jn0D9m4$04b>21c3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl=a483>1<729q/>>?51138L0c63A?hh6F;c:&22d<0?m1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb3c7>5<3290;w)<<1;335>N2m81C9nj4H5a8 40f2>=o7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`1e6<72=0;6=u+2239557<@<o:7E;ld:J7g>"6>h0<;i5+10796>o3n3:17d;6:188m0b=831d>??50;9~f7g5290?6=4?{%005?7792B>i<5G5bf8L1e<,8<j6:9k;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd5i80;694?:1y'667=99;0D8k>;I7``>N3k2.::l487e9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj;k;6=4;:183\7f!4493;;=6F:e09K1fb<@=i0(<8n:65g?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th95i4?:583>5}#::;1==?4H4g2?M3dl2B?o6*>6`843a=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn?7l:187>5<7s-88=7??1:J6a4=O=jn0D9m4$04b>21c3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl=8683>1<729q/>>?51138L0c63A?hh6*>1481?l2a2900e8750;9j1a<722e9><4?::\7fa6=0=83>1<7>t$312>4663A?n=6F:ce9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj;2m6=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo<7e;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`1<a<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e:1i1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn?6m:187>5<7s-88=7??1:J6a4=O=jn0(<?::39j0c<722c>57>5;h7g>5<<g;8:6=44}c0;e?6=<3:1<v*=308244=O=l;0D8mk;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd5000;694?:1y'667=99;0D8k>;I7``>"69<097d:i:188m0?=831b9i4?::m164<722wi>5650;694?6|,;9:6<>>;I7f5>N2km1/=<;52:k7b?6=3`?26=44i4f94?=h:;;1<75rb3:6>5<3290;w)<<1;335>N2m81C9nj4$036>7=n<o0;66g:9;29?l3c2900c?<>:188yg4?<3:187>50z&174<6881C9h?4H4ag?!76=380e9h50;9j1<<722c>h7>5;n015?6=3th8=84?:583>5}#::;1==?4H4g2?M3dl2.:=84=;h6e>5<<a<31<75f5e83>>i5:80;66sm30694?2=83:p(?=>:022?M3b92B>oi5+10796>o3n3:17d;6:188m0b=831d>??50;9~f67c290?6=4?{%005?7792B>i<5G5bf8 4722;1b8k4?::k6=?6=3`?o6=44o302>5<<uk9:o7>54;294~"5;80:<<5G5d38L0ec3-;:97<4i5d94?=n=00;66g:d;29?j4593:17pl<1c83>1<729q/>>?51138L0c63A?hh6*>1481?l2a2900e8750;9j1a<722e9><4?::\7fa74g=83>1<7>t$312>4663A?n=6F:ce9'543=:2c?j7>5;h7:>5<<a<n1<75`23394?=zj:;26=4;:183\7f!4493;;=6F:e09K1fb<,8;>6?5f4g83>>o213:17d;k:188k7462900qo=>8;290?6=8r.9?<4>009K1`7<@<io7)?>5;08m1`=831b944?::k6`?6=3f89=7>5;|`052<72=0;6=u+2239557<@<o:7E;ld:&250<53`>m6=44i4;94?=n=m0;66a=2083>>{e;8<1<7:50;2x 75628::7E;j1:J6ga=#98?1>6g;f;29?l3>2900e8j50;9l677=831vn>?<:187>5<7s-88=7??1:J6a4=O=jn0(<?::39j0c<722c>57>5;h7g>5<<g;8:6=44}c126?6=<3:1<v*=308244=O=l;0D8mk;%321?4<a=l1<75f5883>>o2l3:17b<=1;29?xd38?0;684?:1y'667=9980D8k>;I7``>"69<097d:i:188m02=831b944?::k6`?6=3f89=7>5;|`74c<72<0;6=u+2239554<@<o:7E;ld:&250<53`>m6=44i4694?=n=00;66g:d;29?j4593:17pl;0683>0<729q/>>?51108L0c63A?hh6*>1481?l2a2900e8:50;9j1<<722c>h7>5;n015?6=3th?<i4?:483>5}#::;1==<4H4g2?M3dl2.:=84=;h6e>5<<a<>1<75f5883>>o2l3:17b<=1;29?xd38j0;684?:1y'667=9980D8k>;I7``>"69<097d:i:188m02=831b944?::k6`?6=3f89=7>5;|`74`<72<0;6=u+2239554<@<o:7E;ld:&250<53`>m6=44i4694?=n=00;66g:d;29?j4593:17pl;0`83>0<729q/>>?51108L0c63A?hh6*>1481?l2a2900e8:50;9j1<<722c>h7>5;n015?6=3th?<44?:483>5}#::;1==<4H4g2?M3dl2.:=84=;h6e>5<<a<>1<75f5883>>o2l3:17b<=1;29?xd38k0;684?:1y'667=9980D8k>;I7``>"69<097d:i:188m02=831b944?::k6`?6=3f89=7>5;|`740<72<0;6=u+2239554<@<o:7E;ld:&250<53`>m6=44i4694?=n=00;66g:d;29?j4593:17pl;0583>0<729q/>>?51168L0c63A?hh6*>1485?l2a2900e8:50;9j1a<722c9>=4?::m164<722wi8=650;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e;ln1<7=50;2x 7562<o;7E;j1:J6ga=n=?0;66g>5e83>>i59h0;66sm3da94?5=83:p(?=>:4g3?M3b92B>oi5f5783>>o6=m0;66a=1`83>>{e;m=1<7:50;2x 75628<i7E;j1:J6ga=n=?0;66g:e;29?l4513:17b<>a;29?xd4n>0;6>4?:1y'667==l:0D8k>;I7``>o2>3:17d?:d;29?j46i3:17pl<e883>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo=i6;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg5b?3:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f6`229086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn>k::187>5<7s-88=7?9b:J6a4=O=jn0e8850;9j1`<722c9>44?::m15d<722wi?k:50;194?6|,;9:68k?;I7f5>N2km1b9;4?::k21a<722e9=l4?::\7fa7`5=83>1<7>t$312>40e3A?n=6F:ce9j13<722c>i7>5;h01=?6=3f8:m7>5;|`0b6<72:0;6=u+22391`6<@<o:7E;ld:k62?6=3`;>h7>5;n02e?6=3th8i<4?:583>5}#::;1=;l4H4g2?M3dl2c>:7>5;h7f>5<<a;826=44o33b>5<<uk9m>7>53;294~"5;80>i=5G5d38L0ec3`?=6=44i07g>5<<g;;j6=44}c1gb?6=<3:1<v*=30822g=O=l;0D8mk;h75>5<<a<o1<75f23;94?=h:8k1<75rb2d2>5<4290;w)<<1;7f4>N2m81C9nj4i4494?=n9<n1<75`20c94?=zj:no6=4;:183\7f!4493;=n6F:e09K1fb<a<<1<75f5d83>>o5:00;66a=1`83>>{e;o:1<7=50;2x 7562<o;7E;j1:J6ga=n=?0;66g>5e83>>i59h0;66sm3e`94?2=83:p(?=>:04a?M3b92B>oi5f5783>>o2m3:17d<=9;29?j46i3:17pl<eg83>6<729q/>>?55d28L0c63A?hh6g:6;29?l72l3:17b<>a;29?xd4l00;694?:1y'667=9?h0D8k>;I7``>o2>3:17d;j:188m74>2900c??n:188yg5bj3:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo=k5;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn9?i:186>5<7s-88=7??2:J6a4=O=jn0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`23394?=zj=;n6=4::183\7f!4493;;>6F:e09K1fb<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d>??50;9~f140290>6=4?{%005?77:2B>i<5G5bf8 4722;1b8k4?::k60?6=3`?26=44i4f94?=h:;;1<75rb505>5<2290;w)<<1;336>N2m81C9nj4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l677=831vn9<::186>5<7s-88=7??2:J6a4=O=jn0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`23394?=zj=8?6=4::183\7f!4493;;>6F:e09K1fb<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d>??50;9~f144290>6=4?{%005?77:2B>i<5G5bf8 4722;1b8k4?::k60?6=3`?26=44i4f94?=h:;;1<75rb501>5<2290;w)<<1;336>N2m81C9nj4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l677=831vn9<>:186>5<7s-88=7??2:J6a4=O=jn0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`23394?=zj=8;6=4::183\7f!4493;;>6F:e09K1fb<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d>??50;9~f17c290>6=4?{%005?77:2B>i<5G5bf8 4722;1b8k4?::k60?6=3`?26=44i4f94?=h:;;1<75rb53`>5<2290;w)<<1;336>N2m81C9nj4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l677=831vn9jm:186>5<7s-88=7??2:J6a4=O=jn0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`23394?=zj=o?6=4::183\7f!4493;;>6F:e09K1fb<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d>??50;9~f1bd290>6=4?{%005?77:2B>i<5G5bf8 4722;1b8k4?::k60?6=3`?26=44i4f94?=h:;;1<75rb5g1>5<2290;w)<<1;336>N2m81C9nj4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l677=831vn9k>:186>5<7s-88=7??2:J6a4=O=jn0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`23394?=zj=o86=4::183\7f!4493;;>6F:e09K1fb<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d>??50;9~f1ba290>6=4?{%005?77:2B>i<5G5bf8 4722;1b8k4?::k60?6=3`?26=44i4f94?=h:;;1<75rb5ff>5<2290;w)<<1;336>N2m81C9nj4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l677=831vn9k?:186>5<7s-88=7??2:J6a4=O=jn0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`23394?=zj=nj6=4::183\7f!4493;;86F:e09K1fb<,8;>6;5f4g83>>o2<3:17d;k:188m7472900c?<>:188yg2c13:197>50z&174<68;1C9h?4H4ag?!76=380e9h50;9j11<722c>57>5;h7g>5<<g;8:6=44}c6g`?6==3:1<v*=308247=O=l;0D8mk;%321?4<a=l1<75f5583>>o213:17d;k:188k7462900qo:l2;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg2d93:1?7>50z&174<2m91C9h?4H4ag?l312900e<;k:188k77f2900qo:nc;290?6=8r.9?<4>6c9K1`7<@<io7d;9:188m0c=831b>?750;9l64g=831vn9ml:180>5<7s-88=7;j0:J6a4=O=jn0e8850;9j50b=831d><o50;9~f1db290?6=4?{%005?71j2B>i<5G5bf8m00=831b9h4?::k16<<722e9=l4?::\7fa0fd=8391<7>t$312>0c73A?n=6F:ce9j13<722c:9i4?::m15d<722wi8om50;694?6|,;9:6<8m;I7f5>N2km1b9;4?::k6a?6=3`8957>5;n02e?6=3th?ol4?:283>5}#::;19h>4H4g2?M3dl2c>:7>5;h36`?6=3f8:m7>5;|`7fd<72=0;6=u+223953d<@<o:7E;ld:k62?6=3`?n6=44i30:>5<<g;;j6=44}c6`=?6=;3:1<v*=3086a5=O=l;0D8mk;h75>5<<a8?o6=44o33b>5<<uk>i47>54;294~"5;80::o5G5d38L0ec3`?=6=44i4g94?=n:;31<75`20c94?=zj=i36=4<:183\7f!4493?n<6F:e09K1fb<a<<1<75f14f94?=h:8k1<75rb5`5>5<3290;w)<<1;35f>N2m81C9nj4i4494?=n=l0;66g=2883>>i59h0;66sm4b594?5=83:p(?=>:4g3?M3b92B>oi5f5783>>o6=m0;66a=1`83>>{e<k>1<7:50;2x 75628<i7E;j1:J6ga=n=?0;66g:e;29?l4513:17b<>a;29?xd3k?0;6>4?:1y'667==l:0D8k>;I7``>o2>3:17d?:d;29?j46i3:17pl;b383>1<729q/>>?517`8L0c63A?hh6g:6;29?l3b2900e?<6:188k77f2900qo:l5;297?6=8r.9?<4:e19K1`7<@<io7d;9:188m43c2900c??n:188yg2e83:187>50z&174<6>k1C9h?4H4ag?l312900e8k50;9j67?=831d><o50;9~f1e329086=4?{%005?3b82B>i<5G5bf8m00=831b=8j50;9l64g=831vn9oj:187>5<7s-88=7?9b:J6a4=O=jn0e8850;9j1`<722c9>44?::m15d<722wi8n>50;194?6|,;9:68k?;I7f5>N2km1b9;4?::k21a<722e9=l4?::\7fa0dg=83>1<7>t$312>40e3A?n=6F:ce9j13<722c>i7>5;h01=?6=3f8:m7>5;|`7b0<72<0;6=u+2239554<@<o:7E;ld:&250<53`>m6=44i4694?=n=00;66g:d;29?j4593:17pl;f083>0<729q/>>?51168L0c63A?hh6*>1485?l2a2900e8:50;9j1a<722c9>=4?::m164<722wi8k<50;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e<o21<7;50;2x 75628:97E;j1:J6ga=#98?1>6g;f;29?l332900e8750;9j1a<722e9><4?::\7fa0c0=83?1<7>t$312>4653A?n=6F:ce9'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i5:80;66sm4g594?3=83:p(?=>:021?M3b92B>oi5+10796>o3n3:17d;;:188m0?=831b9i4?::m164<722wi8kl50;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e<o31<7;50;2x 75628:97E;j1:J6ga=#98?1>6g;f;29?l332900e8750;9j1a<722e9><4?::\7fa0cg=83?1<7>t$312>4653A?n=6F:ce9'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i5:80;66sm4g694?3=83:p(?=>:021?M3b92B>oi5+10796>o3n3:17d;;:188m0?=831b9i4?::m164<722wi8km50;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e<o91<7;50;2x 75628:97E;j1:J6ga=#98?1>6g;f;29?l332900e8750;9j1a<722e9><4?::\7fa15e=83?1<7>t$312>4653A?n=6F:ce9'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i5:80;66sm51`94?3=83:p(?=>:021?M3b92B>oi5+10796>o3n3:17d;;:188m0?=831b9i4?::m164<722wi9<:50;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e=891<7;50;2x 75628:97E;j1:J6ga=#98?1>6g;f;29?l332900e8750;9j1a<722e9><4?::\7fa144=83?1<7>t$312>4653A?n=6F:ce9'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i5:80;66sm50394?3=83:p(?=>:021?M3b92B>oi5+10796>o3n3:17d;;:188m0?=831b9i4?::m164<722wi9<>50;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e=9l1<7;50;2x 75628:97E;j1:J6ga=#98?1>6g;f;29?l332900e8750;9j1a<722e9><4?::\7fa15c=83?1<7>t$312>4653A?n=6F:ce9'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i5:80;66sm51f94?3=83:p(?=>:021?M3b92B>oi5+10796>o3n3:17d;;:188m0?=831b9i4?::m164<722wi9=o50;794?6|,;9:6<>=;I7f5>N2km1/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a=2083>>{e=931<7;50;2x 75628:97E;j1:J6ga=#98?1>6g;f;29?l332900e8750;9j1a<722e9><4?::\7fa1f1=8391<7>t$312>4053A?n=6F:ce9'543=911b==750;9j55g=831d><o50;9~f0dd29086=4?{%005?71:2B>i<5G5bf8 4722820e<>6:188m46f2900c??n:188yg4a<3:1?7>50z&174<6>;1C9h?4H4ag?!76=38;7d??9;29?l77i3:17b<>a;29?xd5>80;6>4?:1y'667=9?80D8k>;I7``>"69<09<6g>0883>>o68h0;66a=1`83>>{e:==1<7=50;2x 75628<97E;j1:J6ga=#98?1>=5f11;94?=n99k1<75`20c94?=zj;>=6=4<:183\7f!4493;=>6F:e09K1fb<,8;>6?>4i02:>5<<a8:j6=44o33b>5<<uk8?97>53;294~"5;80::?5G5d38L0ec3-;:97<?;h33=?6=3`;;m7>5;n02e?6=3th9894?:283>5}#::;1=;<4H4g2?M3dl2.:=84=0:k24<<722c:<l4?::m15d<722wi>9=50;194?6|,;9:6<8=;I7f5>N2km1/=<;5219j55?=831b==o50;9l64g=831vn?:=:180>5<7s-88=7?92:J6a4=O=jn0(<?::328m46>2900e<>n:188k77f2900qo<;1;297?6=8r.9?<4>639K1`7<@<io7)?>5;03?l7713:17d??a;29?j46i3:17pl=4183>6<729q/>>?51708L0c63A?hh6*>14814>o6800;66g>0`83>>i59h0;66sm22d94?5=83:p(?=>:041?M3b92B>oi5+107965=n9931<75f11c94?=h:8k1<75rb31f>5<4290;w)<<1;356>N2m81C9nj4$036>76<a8:26=44i02b>5<<g;;j6=44}c00`?6=;3:1<v*=308227=O=l;0D8mk;%321?473`;;57>5;h33e?6=3f8:m7>5;|`17f<72:0;6=u+2239534<@<o:7E;ld:&250<582c:<44?::k24d<722e9=l4?::\7fa66d=8391<7>t$312>4053A?n=6F:ce9'543=:91b==750;9j55g=831d><o50;9~f75f29086=4?{%005?71:2B>i<5G5bf8 4722;:0e<>6:188m46f2900c??n:188yg4413:1?7>50z&174<6>;1C9h?4H4ag?!76=38;7d??9;29?l77i3:17b<>a;29?xd5;10;6>4?:1y'667=9?80D8k>;I7``>"69<09<6g>0883>>o68h0;66a=1`83>>{e::=1<7=50;2x 75628<97E;j1:J6ga=#98?1>=5f11;94?=n99k1<75`20c94?=zj;9=6=4<:183\7f!4493;=>6F:e09K1fb<,8;>6?>4i02:>5<<a8:j6=44o33b>5<<uk8897>53;294~"5;80::?5G5d38L0ec3-;:97<?;h33=?6=3`;;m7>5;n02e?6=3th9?94?:283>5}#::;1=;<4H4g2?M3dl2.:=84=0:k24<<722c:<l4?::m15d<722wi>>=50;194?6|,;9:6<8=;I7f5>N2km1/=<;5219j55?=831b==o50;9l64g=831vn?==:180>5<7s-88=7?92:J6a4=O=jn0(<?::328m46>2900e<>n:188k77f2900qo<i6;290?6=8r.9?<4>649K1`7<@<io7)?>5;0:?l7713:17d??a;29?l77j3:17b<>a;29?xd5>:0;694?:1y'667=9??0D8k>;I7``>"69<0956g>0883>>o68h0;66g>0c83>>i59h0;66sm31794?3=83:p(?=>:044?M3b92B>oi5+107961=n9931<75f11c94?=n99h1<75f11a94?=h:8k1<75rb346>5<2290;w)<<1;353>N2m81C9nj4$036>72<a8:26=44i02b>5<<a8:i6=44i02`>5<<g;;j6=44}c0e<?6==3:1<v*=308222=O=l;0D8mk;%321?433`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f8:m7>5;|`1b2<72<0;6=u+2239531<@<o:7E;ld:&250<5<2c:<44?::k24d<722c:<o4?::k24f<722e9=l4?::\7fa631=8391<7>t$312>4053A?n=6F:ce9'543=:91b==750;9j55g=831d><o50;9~f70>290?6=4?{%005?71=2B>i<5G5bf8 4722;30e<>6:188m46f2900e<>m:188k77f2900qo<9b;291?6=8r.9?<4>669K1`7<@<io7)?>5;07?l7713:17d??a;29?l77j3:17d??c;29?j46i3:17pl=d583>6<729q/>>?51708L0c63A?hh6*>14813>o6800;66g>0`83>>i59h0;66sm2e494?3=83:p(?=>:044?M3b92B>oi5+10796d=n9931<75f11c94?=n99h1<75f11a94?=h:8k1<75rb3ag>5<2290;w)<<1;353>N2m81C9nj4$036>72<a8:26=44i02b>5<<a8:i6=44i02`>5<<g;;j6=44}c0g4?6==3:1<v*=308222=O=l;0D8mk;%321?433`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f8:m7>5;|`7=5<72<0;6=u+2239531<@<o:7E;ld:&250<502c:<44?::k24d<722c:<o4?::k24f<722e9=l4?::\7fa02?=83?1<7>t$312>4003A?n=6F:ce9'543=:11b==750;9j55g=831b==l50;9j55e=831d><o50;9~f6e6290>6=4?{%005?71?2B>i<5G5bf8 4722;20e<>6:188m46f2900e<>m:188m46d2900c??n:188yg5fi3:197>50z&174<6>>1C9h?4H4ag?!76=38>7d??9;29?l77i3:17d??b;29?l77k3:17b<>a;29?xd30o0;684?:1y'667=9?=0D8k>;I7``>"69<0946g>0883>>o68h0;66g>0c83>>o68j0;66a=1`83>>{e<>21<7;50;2x 75628<<7E;j1:J6ga=#98?1>55f11;94?=n99k1<75f11`94?=n99i1<75`20c94?=zj:i;6=4::183\7f!4493;=;6F:e09K1fb<,8;>6?64i02:>5<<a8:j6=44i02a>5<<a8:h6=44o33b>5<<uk9j57>55;294~"5;80:::5G5d38L0ec3-;:97<9;h33=?6=3`;;m7>5;h33f?6=3`;;o7>5;n02e?6=3th?4h4?:483>5}#::;1=;94H4g2?M3dl2.:=84=8:k24<<722c:<l4?::k24g<722c:<n4?::m15d<722wi8:950;794?6|,;9:6<88;I7f5>N2km1/=<;5299j55?=831b==o50;9j55d=831b==m50;9l64g=831vn>li:186>5<7s-88=7?97:J6a4=O=jn0(<?::3:8m46>2900e<>n:188m46e2900e<>l:188k77f2900qo=n8;291?6=8r.9?<4>669K1`7<@<io7)?>5;05?l7713:17d??a;29?l77j3:17d??c;29?j46i3:17pl;8e83>0<729q/>>?51758L0c63A?hh6*>1481<>o6800;66g>0`83>>o68k0;66g>0b83>>i59h0;66sm46494?3=83:p(?=>:044?M3b92B>oi5+10796==n9931<75f11c94?=n99h1<75f11a94?=h:8k1<75rb2`f>5<2290;w)<<1;353>N2m81C9nj4$036>7><a8:26=44i02b>5<<a8:i6=44i02`>5<<g;;j6=44}c1b3?6==3:1<v*=308222=O=l;0D8mk;%321?413`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f8:m7>5;|`7<f<72<0;6=u+2239531<@<o:7E;ld:&250<502c:<44?::k24d<722c:<o4?::k24f<722e9=l4?::\7fa023=83?1<7>t$312>4003A?n=6F:ce9'543=:11b==750;9j55g=831b==l50;9j55e=831d><o50;9~f6dc290>6=4?{%005?71?2B>i<5G5bf8 4722;20e<>6:188m46f2900e<>m:188m46d2900c??n:188yg5f>3:197>50z&174<6>>1C9h?4H4ag?!76=38=7d??9;29?l77i3:17d??b;29?l77k3:17b<>a;29?xd30k0;684?:1y'667=9?=0D8k>;I7``>"69<0946g>0883>>o68h0;66g>0c83>>o68j0;66a=1`83>>{e<>>1<7;50;2x 75628<<7E;j1:J6ga=#98?1>55f11;94?=n99k1<75f11`94?=n99i1<75`20c94?=zj:hh6=4::183\7f!4493;=;6F:e09K1fb<,8;>6?64i02:>5<<a8:j6=44i02a>5<<a8:h6=44o33b>5<<uk9j97>55;294~"5;80:::5G5d38L0ec3-;:97<9;h33=?6=3`;;m7>5;h33f?6=3`;;o7>5;n02e?6=3th?5;4?:583>5}#::;1=;;4H4g2?M3dl2.:=84ie:k24<<722c:<l4?::k24g<722e9=l4?::\7fa7f0=83>1<7>t$312>4023A?n=6F:ce9'543=nl1b==750;9j55g=831b==l50;9l64g=831vn>m7:180>5<7s-88=7?92:J6a4=O=jn0(<?::018m46>2900e<>n:188k77f2900qo;;7;290?6=8r.9?<4>649K1`7<@<io7)?>5;d:?l7713:17d??a;29?l77j3:17b<>a;29?xd2<?0;694?:1y'667=9??0D8k>;I7``>"69<0m56g>0883>>o68h0;66g>0c83>>i59h0;66sm55794?2=83:p(?=>:046?M3b92B>oi5+1079b<=n9931<75f11c94?=n99h1<75`20c94?=zj<>?6=4;:183\7f!4493;=96F:e09K1fb<,8;>6k74i02:>5<<a8:j6=44i02a>5<<g;;j6=44}c777?6=<3:1<v*=308220=O=l;0D8mk;%321?`>3`;;57>5;h33e?6=3`;;n7>5;n02e?6=3th>8?4?:583>5}#::;1=;;4H4g2?M3dl2.:=84i9:k24<<722c:<l4?::k24g<722e9=l4?::\7fa117=83>1<7>t$312>4023A?n=6F:ce9'543=n01b==750;9j55g=831b==l50;9l64g=831vn8:?:187>5<7s-88=7?95:J6a4=O=jn0(<?::g;8m46>2900e<>n:188m46e2900c??n:188yg34n3:187>50z&174<6><1C9h?4H4ag?!76=3l27d??9;29?l77i3:17d??b;29?j46i3:17pl:3d83>1<729q/>>?51778L0c63A?hh6*>148e=>o6800;66g>0`83>>o68k0;66a=1`83>>{e=:n1<7:50;2x 75628<>7E;j1:J6ga=#98?1j45f11;94?=n99k1<75f11`94?=h:8k1<75rb41`>5<3290;w)<<1;351>N2m81C9nj4$036>c?<a8:26=44i02b>5<<a8:i6=44o33b>5<<uk?8n7>54;294~"5;80::85G5d38L0ec3-;:97h6;h33=?6=3`;;m7>5;h33f?6=3f8:m7>5;|`67d<72=0;6=u+2239533<@<o:7E;ld:&250<a12c:<44?::k24d<722c:<o4?::m15d<722wi9>750;694?6|,;9:6<8:;I7f5>N2km1/=<;5f89j55?=831b==o50;9j55d=831d><o50;9~f05?290?6=4?{%005?71=2B>i<5G5bf8 4722o30e<>6:188m46f2900e<>m:188k77f2900qo;<7;290?6=8r.9?<4>649K1`7<@<io7)?>5;d:?l7713:17d??a;29?l77j3:17b<>a;29?xd2;?0;694?:1y'667=9??0D8k>;I7``>"69<0m56g>0883>>o68h0;66g>0c83>>i59h0;66sm52794?2=83:p(?=>:046?M3b92B>oi5+1079b<=n9931<75f11c94?=n99h1<75`20c94?=zj<9?6=4;:183\7f!4493;=96F:e09K1fb<,8;>6k74i02:>5<<a8:j6=44i02a>5<<g;;j6=44}c707?6=<3:1<v*=308220=O=l;0D8mk;%321?`>3`;;57>5;h33e?6=3`;;n7>5;n02e?6=3th>??4?:583>5}#::;1=;;4H4g2?M3dl2.:=84i9:k24<<722c:<l4?::k24g<722e9=l4?::\7fa167=83>1<7>t$312>4023A?n=6F:ce9'543=n01b==750;9j55g=831b==l50;9l64g=831vn8=?:187>5<7s-88=7?95:J6a4=O=jn0(<?::g;8m46>2900e<>n:188m46e2900c??n:188yg35n3:187>50z&174<6><1C9h?4H4ag?!76=3l27d??9;29?l77i3:17d??b;29?j46i3:17pl:2d83>1<729q/>>?51778L0c63A?hh6*>148e=>o6800;66g>0`83>>o68k0;66a=1`83>>{e=;n1<7:50;2x 75628<>7E;j1:J6ga=#98?1j45f11;94?=n99k1<75f11`94?=h:8k1<75rb40`>5<3290;w)<<1;351>N2m81C9nj4$036>c?<a8:26=44i02b>5<<a8:i6=44o33b>5<<uk?9n7>54;294~"5;80::85G5d38L0ec3-;:97h6;h33=?6=3`;;m7>5;h33f?6=3f8:m7>5;|`66d<72=0;6=u+2239533<@<o:7E;ld:&250<a12c:<44?::k24d<722c:<o4?::m15d<722wi9?750;694?6|,;9:6<8:;I7f5>N2km1/=<;5f89j55?=831b==o50;9j55d=831d><o50;9~f04?290?6=4?{%005?71=2B>i<5G5bf8 4722o30e<>6:188m46f2900e<>m:188k77f2900qo;=7;290?6=8r.9?<4>649K1`7<@<io7)?>5;d:?l7713:17d??a;29?l77j3:17b<>a;29?xd2:?0;694?:1y'667=9??0D8k>;I7``>"69<0m56g>0883>>o68h0;66g>0c83>>i59h0;66sm53794?2=83:p(?=>:046?M3b92B>oi5+1079b<=n9931<75f11c94?=n99h1<75`20c94?=zj<8?6=4;:183\7f!4493;=96F:e09K1fb<,8;>6k74i02:>5<<a8:j6=44i02a>5<<g;;j6=44}c717?6=<3:1<v*=308220=O=l;0D8mk;%321?`>3`;;57>5;h33e?6=3`;;n7>5;n02e?6=3th>>?4?:583>5}#::;1=;;4H4g2?M3dl2.:=84i9:k24<<722c:<l4?::k24g<722e9=l4?::\7fa177=83>1<7>t$312>4023A?n=6F:ce9'543=n01b==750;9j55g=831b==l50;9l64g=831vn8<?:187>5<7s-88=7?95:J6a4=O=jn0(<?::g;8m46>2900e<>n:188m46e2900c??n:188yg36n3:187>50z&174<6><1C9h?4H4ag?!76=3l27d??9;29?l77i3:17d??b;29?j46i3:17pl:1d83>1<729q/>>?51778L0c63A?hh6*>148e=>o6800;66g>0`83>>o68k0;66a=1`83>>{e=8n1<7:50;2x 75628<>7E;j1:J6ga=#98?1j45f11;94?=n99k1<75f11`94?=h:8k1<75rb43`>5<3290;w)<<1;351>N2m81C9nj4$036>c?<a8:26=44i02b>5<<a8:i6=44o33b>5<<uk?:n7>54;294~"5;80::85G5d38L0ec3-;:97h6;h33=?6=3`;;m7>5;h33f?6=3f8:m7>5;|`0`=<72;0;6=u+2239537<@<o:7E;ld:&250<6;2c:<44?::m15d<722wi?ho50;094?6|,;9:6<8>;I7f5>N2km1/=<;5129j55?=831d><o50;9~f6c?29096=4?{%005?7192B>i<5G5bf8 4722890e<>6:188k77f2900qo=j6;296?6=8r.9?<4>609K1`7<@<io7)?>5;30?l7713:17b<>a;29?xd4m=0;6?4?:1y'667=9?;0D8k>;I7``>"69<0:?6g>0883>>i59h0;66sm3d094?4=83:p(?=>:042?M3b92B>oi5+107956=n9931<75`20c94?=zj:o;6=4=:183\7f!4493;==6F:e09K1fb<,8;>6<=4i02:>5<<g;;j6=44}c1ga?6=:3:1<v*=308224=O=l;0D8mk;%321?743`;;57>5;n02e?6=3th8hn4?:383>5}#::;1=;?4H4g2?M3dl2.:=84>3:k24<<722e9=l4?::\7fa7ag=8381<7>t$312>4063A?n=6F:ce9'543=9:1b==750;9l64g=831vn>j9:181>5<7s-88=7?91:J6a4=O=jn0(<?::018m46>2900c??n:188yg2fl3:1>7>50z&174<6>81C9h?4H4ag?!76=3;87d??9;29?j46i3:17pl;bg83>7<729q/>>?51738L0c63A?hh6*>14827>o6800;66a=1`83>>{e<kn1<7<50;2x 75628<:7E;j1:J6ga=#98?1=>5f11;94?=h:8k1<75rb5`a>5<5290;w)<<1;355>N2m81C9nj4$036>45<a8:26=44o33b>5<<uk>i57>52;294~"5;80::<5G5d38L0ec3-;:97?<;h33=?6=3f8:m7>5;|`7f2<72;0;6=u+2239537<@<o:7E;ld:&250<6;2c:<44?::m15d<722wi8o;50;094?6|,;9:6<8>;I7f5>N2km1/=<;5129j55?=831d><o50;9~f1d429096=4?{%005?7192B>i<5G5bf8 4722890e<>6:188k77f2900qo:m1;296?6=8r.9?<4>609K1`7<@<io7)?>5;30?l7713:17b<>a;29?xd3io0;6?4?:1y'667=9?;0D8k>;I7``>"69<0:?6g>0883>>i59h0;66sm4``94?4=83:p(?=>:042?M3b92B>oi5+107956=n9931<75`20c94?=zj:on6=4=:183\7f!4493;==6F:e09K1fb<,8;>6<=4i02:>5<<g;;j6=44}c6`7?6=:3:1<v*=308224=O=l;0D8mk;%321?743`;;57>5;n02e?6=3th9;84?:283>5}#::;1=;<4H4g2?M3dl2.:=84=0:k24<<722c:<l4?::m15d<722wi9o;50;694?6|,;9:6<8:;I7f5>N2km1/=<;5fe9j55?=831b==o50;9j55d=831d><o50;9~f070290>6=4?{%005?71?2B>i<5G5bf8 4722oi0e<>6:188m46f2900e<>m:188m46d2900c??n:188yg36>3:197>50z&174<6>>1C9h?4H4ag?!76=3?0e<>6:188m46f2900e<>m:188m46d2900c??n:188yg33i3:187>50z&174<6><1C9h?4H4ag?!76=38i7d??9;29?l77i3:17d??b;29?j46i3:17pl:4883>6<729q/>>?51128L0c63A?hh6*>1481?l2a2900e8j50;9l677=831vn?hj:186>5<7s-88=7?97:J6a4=O=jn0(<?::368m46>2900e<>n:188m46e2900e<>l:188k77f2900qo=?1;291?6=8r.9?<4>669K1`7<@<io7)?>5;07?l7713:17d??a;29?l77j3:17d??c;29?j46i3:17pl<0183>0<729q/>>?51758L0c63A?hh6*>14810>o6800;66g>0`83>>o68k0;66g>0b83>>i59h0;66sm26394?3=83:p(?=>:044?M3b92B>oi5+107961=n9931<75f11c94?=n99h1<75f11a94?=h:8k1<75rb350>5<2290;w)<<1;353>N2m81C9nj4$036>7g<a8:26=44i02b>5<<a8:i6=44i02`>5<<g;;j6=44}c043?6==3:1<v*=308222=O=l;0D8mk;%321?433`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f8:m7>5;|`1`d<72<0;6=u+2239531<@<o:7E;ld:&250<5<2c:<44?::k24d<722c:<o4?::k24f<722e9=l4?::\7fa6a>=83?1<7>t$312>4003A?n=6F:ce9'543=:h1b==750;9j55g=831b==l50;9j55e=831d><o50;9~f7`e290>6=4?{%005?71?2B>i<5G5bf8 4722;>0e<>6:188m46f2900e<>m:188m46d2900c??n:188yg4dm3:197>50z&174<6>>1C9h?4H4ag?!76=38j7d??9;29?l77i3:17d??b;29?l77k3:17b<>a;29?xd48;0;684?:1y'667=9?=0D8k>;I7``>"69<0986g>0883>>o68h0;66g>0c83>>o68j0;66a=1`83>>{e<021<7=50;2x 75628<97E;j1:J6ga=#98?1=>5f11;94?=n99k1<75`20c94?=zj=326=4<:183\7f!4493;=>6F:e09K1fb<,8;>6<=4i02:>5<<a8:j6=44o33b>5<<uk>2m7>53;294~"5;80::?5G5d38L0ec3-;:97?<;h33=?6=3`;;m7>5;n02e?6=3th?5o4?:283>5}#::;1=;<4H4g2?M3dl2.:=84>3:k24<<722c:<l4?::m15d<722wi84m50;194?6|,;9:6<8=;I7f5>N2km1/=<;5129j55?=831b==o50;9l64g=831vn97k:180>5<7s-88=7?92:J6a4=O=jn0(<?::018m46>2900e<>n:188k77f2900qo;>5;296?6=8r.9?<4>589K1`7<@<io7d??8;29?j46i3:17pl:a`83>f4=83:p(?=>:301?M3b92B>oi5U4d8`\7f47=9;0:87?::0;95d<6j3;h6<851682`?7b2tdho7>4ndg94>"6=?087)?:7;18 46c2=1/==k54:&24c<33-;:<7:4$032>1=#988186*=2`8eb>"5:m087)?>6;68 4702=1/=<654:&25<<33-;:m7:4$03a>1=#98i186*>1e87?!76m3>0(<?i:59'576=<2.:><4;;%316?2<,888695+13690>"6:<0?7)?=6;68 4402=1/=?654:&26<<33-;9m7:4$00a>1=#9;i186*>2e87?!75m3>0(<<i:59'566=<2.:?<4;;%306?2<,898695+12690>"6;<0?7)?<6;68 4502=1/=>654:&27<<33-;8m7:4$01a>1=#9:i186*>3e87?!74m3>0(<=i:59'516=<2.:8<4;;%376?2<,8>8695+15690>"6<<0?7)?;6;68 4202=1/=9654:&20<<33-;?m7:4$06a>1=#9=i186*>4e87?!73m3>0(<:i:59'506=<2.:9<4;;%366?2<,8?8695+14690>"6=<0?7)?:8;02=>"6=h0mj6*>5c8eb>"5::09=45+236964?<f;8>645a2349=>"5:k09=45+10190>"69=0?7);kb;7ge>"2lj0>hl5a5ef9=>h2ll027)<=e;18m06=831b9<4?::k63?6=3`?36=44igc94?=n:;=1<75f23:94?=nnk0;66g=2g83>>o5;90;66a:2;29?j342900e><50;&15c<492d9=h4?;:k04?6=,;;m6>?4n33f>4=<a;l1<7*=1g805>h59l0976g=e;29 77a2:;0b??j:298m7b=83.9=k4<1:l15`<332c8n7>5$33e>67<f;;n6854i2c94?"59o08=6`=1d85?>o413:1(??i:238j77b2>10e>650;&15c<492d9=h47;:k03?6=,;;m6>?4n33f><=<a:<1<7*=1g805>h59l0j76g<5;29 77a2:;0b??j:c98m62=83.9=k4<1:l15`<d32c8?7>5$33e>67<f;;n6i54i3a94?"59o08=6`=1d8f?>o3:3:1(??i:538j77b2910e9>50;&15c<392d9=h4>;:k0b?6=,;;m69?4n33f>7=<a:o1<7*=1g875>h59l0876g<d;29 77a2=;0b??j:598m1d=83.9=k4;1:l15`<232c?m7>5$33e>17<f;;n6;54i5;94?"59o0?=6`=1d84?>o303:1(??i:538j77b2110e9950;&15c<392d9=h46;:k72?6=,;;m69?4n33f>d=<a=?1<7*=1g875>h59l0i76g;4;29 77a2=;0b??j:b98m15=83.9=k4;1:l15`<c32c8o7>5$33e>17<f;;n6h54i6:94?"59o0<;6`=1d83?>o0>3:1(??i:658j77b2810e::50;&15c<0?2d9=h4=;:k47?6=,;;m6:94n33f>6=<a>81<7*=1g843>h59l0?76g81;29 77a2>=0b??j:498m26=83.9=k487:l15`<132c=j7>5$33e>21<f;;n6:54i7g94?"59o0<;6`=1d8;?>o1l3:1(??i:658j77b2010e;m50;&15c<0?2d9=h4n;:k5f?6=,;;m6:94n33f>g=<a?31<7*=1g843>h59l0h76g98;29 77a2>=0b??j:e98m31=83.9=k487:l15`<b32c=:7>5$33e>21<f;;n6k54i7794?"59o0<;6`=1d824>=n>=0;6)<>f;54?k46m3;:76g93;29 77a2>=0b??j:008?l05290/><h5769m64c=9:10e;?50;&15c<0?2d9=h4>4:9j25<72-8:j798;o02a?7232c<j7>5$33e>21<f;;n6<84;h5f>5<#:8l1;:5a20g952=<a>n1<7*=1g843>h59l0:465f7b83>!46n3=<7c<>e;3:?>o0j3:1(??i:658j77b28k07d9n:18'64`=?>1e><k51c98m2?=83.9=k487:l15`<6k21b;84?:%02b?103g8:i7?k;:k5e?6=,;;m6:94n33f>4c<3`?m6=4+20d932=i:8o1=k54i8;94?"59o0246`=1d83?>o>?3:1(??i:8:8j77b2810e4;50;&15c<>02d9=h4=;:k:0?6=,;;m6464n33f>6=<a091<7*=1g8:<>h59l0?76g62;29 77a2020b??j:498m<7=83.9=k468:l15`<132c2<7>5$33e><><f;;n6:54i9d94?"59o0246`=1d8;?>o?m3:1(??i:8:8j77b2010e5j50;&15c<>02d9=h4n;:k;g?6=,;;m6464n33f>g=<a1k1<7*=1g8:<>h59l0h76g79;29 77a2020b??j:e98m=>=83.9=k468:l15`<b32c3;7>5$33e><><f;;n6k54i9494?"59o0246`=1d824>=n0<0;6)<>f;;;?k46m3;:76g74;29 77a2020b??j:008?l>4290/><h5999m64c=9:10e5<50;&15c<>02d9=h4>4:9j<4<72-8:j777;o02a?7232cj<7>5$33e><><f;;n6<84;h;e>5<#:8l1555a20g952=<a0o1<7*=1g8:<>h59l0:465f9e83>!46n3337c<>e;3:?>o>k3:1(??i:8:8j77b28k07d7m:18'64`=111e><k51c98m<g=83.9=k468:l15`<6k21b5;4?:%02b???3g8:i7?k;:k;f?6=,;;m6464n33f>4c<3`2;6=4+20d9===i:8o1=k54i`794?"59o0j86`=1d83?>of;3:1(??i:`68j77b2810el<50;&15c<f<2d9=h4=;:kb5?6=,;;m6l:4n33f>6=<ahk1<7*=1g8b=>h59l0;76gn8;29 77a2h30b??j:098md1=83.9=k4n9:l15`<532cj:7>5$33e>d?<f;;n6>54i4f7>5<#:8l19i=4n33f>5=<a<n96=4+20d91a5<f;;n6<54i4f2>5<#:8l19i=4n33f>7=<a<n;6=4+20d91a5<f;;n6>54i4f:>5<#:8l19i64n33f>5=<a<n<6=4+20d91a><f;;n6<54i4f5>5<#:8l19i64n33f>7=<a<n>6=4+20d91a><f;;n6>54ob694?"59o0h?6`=1d83?>id:3:1(??i:b18j77b2810cn>50;&15c<d;2d9=h4=;:mab?6=,;;m6n=4n33f>6=<gko1<7*=1g8`7>h59l0?76amd;29 77a2j90b??j:498kge=83.9=k4l3:l15`<132ein7>5$33e>f5<f;;n6:54occ94?"59o0h?6`=1d8;?>ie13:1(??i:b18j77b2010co650;&15c<d;2d9=h4n;:ma3?6=,;;m6n=4n33f>g=<gk?1<7*=1g8`7>h59l0h76am4;29 77a2j90b??j:e98kg5=83.9=k4l3:l15`<b32ei>7>5$33e>f5<f;;n6k54oc394?"59o0h?6`=1d824>=hj90;6)<>f;a0?k46m3;:76anf;29 77a2j90b??j:008?jgb290/><h5c29m64c=9:10clj50;&15c<d;2d9=h4>4:9lef<72-8:j7m<;o02a?7232ehn7>5$33e>f5<f;;n6<84;nab>5<#:8l1o>5a20g952=<gj31<7*=1g8`7>h59l0:465`c983>!46n3i87c<>e;3:?>id?3:1(??i:b18j77b28k07bm9:18'64`=k:1e><k51c98kf3=83.9=k4l3:l15`<6k21do<4?:%02b?e43g8:i7?k;:ma2?6=,;;m6n=4n33f>4c<3fki6=4+20d9g6=i:8o1=k54od494?"59o0n96`=1d83?>ib<3:1(??i:d78j77b2810ch<50;&15c<b=2d9=h4=;:mf5?6=,;;m6h;4n33f>6=<gl:1<7*=1g8f1>h59l0?76akf;29 77a2l?0b??j:498kac=83.9=k4j5:l15`<132eoh7>5$33e>`3<f;;n6:54oea94?"59o0n96`=1d8;?>icj3:1(??i:d78j77b2010cio50;&15c<b=2d9=h4n;:mg=?6=,;;m6h;4n33f>g=<gm=1<7*=1g8f1>h59l0h76ak6;29 77a2l?0b??j:e98ka3=83.9=k4j5:l15`<b32eo87>5$33e>`3<f;;n6k54oe194?"59o0n96`=1d824>=hl;0;6)<>f;g6?k46m3;:76ak1;29 77a2l?0b??j:008?jb7290/><h5e49m64c=9:10cnh50;&15c<b=2d9=h4>4:9lg`<72-8:j7k:;o02a?7232enh7>5$33e>`3<f;;n6<84;ng`>5<#:8l1i85a20g952=<glh1<7*=1g8f1>h59l0:465`e`83>!46n3o>7c<>e;3:?>ib13:1(??i:d78j77b28k07bk7:18'64`=m<1e><k51c98k`1=83.9=k4j5:l15`<6k21di>4?:%02b?c23g8:i7?k;:mg<?6=,;;m6h;4n33f>4c<3fio6=4+20d9a0=i:8o1=k54og194?"59o0m>6`=1d83?>ia93:1(??i:g08j77b2810ck>50;&15c<a:2d9=h4=;:mfb?6=,;;m6k<4n33f>6=<go21<7*=1g8e3>h59l0;76ai6;29 77a2o=0b??j:098kc3=83.9=k4i7:l15`<532em87>5$33e>c1<f;;n6>54}c7b=?6=k;0;6=u+2239674<@<o:7E;ld:X7a?e|980:>7?;:0795<<6i3;i6<m517823?7c28o1qcml:19ma`<73-;>:7=4$074>6=#99n186*>0d87?!77n3>0(<??:59'547=<2.:=?4;;%01e?`a3-89h7=4$035>1=#98=186*>1987?!7613>0(<?n:59'54d=<2.:=n4;;%32`?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$07;>77>3-;>m7hi;%36f?`a3-89?7<>9:&161<5901e>?;59:l163<>3-89n7<>9:&256<33-;:87:4$4fa>0bf3-?oo7;ka:l6`a<>3g?oi774$30f>6=n=90;66g:1;29?l302900e8650;9jbd<722c9>:4?::k16=<722cmn7>5;h01b?6=3`88<7>5;n71>5<<g<91<75f3383>!46n39:7c<>e;28?l57290/><h5309m64c=921b>k4?:%02b?563g8:i7<4;h0f>5<#:8l1?<5a20g97>=n:m0;6)<>f;12?k46m3>07d=m:18'64`=;81e><k55:9j7d<72-8:j7=>;o02a?0<3`926=4+20d974=i:8o1;65f3983>!46n39:7c<>e;:8?l50290/><h5309m64c=121b?;4?:%02b?563g8:i7o4;h16>5<#:8l1?<5a20g9f>=n;=0;6)<>f;12?k46m3i07d=<:18'64`=;81e><k5d:9j6f<72-8:j7=>;o02a?c<3`>96=4+20d904=i:8o1<65f4183>!46n3>:7c<>e;38?l5a290/><h5409m64c=:21b?h4?:%02b?263g8:i7=4;h1g>5<#:8l18<5a20g90>=n<k0;6)<>f;62?k46m3?07d:n:18'64`=<81e><k56:9j0<<72-8:j7:>;o02a?1<3`>36=4+20d904=i:8o1465f4683>!46n3>:7c<>e;;8?l21290/><h5409m64c=i21b884?:%02b?263g8:i7l4;h67>5<#:8l18<5a20g9g>=n<:0;6)<>f;62?k46m3n07d=l:18'64`=<81e><k5e:9j3=<72-8:j798;o02a?6<3`==6=4+20d932=i:8o1=65f7583>!46n3=<7c<>e;08?l14290/><h5769m64c=;21b;?4?:%02b?103g8:i7:4;h52>5<#:8l1;:5a20g91>=n?90;6)<>f;54?k46m3<07d8i:18'64`=?>1e><k57:9j2`<72-8:j798;o02a?><3`<o6=4+20d932=i:8o1565f6b83>!46n3=<7c<>e;c8?l0e290/><h5769m64c=j21b:44?:%02b?103g8:i7m4;h4;>5<#:8l1;:5a20g9`>=n>>0;6)<>f;54?k46m3o07d89:18'64`=?>1e><k5f:9j20<72-8:j798;o02a?7732c=87>5$33e>21<f;;n6<?4;h40>5<#:8l1;:5a20g957=<a?81<7*=1g843>h59l0:?65f6083>!46n3=<7c<>e;37?>o183:1(??i:658j77b28?07d9i:18'64`=?>1e><k51798m2c=83.9=k487:l15`<6?21b;i4?:%02b?103g8:i7?7;:k4g?6=,;;m6:94n33f>4?<3`=i6=4+20d932=i:8o1=l54i6c94?"59o0<;6`=1d82f>=n?00;6)<>f;54?k46m3;h76g85;29 77a2>=0b??j:0f8?l0f290/><h5769m64c=9l10e8h50;&15c<0?2d9=h4>f:9j=<<72-8:j777;o02a?6<3`3<6=4+20d9===i:8o1=65f9483>!46n3337c<>e;08?l?3290/><h5999m64c=;21b5>4?:%02b???3g8:i7:4;h;1>5<#:8l1555a20g91>=n180;6)<>f;;;?k46m3<07d7?:18'64`=111e><k57:9j<c<72-8:j777;o02a?><3`2n6=4+20d9===i:8o1565f8e83>!46n3337c<>e;c8?l>d290/><h5999m64c=j21b4l4?:%02b???3g8:i7m4;h::>5<#:8l1555a20g9`>=n010;6)<>f;;;?k46m3o07d68:18'64`=111e><k5f:9j<3<72-8:j777;o02a?7732c397>5$33e><><f;;n6<?4;h:7>5<#:8l1555a20g957=<a191<7*=1g8:<>h59l0:?65f8383>!46n3337c<>e;37?>o?93:1(??i:8:8j77b28?07do?:18'64`=111e><k51798m<`=83.9=k468:l15`<6?21b5h4?:%02b???3g8:i7?7;:k:`?6=,;;m6464n33f>4?<3`3h6=4+20d9===i:8o1=l54i8`94?"59o0246`=1d82f>=n1h0;6)<>f;;;?k46m3;h76g66;29 77a2020b??j:0f8?l>e290/><h5999m64c=9l10e5>50;&15c<>02d9=h4>f:9je0<72-8:j7o;;o02a?6<3`k86=4+20d9e1=i:8o1=65fa383>!46n3k?7c<>e;08?lg6290/><h5a59m64c=;21bml4?:%02b?g>3g8:i7>4;hc;>5<#:8l1m45a20g95>=ni>0;6)<>f;c:?k46m3807do9:18'64`=i01e><k53:9j1a2=83.9=k4:d29m64c=821b9i<50;&15c<2l:1e><k51:9j1a7=83.9=k4:d29m64c=:21b9i>50;&15c<2l:1e><k53:9j1a?=83.9=k4:d99m64c=821b9i950;&15c<2l11e><k51:9j1a0=83.9=k4:d99m64c=:21b9i;50;&15c<2l11e><k53:9lg1<72-8:j7m<;o02a?6<3fi96=4+20d9g6=i:8o1=65`c183>!46n3i87c<>e;08?jda290/><h5c29m64c=;21dnh4?:%02b?e43g8:i7:4;n`g>5<#:8l1o>5a20g91>=hjj0;6)<>f;a0?k46m3<07blm:18'64`=k:1e><k57:9lfd<72-8:j7m<;o02a?><3fh26=4+20d9g6=i:8o1565`b983>!46n3i87c<>e;c8?jd0290/><h5c29m64c=j21dn84?:%02b?e43g8:i7m4;n`7>5<#:8l1o>5a20g9`>=hj:0;6)<>f;a0?k46m3o07bl=:18'64`=k:1e><k5f:9lf4<72-8:j7m<;o02a?7732ei<7>5$33e>f5<f;;n6<?4;nce>5<#:8l1o>5a20g957=<gho1<7*=1g8`7>h59l0:?65`ae83>!46n3i87c<>e;37?>ifk3:1(??i:b18j77b28?07bmm:18'64`=k:1e><k51798kfg=83.9=k4l3:l15`<6?21do44?:%02b?e43g8:i7?7;:m`<?6=,;;m6n=4n33f>4?<3fi<6=4+20d9g6=i:8o1=l54ob494?"59o0h?6`=1d82f>=hk<0;6)<>f;a0?k46m3;h76al1;29 77a2j90b??j:0f8?jd1290/><h5c29m64c=9l10cll50;&15c<d;2d9=h4>f:9la3<72-8:j7k:;o02a?6<3fo?6=4+20d9a0=i:8o1=65`e383>!46n3o>7c<>e;08?jc6290/><h5e49m64c=;21di=4?:%02b?c23g8:i7:4;nfe>5<#:8l1i85a20g91>=hll0;6)<>f;g6?k46m3<07bjk:18'64`=m<1e><k57:9l`f<72-8:j7k:;o02a?><3fni6=4+20d9a0=i:8o1565`d`83>!46n3o>7c<>e;c8?jb>290/><h5e49m64c=j21dh:4?:%02b?c23g8:i7m4;nf5>5<#:8l1i85a20g9`>=hl<0;6)<>f;g6?k46m3o07bj;:18'64`=m<1e><k5f:9l`6<72-8:j7k:;o02a?7732eo>7>5$33e>`3<f;;n6<?4;nf2>5<#:8l1i85a20g957=<gm:1<7*=1g8f1>h59l0:?65`cg83>!46n3o>7c<>e;37?>idm3:1(??i:d78j77b28?07bkk:18'64`=m<1e><k51798k`e=83.9=k4j5:l15`<6?21dio4?:%02b?c23g8:i7?7;:mfe?6=,;;m6h;4n33f>4?<3fo26=4+20d9a0=i:8o1=l54od:94?"59o0n96`=1d82f>=hm>0;6)<>f;g6?k46m3;h76aj3;29 77a2l?0b??j:0f8?jb?290/><h5e49m64c=9l10cnj50;&15c<b=2d9=h4>f:9lb6<72-8:j7h=;o02a?6<3fl:6=4+20d9b7=i:8o1=65`f183>!46n3l97c<>e;08?jca290/><h5f39m64c=;21dj54?:%02b?`03g8:i7>4;nd5>5<#:8l1j:5a20g95>=hn<0;6)<>f;d4?k46m3807bh;:18'64`=n>1e><k53:9~f0g?290h>7>50z&174<5:;1C9h?4H4ag?_2b2jq:=7?=:06950<613;j6<l51b822?7028n1=h4rnba94>hbm3:0(<;9:29'501=;2.:<i4;;%33a?2<,8:m695+10290>"6980?7)?>2;68 74f2ol0(?<k:29'540=<2.:=:4;;%32<?2<,8;2695+10c90>"69k0?7)?>c;68 47c2=1/=<k54:&25c<33-;9<7:4$002>1=#9;8186*>2287?!75<3>0(<<::59'570=<2.:>:4;;%31<?2<,882695+13c90>"6:k0?7)?=c;68 44c2=1/=?k54:&26c<33-;8<7:4$012>1=#9:8186*>3287?!74<3>0(<=::59'560=<2.:?:4;;%30<?2<,892695+12c90>"6;k0?7)?<c;68 45c2=1/=>k54:&27c<33-;?<7:4$062>1=#9=8186*>4287?!73<3>0(<:::59'510=<2.:8:4;;%37<?2<,8>2695+15c90>"6<k0?7)?;c;68 42c2=1/=9k54:&20c<33-;><7:4$072>1=#9<8186*>5287?!72<3>0(<;::59'50>=:830(<;n:gd8 43e2ol0(?<<:33:?!45<38:56`=248:?k45>330(?<m:33:?!76;3>0(<?;:59'1ad==mk0(8jl:4fb?k3cl330b8jj:89'67c=;2c><7>5;h72>5<<a<=1<75f5983>>oai3:17d<=7;29?l4503:17dhm:188m74a2900e?=?:188k04=831d9>4?::k06?6=,;;m6>?4n33f>5=<a::1<7*=1g805>h59l0:76g=f;29 77a2:;0b??j:398m7c=83.9=k4<1:l15`<432c9h7>5$33e>67<f;;n6954i2`94?"59o08=6`=1d86?>o4i3:1(??i:238j77b2?10e>750;&15c<492d9=h48;:k0<?6=,;;m6>?4n33f>==<a:=1<7*=1g805>h59l0276g<6;29 77a2:;0b??j:`98m63=83.9=k4<1:l15`<e32c887>5$33e>67<f;;n6n54i2194?"59o08=6`=1d8g?>o5k3:1(??i:238j77b2l10e9<50;&15c<392d9=h4?;:k74?6=,;;m69?4n33f>4=<a:l1<7*=1g875>h59l0976g<e;29 77a2=;0b??j:298m6b=83.9=k4;1:l15`<332c?n7>5$33e>17<f;;n6854i5c94?"59o0?=6`=1d85?>o313:1(??i:538j77b2>10e9650;&15c<392d9=h47;:k73?6=,;;m69?4n33f><=<a=<1<7*=1g875>h59l0j76g;5;29 77a2=;0b??j:c98m12=83.9=k4;1:l15`<d32c??7>5$33e>17<f;;n6i54i2a94?"59o0?=6`=1d8f?>o003:1(??i:658j77b2910e:850;&15c<0?2d9=h4>;:k40?6=,;;m6:94n33f>7=<a>91<7*=1g843>h59l0876g82;29 77a2>=0b??j:598m27=83.9=k487:l15`<232c<<7>5$33e>21<f;;n6;54i7d94?"59o0<;6`=1d84?>o1m3:1(??i:658j77b2110e;j50;&15c<0?2d9=h46;:k5g?6=,;;m6:94n33f>d=<a?h1<7*=1g843>h59l0i76g99;29 77a2>=0b??j:b98m3>=83.9=k487:l15`<c32c=;7>5$33e>21<f;;n6h54i7494?"59o0<;6`=1d8e?>o1=3:1(??i:658j77b28:07d8;:18'64`=?>1e><k51098m35=83.9=k487:l15`<6:21b:?4?:%02b?103g8:i7?<;:k55?6=,;;m6:94n33f>42<3`<;6=4+20d932=i:8o1=854i6d94?"59o0<;6`=1d822>=n?l0;6)<>f;54?k46m3;<76g8d;29 77a2>=0b??j:0:8?l1d290/><h5769m64c=9010e:l50;&15c<0?2d9=h4>a:9j3d<72-8:j798;o02a?7e32c<57>5$33e>21<f;;n6<m4;h56>5<#:8l1;:5a20g95a=<a?k1<7*=1g843>h59l0:i65f5g83>!46n3=<7c<>e;3e?>o>13:1(??i:8:8j77b2910e4950;&15c<>02d9=h4>;:k:1?6=,;;m6464n33f>7=<a0>1<7*=1g8:<>h59l0876g63;29 77a2020b??j:598m<4=83.9=k468:l15`<232c2=7>5$33e><><f;;n6;54i8294?"59o0246`=1d84?>o?n3:1(??i:8:8j77b2110e5k50;&15c<>02d9=h46;:k;`?6=,;;m6464n33f>d=<a1i1<7*=1g8:<>h59l0i76g7a;29 77a2020b??j:b98m=?=83.9=k468:l15`<c32c347>5$33e><><f;;n6h54i9594?"59o0246`=1d8e?>o?>3:1(??i:8:8j77b28:07d6::18'64`=111e><k51098m=2=83.9=k468:l15`<6:21b4>4?:%02b???3g8:i7?<;:k;6?6=,;;m6464n33f>42<3`2:6=4+20d9===i:8o1=854i`294?"59o0246`=1d822>=n1o0;6)<>f;;;?k46m3;<76g6e;29 77a2020b??j:0:8?l?c290/><h5999m64c=9010e4m50;&15c<>02d9=h4>a:9j=g<72-8:j777;o02a?7e32c2m7>5$33e><><f;;n6<m4;h;5>5<#:8l1555a20g95a=<a1h1<7*=1g8:<>h59l0:i65f8183>!46n3337c<>e;3e?>of=3:1(??i:`68j77b2910el=50;&15c<f<2d9=h4>;:kb6?6=,;;m6l:4n33f>7=<ah;1<7*=1g8b0>h59l0876gna;29 77a2h30b??j:198md>=83.9=k4n9:l15`<632cj;7>5$33e>d?<f;;n6?54i`494?"59o0j56`=1d80?>o2l=0;6)<>f;7g7>h59l0;76g:d383>!46n3?o?6`=1d82?>o2l80;6)<>f;7g7>h59l0976g:d183>!46n3?o?6`=1d80?>o2l00;6)<>f;7g<>h59l0;76g:d683>!46n3?o46`=1d82?>o2l?0;6)<>f;7g<>h59l0976g:d483>!46n3?o46`=1d80?>id<3:1(??i:b18j77b2910cn<50;&15c<d;2d9=h4>;:m`4?6=,;;m6n=4n33f>7=<gkl1<7*=1g8`7>h59l0876ame;29 77a2j90b??j:598kgb=83.9=k4l3:l15`<232eio7>5$33e>f5<f;;n6;54oc`94?"59o0h?6`=1d84?>iei3:1(??i:b18j77b2110co750;&15c<d;2d9=h46;:ma<?6=,;;m6n=4n33f>d=<gk=1<7*=1g8`7>h59l0i76am5;29 77a2j90b??j:b98kg2=83.9=k4l3:l15`<c32ei?7>5$33e>f5<f;;n6h54oc094?"59o0h?6`=1d8e?>ie93:1(??i:b18j77b28:07bl?:18'64`=k:1e><k51098kd`=83.9=k4l3:l15`<6:21dmh4?:%02b?e43g8:i7?<;:mb`?6=,;;m6n=4n33f>42<3fkh6=4+20d9g6=i:8o1=854ob`94?"59o0h?6`=1d822>=hkh0;6)<>f;a0?k46m3;<76al9;29 77a2j90b??j:0:8?je?290/><h5c29m64c=9010cn950;&15c<d;2d9=h4>a:9lg3<72-8:j7m<;o02a?7e32eh97>5$33e>f5<f;;n6<m4;na2>5<#:8l1o>5a20g95a=<gk<1<7*=1g8`7>h59l0:i65`ac83>!46n3i87c<>e;3e?>ib>3:1(??i:d78j77b2910ch:50;&15c<b=2d9=h4>;:mf6?6=,;;m6h;4n33f>7=<gl;1<7*=1g8f1>h59l0876aj0;29 77a2l?0b??j:598ka`=83.9=k4j5:l15`<232eoi7>5$33e>`3<f;;n6;54oef94?"59o0n96`=1d84?>ick3:1(??i:d78j77b2110cil50;&15c<b=2d9=h46;:mge?6=,;;m6h;4n33f>d=<gm31<7*=1g8f1>h59l0i76ak7;29 77a2l?0b??j:b98ka0=83.9=k4j5:l15`<c32eo97>5$33e>`3<f;;n6h54oe694?"59o0n96`=1d8e?>ic;3:1(??i:d78j77b28:07bj=:18'64`=m<1e><k51098ka7=83.9=k4j5:l15`<6:21dh=4?:%02b?c23g8:i7?<;:m`b?6=,;;m6h;4n33f>42<3fin6=4+20d9a0=i:8o1=854odf94?"59o0n96`=1d822>=hmj0;6)<>f;g6?k46m3;<76ajb;29 77a2l?0b??j:0:8?jcf290/><h5e49m64c=9010ch750;&15c<b=2d9=h4>a:9la=<72-8:j7k:;o02a?7e32en;7>5$33e>`3<f;;n6<m4;ng0>5<#:8l1i85a20g95a=<gm21<7*=1g8f1>h59l0:i65`ce83>!46n3o>7c<>e;3e?>ia;3:1(??i:g08j77b2910ck?50;&15c<a:2d9=h4>;:me4?6=,;;m6k<4n33f>7=<gll1<7*=1g8e6>h59l0876ai8;29 77a2o=0b??j:198kc0=83.9=k4i7:l15`<632em97>5$33e>c1<f;;n6?54og694?"59o0m;6`=1d80?>{e=h=1<7m=:183\7f!449389>6F:e09K1fb<R=o1ov?>:00951<6=3;26<o51c82g?7128=1=i4>e;\7fmgf<73gon6=5+14497>"6=>087)??d;68 46b2=1/==h54:&255<33-;:=7:4$031>1=#:;k1jk5+23f97>"69?0?7)?>7;68 47?2=1/=<754:&25d<33-;:n7:4$03`>1=#98n186*>1d87?!76n3>0(<<?:59'577=<2.:>?4;;%317?2<,88?695+13790>"6:?0?7)?=7;68 44?2=1/=?754:&26d<33-;9n7:4$00`>1=#9;n186*>2d87?!75n3>0(<=?:59'567=<2.:??4;;%307?2<,89?695+12790>"6;?0?7)?<7;68 45?2=1/=>754:&27d<33-;8n7:4$01`>1=#9:n186*>3d87?!74n3>0(<:?:59'517=<2.:8?4;;%377?2<,8>?695+15790>"6<?0?7)?;7;68 42?2=1/=9754:&20d<33-;?n7:4$06`>1=#9=n186*>4d87?!73n3>0(<;?:59'507=<2.:9?4;;%367?2<,8??695+14790>"6=109=45+14c9bc=#9<h1jk5+231964?<,;8?6??6;o011??<f;8=645+23`964?<,8;8695+10690>"2lk0>hl5+5ea91ag<f<no645a5eg9=>"5:l087d;?:188m07=831b9:4?::k6<?6=3`lj6=44i304>5<<a;836=44ig`94?=n:;l1<75f22294?=h=;0;66a:3;29?l55290/><h5309m64c=821b?=4?:%02b?563g8:i7?4;h0e>5<#:8l1?<5a20g96>=n:l0;6)<>f;12?k46m3907d<k:18'64`=;81e><k54:9j7g<72-8:j7=>;o02a?3<3`9j6=4+20d974=i:8o1:65f3883>!46n39:7c<>e;58?l5?290/><h5309m64c=021b?:4?:%02b?563g8:i774;h15>5<#:8l1?<5a20g9e>=n;<0;6)<>f;12?k46m3h07d=;:18'64`=;81e><k5c:9j76<72-8:j7=>;o02a?b<3`8h6=4+20d974=i:8o1i65f4383>!46n3>:7c<>e;28?l27290/><h5409m64c=921b?k4?:%02b?263g8:i7<4;h1f>5<#:8l18<5a20g97>=n;m0;6)<>f;62?k46m3>07d:m:18'64`=<81e><k55:9j0d<72-8:j7:>;o02a?0<3`>26=4+20d904=i:8o1;65f4983>!46n3>:7c<>e;:8?l20290/><h5409m64c=121b8;4?:%02b?263g8:i7o4;h66>5<#:8l18<5a20g9f>=n<=0;6)<>f;62?k46m3i07d:<:18'64`=<81e><k5d:9j7f<72-8:j7:>;o02a?c<3`=36=4+20d932=i:8o1<65f7783>!46n3=<7c<>e;38?l13290/><h5769m64c=:21b;>4?:%02b?103g8:i7=4;h51>5<#:8l1;:5a20g90>=n?80;6)<>f;54?k46m3?07d9?:18'64`=?>1e><k56:9j2c<72-8:j798;o02a?1<3`<n6=4+20d932=i:8o1465f6e83>!46n3=<7c<>e;;8?l0d290/><h5769m64c=i21b:o4?:%02b?103g8:i7l4;h4:>5<#:8l1;:5a20g9g>=n>10;6)<>f;54?k46m3n07d88:18'64`=?>1e><k5e:9j23<72-8:j798;o02a?`<3`<>6=4+20d932=i:8o1==54i7694?"59o0<;6`=1d825>=n>:0;6)<>f;54?k46m3;976g92;29 77a2>=0b??j:018?l06290/><h5769m64c=9=10e;>50;&15c<0?2d9=h4>5:9j3c<72-8:j798;o02a?7132c<i7>5$33e>21<f;;n6<94;h5g>5<#:8l1;:5a20g95==<a>i1<7*=1g843>h59l0:565f7c83>!46n3=<7c<>e;3b?>o0i3:1(??i:658j77b28h07d96:18'64`=?>1e><k51b98m23=83.9=k487:l15`<6l21b:l4?:%02b?103g8:i7?j;:k6b?6=,;;m6:94n33f>4`<3`326=4+20d9===i:8o1<65f9683>!46n3337c<>e;38?l?2290/><h5999m64c=:21b594?:%02b???3g8:i7=4;h;0>5<#:8l1555a20g90>=n1;0;6)<>f;;;?k46m3?07d7>:18'64`=111e><k56:9j=5<72-8:j777;o02a?1<3`2m6=4+20d9===i:8o1465f8d83>!46n3337c<>e;;8?l>c290/><h5999m64c=i21b4n4?:%02b???3g8:i7l4;h:b>5<#:8l1555a20g9g>=n000;6)<>f;;;?k46m3n07d67:18'64`=111e><k5e:9j<2<72-8:j777;o02a?`<3`2=6=4+20d9===i:8o1==54i9794?"59o0246`=1d825>=n0=0;6)<>f;;;?k46m3;976g73;29 77a2020b??j:018?l>5290/><h5999m64c=9=10e5?50;&15c<>02d9=h4>5:9je5<72-8:j777;o02a?7132c2j7>5$33e><><f;;n6<94;h;f>5<#:8l1555a20g95==<a0n1<7*=1g8:<>h59l0:565f9b83>!46n3337c<>e;3b?>o>j3:1(??i:8:8j77b28h07d7n:18'64`=111e><k51b98m<0=83.9=k468:l15`<6l21b4o4?:%02b???3g8:i7?j;:k;4?6=,;;m6464n33f>4`<3`k>6=4+20d9e1=i:8o1<65fa283>!46n3k?7c<>e;38?lg5290/><h5a59m64c=:21bm<4?:%02b?g33g8:i7=4;hcb>5<#:8l1m45a20g94>=ni10;6)<>f;c:?k46m3;07do8:18'64`=i01e><k52:9je3<72-8:j7o6;o02a?5<3`?o87>5$33e>0b43g8:i7>4;h7g6?6=,;;m68j<;o02a?7<3`?o=7>5$33e>0b43g8:i7<4;h7g4?6=,;;m68j<;o02a?5<3`?o57>5$33e>0b?3g8:i7>4;h7g3?6=,;;m68j7;o02a?7<3`?o:7>5$33e>0b?3g8:i7<4;h7g1?6=,;;m68j7;o02a?5<3fi?6=4+20d9g6=i:8o1<65`c383>!46n3i87c<>e;38?je7290/><h5c29m64c=:21dnk4?:%02b?e43g8:i7=4;n`f>5<#:8l1o>5a20g90>=hjm0;6)<>f;a0?k46m3?07bll:18'64`=k:1e><k56:9lfg<72-8:j7m<;o02a?1<3fhj6=4+20d9g6=i:8o1465`b883>!46n3i87c<>e;;8?jd?290/><h5c29m64c=i21dn:4?:%02b?e43g8:i7l4;n`6>5<#:8l1o>5a20g9g>=hj=0;6)<>f;a0?k46m3n07bl<:18'64`=k:1e><k5e:9lf7<72-8:j7m<;o02a?`<3fh:6=4+20d9g6=i:8o1==54oc294?"59o0h?6`=1d825>=hio0;6)<>f;a0?k46m3;976ane;29 77a2j90b??j:018?jgc290/><h5c29m64c=9=10clm50;&15c<d;2d9=h4>5:9lgg<72-8:j7m<;o02a?7132ehm7>5$33e>f5<f;;n6<94;na:>5<#:8l1o>5a20g95==<gj21<7*=1g8`7>h59l0:565`c683>!46n3i87c<>e;3b?>id>3:1(??i:b18j77b28h07bm::18'64`=k:1e><k51b98kf7=83.9=k4l3:l15`<6l21dn;4?:%02b?e43g8:i7?j;:mbf?6=,;;m6n=4n33f>4`<3fo=6=4+20d9a0=i:8o1<65`e583>!46n3o>7c<>e;38?jc5290/><h5e49m64c=:21di<4?:%02b?c23g8:i7=4;ng3>5<#:8l1i85a20g90>=hlo0;6)<>f;g6?k46m3?07bjj:18'64`=m<1e><k56:9l`a<72-8:j7k:;o02a?1<3fnh6=4+20d9a0=i:8o1465`dc83>!46n3o>7c<>e;;8?jbf290/><h5e49m64c=i21dh44?:%02b?c23g8:i7l4;nf4>5<#:8l1i85a20g9g>=hl?0;6)<>f;g6?k46m3n07bj::18'64`=m<1e><k5e:9l`1<72-8:j7k:;o02a?`<3fn86=4+20d9a0=i:8o1==54oe094?"59o0n96`=1d825>=hl80;6)<>f;g6?k46m3;976ak0;29 77a2l?0b??j:018?jea290/><h5e49m64c=9=10cnk50;&15c<b=2d9=h4>5:9laa<72-8:j7k:;o02a?7132eno7>5$33e>`3<f;;n6<94;nga>5<#:8l1i85a20g95==<glk1<7*=1g8f1>h59l0:565`e883>!46n3o>7c<>e;3b?>ib03:1(??i:d78j77b28h07bk8:18'64`=m<1e><k51b98k`5=83.9=k4j5:l15`<6l21dh54?:%02b?c23g8:i7?j;:m``?6=,;;m6h;4n33f>4`<3fl86=4+20d9b7=i:8o1<65`f083>!46n3l97c<>e;38?j`7290/><h5f39m64c=:21dik4?:%02b?`53g8:i7=4;nd;>5<#:8l1j:5a20g94>=hn?0;6)<>f;d4?k46m3;07bh::18'64`=n>1e><k52:9lb1<72-8:j7h8;o02a?5<3th>m;4?:b094?6|,;9:6?<=;I7f5>N2km1Q8h4l{03957<6<3;>6<751`82f?7d28<1=:4>d;3f>xhdk3:0bhk50:&213<43-;>;7=4$02g>1=#99o186*>0g87?!7683>0(<?>:59'544=<2.9>l4if:&16a<43-;::7:4$034>1=#982186*>1887?!76i3>0(<?m:59'54e=<2.:=i4;;%32a?2<,8;m695+13290>"6:80?7)?=2;68 4442=1/=?:54:&260<33-;9:7:4$004>1=#9;2186*>2887?!75i3>0(<<m:59'57e=<2.:>i4;;%31a?2<,88m695+12290>"6;80?7)?<2;68 4542=1/=>:54:&270<33-;8:7:4$014>1=#9:2186*>3887?!74i3>0(<=m:59'56e=<2.:?i4;;%30a?2<,89m695+15290>"6<80?7)?;2;68 4242=1/=9:54:&200<33-;?:7:4$064>1=#9=2186*>4887?!73i3>0(<:m:59'51e=<2.:8i4;;%37a?2<,8>m695+14290>"6=80?7)?:2;68 4342=1/=8:54:&210<33-;>47<>9:&21d<an2.:9o4if:&166<5901/>?:520;8j742201e>?859:&16g<5901/=<=54:&251<33-?on7;ka:&6`f<2lh1e9ij59:l6``<>3-89i7=4i4294?=n=80;66g:7;29?l3?2900eko50;9j671=831b>?650;9jbg<722c9>k4?::k175<722e>>7>5;n70>5<<a:81<7*=1g805>h59l0;76g<0;29 77a2:;0b??j:098m7`=83.9=k4<1:l15`<532c9i7>5$33e>67<f;;n6>54i3f94?"59o08=6`=1d87?>o4j3:1(??i:238j77b2<10e>o50;&15c<492d9=h49;:k0=?6=,;;m6>?4n33f>2=<a:21<7*=1g805>h59l0376g<7;29 77a2:;0b??j:898m60=83.9=k4<1:l15`<f32c897>5$33e>67<f;;n6o54i2694?"59o08=6`=1d8`?>o4;3:1(??i:238j77b2m10e?m50;&15c<492d9=h4j;:k76?6=,;;m69?4n33f>5=<a=:1<7*=1g875>h59l0:76g<f;29 77a2=;0b??j:398m6c=83.9=k4;1:l15`<432c8h7>5$33e>17<f;;n6954i5`94?"59o0?=6`=1d86?>o3i3:1(??i:538j77b2?10e9750;&15c<392d9=h48;:k7<?6=,;;m69?4n33f>==<a==1<7*=1g875>h59l0276g;6;29 77a2=;0b??j:`98m13=83.9=k4;1:l15`<e32c?87>5$33e>17<f;;n6n54i5194?"59o0?=6`=1d8g?>o4k3:1(??i:538j77b2l10e:650;&15c<0?2d9=h4?;:k42?6=,;;m6:94n33f>4=<a>>1<7*=1g843>h59l0976g83;29 77a2>=0b??j:298m24=83.9=k487:l15`<332c<=7>5$33e>21<f;;n6854i6294?"59o0<;6`=1d85?>o1n3:1(??i:658j77b2>10e;k50;&15c<0?2d9=h47;:k5`?6=,;;m6:94n33f><=<a?i1<7*=1g843>h59l0j76g9b;29 77a2>=0b??j:c98m3?=83.9=k487:l15`<d32c=47>5$33e>21<f;;n6i54i7594?"59o0<;6`=1d8f?>o1>3:1(??i:658j77b2o10e;;50;&15c<0?2d9=h4>0:9j21<72-8:j798;o02a?7632c=?7>5$33e>21<f;;n6<<4;h41>5<#:8l1;:5a20g956=<a?;1<7*=1g843>h59l0:865f6183>!46n3=<7c<>e;36?>o0n3:1(??i:658j77b28<07d9j:18'64`=?>1e><k51698m2b=83.9=k487:l15`<6021b;n4?:%02b?103g8:i7?6;:k4f?6=,;;m6:94n33f>4g<3`=j6=4+20d932=i:8o1=o54i6;94?"59o0<;6`=1d82g>=n?<0;6)<>f;54?k46m3;o76g9a;29 77a2>=0b??j:0g8?l3a290/><h5769m64c=9o10e4750;&15c<>02d9=h4?;:k:3?6=,;;m6464n33f>4=<a0?1<7*=1g8:<>h59l0976g64;29 77a2020b??j:298m<5=83.9=k468:l15`<332c2>7>5$33e><><f;;n6854i8394?"59o0246`=1d85?>o>83:1(??i:8:8j77b2>10e5h50;&15c<>02d9=h47;:k;a?6=,;;m6464n33f><=<a1n1<7*=1g8:<>h59l0j76g7c;29 77a2020b??j:c98m=g=83.9=k468:l15`<d32c357>5$33e><><f;;n6i54i9:94?"59o0246`=1d8f?>o??3:1(??i:8:8j77b2o10e5850;&15c<>02d9=h4>0:9j<0<72-8:j777;o02a?7632c387>5$33e><><f;;n6<<4;h:0>5<#:8l1555a20g956=<a181<7*=1g8:<>h59l0:865f8083>!46n3337c<>e;36?>of83:1(??i:8:8j77b28<07d7i:18'64`=111e><k51698m<c=83.9=k468:l15`<6021b5i4?:%02b???3g8:i7?6;:k:g?6=,;;m6464n33f>4g<3`3i6=4+20d9===i:8o1=o54i8c94?"59o0246`=1d82g>=n1?0;6)<>f;;;?k46m3;o76g7b;29 77a2020b??j:0g8?l>7290/><h5999m64c=9o10el;50;&15c<f<2d9=h4?;:kb7?6=,;;m6l:4n33f>4=<ah81<7*=1g8b0>h59l0976gn1;29 77a2h>0b??j:298mdg=83.9=k4n9:l15`<732cj47>5$33e>d?<f;;n6<54i`594?"59o0j56`=1d81?>of>3:1(??i:`;8j77b2:10e8j;:18'64`==m90b??j:198m0b5290/><h55e18j77b2810e8j>:18'64`==m90b??j:398m0b7290/><h55e18j77b2:10e8j6:18'64`==m20b??j:198m0b0290/><h55e:8j77b2810e8j9:18'64`==m20b??j:398m0b2290/><h55e:8j77b2:10cn:50;&15c<d;2d9=h4?;:m`6?6=,;;m6n=4n33f>4=<gj:1<7*=1g8`7>h59l0976amf;29 77a2j90b??j:298kgc=83.9=k4l3:l15`<332eih7>5$33e>f5<f;;n6854oca94?"59o0h?6`=1d85?>iej3:1(??i:b18j77b2>10coo50;&15c<d;2d9=h47;:ma=?6=,;;m6n=4n33f><=<gk21<7*=1g8`7>h59l0j76am7;29 77a2j90b??j:c98kg3=83.9=k4l3:l15`<d32ei87>5$33e>f5<f;;n6i54oc194?"59o0h?6`=1d8f?>ie:3:1(??i:b18j77b2o10co?50;&15c<d;2d9=h4>0:9lf5<72-8:j7m<;o02a?7632ejj7>5$33e>f5<f;;n6<<4;ncf>5<#:8l1o>5a20g956=<ghn1<7*=1g8`7>h59l0:865`ab83>!46n3i87c<>e;36?>idj3:1(??i:b18j77b28<07bmn:18'64`=k:1e><k51698kf?=83.9=k4l3:l15`<6021do54?:%02b?e43g8:i7?6;:m`3?6=,;;m6n=4n33f>4g<3fi=6=4+20d9g6=i:8o1=o54ob794?"59o0h?6`=1d82g>=hk80;6)<>f;a0?k46m3;o76am6;29 77a2j90b??j:0g8?jge290/><h5c29m64c=9o10ch850;&15c<b=2d9=h4?;:mf0?6=,;;m6h;4n33f>4=<gl81<7*=1g8f1>h59l0976aj1;29 77a2l?0b??j:298k`6=83.9=k4j5:l15`<332eoj7>5$33e>`3<f;;n6854oeg94?"59o0n96`=1d85?>icl3:1(??i:d78j77b2>10cim50;&15c<b=2d9=h47;:mgf?6=,;;m6h;4n33f><=<gmk1<7*=1g8f1>h59l0j76ak9;29 77a2l?0b??j:c98ka1=83.9=k4j5:l15`<d32eo:7>5$33e>`3<f;;n6i54oe794?"59o0n96`=1d8f?>ic<3:1(??i:d78j77b2o10ci=50;&15c<b=2d9=h4>0:9l`7<72-8:j7k:;o02a?7632eo=7>5$33e>`3<f;;n6<<4;nf3>5<#:8l1i85a20g956=<gjl1<7*=1g8f1>h59l0:865`cd83>!46n3o>7c<>e;36?>ibl3:1(??i:d78j77b28<07bkl:18'64`=m<1e><k51698k`d=83.9=k4j5:l15`<6021dil4?:%02b?c23g8:i7?6;:mf=?6=,;;m6h;4n33f>4g<3fo36=4+20d9a0=i:8o1=o54od594?"59o0n96`=1d82g>=hm:0;6)<>f;g6?k46m3;o76ak8;29 77a2l?0b??j:0g8?jec290/><h5e49m64c=9o10ck=50;&15c<a:2d9=h4?;:me5?6=,;;m6k<4n33f>4=<go:1<7*=1g8e6>h59l0976ajf;29 77a2o80b??j:298kc>=83.9=k4i7:l15`<732em:7>5$33e>c1<f;;n6<54og794?"59o0m;6`=1d81?>ia<3:1(??i:g58j77b2:10qo;m3;29g7<729q/>>?52308L0c63A?hh6T;e;ax54<6:3;?6<;51882e?7e28i1=;4>7;3g>4c=ugih6=5aed83?!72>390(<;8:29'55b=<2.:<h4;;%33b?2<,8;;695+10390>"69;0?7)<=a;de?!45l390(<?9:59'541=<2.:=54;;%32=?2<,8;j695+10`90>"69j0?7)?>d;68 47b2=1/=<h54:&265<33-;9=7:4$001>1=#9;9186*>2587?!75=3>0(<<9:59'571=<2.:>54;;%31=?2<,88j695+13`90>"6:j0?7)?=d;68 44b2=1/=?h54:&275<33-;8=7:4$011>1=#9:9186*>3587?!74=3>0(<=9:59'561=<2.:?54;;%30=?2<,89j695+12`90>"6;j0?7)?<d;68 45b2=1/=>h54:&205<33-;?=7:4$061>1=#9=9186*>4587?!73=3>0(<:9:59'511=<2.:854;;%37=?2<,8>j695+15`90>"6<j0?7)?;d;68 42b2=1/=9h54:&215<33-;>=7:4$071>1=#9<9186*>5587?!72=3>0(<;7:33:?!72i3lm7)?:b;de?!45;38:56*=25815<=i:;?156`=278:?!45j38:56*>1287?!76<3>0(8jm:4fb?!3ck3?om6`:de8:?k3cm330(?<j:29j15<722c>=7>5;h74>5<<a<21<75ff`83>>o5:>0;66g=2983>>oaj3:17d<=f;29?l4483:17b;=:188k05=831b??4?:%02b?563g8:i7>4;h13>5<#:8l1?<5a20g95>=n:o0;6)<>f;12?k46m3807d<j:18'64`=;81e><k53:9j6a<72-8:j7=>;o02a?2<3`9i6=4+20d974=i:8o1965f3`83>!46n39:7c<>e;48?l5>290/><h5309m64c=?21b?54?:%02b?563g8:i764;h14>5<#:8l1?<5a20g9=>=n;?0;6)<>f;12?k46m3k07d=::18'64`=;81e><k5b:9j71<72-8:j7=>;o02a?e<3`986=4+20d974=i:8o1h65f2b83>!46n39:7c<>e;g8?l25290/><h5409m64c=821b8=4?:%02b?263g8:i7?4;h1e>5<#:8l18<5a20g96>=n;l0;6)<>f;62?k46m3907d=k:18'64`=<81e><k54:9j0g<72-8:j7:>;o02a?3<3`>j6=4+20d904=i:8o1:65f4883>!46n3>:7c<>e;58?l2?290/><h5409m64c=021b8:4?:%02b?263g8:i774;h65>5<#:8l18<5a20g9e>=n<<0;6)<>f;62?k46m3h07d:;:18'64`=<81e><k5c:9j06<72-8:j7:>;o02a?b<3`9h6=4+20d904=i:8o1i65f7983>!46n3=<7c<>e;28?l11290/><h5769m64c=921b;94?:%02b?103g8:i7<4;h50>5<#:8l1;:5a20g97>=n?;0;6)<>f;54?k46m3>07d9>:18'64`=?>1e><k55:9j35<72-8:j798;o02a?0<3`<m6=4+20d932=i:8o1;65f6d83>!46n3=<7c<>e;:8?l0c290/><h5769m64c=121b:n4?:%02b?103g8:i7o4;h4a>5<#:8l1;:5a20g9f>=n>00;6)<>f;54?k46m3i07d87:18'64`=?>1e><k5d:9j22<72-8:j798;o02a?c<3`<=6=4+20d932=i:8o1j65f6483>!46n3=<7c<>e;33?>o1<3:1(??i:658j77b28;07d8<:18'64`=?>1e><k51398m34=83.9=k487:l15`<6;21b:<4?:%02b?103g8:i7?;;:k54?6=,;;m6:94n33f>43<3`=m6=4+20d932=i:8o1=;54i6g94?"59o0<;6`=1d823>=n?m0;6)<>f;54?k46m3;376g8c;29 77a2>=0b??j:0;8?l1e290/><h5769m64c=9h10e:o50;&15c<0?2d9=h4>b:9j3<<72-8:j798;o02a?7d32c<97>5$33e>21<f;;n6<j4;h4b>5<#:8l1;:5a20g95`=<a<l1<7*=1g843>h59l0:j65f9883>!46n3337c<>e;28?l?0290/><h5999m64c=921b584?:%02b???3g8:i7<4;h;7>5<#:8l1555a20g97>=n1:0;6)<>f;;;?k46m3>07d7=:18'64`=111e><k55:9j=4<72-8:j777;o02a?0<3`3;6=4+20d9===i:8o1;65f8g83>!46n3337c<>e;:8?l>b290/><h5999m64c=121b4i4?:%02b???3g8:i7o4;h:`>5<#:8l1555a20g9f>=n0h0;6)<>f;;;?k46m3i07d66:18'64`=111e><k5d:9j<=<72-8:j777;o02a?c<3`2<6=4+20d9===i:8o1j65f8783>!46n3337c<>e;33?>o?=3:1(??i:8:8j77b28;07d6;:18'64`=111e><k51398m=5=83.9=k468:l15`<6;21b4?4?:%02b???3g8:i7?;;:k;5?6=,;;m6464n33f>43<3`k;6=4+20d9===i:8o1=;54i8d94?"59o0246`=1d823>=n1l0;6)<>f;;;?k46m3;376g6d;29 77a2020b??j:0;8?l?d290/><h5999m64c=9h10e4l50;&15c<>02d9=h4>b:9j=d<72-8:j777;o02a?7d32c2:7>5$33e><><f;;n6<j4;h:a>5<#:8l1555a20g95`=<a1:1<7*=1g8:<>h59l0:j65fa483>!46n3k?7c<>e;28?lg4290/><h5a59m64c=921bm?4?:%02b?g33g8:i7<4;hc2>5<#:8l1m95a20g97>=nih0;6)<>f;c:?k46m3:07do7:18'64`=i01e><k51:9je2<72-8:j7o6;o02a?4<3`k=6=4+20d9e<=i:8o1?65f5e694?"59o0>h>5a20g94>=n=m81<7*=1g86`6=i:8o1=65f5e394?"59o0>h>5a20g96>=n=m:1<7*=1g86`6=i:8o1?65f5e;94?"59o0>h55a20g94>=n=m=1<7*=1g86`==i:8o1=65f5e494?"59o0>h55a20g96>=n=m?1<7*=1g86`==i:8o1?65`c583>!46n3i87c<>e;28?je5290/><h5c29m64c=921do=4?:%02b?e43g8:i7<4;n`e>5<#:8l1o>5a20g97>=hjl0;6)<>f;a0?k46m3>07blk:18'64`=k:1e><k55:9lff<72-8:j7m<;o02a?0<3fhi6=4+20d9g6=i:8o1;65`b`83>!46n3i87c<>e;:8?jd>290/><h5c29m64c=121dn54?:%02b?e43g8:i7o4;n`4>5<#:8l1o>5a20g9f>=hj<0;6)<>f;a0?k46m3i07bl;:18'64`=k:1e><k5d:9lf6<72-8:j7m<;o02a?c<3fh96=4+20d9g6=i:8o1j65`b083>!46n3i87c<>e;33?>ie83:1(??i:b18j77b28;07boi:18'64`=k:1e><k51398kdc=83.9=k4l3:l15`<6;21dmi4?:%02b?e43g8:i7?;;:mbg?6=,;;m6n=4n33f>43<3fii6=4+20d9g6=i:8o1=;54obc94?"59o0h?6`=1d823>=hk00;6)<>f;a0?k46m3;376al8;29 77a2j90b??j:0;8?je0290/><h5c29m64c=9h10cn850;&15c<d;2d9=h4>b:9lg0<72-8:j7m<;o02a?7d32eh=7>5$33e>f5<f;;n6<j4;n`5>5<#:8l1o>5a20g95`=<ghh1<7*=1g8`7>h59l0:j65`e783>!46n3o>7c<>e;28?jc3290/><h5e49m64c=921di?4?:%02b?c23g8:i7<4;ng2>5<#:8l1i85a20g97>=hm90;6)<>f;g6?k46m3>07bji:18'64`=m<1e><k55:9l``<72-8:j7k:;o02a?0<3fno6=4+20d9a0=i:8o1;65`db83>!46n3o>7c<>e;:8?jbe290/><h5e49m64c=121dhl4?:%02b?c23g8:i7o4;nf:>5<#:8l1i85a20g9f>=hl>0;6)<>f;g6?k46m3i07bj9:18'64`=m<1e><k5d:9l`0<72-8:j7k:;o02a?c<3fn?6=4+20d9a0=i:8o1j65`d283>!46n3o>7c<>e;33?>ic:3:1(??i:d78j77b28;07bj>:18'64`=m<1e><k51398ka6=83.9=k4j5:l15`<6;21dok4?:%02b?c23g8:i7?;;:m`a?6=,;;m6h;4n33f>43<3foo6=4+20d9a0=i:8o1=;54oda94?"59o0n96`=1d823>=hmk0;6)<>f;g6?k46m3;376aja;29 77a2l?0b??j:0;8?jc>290/><h5e49m64c=9h10ch650;&15c<b=2d9=h4>b:9la2<72-8:j7k:;o02a?7d32en?7>5$33e>`3<f;;n6<j4;nf;>5<#:8l1i85a20g95`=<gjn1<7*=1g8f1>h59l0:j65`f283>!46n3l97c<>e;28?j`6290/><h5f39m64c=921dj=4?:%02b?`53g8:i7<4;nge>5<#:8l1j?5a20g97>=hn10;6)<>f;d4?k46m3:07bh9:18'64`=n>1e><k51:9lb0<72-8:j7h8;o02a?4<3fl?6=4+20d9b2=i:8o1?65rb4`1>5<d:3:1<v*=308167=O=l;0D8mk;[6f>f}693;96<:51482=?7f28h1=n4>6;34>4b=9l0vbnm50:lfa?6<,8?=6>5+14597>"68m0?7)??e;68 46a2=1/=<>54:&254<33-;:>7:4$30b>c`<,;8o6>5+10490>"69>0?7)?>8;68 47>2=1/=<o54:&25g<33-;:o7:4$03g>1=#98o186*>1g87?!7583>0(<<>:59'574=<2.:>>4;;%310?2<,88>695+13490>"6:>0?7)?=8;68 44>2=1/=?o54:&26g<33-;9o7:4$00g>1=#9;o186*>2g87?!7483>0(<=>:59'564=<2.:?>4;;%300?2<,89>695+12490>"6;>0?7)?<8;68 45>2=1/=>o54:&27g<33-;8o7:4$01g>1=#9:o186*>3g87?!7383>0(<:>:59'514=<2.:8>4;;%370?2<,8>>695+15490>"6<>0?7)?;8;68 42>2=1/=9o54:&20g<33-;?o7:4$06g>1=#9=o186*>4g87?!7283>0(<;>:59'504=<2.:9>4;;%360?2<,8?>695+14:964?<,8?j6kh4$07a>c`<,;886??6;%010?4612d9>846;o012??<,;8i6??6;%327?2<,8;?695+5e`91ag<,<nh68jn;o7g`??<f<nn645+23g97>o283:17d;>:188m01=831b954?::kee?6=3`89;7>5;h01<?6=3`li6=44i30e>5<<a;9;6=44o4094?=h=:0;66g<2;29 77a2:;0b??j:198m66=83.9=k4<1:l15`<632c9j7>5$33e>67<f;;n6?54i3g94?"59o08=6`=1d80?>o5l3:1(??i:238j77b2=10e>l50;&15c<492d9=h4:;:k0e?6=,;;m6>?4n33f>3=<a:31<7*=1g805>h59l0<76g<8;29 77a2:;0b??j:998m61=83.9=k4<1:l15`<>32c8:7>5$33e>67<f;;n6l54i2794?"59o08=6`=1d8a?>o4<3:1(??i:238j77b2j10e>=50;&15c<492d9=h4k;:k1g?6=,;;m6>?4n33f>`=<a=81<7*=1g875>h59l0;76g;0;29 77a2=;0b??j:098m6`=83.9=k4;1:l15`<532c8i7>5$33e>17<f;;n6>54i2f94?"59o0?=6`=1d87?>o3j3:1(??i:538j77b2<10e9o50;&15c<392d9=h49;:k7=?6=,;;m69?4n33f>2=<a=21<7*=1g875>h59l0376g;7;29 77a2=;0b??j:898m10=83.9=k4;1:l15`<f32c?97>5$33e>17<f;;n6o54i5694?"59o0?=6`=1d8`?>o3;3:1(??i:538j77b2m10e>m50;&15c<392d9=h4j;:k4<?6=,;;m6:94n33f>5=<a><1<7*=1g843>h59l0:76g84;29 77a2>=0b??j:398m25=83.9=k487:l15`<432c<>7>5$33e>21<f;;n6954i6394?"59o0<;6`=1d86?>o083:1(??i:658j77b2?10e;h50;&15c<0?2d9=h48;:k5a?6=,;;m6:94n33f>==<a?n1<7*=1g843>h59l0276g9c;29 77a2>=0b??j:`98m3d=83.9=k487:l15`<e32c=57>5$33e>21<f;;n6n54i7:94?"59o0<;6`=1d8g?>o1?3:1(??i:658j77b2l10e;850;&15c<0?2d9=h4i;:k51?6=,;;m6:94n33f>46<3`<?6=4+20d932=i:8o1=<54i7194?"59o0<;6`=1d826>=n>;0;6)<>f;54?k46m3;876g91;29 77a2>=0b??j:068?l07290/><h5769m64c=9<10e:h50;&15c<0?2d9=h4>6:9j3`<72-8:j798;o02a?7032c<h7>5$33e>21<f;;n6<64;h5`>5<#:8l1;:5a20g95<=<a>h1<7*=1g843>h59l0:m65f7`83>!46n3=<7c<>e;3a?>o013:1(??i:658j77b28i07d9::18'64`=?>1e><k51e98m3g=83.9=k487:l15`<6m21b9k4?:%02b?103g8:i7?i;:k:=?6=,;;m6464n33f>5=<a0=1<7*=1g8:<>h59l0:76g65;29 77a2020b??j:398m<2=83.9=k468:l15`<432c2?7>5$33e><><f;;n6954i8094?"59o0246`=1d86?>o>93:1(??i:8:8j77b2?10e4>50;&15c<>02d9=h48;:k;b?6=,;;m6464n33f>==<a1o1<7*=1g8:<>h59l0276g7d;29 77a2020b??j:`98m=e=83.9=k468:l15`<e32c3m7>5$33e><><f;;n6n54i9;94?"59o0246`=1d8g?>o?03:1(??i:8:8j77b2l10e5950;&15c<>02d9=h4i;:k;2?6=,;;m6464n33f>46<3`2>6=4+20d9===i:8o1=<54i9694?"59o0246`=1d826>=n0:0;6)<>f;;;?k46m3;876g72;29 77a2020b??j:068?l>6290/><h5999m64c=9<10el>50;&15c<>02d9=h4>6:9j=c<72-8:j777;o02a?7032c2i7>5$33e><><f;;n6<64;h;g>5<#:8l1555a20g95<=<a0i1<7*=1g8:<>h59l0:m65f9c83>!46n3337c<>e;3a?>o>i3:1(??i:8:8j77b28i07d79:18'64`=111e><k51e98m=d=83.9=k468:l15`<6m21b4=4?:%02b???3g8:i7?i;:kb1?6=,;;m6l:4n33f>5=<ah91<7*=1g8b0>h59l0:76gn2;29 77a2h>0b??j:398md7=83.9=k4n4:l15`<432cjm7>5$33e>d?<f;;n6=54i`:94?"59o0j56`=1d82?>of?3:1(??i:`;8j77b2;10el850;&15c<f12d9=h4<;:k6`1<72-8:j7;k3:l15`<732c>h?4?:%02b?3c;2d9=h4>;:k6`4<72-8:j7;k3:l15`<532c>h=4?:%02b?3c;2d9=h4<;:k6`<<72-8:j7;k8:l15`<732c>h:4?:%02b?3c02d9=h4>;:k6`3<72-8:j7;k8:l15`<532c>h84?:%02b?3c02d9=h4<;:m`0?6=,;;m6n=4n33f>5=<gj81<7*=1g8`7>h59l0:76al0;29 77a2j90b??j:398kg`=83.9=k4l3:l15`<432eii7>5$33e>f5<f;;n6954ocf94?"59o0h?6`=1d86?>iek3:1(??i:b18j77b2?10col50;&15c<d;2d9=h48;:mae?6=,;;m6n=4n33f>==<gk31<7*=1g8`7>h59l0276am8;29 77a2j90b??j:`98kg1=83.9=k4l3:l15`<e32ei97>5$33e>f5<f;;n6n54oc694?"59o0h?6`=1d8g?>ie;3:1(??i:b18j77b2l10co<50;&15c<d;2d9=h4i;:ma5?6=,;;m6n=4n33f>46<3fh;6=4+20d9g6=i:8o1=<54o`d94?"59o0h?6`=1d826>=hil0;6)<>f;a0?k46m3;876and;29 77a2j90b??j:068?jgd290/><h5c29m64c=9<10cnl50;&15c<d;2d9=h4>6:9lgd<72-8:j7m<;o02a?7032eh57>5$33e>f5<f;;n6<64;na;>5<#:8l1o>5a20g95<=<gj=1<7*=1g8`7>h59l0:m65`c783>!46n3i87c<>e;3a?>id=3:1(??i:b18j77b28i07bm>:18'64`=k:1e><k51e98kg0=83.9=k4l3:l15`<6m21dmo4?:%02b?e43g8:i7?i;:mf2?6=,;;m6h;4n33f>5=<gl>1<7*=1g8f1>h59l0:76aj2;29 77a2l?0b??j:398k`7=83.9=k4j5:l15`<432en<7>5$33e>`3<f;;n6954oed94?"59o0n96`=1d86?>icm3:1(??i:d78j77b2?10cij50;&15c<b=2d9=h48;:mgg?6=,;;m6h;4n33f>==<gmh1<7*=1g8f1>h59l0276aka;29 77a2l?0b??j:`98ka?=83.9=k4j5:l15`<e32eo;7>5$33e>`3<f;;n6n54oe494?"59o0n96`=1d8g?>ic=3:1(??i:d78j77b2l10ci:50;&15c<b=2d9=h4i;:mg7?6=,;;m6h;4n33f>46<3fn96=4+20d9a0=i:8o1=<54oe394?"59o0n96`=1d826>=hl90;6)<>f;g6?k46m3;876alf;29 77a2l?0b??j:068?jeb290/><h5e49m64c=9<10chj50;&15c<b=2d9=h4>6:9laf<72-8:j7k:;o02a?7032enn7>5$33e>`3<f;;n6<64;ngb>5<#:8l1i85a20g95<=<gl31<7*=1g8f1>h59l0:m65`e983>!46n3o>7c<>e;3a?>ib?3:1(??i:d78j77b28i07bk<:18'64`=m<1e><k51e98ka>=83.9=k4j5:l15`<6m21doi4?:%02b?c23g8:i7?i;:me7?6=,;;m6k<4n33f>5=<go;1<7*=1g8e6>h59l0:76ai0;29 77a2o80b??j:398k``=83.9=k4i2:l15`<432em47>5$33e>c1<f;;n6=54og494?"59o0m;6`=1d82?>ia=3:1(??i:g58j77b2;10ck:50;&15c<a?2d9=h4<;:\7fa1g7=83i96=4?{%005?45:2B>i<5G5bf8^1c=kr;:6<<515821?7>28k1=o4>c;35>41=9m0:i7sacb83?kcb291/=8853:&212<43-;;h7:4$02f>1=#99l186*>1187?!7693>0(<?=:59'67g=no1/>?j53:&253<33-;:;7:4$03;>1=#983186*>1`87?!76j3>0(<?l:59'54b=<2.:=h4;;%32b?2<,88;695+13390>"6:;0?7)?=3;68 4432=1/=?;54:&263<33-;9;7:4$00;>1=#9;3186*>2`87?!75j3>0(<<l:59'57b=<2.:>h4;;%31b?2<,89;695+12390>"6;;0?7)?<3;68 4532=1/=>;54:&273<33-;8;7:4$01;>1=#9:3186*>3`87?!74j3>0(<=l:59'56b=<2.:?h4;;%30b?2<,8>;695+15390>"6<;0?7)?;3;68 4232=1/=9;54:&203<33-;?;7:4$06;>1=#9=3186*>4`87?!73j3>0(<:l:59'51b=<2.:8h4;;%37b?2<,8?;695+14390>"6=;0?7)?:3;68 4332=1/=8;54:&21=<5901/=8o5fg9'50d=no1/>?=520;8 7432;;27c<=5;;8j741201/>?l520;8 4742=1/=<:54:&6`g<2lh1/9im55ec8j0bc201e9ik59:&16`<43`?;6=44i4394?=n=>0;66g:8;29?l`f2900e?<8:188m74?2900ekl50;9j67`=831b>>>50;9l17<722e>?7>5;h11>5<#:8l1?<5a20g94>=n;90;6)<>f;12?k46m3;07d<i:18'64`=;81e><k52:9j6`<72-8:j7=>;o02a?5<3`8o6=4+20d974=i:8o1865f3c83>!46n39:7c<>e;78?l5f290/><h5309m64c=>21b?44?:%02b?563g8:i794;h1;>5<#:8l1?<5a20g9<>=n;>0;6)<>f;12?k46m3307d=9:18'64`=;81e><k5a:9j70<72-8:j7=>;o02a?d<3`9?6=4+20d974=i:8o1o65f3283>!46n39:7c<>e;f8?l4d290/><h5309m64c=m21b8?4?:%02b?263g8:i7>4;h63>5<#:8l18<5a20g95>=n;o0;6)<>f;62?k46m3807d=j:18'64`=<81e><k53:9j7a<72-8:j7:>;o02a?2<3`>i6=4+20d904=i:8o1965f4`83>!46n3>:7c<>e;48?l2>290/><h5409m64c=?21b854?:%02b?263g8:i764;h64>5<#:8l18<5a20g9=>=n<?0;6)<>f;62?k46m3k07d:::18'64`=<81e><k5b:9j01<72-8:j7:>;o02a?e<3`>86=4+20d904=i:8o1h65f3b83>!46n3>:7c<>e;g8?l1?290/><h5769m64c=821b;;4?:%02b?103g8:i7?4;h57>5<#:8l1;:5a20g96>=n?:0;6)<>f;54?k46m3907d9=:18'64`=?>1e><k54:9j34<72-8:j798;o02a?3<3`=;6=4+20d932=i:8o1:65f6g83>!46n3=<7c<>e;58?l0b290/><h5769m64c=021b:i4?:%02b?103g8:i774;h4`>5<#:8l1;:5a20g9e>=n>k0;6)<>f;54?k46m3h07d86:18'64`=?>1e><k5c:9j2=<72-8:j798;o02a?b<3`<<6=4+20d932=i:8o1i65f6783>!46n3=<7c<>e;d8?l02290/><h5769m64c=9910e;:50;&15c<0?2d9=h4>1:9j26<72-8:j798;o02a?7532c=>7>5$33e>21<f;;n6<=4;h42>5<#:8l1;:5a20g951=<a?:1<7*=1g843>h59l0:965f7g83>!46n3=<7c<>e;35?>o0m3:1(??i:658j77b28=07d9k:18'64`=?>1e><k51998m2e=83.9=k487:l15`<6121b;o4?:%02b?103g8:i7?n;:k4e?6=,;;m6:94n33f>4d<3`=26=4+20d932=i:8o1=n54i6794?"59o0<;6`=1d82`>=n>h0;6)<>f;54?k46m3;n76g:f;29 77a2>=0b??j:0d8?l?>290/><h5999m64c=821b5:4?:%02b???3g8:i7?4;h;6>5<#:8l1555a20g96>=n1=0;6)<>f;;;?k46m3907d7<:18'64`=111e><k54:9j=7<72-8:j777;o02a?3<3`3:6=4+20d9===i:8o1:65f9183>!46n3337c<>e;58?l>a290/><h5999m64c=021b4h4?:%02b???3g8:i774;h:g>5<#:8l1555a20g9e>=n0j0;6)<>f;;;?k46m3h07d6n:18'64`=111e><k5c:9j<<<72-8:j777;o02a?b<3`236=4+20d9===i:8o1i65f8683>!46n3337c<>e;d8?l>1290/><h5999m64c=9910e5;50;&15c<>02d9=h4>1:9j<1<72-8:j777;o02a?7532c3?7>5$33e><><f;;n6<=4;h:1>5<#:8l1555a20g951=<a1;1<7*=1g8:<>h59l0:965fa183>!46n3337c<>e;35?>o>n3:1(??i:8:8j77b28=07d7j:18'64`=111e><k51998m<b=83.9=k468:l15`<6121b5n4?:%02b???3g8:i7?n;:k:f?6=,;;m6464n33f>4d<3`3j6=4+20d9===i:8o1=n54i8494?"59o0246`=1d82`>=n0k0;6)<>f;;;?k46m3;n76g70;29 77a2020b??j:0d8?lg2290/><h5a59m64c=821bm>4?:%02b?g33g8:i7?4;hc1>5<#:8l1m95a20g96>=ni80;6)<>f;c7?k46m3907don:18'64`=i01e><k50:9je=<72-8:j7o6;o02a?7<3`k<6=4+20d9e<=i:8o1>65fa783>!46n3k27c<>e;18?l3c<3:1(??i:4f0?k46m3:07d;k2;29 77a2<n87c<>e;38?l3c93:1(??i:4f0?k46m3807d;k0;29 77a2<n87c<>e;18?l3c13:1(??i:4f;?k46m3:07d;k7;29 77a2<n37c<>e;38?l3c>3:1(??i:4f;?k46m3807d;k5;29 77a2<n37c<>e;18?je3290/><h5c29m64c=821do?4?:%02b?e43g8:i7?4;na3>5<#:8l1o>5a20g96>=hjo0;6)<>f;a0?k46m3907blj:18'64`=k:1e><k54:9lfa<72-8:j7m<;o02a?3<3fhh6=4+20d9g6=i:8o1:65`bc83>!46n3i87c<>e;58?jdf290/><h5c29m64c=021dn44?:%02b?e43g8:i774;n`;>5<#:8l1o>5a20g9e>=hj>0;6)<>f;a0?k46m3h07bl::18'64`=k:1e><k5c:9lf1<72-8:j7m<;o02a?b<3fh86=4+20d9g6=i:8o1i65`b383>!46n3i87c<>e;d8?jd6290/><h5c29m64c=9910co>50;&15c<d;2d9=h4>1:9lec<72-8:j7m<;o02a?7532eji7>5$33e>f5<f;;n6<=4;ncg>5<#:8l1o>5a20g951=<ghi1<7*=1g8`7>h59l0:965`cc83>!46n3i87c<>e;35?>idi3:1(??i:b18j77b28=07bm6:18'64`=k:1e><k51998kf>=83.9=k4l3:l15`<6121do:4?:%02b?e43g8:i7?n;:m`2?6=,;;m6n=4n33f>4d<3fi>6=4+20d9g6=i:8o1=n54ob394?"59o0h?6`=1d82`>=hj?0;6)<>f;a0?k46m3;n76anb;29 77a2j90b??j:0d8?jc1290/><h5e49m64c=821di94?:%02b?c23g8:i7?4;ng1>5<#:8l1i85a20g96>=hm80;6)<>f;g6?k46m3907bk?:18'64`=m<1e><k54:9l`c<72-8:j7k:;o02a?3<3fnn6=4+20d9a0=i:8o1:65`de83>!46n3o>7c<>e;58?jbd290/><h5e49m64c=021dho4?:%02b?c23g8:i774;nfb>5<#:8l1i85a20g9e>=hl00;6)<>f;g6?k46m3h07bj8:18'64`=m<1e><k5c:9l`3<72-8:j7k:;o02a?b<3fn>6=4+20d9a0=i:8o1i65`d583>!46n3o>7c<>e;d8?jb4290/><h5e49m64c=9910ci<50;&15c<b=2d9=h4>1:9l`4<72-8:j7k:;o02a?7532eo<7>5$33e>`3<f;;n6<=4;nae>5<#:8l1i85a20g951=<gjo1<7*=1g8f1>h59l0:965`ee83>!46n3o>7c<>e;35?>ibk3:1(??i:d78j77b28=07bkm:18'64`=m<1e><k51998k`g=83.9=k4j5:l15`<6121di44?:%02b?c23g8:i7?n;:mf<?6=,;;m6h;4n33f>4d<3fo<6=4+20d9a0=i:8o1=n54od194?"59o0n96`=1d82`>=hl10;6)<>f;g6?k46m3;n76ald;29 77a2l?0b??j:0d8?j`4290/><h5f39m64c=821dj<4?:%02b?`53g8:i7?4;nd3>5<#:8l1j?5a20g96>=hmo0;6)<>f;d1?k46m3907bh7:18'64`=n>1e><k50:9lb3<72-8:j7h8;o02a?7<3fl>6=4+20d9b2=i:8o1>65`f583>!46n3l<7c<>e;18?xd2j90;6n<50;2x 7562;897E;j1:J6ga=]<l0hw<?513820?722831=l4>b;3`>40=9>0:h7?j:|l`g?6<flo1<6*>5780?!72?390(<>k:59'55c=<2.:<k4;;%324?2<,8;:695+10090>"5:h0mj6*=2e80?!76>3>0(<?8:59'54>=<2.:=44;;%32e?2<,8;i695+10a90>"69m0?7)?>e;68 47a2=1/=?>54:&264<33-;9>7:4$000>1=#9;>186*>2487?!75>3>0(<<8:59'57>=<2.:>44;;%31e?2<,88i695+13a90>"6:m0?7)?=e;68 44a2=1/=>>54:&274<33-;8>7:4$010>1=#9:>186*>3487?!74>3>0(<=8:59'56>=<2.:?44;;%30e?2<,89i695+12a90>"6;m0?7)?<e;68 45a2=1/=9>54:&204<33-;?>7:4$060>1=#9=>186*>4487?!73>3>0(<:8:59'51>=<2.:844;;%37e?2<,8>i695+15a90>"6<m0?7)?;e;68 42a2=1/=8>54:&214<33-;>>7:4$070>1=#9<>186*>5487?!72038:56*>5`8eb>"6=k0mj6*=22815<=#:;>1><74n306><=i:;<156*=2c815<=#989186*>1587?!3cj3?om6*:db86`d=i=mn156`:dd8:?!45m390e8>50;9j14<722c>;7>5;h7;>5<<aok1<75f23594?=n:;21<75ffc83>>o5:o0;66g=3183>>i2:3:17b;<:188m64=83.9=k4<1:l15`<732c8<7>5$33e>67<f;;n6<54i3d94?"59o08=6`=1d81?>o5m3:1(??i:238j77b2:10e?j50;&15c<492d9=h4;;:k0f?6=,;;m6>?4n33f>0=<a:k1<7*=1g805>h59l0=76g<9;29 77a2:;0b??j:698m6>=83.9=k4<1:l15`<?32c8;7>5$33e>67<f;;n6454i2494?"59o08=6`=1d8b?>o4=3:1(??i:238j77b2k10e>:50;&15c<492d9=h4l;:k07?6=,;;m6>?4n33f>a=<a;i1<7*=1g805>h59l0n76g;2;29 77a2=;0b??j:198m16=83.9=k4;1:l15`<632c8j7>5$33e>17<f;;n6?54i2g94?"59o0?=6`=1d80?>o4l3:1(??i:538j77b2=10e9l50;&15c<392d9=h4:;:k7e?6=,;;m69?4n33f>3=<a=31<7*=1g875>h59l0<76g;8;29 77a2=;0b??j:998m11=83.9=k4;1:l15`<>32c?:7>5$33e>17<f;;n6l54i5794?"59o0?=6`=1d8a?>o3<3:1(??i:538j77b2j10e9=50;&15c<392d9=h4k;:k0g?6=,;;m69?4n33f>`=<a>21<7*=1g843>h59l0;76g86;29 77a2>=0b??j:098m22=83.9=k487:l15`<532c<?7>5$33e>21<f;;n6>54i6094?"59o0<;6`=1d87?>o093:1(??i:658j77b2<10e:>50;&15c<0?2d9=h49;:k5b?6=,;;m6:94n33f>2=<a?o1<7*=1g843>h59l0376g9d;29 77a2>=0b??j:898m3e=83.9=k487:l15`<f32c=n7>5$33e>21<f;;n6o54i7;94?"59o0<;6`=1d8`?>o103:1(??i:658j77b2m10e;950;&15c<0?2d9=h4j;:k52?6=,;;m6:94n33f>c=<a??1<7*=1g843>h59l0:<65f6583>!46n3=<7c<>e;32?>o1;3:1(??i:658j77b28807d8=:18'64`=?>1e><k51298m37=83.9=k487:l15`<6<21b:=4?:%02b?103g8:i7?:;:k4b?6=,;;m6:94n33f>40<3`=n6=4+20d932=i:8o1=:54i6f94?"59o0<;6`=1d82<>=n?j0;6)<>f;54?k46m3;276g8b;29 77a2>=0b??j:0c8?l1f290/><h5769m64c=9k10e:750;&15c<0?2d9=h4>c:9j30<72-8:j798;o02a?7c32c=m7>5$33e>21<f;;n6<k4;h7e>5<#:8l1;:5a20g95c=<a031<7*=1g8:<>h59l0;76g67;29 77a2020b??j:098m<3=83.9=k468:l15`<532c287>5$33e><><f;;n6>54i8194?"59o0246`=1d87?>o>:3:1(??i:8:8j77b2<10e4?50;&15c<>02d9=h49;:k:4?6=,;;m6464n33f>2=<a1l1<7*=1g8:<>h59l0376g7e;29 77a2020b??j:898m=b=83.9=k468:l15`<f32c3o7>5$33e><><f;;n6o54i9c94?"59o0246`=1d8`?>o?13:1(??i:8:8j77b2m10e5650;&15c<>02d9=h4j;:k;3?6=,;;m6464n33f>c=<a1<1<7*=1g8:<>h59l0:<65f8483>!46n3337c<>e;32?>o?<3:1(??i:8:8j77b28807d6<:18'64`=111e><k51298m=4=83.9=k468:l15`<6<21b4<4?:%02b???3g8:i7?:;:kb4?6=,;;m6464n33f>40<3`3m6=4+20d9===i:8o1=:54i8g94?"59o0246`=1d82<>=n1m0;6)<>f;;;?k46m3;276g6c;29 77a2020b??j:0c8?l?e290/><h5999m64c=9k10e4o50;&15c<>02d9=h4>c:9j=3<72-8:j777;o02a?7c32c3n7>5$33e><><f;;n6<k4;h:3>5<#:8l1555a20g95c=<ah?1<7*=1g8b0>h59l0;76gn3;29 77a2h>0b??j:098md4=83.9=k4n4:l15`<532cj=7>5$33e>d2<f;;n6>54i`c94?"59o0j56`=1d83?>of03:1(??i:`;8j77b2810el950;&15c<f12d9=h4=;:kb2?6=,;;m6l74n33f>6=<a<n?6=4+20d91a5<f;;n6=54i4f1>5<#:8l19i=4n33f>4=<a<n:6=4+20d91a5<f;;n6?54i4f3>5<#:8l19i=4n33f>6=<a<n26=4+20d91a><f;;n6=54i4f4>5<#:8l19i64n33f>4=<a<n=6=4+20d91a><f;;n6?54i4f6>5<#:8l19i64n33f>6=<gj>1<7*=1g8`7>h59l0;76al2;29 77a2j90b??j:098kf6=83.9=k4l3:l15`<532eij7>5$33e>f5<f;;n6>54ocg94?"59o0h?6`=1d87?>iel3:1(??i:b18j77b2<10com50;&15c<d;2d9=h49;:maf?6=,;;m6n=4n33f>2=<gkk1<7*=1g8`7>h59l0376am9;29 77a2j90b??j:898kg>=83.9=k4l3:l15`<f32ei;7>5$33e>f5<f;;n6o54oc794?"59o0h?6`=1d8`?>ie<3:1(??i:b18j77b2m10co=50;&15c<d;2d9=h4j;:ma6?6=,;;m6n=4n33f>c=<gk;1<7*=1g8`7>h59l0:<65`b183>!46n3i87c<>e;32?>ifn3:1(??i:b18j77b28807boj:18'64`=k:1e><k51298kdb=83.9=k4l3:l15`<6<21dmn4?:%02b?e43g8:i7?:;:m`f?6=,;;m6n=4n33f>40<3fij6=4+20d9g6=i:8o1=:54ob;94?"59o0h?6`=1d82<>=hk10;6)<>f;a0?k46m3;276al7;29 77a2j90b??j:0c8?je1290/><h5c29m64c=9k10cn;50;&15c<d;2d9=h4>c:9lg4<72-8:j7m<;o02a?7c32ei:7>5$33e>f5<f;;n6<k4;nca>5<#:8l1o>5a20g95c=<gl<1<7*=1g8f1>h59l0;76aj4;29 77a2l?0b??j:098k`4=83.9=k4j5:l15`<532en=7>5$33e>`3<f;;n6>54od294?"59o0n96`=1d87?>icn3:1(??i:d78j77b2<10cik50;&15c<b=2d9=h49;:mg`?6=,;;m6h;4n33f>2=<gmi1<7*=1g8f1>h59l0376akb;29 77a2l?0b??j:898kag=83.9=k4j5:l15`<f32eo57>5$33e>`3<f;;n6o54oe594?"59o0n96`=1d8`?>ic>3:1(??i:d78j77b2m10ci;50;&15c<b=2d9=h4j;:mg0?6=,;;m6h;4n33f>c=<gm91<7*=1g8f1>h59l0:<65`d383>!46n3o>7c<>e;32?>ic93:1(??i:d78j77b28807bj?:18'64`=m<1e><k51298kf`=83.9=k4j5:l15`<6<21doh4?:%02b?c23g8:i7?:;:mf`?6=,;;m6h;4n33f>40<3foh6=4+20d9a0=i:8o1=:54od`94?"59o0n96`=1d82<>=hmh0;6)<>f;g6?k46m3;276aj9;29 77a2l?0b??j:0c8?jc?290/><h5e49m64c=9k10ch950;&15c<b=2d9=h4>c:9la6<72-8:j7k:;o02a?7c32eo47>5$33e>`3<f;;n6<k4;nag>5<#:8l1i85a20g95c=<go91<7*=1g8e6>h59l0;76ai1;29 77a2o80b??j:098kc6=83.9=k4i2:l15`<532enj7>5$33e>c4<f;;n6>54og:94?"59o0m;6`=1d83?>ia>3:1(??i:g58j77b2810ck;50;&15c<a?2d9=h4=;:me0?6=,;;m6k94n33f>6=<uk?jj7>5c383>5}#::;1>?<4H4g2?M3dl2P?i7mt10826?7328?1=44>a;3a>4e=9?0:;7?k:0g9yked291eih4?;%362?5<,8?<6>5+11f90>"68l0?7)??f;68 4772=1/=<?54:&257<33-89m7hi;%01`?5<,8;=695+10590>"6910?7)?>9;68 47f2=1/=<l54:&25f<33-;:h7:4$03f>1=#98l186*>2187?!7593>0(<<=:59'575=<2.:>94;;%311?2<,88=695+13590>"6:10?7)?=9;68 44f2=1/=?l54:&26f<33-;9h7:4$00f>1=#9;l186*>3187?!7493>0(<==:59'565=<2.:?94;;%301?2<,89=695+12590>"6;10?7)?<9;68 45f2=1/=>l54:&27f<33-;8h7:4$01f>1=#9:l186*>4187?!7393>0(<:=:59'515=<2.:894;;%371?2<,8>=695+15590>"6<10?7)?;9;68 42f2=1/=9l54:&20f<33-;?h7:4$06f>1=#9=l186*>5187?!7293>0(<;=:59'505=<2.:994;;%361?2<,8?36??6;%36e?`a3-;>n7hi;%017?4612.9>94=189m673=12d9>;46;%01f?4612.:=>4;;%320?2<,<ni68jn;%7gg?3ci2d>hi46;o7ga??<,;8n6>5f5183>>o293:17d;8:188m0>=831bjl4?::k162<722c9>54?::kef?6=3`89j7>5;h004?6=3f?96=44o4194?=n;;0;6)<>f;12?k46m3:07d=?:18'64`=;81e><k51:9j6c<72-8:j7=>;o02a?4<3`8n6=4+20d974=i:8o1?65f2e83>!46n39:7c<>e;68?l5e290/><h5309m64c==21b?l4?:%02b?563g8:i784;h1:>5<#:8l1?<5a20g93>=n;10;6)<>f;12?k46m3207d=8:18'64`=;81e><k59:9j73<72-8:j7=>;o02a?g<3`9>6=4+20d974=i:8o1n65f3583>!46n39:7c<>e;a8?l54290/><h5309m64c=l21b>n4?:%02b?563g8:i7k4;h61>5<#:8l18<5a20g94>=n<90;6)<>f;62?k46m3;07d=i:18'64`=<81e><k52:9j7`<72-8:j7:>;o02a?5<3`9o6=4+20d904=i:8o1865f4c83>!46n3>:7c<>e;78?l2f290/><h5409m64c=>21b844?:%02b?263g8:i794;h6;>5<#:8l18<5a20g9<>=n<>0;6)<>f;62?k46m3307d:9:18'64`=<81e><k5a:9j00<72-8:j7:>;o02a?d<3`>?6=4+20d904=i:8o1o65f4283>!46n3>:7c<>e;f8?l5d290/><h5409m64c=m21b;54?:%02b?103g8:i7>4;h55>5<#:8l1;:5a20g95>=n?=0;6)<>f;54?k46m3807d9<:18'64`=?>1e><k53:9j37<72-8:j798;o02a?2<3`=:6=4+20d932=i:8o1965f7183>!46n3=<7c<>e;48?l0a290/><h5769m64c=?21b:h4?:%02b?103g8:i764;h4g>5<#:8l1;:5a20g9=>=n>j0;6)<>f;54?k46m3k07d8m:18'64`=?>1e><k5b:9j2<<72-8:j798;o02a?e<3`<36=4+20d932=i:8o1h65f6683>!46n3=<7c<>e;g8?l01290/><h5769m64c=n21b:84?:%02b?103g8:i7??;:k50?6=,;;m6:94n33f>47<3`<86=4+20d932=i:8o1=?54i7094?"59o0<;6`=1d827>=n>80;6)<>f;54?k46m3;?76g90;29 77a2>=0b??j:078?l1a290/><h5769m64c=9?10e:k50;&15c<0?2d9=h4>7:9j3a<72-8:j798;o02a?7?32c<o7>5$33e>21<f;;n6<74;h5a>5<#:8l1;:5a20g95d=<a>k1<7*=1g843>h59l0:n65f7883>!46n3=<7c<>e;3`?>o0=3:1(??i:658j77b28n07d8n:18'64`=?>1e><k51d98m0`=83.9=k487:l15`<6n21b544?:%02b???3g8:i7>4;h;4>5<#:8l1555a20g95>=n1<0;6)<>f;;;?k46m3807d7;:18'64`=111e><k53:9j=6<72-8:j777;o02a?2<3`396=4+20d9===i:8o1965f9083>!46n3337c<>e;48?l?7290/><h5999m64c=?21b4k4?:%02b???3g8:i764;h:f>5<#:8l1555a20g9=>=n0m0;6)<>f;;;?k46m3k07d6l:18'64`=111e><k5b:9j<d<72-8:j777;o02a?e<3`226=4+20d9===i:8o1h65f8983>!46n3337c<>e;g8?l>0290/><h5999m64c=n21b4;4?:%02b???3g8:i7??;:k;1?6=,;;m6464n33f>47<3`2?6=4+20d9===i:8o1=?54i9194?"59o0246`=1d827>=n0;0;6)<>f;;;?k46m3;?76g71;29 77a2020b??j:078?lg7290/><h5999m64c=9?10e4h50;&15c<>02d9=h4>7:9j=`<72-8:j777;o02a?7?32c2h7>5$33e><><f;;n6<74;h;`>5<#:8l1555a20g95d=<a0h1<7*=1g8:<>h59l0:n65f9`83>!46n3337c<>e;3`?>o>>3:1(??i:8:8j77b28n07d6m:18'64`=111e><k51d98m=6=83.9=k468:l15`<6n21bm84?:%02b?g33g8:i7>4;hc0>5<#:8l1m95a20g95>=ni;0;6)<>f;c7?k46m3807do>:18'64`=i=1e><k53:9jed<72-8:j7o6;o02a?6<3`k36=4+20d9e<=i:8o1=65fa683>!46n3k27c<>e;08?lg1290/><h5a89m64c=;21b9i:50;&15c<2l:1e><k50:9j1a4=83.9=k4:d29m64c=921b9i?50;&15c<2l:1e><k52:9j1a6=83.9=k4:d29m64c=;21b9i750;&15c<2l11e><k50:9j1a1=83.9=k4:d99m64c=921b9i850;&15c<2l11e><k52:9j1a3=83.9=k4:d99m64c=;21do94?:%02b?e43g8:i7>4;na1>5<#:8l1o>5a20g95>=hk90;6)<>f;a0?k46m3807bli:18'64`=k:1e><k53:9lf`<72-8:j7m<;o02a?2<3fho6=4+20d9g6=i:8o1965`bb83>!46n3i87c<>e;48?jde290/><h5c29m64c=?21dnl4?:%02b?e43g8:i764;n`:>5<#:8l1o>5a20g9=>=hj10;6)<>f;a0?k46m3k07bl8:18'64`=k:1e><k5b:9lf0<72-8:j7m<;o02a?e<3fh?6=4+20d9g6=i:8o1h65`b283>!46n3i87c<>e;g8?jd5290/><h5c29m64c=n21dn<4?:%02b?e43g8:i7??;:ma4?6=,;;m6n=4n33f>47<3fkm6=4+20d9g6=i:8o1=?54o`g94?"59o0h?6`=1d827>=him0;6)<>f;a0?k46m3;?76anc;29 77a2j90b??j:078?jee290/><h5c29m64c=9?10cno50;&15c<d;2d9=h4>7:9lg<<72-8:j7m<;o02a?7?32eh47>5$33e>f5<f;;n6<74;na4>5<#:8l1o>5a20g95d=<gj<1<7*=1g8`7>h59l0:n65`c483>!46n3i87c<>e;3`?>id93:1(??i:b18j77b28n07bl9:18'64`=k:1e><k51d98kdd=83.9=k4l3:l15`<6n21di;4?:%02b?c23g8:i7>4;ng7>5<#:8l1i85a20g95>=hm;0;6)<>f;g6?k46m3807bk>:18'64`=m<1e><k53:9la5<72-8:j7k:;o02a?2<3fnm6=4+20d9a0=i:8o1965`dd83>!46n3o>7c<>e;48?jbc290/><h5e49m64c=?21dhn4?:%02b?c23g8:i764;nfa>5<#:8l1i85a20g9=>=hlh0;6)<>f;g6?k46m3k07bj6:18'64`=m<1e><k5b:9l`2<72-8:j7k:;o02a?e<3fn=6=4+20d9a0=i:8o1h65`d483>!46n3o>7c<>e;g8?jb3290/><h5e49m64c=n21dh>4?:%02b?c23g8:i7??;:mg6?6=,;;m6h;4n33f>47<3fn:6=4+20d9a0=i:8o1=?54oe294?"59o0n96`=1d827>=hko0;6)<>f;g6?k46m3;?76ale;29 77a2l?0b??j:078?jcc290/><h5e49m64c=9?10chm50;&15c<b=2d9=h4>7:9lag<72-8:j7k:;o02a?7?32enm7>5$33e>`3<f;;n6<74;ng:>5<#:8l1i85a20g95d=<gl21<7*=1g8f1>h59l0:n65`e683>!46n3o>7c<>e;3`?>ib;3:1(??i:d78j77b28n07bj7:18'64`=m<1e><k51d98kfb=83.9=k4j5:l15`<6n21dj>4?:%02b?`53g8:i7>4;nd2>5<#:8l1j?5a20g95>=hn90;6)<>f;d1?k46m3807bki:18'64`=n;1e><k53:9lb=<72-8:j7h8;o02a?6<3fl=6=4+20d9b2=i:8o1=65`f483>!46n3l<7c<>e;08?j`3290/><h5f69m64c=;21vn8oj:18`6?6=8r.9?<4=239K1`7<@<io7W:j:by25?7528>1=84>9;3b>4d=9j0::7?8:0f95`<zfji1<6`je;28 4312:1/=8953:&24a<33-;;i7:4$02e>1=#98:186*>1087?!76:3>0(?<n:gd8 74c2:1/=<854:&252<33-;:47:4$03:>1=#98k186*>1c87?!76k3>0(<?k:59'54c=<2.:=k4;;%314?2<,88:695+13090>"6::0?7)?=4;68 4422=1/=?854:&262<33-;947:4$00:>1=#9;k186*>2c87?!75k3>0(<<k:59'57c=<2.:>k4;;%304?2<,89:695+12090>"6;:0?7)?<4;68 4522=1/=>854:&272<33-;847:4$01:>1=#9:k186*>3c87?!74k3>0(<=k:59'56c=<2.:?k4;;%374?2<,8>:695+15090>"6<:0?7)?;4;68 4222=1/=9854:&202<33-;?47:4$06:>1=#9=k186*>4c87?!73k3>0(<:k:59'51c=<2.:8k4;;%364?2<,8?:695+14090>"6=:0?7)?:4;68 4322=1/=86520;8 43f2ol0(<;m:gd8 7442;;27)<=4;02=>h5:<0?7c<=6;68 74e2;;27)?>3;68 4732=1/9il55ec8 0bd2<nj7c;kd;68j0bb2=1/>?k53:k64?6=3`?:6=44i4594?=n=10;66gia;29?l45?3:17d<=8;29?l`e2900e?<i:188m7572900c8<50;9l16<722c8>7>5$33e>67<f;;n6=54i2294?"59o08=6`=1d82?>o5n3:1(??i:238j77b2;10e?k50;&15c<492d9=h4<;:k1`?6=,;;m6>?4n33f>1=<a:h1<7*=1g805>h59l0>76g<a;29 77a2:;0b??j:798m6?=83.9=k4<1:l15`<032c847>5$33e>67<f;;n6554i2594?"59o08=6`=1d8:?>o4>3:1(??i:238j77b2h10e>;50;&15c<492d9=h4m;:k00?6=,;;m6>?4n33f>f=<a:91<7*=1g805>h59l0o76g=c;29 77a2:;0b??j:d98m14=83.9=k4;1:l15`<732c?<7>5$33e>17<f;;n6<54i2d94?"59o0?=6`=1d81?>o4m3:1(??i:538j77b2:10e>j50;&15c<392d9=h4;;:k7f?6=,;;m69?4n33f>0=<a=k1<7*=1g875>h59l0=76g;9;29 77a2=;0b??j:698m1>=83.9=k4;1:l15`<?32c?;7>5$33e>17<f;;n6454i5494?"59o0?=6`=1d8b?>o3=3:1(??i:538j77b2k10e9:50;&15c<392d9=h4l;:k77?6=,;;m69?4n33f>a=<a:i1<7*=1g875>h59l0n76g88;29 77a2>=0b??j:198m20=83.9=k487:l15`<632c<87>5$33e>21<f;;n6?54i6194?"59o0<;6`=1d80?>o0:3:1(??i:658j77b2=10e:?50;&15c<0?2d9=h4:;:k44?6=,;;m6:94n33f>3=<a?l1<7*=1g843>h59l0<76g9e;29 77a2>=0b??j:998m3b=83.9=k487:l15`<>32c=o7>5$33e>21<f;;n6l54i7`94?"59o0<;6`=1d8a?>o113:1(??i:658j77b2j10e;650;&15c<0?2d9=h4k;:k53?6=,;;m6:94n33f>`=<a?<1<7*=1g843>h59l0m76g95;29 77a2>=0b??j:028?l03290/><h5769m64c=9810e;=50;&15c<0?2d9=h4>2:9j27<72-8:j798;o02a?7432c==7>5$33e>21<f;;n6<:4;h43>5<#:8l1;:5a20g950=<a>l1<7*=1g843>h59l0::65f7d83>!46n3=<7c<>e;34?>o0l3:1(??i:658j77b28207d9l:18'64`=?>1e><k51898m2d=83.9=k487:l15`<6i21b;l4?:%02b?103g8:i7?m;:k4=?6=,;;m6:94n33f>4e<3`=>6=4+20d932=i:8o1=i54i7c94?"59o0<;6`=1d82a>=n=o0;6)<>f;54?k46m3;m76g69;29 77a2020b??j:198m<1=83.9=k468:l15`<632c297>5$33e><><f;;n6?54i8694?"59o0246`=1d80?>o>;3:1(??i:8:8j77b2=10e4<50;&15c<>02d9=h4:;:k:5?6=,;;m6464n33f>3=<a0:1<7*=1g8:<>h59l0<76g7f;29 77a2020b??j:998m=c=83.9=k468:l15`<>32c3h7>5$33e><><f;;n6l54i9a94?"59o0246`=1d8a?>o?i3:1(??i:8:8j77b2j10e5750;&15c<>02d9=h4k;:k;<?6=,;;m6464n33f>`=<a1=1<7*=1g8:<>h59l0m76g76;29 77a2020b??j:028?l>2290/><h5999m64c=9810e5:50;&15c<>02d9=h4>2:9j<6<72-8:j777;o02a?7432c3>7>5$33e><><f;;n6<:4;h:2>5<#:8l1555a20g950=<ah:1<7*=1g8:<>h59l0::65f9g83>!46n3337c<>e;34?>o>m3:1(??i:8:8j77b28207d7k:18'64`=111e><k51898m<e=83.9=k468:l15`<6i21b5o4?:%02b???3g8:i7?m;:k:e?6=,;;m6464n33f>4e<3`3=6=4+20d9===i:8o1=i54i9`94?"59o0246`=1d82a>=n090;6)<>f;;;?k46m3;m76gn5;29 77a2h>0b??j:198md5=83.9=k4n4:l15`<632cj>7>5$33e>d2<f;;n6?54i`394?"59o0j86`=1d80?>ofi3:1(??i:`;8j77b2910el650;&15c<f12d9=h4>;:kb3?6=,;;m6l74n33f>7=<ah<1<7*=1g8b=>h59l0876g:d583>!46n3?o?6`=1d83?>o2l;0;6)<>f;7g7>h59l0:76g:d083>!46n3?o?6`=1d81?>o2l90;6)<>f;7g7>h59l0876g:d883>!46n3?o46`=1d83?>o2l>0;6)<>f;7g<>h59l0:76g:d783>!46n3?o46`=1d81?>o2l<0;6)<>f;7g<>h59l0876al4;29 77a2j90b??j:198kf4=83.9=k4l3:l15`<632eh<7>5$33e>f5<f;;n6?54ocd94?"59o0h?6`=1d80?>iem3:1(??i:b18j77b2=10coj50;&15c<d;2d9=h4:;:mag?6=,;;m6n=4n33f>3=<gkh1<7*=1g8`7>h59l0<76ama;29 77a2j90b??j:998kg?=83.9=k4l3:l15`<>32ei47>5$33e>f5<f;;n6l54oc594?"59o0h?6`=1d8a?>ie=3:1(??i:b18j77b2j10co:50;&15c<d;2d9=h4k;:ma7?6=,;;m6n=4n33f>`=<gk81<7*=1g8`7>h59l0m76am1;29 77a2j90b??j:028?jd7290/><h5c29m64c=9810clh50;&15c<d;2d9=h4>2:9le`<72-8:j7m<;o02a?7432ejh7>5$33e>f5<f;;n6<:4;nc`>5<#:8l1o>5a20g950=<gjh1<7*=1g8`7>h59l0::65`c`83>!46n3i87c<>e;34?>id13:1(??i:b18j77b28207bm7:18'64`=k:1e><k51898kf1=83.9=k4l3:l15`<6i21do;4?:%02b?e43g8:i7?m;:m`1?6=,;;m6n=4n33f>4e<3fi:6=4+20d9g6=i:8o1=i54oc494?"59o0h?6`=1d82a>=hik0;6)<>f;a0?k46m3;m76aj6;29 77a2l?0b??j:198k`2=83.9=k4j5:l15`<632en>7>5$33e>`3<f;;n6?54od394?"59o0n96`=1d80?>ib83:1(??i:d78j77b2=10cih50;&15c<b=2d9=h4:;:mga?6=,;;m6h;4n33f>3=<gmn1<7*=1g8f1>h59l0<76akc;29 77a2l?0b??j:998kad=83.9=k4j5:l15`<>32eom7>5$33e>`3<f;;n6l54oe;94?"59o0n96`=1d8a?>ic?3:1(??i:d78j77b2j10ci850;&15c<b=2d9=h4k;:mg1?6=,;;m6h;4n33f>`=<gm>1<7*=1g8f1>h59l0m76ak3;29 77a2l?0b??j:028?jb5290/><h5e49m64c=9810ci?50;&15c<b=2d9=h4>2:9l`5<72-8:j7k:;o02a?7432ehj7>5$33e>`3<f;;n6<:4;naf>5<#:8l1i85a20g950=<gln1<7*=1g8f1>h59l0::65`eb83>!46n3o>7c<>e;34?>ibj3:1(??i:d78j77b28207bkn:18'64`=m<1e><k51898k`?=83.9=k4j5:l15`<6i21di54?:%02b?c23g8:i7?m;:mf3?6=,;;m6h;4n33f>4e<3fo86=4+20d9a0=i:8o1=i54oe:94?"59o0n96`=1d82a>=hkm0;6)<>f;g6?k46m3;m76ai3;29 77a2o80b??j:198kc7=83.9=k4i2:l15`<632em<7>5$33e>c4<f;;n6?54odd94?"59o0m>6`=1d80?>ia03:1(??i:g58j77b2910ck850;&15c<a?2d9=h4>;:me1?6=,;;m6k94n33f>7=<go>1<7*=1g8e3>h59l0876sm5`f94?e5290;w)<<1;016>N2m81C9nj4Z5g9g~762881=94>5;3:>4g=9k0:o7?9:0595a<6m3weon4?;ogf>5=#9<<1?6*>5680?!77l3>0(<>j:59'55`=<2.:==4;;%325?2<,8;9695+23c9bc=#:;n1?6*>1787?!76?3>0(<?7:59'54?=<2.:=l4;;%32f?2<,8;h695+10f90>"69l0?7)?>f;68 4472=1/=??54:&267<33-;9?7:4$007>1=#9;?186*>2787?!75?3>0(<<7:59'57?=<2.:>l4;;%31f?2<,88h695+13f90>"6:l0?7)?=f;68 4572=1/=>?54:&277<33-;8?7:4$017>1=#9:?186*>3787?!74?3>0(<=7:59'56?=<2.:?l4;;%30f?2<,89h695+12f90>"6;l0?7)?<f;68 4272=1/=9?54:&207<33-;??7:4$067>1=#9=?186*>4787?!73?3>0(<:7:59'51?=<2.:8l4;;%37f?2<,8>h695+15f90>"6<l0?7)?;f;68 4372=1/=8?54:&217<33-;>?7:4$077>1=#9<?186*>59815<=#9<k1jk5+14`9bc=#:;91><74$307>77>3g8997:4n305>1=#:;h1><74$030>1=#98>186*:dc86`d=#=mi19io4n4fg>1=i=mo186*=2d80?l372900e8?50;9j12<722c>47>5;hdb>5<<a;8<6=44i30;>5<<aoh1<75f23d94?=n:::1<75`5383>>i2;3:17d==:18'64`=;81e><k50:9j75<72-8:j7=>;o02a?7<3`8m6=4+20d974=i:8o1>65f2d83>!46n39:7c<>e;18?l4c290/><h5309m64c=<21b?o4?:%02b?563g8:i7;4;h1b>5<#:8l1?<5a20g92>=n;00;6)<>f;12?k46m3=07d=7:18'64`=;81e><k58:9j72<72-8:j7=>;o02a??<3`9=6=4+20d974=i:8o1m65f3483>!46n39:7c<>e;`8?l53290/><h5309m64c=k21b?>4?:%02b?563g8:i7j4;h0`>5<#:8l1?<5a20g9a>=n<;0;6)<>f;62?k46m3:07d:?:18'64`=<81e><k51:9j7c<72-8:j7:>;o02a?4<3`9n6=4+20d904=i:8o1?65f3e83>!46n3>:7c<>e;68?l2e290/><h5409m64c==21b8l4?:%02b?263g8:i784;h6:>5<#:8l18<5a20g93>=n<10;6)<>f;62?k46m3207d:8:18'64`=<81e><k59:9j03<72-8:j7:>;o02a?g<3`>>6=4+20d904=i:8o1n65f4583>!46n3>:7c<>e;a8?l24290/><h5409m64c=l21b?n4?:%02b?263g8:i7k4;h5;>5<#:8l1;:5a20g94>=n??0;6)<>f;54?k46m3;07d9;:18'64`=?>1e><k52:9j36<72-8:j798;o02a?5<3`=96=4+20d932=i:8o1865f7083>!46n3=<7c<>e;78?l17290/><h5769m64c=>21b:k4?:%02b?103g8:i794;h4f>5<#:8l1;:5a20g9<>=n>m0;6)<>f;54?k46m3307d8l:18'64`=?>1e><k5a:9j2g<72-8:j798;o02a?d<3`<26=4+20d932=i:8o1o65f6983>!46n3=<7c<>e;f8?l00290/><h5769m64c=m21b:;4?:%02b?103g8:i7h4;h46>5<#:8l1;:5a20g955=<a?>1<7*=1g843>h59l0:=65f6283>!46n3=<7c<>e;31?>o1:3:1(??i:658j77b28907d8>:18'64`=?>1e><k51598m36=83.9=k487:l15`<6=21b;k4?:%02b?103g8:i7?9;:k4a?6=,;;m6:94n33f>41<3`=o6=4+20d932=i:8o1=554i6a94?"59o0<;6`=1d82=>=n?k0;6)<>f;54?k46m3;j76g8a;29 77a2>=0b??j:0`8?l1>290/><h5769m64c=9j10e:;50;&15c<0?2d9=h4>d:9j2d<72-8:j798;o02a?7b32c>j7>5$33e>21<f;;n6<h4;h;:>5<#:8l1555a20g94>=n1>0;6)<>f;;;?k46m3;07d7::18'64`=111e><k52:9j=1<72-8:j777;o02a?5<3`386=4+20d9===i:8o1865f9383>!46n3337c<>e;78?l?6290/><h5999m64c=>21b5=4?:%02b???3g8:i794;h:e>5<#:8l1555a20g9<>=n0l0;6)<>f;;;?k46m3307d6k:18'64`=111e><k5a:9j<f<72-8:j777;o02a?d<3`2j6=4+20d9===i:8o1o65f8883>!46n3337c<>e;f8?l>?290/><h5999m64c=m21b4:4?:%02b???3g8:i7h4;h:5>5<#:8l1555a20g955=<a1?1<7*=1g8:<>h59l0:=65f8583>!46n3337c<>e;31?>o?;3:1(??i:8:8j77b28907d6=:18'64`=111e><k51598m=7=83.9=k468:l15`<6=21bm=4?:%02b???3g8:i7?9;:k:b?6=,;;m6464n33f>41<3`3n6=4+20d9===i:8o1=554i8f94?"59o0246`=1d82=>=n1j0;6)<>f;;;?k46m3;j76g6b;29 77a2020b??j:0`8?l?f290/><h5999m64c=9j10e4850;&15c<>02d9=h4>d:9j<g<72-8:j777;o02a?7b32c3<7>5$33e><><f;;n6<h4;hc6>5<#:8l1m95a20g94>=ni:0;6)<>f;c7?k46m3;07do=:18'64`=i=1e><k52:9je4<72-8:j7o;;o02a?5<3`kj6=4+20d9e<=i:8o1<65fa983>!46n3k27c<>e;38?lg0290/><h5a89m64c=:21bm;4?:%02b?g>3g8:i7=4;h7g0?6=,;;m68j<;o02a?6<3`?o>7>5$33e>0b43g8:i7?4;h7g5?6=,;;m68j<;o02a?4<3`?o<7>5$33e>0b43g8:i7=4;h7g=?6=,;;m68j7;o02a?6<3`?o;7>5$33e>0b?3g8:i7?4;h7g2?6=,;;m68j7;o02a?4<3`?o97>5$33e>0b?3g8:i7=4;na7>5<#:8l1o>5a20g94>=hk;0;6)<>f;a0?k46m3;07bm?:18'64`=k:1e><k52:9lfc<72-8:j7m<;o02a?5<3fhn6=4+20d9g6=i:8o1865`be83>!46n3i87c<>e;78?jdd290/><h5c29m64c=>21dno4?:%02b?e43g8:i794;n`b>5<#:8l1o>5a20g9<>=hj00;6)<>f;a0?k46m3307bl7:18'64`=k:1e><k5a:9lf2<72-8:j7m<;o02a?d<3fh>6=4+20d9g6=i:8o1o65`b583>!46n3i87c<>e;f8?jd4290/><h5c29m64c=m21dn?4?:%02b?e43g8:i7h4;n`2>5<#:8l1o>5a20g955=<gk:1<7*=1g8`7>h59l0:=65`ag83>!46n3i87c<>e;31?>ifm3:1(??i:b18j77b28907bok:18'64`=k:1e><k51598kde=83.9=k4l3:l15`<6=21doo4?:%02b?e43g8:i7?9;:m`e?6=,;;m6n=4n33f>41<3fi26=4+20d9g6=i:8o1=554ob:94?"59o0h?6`=1d82=>=hk>0;6)<>f;a0?k46m3;j76al6;29 77a2j90b??j:0`8?je2290/><h5c29m64c=9j10cn?50;&15c<d;2d9=h4>d:9lf3<72-8:j7m<;o02a?7b32ejn7>5$33e>f5<f;;n6<h4;ng5>5<#:8l1i85a20g94>=hm=0;6)<>f;g6?k46m3;07bk=:18'64`=m<1e><k52:9la4<72-8:j7k:;o02a?5<3fo;6=4+20d9a0=i:8o1865`dg83>!46n3o>7c<>e;78?jbb290/><h5e49m64c=>21dhi4?:%02b?c23g8:i794;nf`>5<#:8l1i85a20g9<>=hlk0;6)<>f;g6?k46m3307bjn:18'64`=m<1e><k5a:9l`<<72-8:j7k:;o02a?d<3fn<6=4+20d9a0=i:8o1o65`d783>!46n3o>7c<>e;f8?jb2290/><h5e49m64c=m21dh94?:%02b?c23g8:i7h4;nf0>5<#:8l1i85a20g955=<gm81<7*=1g8f1>h59l0:=65`d083>!46n3o>7c<>e;31?>ic83:1(??i:d78j77b28907bmi:18'64`=m<1e><k51598kfc=83.9=k4j5:l15`<6=21dii4?:%02b?c23g8:i7?9;:mfg?6=,;;m6h;4n33f>41<3foi6=4+20d9a0=i:8o1=554odc94?"59o0n96`=1d82=>=hm00;6)<>f;g6?k46m3;j76aj8;29 77a2l?0b??j:0`8?jc0290/><h5e49m64c=9j10ch=50;&15c<b=2d9=h4>d:9l`=<72-8:j7k:;o02a?7b32ehh7>5$33e>`3<f;;n6<h4;nd0>5<#:8l1j?5a20g94>=hn80;6)<>f;d1?k46m3;07bh?:18'64`=n;1e><k52:9lac<72-8:j7h=;o02a?5<3fl36=4+20d9b2=i:8o1<65`f783>!46n3l<7c<>e;38?j`2290/><h5f69m64c=:21dj94?:%02b?`03g8:i7=4;|`6ef<72j81<7>t$312>7453A?n=6F:ce9Y0`<ds8;1=?4>4;36>4?=9h0:n7?l:04952<6l3;n6p`lc;28j`c=82.:9;4<;%363?5<,8:o695+11g90>"68o0?7)?>0;68 4762=1/=<<54:&16d<an2.9>i4<;%322?2<,8;<695+10:90>"6900?7)?>a;68 47e2=1/=<m54:&25a<33-;:i7:4$03e>1=#9;:186*>2087?!75:3>0(<<<:59'572=<2.:>84;;%312?2<,88<695+13:90>"6:00?7)?=a;68 44e2=1/=?m54:&26a<33-;9i7:4$00e>1=#9::186*>3087?!74:3>0(<=<:59'562=<2.:?84;;%302?2<,89<695+12:90>"6;00?7)?<a;68 45e2=1/=>m54:&27a<33-;8i7:4$01e>1=#9=:186*>4087?!73:3>0(<:<:59'512=<2.:884;;%372?2<,8><695+15:90>"6<00?7)?;a;68 42e2=1/=9m54:&20a<33-;?i7:4$06e>1=#9<:186*>5087?!72:3>0(<;<:59'502=<2.:984;;%36<?4612.:9l4if:&21g<an2.9>>4=189'672=:830b?<::59m670=<2.9>o4=189'545=<2.:=94;;%7gf?3ci2.>hn4:d`9m1ab=<2d>hh4;;%01a?5<a<:1<75f5083>>o2?3:17d;7:188mcg=831b>?950;9j67>=831bjo4?::k16c<722c9?=4?::m66?6=3f?86=44i2094?"59o08=6`=1d83?>o483:1(??i:238j77b2810e?h50;&15c<492d9=h4=;:k1a?6=,;;m6>?4n33f>6=<a;n1<7*=1g805>h59l0?76g<b;29 77a2:;0b??j:498m6g=83.9=k4<1:l15`<132c857>5$33e>67<f;;n6:54i2:94?"59o08=6`=1d8;?>o4?3:1(??i:238j77b2010e>850;&15c<492d9=h4n;:k01?6=,;;m6>?4n33f>g=<a:>1<7*=1g805>h59l0h76g<3;29 77a2:;0b??j:e98m7e=83.9=k4<1:l15`<b32c?>7>5$33e>17<f;;n6=54i5294?"59o0?=6`=1d82?>o4n3:1(??i:538j77b2;10e>k50;&15c<392d9=h4<;:k0`?6=,;;m69?4n33f>1=<a=h1<7*=1g875>h59l0>76g;a;29 77a2=;0b??j:798m1?=83.9=k4;1:l15`<032c?47>5$33e>17<f;;n6554i5594?"59o0?=6`=1d8:?>o3>3:1(??i:538j77b2h10e9;50;&15c<392d9=h4m;:k70?6=,;;m69?4n33f>f=<a=91<7*=1g875>h59l0o76g<c;29 77a2=;0b??j:d98m2>=83.9=k487:l15`<732c<:7>5$33e>21<f;;n6<54i6694?"59o0<;6`=1d81?>o0;3:1(??i:658j77b2:10e:<50;&15c<0?2d9=h4;;:k45?6=,;;m6:94n33f>0=<a>:1<7*=1g843>h59l0=76g9f;29 77a2>=0b??j:698m3c=83.9=k487:l15`<?32c=h7>5$33e>21<f;;n6454i7a94?"59o0<;6`=1d8b?>o1j3:1(??i:658j77b2k10e;750;&15c<0?2d9=h4l;:k5<?6=,;;m6:94n33f>a=<a?=1<7*=1g843>h59l0n76g96;29 77a2>=0b??j:g98m33=83.9=k487:l15`<6821b:94?:%02b?103g8:i7?>;:k57?6=,;;m6:94n33f>44<3`<96=4+20d932=i:8o1=>54i7394?"59o0<;6`=1d820>=n>90;6)<>f;54?k46m3;>76g8f;29 77a2>=0b??j:048?l1b290/><h5769m64c=9>10e:j50;&15c<0?2d9=h4>8:9j3f<72-8:j798;o02a?7>32c<n7>5$33e>21<f;;n6<o4;h5b>5<#:8l1;:5a20g95g=<a>31<7*=1g843>h59l0:o65f7483>!46n3=<7c<>e;3g?>o1i3:1(??i:658j77b28o07d;i:18'64`=?>1e><k51g98m<?=83.9=k468:l15`<732c2;7>5$33e><><f;;n6<54i8794?"59o0246`=1d81?>o><3:1(??i:8:8j77b2:10e4=50;&15c<>02d9=h4;;:k:6?6=,;;m6464n33f>0=<a0;1<7*=1g8:<>h59l0=76g60;29 77a2020b??j:698m=`=83.9=k468:l15`<?32c3i7>5$33e><><f;;n6454i9f94?"59o0246`=1d8b?>o?k3:1(??i:8:8j77b2k10e5o50;&15c<>02d9=h4l;:k;=?6=,;;m6464n33f>a=<a121<7*=1g8:<>h59l0n76g77;29 77a2020b??j:g98m=0=83.9=k468:l15`<6821b484?:%02b???3g8:i7?>;:k;0?6=,;;m6464n33f>44<3`286=4+20d9===i:8o1=>54i9094?"59o0246`=1d820>=n080;6)<>f;;;?k46m3;>76gn0;29 77a2020b??j:048?l?a290/><h5999m64c=9>10e4k50;&15c<>02d9=h4>8:9j=a<72-8:j777;o02a?7>32c2o7>5$33e><><f;;n6<o4;h;a>5<#:8l1555a20g95g=<a0k1<7*=1g8:<>h59l0:o65f9783>!46n3337c<>e;3g?>o?j3:1(??i:8:8j77b28o07d6?:18'64`=111e><k51g98md3=83.9=k4n4:l15`<732cj?7>5$33e>d2<f;;n6<54i`094?"59o0j86`=1d81?>of93:1(??i:`68j77b2:10elo50;&15c<f12d9=h4?;:kb<?6=,;;m6l74n33f>4=<ah=1<7*=1g8b=>h59l0976gn6;29 77a2h30b??j:298m0b3290/><h55e18j77b2910e8j=:18'64`==m90b??j:098m0b6290/><h55e18j77b2;10e8j?:18'64`==m90b??j:298m0b>290/><h55e:8j77b2910e8j8:18'64`==m20b??j:098m0b1290/><h55e:8j77b2;10e8j::18'64`==m20b??j:298kf2=83.9=k4l3:l15`<732eh>7>5$33e>f5<f;;n6<54ob294?"59o0h?6`=1d81?>ien3:1(??i:b18j77b2:10cok50;&15c<d;2d9=h4;;:ma`?6=,;;m6n=4n33f>0=<gki1<7*=1g8`7>h59l0=76amb;29 77a2j90b??j:698kgg=83.9=k4l3:l15`<?32ei57>5$33e>f5<f;;n6454oc:94?"59o0h?6`=1d8b?>ie?3:1(??i:b18j77b2k10co;50;&15c<d;2d9=h4l;:ma0?6=,;;m6n=4n33f>a=<gk91<7*=1g8`7>h59l0n76am2;29 77a2j90b??j:g98kg7=83.9=k4l3:l15`<6821dn=4?:%02b?e43g8:i7?>;:mbb?6=,;;m6n=4n33f>44<3fkn6=4+20d9g6=i:8o1=>54o`f94?"59o0h?6`=1d820>=hij0;6)<>f;a0?k46m3;>76alb;29 77a2j90b??j:048?jef290/><h5c29m64c=9>10cn750;&15c<d;2d9=h4>8:9lg=<72-8:j7m<;o02a?7>32eh;7>5$33e>f5<f;;n6<o4;na5>5<#:8l1o>5a20g95g=<gj?1<7*=1g8`7>h59l0:o65`c083>!46n3i87c<>e;3g?>ie>3:1(??i:b18j77b28o07bom:18'64`=k:1e><k51g98k`0=83.9=k4j5:l15`<732en87>5$33e>`3<f;;n6<54od094?"59o0n96`=1d81?>ib93:1(??i:d78j77b2:10ch>50;&15c<b=2d9=h4;;:mgb?6=,;;m6h;4n33f>0=<gmo1<7*=1g8f1>h59l0=76akd;29 77a2l?0b??j:698kae=83.9=k4j5:l15`<?32eon7>5$33e>`3<f;;n6454oec94?"59o0n96`=1d8b?>ic13:1(??i:d78j77b2k10ci950;&15c<b=2d9=h4l;:mg2?6=,;;m6h;4n33f>a=<gm?1<7*=1g8f1>h59l0n76ak4;29 77a2l?0b??j:g98ka5=83.9=k4j5:l15`<6821dh?4?:%02b?c23g8:i7?>;:mg5?6=,;;m6h;4n33f>44<3fn;6=4+20d9a0=i:8o1=>54obd94?"59o0n96`=1d820>=hkl0;6)<>f;g6?k46m3;>76ajd;29 77a2l?0b??j:048?jcd290/><h5e49m64c=9>10chl50;&15c<b=2d9=h4>8:9lad<72-8:j7k:;o02a?7>32en57>5$33e>`3<f;;n6<o4;ng;>5<#:8l1i85a20g95g=<gl=1<7*=1g8f1>h59l0:o65`e283>!46n3o>7c<>e;3g?>ic03:1(??i:d78j77b28o07bmk:18'64`=m<1e><k51g98kc5=83.9=k4i2:l15`<732em=7>5$33e>c4<f;;n6<54og294?"59o0m>6`=1d81?>ibn3:1(??i:g08j77b2:10ck650;&15c<a?2d9=h4?;:me2?6=,;;m6k94n33f>4=<go?1<7*=1g8e3>h59l0976ai4;29 77a2o=0b??j:298yg3fj3:1o?4?:1y'667=:;80D8k>;I7``>\3m3ip=<4>2;37>43=900:m7?m:0a953<6?3;o6<k5}oa`>5=iml0;7)?:6;18 4302:1/==j54:&24`<33-;;j7:4$033>1=#98;186*>1387?!45i3lm7)<=d;18 4712=1/=<954:&25=<33-;:57:4$03b>1=#98h186*>1b87?!76l3>0(<?j:59'54`=<2.:>=4;;%315?2<,889695+13190>"6:=0?7)?=5;68 4412=1/=?954:&26=<33-;957:4$00b>1=#9;h186*>2b87?!75l3>0(<<j:59'57`=<2.:?=4;;%305?2<,899695+12190>"6;=0?7)?<5;68 4512=1/=>954:&27=<33-;857:4$01b>1=#9:h186*>3b87?!74l3>0(<=j:59'56`=<2.:8=4;;%375?2<,8>9695+15190>"6<=0?7)?;5;68 4212=1/=9954:&20=<33-;?57:4$06b>1=#9=h186*>4b87?!73l3>0(<:j:59'51`=<2.:9=4;;%365?2<,8?9695+14190>"6==0?7)?:5;68 43?2;;27)?:a;de?!72j3lm7)<=3;02=>"5:=09=45a23790>h5:?0?7)<=b;02=>"69:0?7)?>4;68 0be2<nj7);kc;7ge>h2lm0?7c;ke;68 74b2:1b9=4?::k65?6=3`?<6=44i4:94?=nnh0;66g=2683>>o5:10;66gib;29?l45n3:17d<<0;29?j352900c8=50;9j77<72-8:j7=>;o02a?6<3`9;6=4+20d974=i:8o1=65f2g83>!46n39:7c<>e;08?l4b290/><h5309m64c=;21b>i4?:%02b?563g8:i7:4;h1a>5<#:8l1?<5a20g91>=n;h0;6)<>f;12?k46m3<07d=6:18'64`=;81e><k57:9j7=<72-8:j7=>;o02a?><3`9<6=4+20d974=i:8o1565f3783>!46n39:7c<>e;c8?l52290/><h5309m64c=j21b?94?:%02b?563g8:i7m4;h10>5<#:8l1?<5a20g9`>=n:j0;6)<>f;12?k46m3o07d:=:18'64`=<81e><k50:9j05<72-8:j7:>;o02a?7<3`9m6=4+20d904=i:8o1>65f3d83>!46n3>:7c<>e;18?l5c290/><h5409m64c=<21b8o4?:%02b?263g8:i7;4;h6b>5<#:8l18<5a20g92>=n<00;6)<>f;62?k46m3=07d:7:18'64`=<81e><k58:9j02<72-8:j7:>;o02a??<3`>=6=4+20d904=i:8o1m65f4483>!46n3>:7c<>e;`8?l23290/><h5409m64c=k21b8>4?:%02b?263g8:i7j4;h1`>5<#:8l18<5a20g9a>=n?10;6)<>f;54?k46m3:07d99:18'64`=?>1e><k51:9j31<72-8:j798;o02a?4<3`=86=4+20d932=i:8o1?65f7383>!46n3=<7c<>e;68?l16290/><h5769m64c==21b;=4?:%02b?103g8:i784;h4e>5<#:8l1;:5a20g93>=n>l0;6)<>f;54?k46m3207d8k:18'64`=?>1e><k59:9j2f<72-8:j798;o02a?g<3`<i6=4+20d932=i:8o1n65f6883>!46n3=<7c<>e;a8?l0?290/><h5769m64c=l21b::4?:%02b?103g8:i7k4;h45>5<#:8l1;:5a20g9b>=n><0;6)<>f;54?k46m3;;76g94;29 77a2>=0b??j:038?l04290/><h5769m64c=9;10e;<50;&15c<0?2d9=h4>3:9j24<72-8:j798;o02a?7332c=<7>5$33e>21<f;;n6<;4;h5e>5<#:8l1;:5a20g953=<a>o1<7*=1g843>h59l0:;65f7e83>!46n3=<7c<>e;3;?>o0k3:1(??i:658j77b28307d9m:18'64`=?>1e><k51`98m2g=83.9=k487:l15`<6j21b;44?:%02b?103g8:i7?l;:k41?6=,;;m6:94n33f>4b<3`<j6=4+20d932=i:8o1=h54i4d94?"59o0<;6`=1d82b>=n100;6)<>f;;;?k46m3:07d78:18'64`=111e><k51:9j=0<72-8:j777;o02a?4<3`3?6=4+20d9===i:8o1?65f9283>!46n3337c<>e;68?l?5290/><h5999m64c==21b5<4?:%02b???3g8:i784;h;3>5<#:8l1555a20g93>=n0o0;6)<>f;;;?k46m3207d6j:18'64`=111e><k59:9j<a<72-8:j777;o02a?g<3`2h6=4+20d9===i:8o1n65f8`83>!46n3337c<>e;a8?l>>290/><h5999m64c=l21b454?:%02b???3g8:i7k4;h:4>5<#:8l1555a20g9b>=n0?0;6)<>f;;;?k46m3;;76g75;29 77a2020b??j:038?l>3290/><h5999m64c=9;10e5=50;&15c<>02d9=h4>3:9j<7<72-8:j777;o02a?7332c3=7>5$33e><><f;;n6<;4;hc3>5<#:8l1555a20g953=<a0l1<7*=1g8:<>h59l0:;65f9d83>!46n3337c<>e;3;?>o>l3:1(??i:8:8j77b28307d7l:18'64`=111e><k51`98m<d=83.9=k468:l15`<6j21b5l4?:%02b???3g8:i7?l;:k:2?6=,;;m6464n33f>4b<3`2i6=4+20d9===i:8o1=h54i9294?"59o0246`=1d82b>=ni<0;6)<>f;c7?k46m3:07do<:18'64`=i=1e><k51:9je7<72-8:j7o;;o02a?4<3`k:6=4+20d9e1=i:8o1?65fa`83>!46n3k27c<>e;28?lg?290/><h5a89m64c=921bm:4?:%02b?g>3g8:i7<4;hc5>5<#:8l1m45a20g97>=n=m>1<7*=1g86`6=i:8o1<65f5e094?"59o0>h>5a20g95>=n=m;1<7*=1g86`6=i:8o1>65f5e294?"59o0>h>5a20g97>=n=m31<7*=1g86`==i:8o1<65f5e594?"59o0>h55a20g95>=n=m<1<7*=1g86`==i:8o1>65f5e794?"59o0>h55a20g97>=hk=0;6)<>f;a0?k46m3:07bm=:18'64`=k:1e><k51:9lg5<72-8:j7m<;o02a?4<3fhm6=4+20d9g6=i:8o1?65`bd83>!46n3i87c<>e;68?jdc290/><h5c29m64c==21dnn4?:%02b?e43g8:i784;n`a>5<#:8l1o>5a20g93>=hjh0;6)<>f;a0?k46m3207bl6:18'64`=k:1e><k59:9lf=<72-8:j7m<;o02a?g<3fh<6=4+20d9g6=i:8o1n65`b483>!46n3i87c<>e;a8?jd3290/><h5c29m64c=l21dn>4?:%02b?e43g8:i7k4;n`1>5<#:8l1o>5a20g9b>=hj80;6)<>f;a0?k46m3;;76am0;29 77a2j90b??j:038?jga290/><h5c29m64c=9;10clk50;&15c<d;2d9=h4>3:9lea<72-8:j7m<;o02a?7332ejo7>5$33e>f5<f;;n6<;4;naa>5<#:8l1o>5a20g953=<gjk1<7*=1g8`7>h59l0:;65`c883>!46n3i87c<>e;3;?>id03:1(??i:b18j77b28307bm8:18'64`=k:1e><k51`98kf0=83.9=k4l3:l15`<6j21do84?:%02b?e43g8:i7?l;:m`5?6=,;;m6n=4n33f>4b<3fh=6=4+20d9g6=i:8o1=h54o``94?"59o0h?6`=1d82b>=hm?0;6)<>f;g6?k46m3:07bk;:18'64`=m<1e><k51:9la7<72-8:j7k:;o02a?4<3fo:6=4+20d9a0=i:8o1?65`e183>!46n3o>7c<>e;68?jba290/><h5e49m64c==21dhh4?:%02b?c23g8:i784;nfg>5<#:8l1i85a20g93>=hlj0;6)<>f;g6?k46m3207bjm:18'64`=m<1e><k59:9l`d<72-8:j7k:;o02a?g<3fn26=4+20d9a0=i:8o1n65`d683>!46n3o>7c<>e;a8?jb1290/><h5e49m64c=l21dh84?:%02b?c23g8:i7k4;nf7>5<#:8l1i85a20g9b>=hl:0;6)<>f;g6?k46m3;;76ak2;29 77a2l?0b??j:038?jb6290/><h5e49m64c=9;10ci>50;&15c<b=2d9=h4>3:9lgc<72-8:j7k:;o02a?7332ehi7>5$33e>`3<f;;n6<;4;ngg>5<#:8l1i85a20g953=<gli1<7*=1g8f1>h59l0:;65`ec83>!46n3o>7c<>e;3;?>ibi3:1(??i:d78j77b28307bk6:18'64`=m<1e><k51`98k`>=83.9=k4j5:l15`<6j21di:4?:%02b?c23g8:i7?l;:mf7?6=,;;m6h;4n33f>4b<3fn36=4+20d9a0=i:8o1=h54obf94?"59o0n96`=1d82b>=hn:0;6)<>f;d1?k46m3:07bh>:18'64`=n;1e><k51:9lb5<72-8:j7h=;o02a?4<3fom6=4+20d9b7=i:8o1?65`f983>!46n3l<7c<>e;28?j`1290/><h5f69m64c=921dj84?:%02b?`03g8:i7<4;nd7>5<#:8l1j:5a20g97>=zj<k>6=4l2;294~"5;809>?5G5d38L0ec3S>n6nu>1;31>42=9<0:57?n:0`95f<6>3;<6<j51d8~jfe=82dni7>4$075>6=#9<=1?6*>0e87?!77m3>0(<>i:59'546=<2.:=<4;;%326?2<,;8j6kh4$30g>6=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;o695+10g90>"69o0?7)?=0;68 4462=1/=?<54:&266<33-;987:4$006>1=#9;<186*>2687?!7503>0(<<6:59'57g=<2.:>o4;;%31g?2<,88o695+13g90>"6:o0?7)?<0;68 4562=1/=><54:&276<33-;887:4$016>1=#9:<186*>3687?!7403>0(<=6:59'56g=<2.:?o4;;%30g?2<,89o695+12g90>"6;o0?7)?;0;68 4262=1/=9<54:&206<33-;?87:4$066>1=#9=<186*>4687?!7303>0(<:6:59'51g=<2.:8o4;;%37g?2<,8>o695+15g90>"6<o0?7)?:0;68 4362=1/=8<54:&216<33-;>87:4$076>1=#9<21><74$07b>c`<,8?i6kh4$300>77>3-8987<>9:l160<33g89:7:4$30a>77>3-;:?7:4$037>1=#=mh19io4$4f`>0bf3g?oh7:4n4ff>1=#:;o1?6g:0;29?l362900e8950;9j1=<722cmm7>5;h013?6=3`8947>5;hda>5<<a;8m6=44i313>5<<g<81<75`5283>>o4:3:1(??i:238j77b2910e>>50;&15c<492d9=h4>;:k1b?6=,;;m6>?4n33f>7=<a;o1<7*=1g805>h59l0876g=d;29 77a2:;0b??j:598m6d=83.9=k4<1:l15`<232c8m7>5$33e>67<f;;n6;54i2;94?"59o08=6`=1d84?>o403:1(??i:238j77b2110e>950;&15c<492d9=h46;:k02?6=,;;m6>?4n33f>d=<a:?1<7*=1g805>h59l0i76g<4;29 77a2:;0b??j:b98m65=83.9=k4<1:l15`<c32c9o7>5$33e>67<f;;n6h54i5094?"59o0?=6`=1d83?>o383:1(??i:538j77b2810e>h50;&15c<392d9=h4=;:k0a?6=,;;m69?4n33f>6=<a:n1<7*=1g875>h59l0?76g;b;29 77a2=;0b??j:498m1g=83.9=k4;1:l15`<132c?57>5$33e>17<f;;n6:54i5:94?"59o0?=6`=1d8;?>o3?3:1(??i:538j77b2010e9850;&15c<392d9=h4n;:k71?6=,;;m69?4n33f>g=<a=>1<7*=1g875>h59l0h76g;3;29 77a2=;0b??j:e98m6e=83.9=k4;1:l15`<b32c<47>5$33e>21<f;;n6=54i6494?"59o0<;6`=1d82?>o0<3:1(??i:658j77b2;10e:=50;&15c<0?2d9=h4<;:k46?6=,;;m6:94n33f>1=<a>;1<7*=1g843>h59l0>76g80;29 77a2>=0b??j:798m3`=83.9=k487:l15`<032c=i7>5$33e>21<f;;n6554i7f94?"59o0<;6`=1d8:?>o1k3:1(??i:658j77b2h10e;l50;&15c<0?2d9=h4m;:k5=?6=,;;m6:94n33f>f=<a?21<7*=1g843>h59l0o76g97;29 77a2>=0b??j:d98m30=83.9=k487:l15`<a32c=97>5$33e>21<f;;n6<>4;h47>5<#:8l1;:5a20g954=<a?91<7*=1g843>h59l0:>65f6383>!46n3=<7c<>e;30?>o193:1(??i:658j77b28>07d8?:18'64`=?>1e><k51498m2`=83.9=k487:l15`<6>21b;h4?:%02b?103g8:i7?8;:k4`?6=,;;m6:94n33f>4><3`=h6=4+20d932=i:8o1=454i6`94?"59o0<;6`=1d82e>=n?h0;6)<>f;54?k46m3;i76g89;29 77a2>=0b??j:0a8?l12290/><h5769m64c=9m10e;o50;&15c<0?2d9=h4>e:9j1c<72-8:j798;o02a?7a32c257>5$33e><><f;;n6=54i8594?"59o0246`=1d82?>o>=3:1(??i:8:8j77b2;10e4:50;&15c<>02d9=h4<;:k:7?6=,;;m6464n33f>1=<a081<7*=1g8:<>h59l0>76g61;29 77a2020b??j:798m<6=83.9=k468:l15`<032c3j7>5$33e><><f;;n6554i9g94?"59o0246`=1d8:?>o?l3:1(??i:8:8j77b2h10e5m50;&15c<>02d9=h4m;:k;e?6=,;;m6464n33f>f=<a131<7*=1g8:<>h59l0o76g78;29 77a2020b??j:d98m=1=83.9=k468:l15`<a32c3:7>5$33e><><f;;n6<>4;h:6>5<#:8l1555a20g954=<a1>1<7*=1g8:<>h59l0:>65f8283>!46n3337c<>e;30?>o?:3:1(??i:8:8j77b28>07d6>:18'64`=111e><k51498md6=83.9=k468:l15`<6>21b5k4?:%02b???3g8:i7?8;:k:a?6=,;;m6464n33f>4><3`3o6=4+20d9===i:8o1=454i8a94?"59o0246`=1d82e>=n1k0;6)<>f;;;?k46m3;i76g6a;29 77a2020b??j:0a8?l?1290/><h5999m64c=9m10e5l50;&15c<>02d9=h4>e:9j<5<72-8:j777;o02a?7a32cj97>5$33e>d2<f;;n6=54i`194?"59o0j86`=1d82?>of:3:1(??i:`68j77b2;10el?50;&15c<f<2d9=h4<;:kbe?6=,;;m6l74n33f>5=<ah21<7*=1g8b=>h59l0:76gn7;29 77a2h30b??j:398md0=83.9=k4n9:l15`<432c>h94?:%02b?3c;2d9=h4?;:k6`7<72-8:j7;k3:l15`<632c>h<4?:%02b?3c;2d9=h4=;:k6`5<72-8:j7;k3:l15`<432c>h44?:%02b?3c02d9=h4?;:k6`2<72-8:j7;k8:l15`<632c>h;4?:%02b?3c02d9=h4=;:k6`0<72-8:j7;k8:l15`<432eh87>5$33e>f5<f;;n6=54ob094?"59o0h?6`=1d82?>id83:1(??i:b18j77b2;10coh50;&15c<d;2d9=h4<;:maa?6=,;;m6n=4n33f>1=<gkn1<7*=1g8`7>h59l0>76amc;29 77a2j90b??j:798kgd=83.9=k4l3:l15`<032eim7>5$33e>f5<f;;n6554oc;94?"59o0h?6`=1d8:?>ie03:1(??i:b18j77b2h10co950;&15c<d;2d9=h4m;:ma1?6=,;;m6n=4n33f>f=<gk>1<7*=1g8`7>h59l0o76am3;29 77a2j90b??j:d98kg4=83.9=k4l3:l15`<a32ei=7>5$33e>f5<f;;n6<>4;n`3>5<#:8l1o>5a20g954=<ghl1<7*=1g8`7>h59l0:>65`ad83>!46n3i87c<>e;30?>ifl3:1(??i:b18j77b28>07bol:18'64`=k:1e><k51498kfd=83.9=k4l3:l15`<6>21dol4?:%02b?e43g8:i7?8;:m`=?6=,;;m6n=4n33f>4><3fi36=4+20d9g6=i:8o1=454ob594?"59o0h?6`=1d82e>=hk?0;6)<>f;a0?k46m3;i76al5;29 77a2j90b??j:0a8?je6290/><h5c29m64c=9m10co850;&15c<d;2d9=h4>e:9leg<72-8:j7m<;o02a?7a32en:7>5$33e>`3<f;;n6=54od694?"59o0n96`=1d82?>ib:3:1(??i:d78j77b2;10ch?50;&15c<b=2d9=h4<;:mf4?6=,;;m6h;4n33f>1=<gml1<7*=1g8f1>h59l0>76ake;29 77a2l?0b??j:798kab=83.9=k4j5:l15`<032eoo7>5$33e>`3<f;;n6554oe`94?"59o0n96`=1d8:?>ici3:1(??i:d78j77b2h10ci750;&15c<b=2d9=h4m;:mg3?6=,;;m6h;4n33f>f=<gm<1<7*=1g8f1>h59l0o76ak5;29 77a2l?0b??j:d98ka2=83.9=k4j5:l15`<a32eo?7>5$33e>`3<f;;n6<>4;nf1>5<#:8l1i85a20g954=<gm;1<7*=1g8f1>h59l0:>65`d183>!46n3o>7c<>e;30?>idn3:1(??i:d78j77b28>07bmj:18'64`=m<1e><k51498k`b=83.9=k4j5:l15`<6>21din4?:%02b?c23g8:i7?8;:mff?6=,;;m6h;4n33f>4><3foj6=4+20d9a0=i:8o1=454od;94?"59o0n96`=1d82e>=hm10;6)<>f;g6?k46m3;i76aj7;29 77a2l?0b??j:0a8?jc4290/><h5e49m64c=9m10ci650;&15c<b=2d9=h4>e:9lga<72-8:j7k:;o02a?7a32em?7>5$33e>c4<f;;n6=54og394?"59o0m>6`=1d82?>ia83:1(??i:g08j77b2;10chh50;&15c<a:2d9=h4<;:me<?6=,;;m6k94n33f>5=<go<1<7*=1g8e3>h59l0:76ai5;29 77a2o=0b??j:398kc2=83.9=k4i7:l15`<432wi>i;50;794?6|,;9:6<86;I7f5>N2km1/=<;5259j55?=831b==o50;9j55d=831b==m50;9l50c=831vn?j>:180>5<7s-88=7?94:J6a4=O=jn0(<?::328m46>2900e<>n:188k43b2900qo:67;297?6=8r.9?<4>659K1`7<@<io7)?>5;30?l7713:17d??a;29?j72m3:17pl=f883>1<729q/>>?51718L0c63A?hh6*>14814>o6800;66g>0`83>>i6=l0;66a=1`83>>{e:><1<7:50;2x 75628<=7E;j1:J6ga=#98?1>>5f11;94?=n99k1<75f11`94?=h9<o1<75rb3de>5<2290;w)<<1;35=>N2m81C9nj4$036>72<a8:26=44i02b>5<<a8:i6=44i02`>5<<g8?n6=44}c05`?6=;3:1<v*=308221=O=l;0D8mk;%321?473`;;57>5;h33e?6=3f;>i7>5;|`12`<72=0;6=u+2239530<@<o:7E;ld:&250<5;2c:<44?::k24d<722c:<o4?::m21`<722wi>;h50;794?6|,;9:6<86;I7f5>N2km1/=<;5259j55?=831b==o50;9j55d=831b==m50;9l50c=831vn?9?:185>5<7s-88=7?98:J6a4=O=jn0(<?::368m46>2900e<>n:188m46e2900e<>l:188k43b2900c??n:188yg4ak3:197>50z&174<6>01C9h?4H4ag?!76=38?7d??9;29?l77i3:17d??b;29?l77k3:17b?:e;29?xd5ko0;694?:1y'667=9?<0D8k>;I7``>"69<09?6g>0883>>o68h0;66g>0c83>>i6=l0;66sm31194?3=83:p(?=>:04:?M3b92B>oi5+107961=n9931<75f11c94?=n99h1<75f11a94?=h9<o1<75rs65;>5<2sW=<463<c9824<=:=k?1==74=434>46>34?::7??9:\7fp1cc=83?;nvP9019]1`g<V>2;7S98e:\6a<=Y?>h0R:>k;_54b>X08l1U;>84^4d6?[3a<2T>ji5Q5ga8Z0`e3W?mm6P:f89]1c><V<l<7S;i6:\6b6=Y=o8018k=:025?83d;3?o70;m8;7g?83d:3?o70;l1;7g?83d83?o70;lb;7g?83di3?o70=6e;7f?85>l3?n70=6c;7f?85>j3?n70=6a;7f?85>13?n70=m5;7f?85e<3?n70=m3;7f?85e:3?n70=m1;7f?85e83?n70:9d;7f?821k3?n70:9b;7f?821i3?n70:99;7f?82103?n70:74;7f?82?;3?n70:72;7f?82?93?n70:70;7f?820n3?n70=k7;7f?85b13?n70=j7;7f?85b=3?n70=j3;7f?85b93?n70=kf;7f?85cl3?n70=kb;7f?85c13?n70=k5;7f?82fk3?n70:me;7f?82ek3?n70:ma;7f?82e03?n70:m6;7f?82e<3?n70:m2;7f?82e83?n70:ne;7f?82fi3?n70;na;73?83fi3?:70;na;013>;2ih09>5525`c967`<5<kj6><4=4cb>62<5<kj6>=4=4cb>7e<5<kj69<4=4cb>12<5<kj69=4=4cb>6e<5<kj6:64=4cb>20<5<kj6::4=4cb>25<5<kj6:<4=4cb>27<5<kj6:>4=4cb>3`<5<kj6;k4=4cb>3b<5<kj6;m4=4cb>3d<5<kj6;74=4cb>3><5<kj6;94=4cb>30<5<kj6;;4=4cb>32<5<kj6;=4=4cb>34<5<kj6;?4=4cb>36<5<kj6:h4=4cb>2c<5<kj6474=4cb><1<5<kj64;4=4cb><2<5<kj64=4=4cb><4<5<kj64?4=4cb><6<5<kj65h4=4cb>=c<5<kj65j4=4cb>=e<5<kj65o4=4cb>=?<5<kj6564=4cb>=1<5<kj6584=4cb>=3<5<kj65:4=4cb>=5<5<kj65<4=4cb>=7<5<kj6l>4=4cb><`<5<kj64k4=4cb><b<5<kj64m4=4cb><d<5<kj64o4=4cb><0<5<kj65l4=4cb>=6<5<kj6l;4=4cb>d5<5<kj6l<4=4cb>dg<5<kj6l64=4cb>d1<5<kj6l84=4cb>0b>34?jm7;k7:?6ed<2l?169lo55e7890g>2<:018o6:43890g>2;8<70;n9;01<>;2i009>k525`;977=:=h31?9525`;976=:=h31>n525`;907=:=h3189525`;906=:=h31?n525`;93==:=h31;;525`;931=:=h31;>525`;937=:=h31;<525`;935=:=h31:k525`;92`=:=h31:i525`;92f=:=h31:o525`;92<=:=h31:5525`;922=:=h31:;525`;920=:=h31:9525`;926=:=h31:?525`;924=:=h31:=525`;93c=:=h31;h525`;9=<=:=h315:525`;9=0=:=h3159525`;9=6=:=h315?525`;9=4=:=h315=525`;9<c=:=h314h525`;9<a=:=h314n525`;9<d=:=h3144525`;9<==:=h314:525`;9<3=:=h3148525`;9<1=:=h314>525`;9<7=:=h314<525`;9e5=:=h315k525`;9=`=:=h315i525`;9=f=:=h315o525`;9=d=:=h315;525`;9<g=:=h314=525`;9e0=:=h31m>525`;9e7=:=h31ml525`;9e==:=h31m:525`;9e3=:=h319i74=4c:>0b034?j57;k6:?6e<<2l<169l65519>1d>==8169l65235890g?2;8370;n8;01b>;2i108>63:a9800>;2i108?63:a981g>;2i10?>63:a9870>;2i10??63:a980g>;2i10<463:a9842>;2i10<863:a9847>;2i10<>63:a9845>;2i10<<63:a985b>;2i10=i63:a985`>;2i10=o63:a985f>;2i10=563:a985<>;2i10=;63:a9852>;2i10=963:a9850>;2i10=?63:a9856>;2i10==63:a9854>;2i10<j63:a984a>;2i102563:a98:3>;2i102963:a98:0>;2i102?63:a98:6>;2i102=63:a98:4>;2i103j63:a98;a>;2i103h63:a98;g>;2i103m63:a98;=>;2i103463:a98;3>;2i103:63:a98;1>;2i103863:a98;7>;2i103>63:a98;5>;2i10j<63:a98:b>;2i102i63:a98:`>;2i102o63:a98:f>;2i102m63:a98:2>;2i103n63:a98;4>;2i10j963:a98b7>;2i10j>63:a98be>;2i10j463:a98b3>;2i10j:63:a986`<=:=h219i94=4c;>0b134?j47;k5:?6e2<2827>m:4:1:?6e2<5:>169l9523:890g02;8m70;n7;11?83f?39?70;n7;10?83f?38h70;n7;61?83f?3>?70;n7;60?83f?39h70;n7;5;?83f?3==70;n7;57?83f?3=870;n7;51?83f?3=:70;n7;53?83f?3<m70;n7;4f?83f?3<o70;n7;4`?83f?3<i70;n7;4:?83f?3<370;n7;44?83f?3<=70;n7;46?83f?3<?70;n7;40?83f?3<970;n7;42?83f?3<;70;n7;5e?83f?3=n70;n7;;:?83f?33<70;n7;;6?83f?33?70;n7;;0?83f?33970;n7;;2?83f?33;70;n7;:e?83f?32n70;n7;:g?83f?32h70;n7;:b?83f?32270;n7;:;?83f?32<70;n7;:5?83f?32>70;n7;:7?83f?32870;n7;:1?83f?32:70;n7;c3?83f?33m70;n7;;f?83f?33o70;n7;;`?83f?33i70;n7;;b?83f?33=70;n7;:a?83f?32;70;n7;c6?83f?3k870;n7;c1?83f?3kj70;n7;c;?83f?3k<70;n7;c5?83f?3?o563:a686`2=:=h=19i84=4c4>0b234?j:7;?;<7b2?3634?j:7<=7:?6e3<5:1169l8523d890g12:8018o9:26890g12:9018o9:3a890g12=8018o9:56890g12=9018o9:2a890g12>2018o9:64890g12>>018o9:61890g12>8018o9:63890g12>:018o9:7d890g12?o018o9:7f890g12?i018o9:7`890g12?3018o9:7:890g12?=018o9:74890g12??018o9:76890g12?9018o9:70890g12?;018o9:72890g12>l018o9:6g890g1203018o9:85890g120?018o9:86890g1209018o9:80890g120;018o9:82890g121l018o9:9g890g121n018o9:9a890g121k018o9:9;890g1212018o9:95890g121<018o9:97890g121>018o9:91890g1218018o9:93890g12h:018o9:8d890g120o018o9:8f890g120i018o9:8`890g120k018o9:84890g121h018o9:92890g12h?018o9:`1890g12h8018o9:`c890g12h2018o9:`5890g12h<018o9:4f:?83f>3?o;63:a786`3=:=h<19i;4=4`0>06<5<h868?4=4`0>74034?i?7<=8:?6f6<5:o169o=5339>1g5=;=169o=5329>1g5=:j169o=5439>1g5=<=169o=5429>1g5=;j169o=5799>1g5=??169o=5759>1g5=?:169o=5739>1g5=?8169o=5719>1g5=>o169o=56d9>1g5=>m169o=56b9>1g5=>k169o=5689>1g5=>1169o=5669>1g5=>?169o=5649>1g5=>=169o=5629>1g5=>;169o=5609>1g5=>9169o=57g9>1g5=?l169o=5989>1g5=1>169o=5949>1g5=1=169o=5929>1g5=1;169o=5909>1g5=19169o=58g9>1g5=0l169o=58e9>1g5=0j169o=58`9>1g5=00169o=5899>1g5=0>169o=5879>1g5=0<169o=5859>1g5=0:169o=5839>1g5=08169o=5a19>1g5=1o169o=59d9>1g5=1m169o=59b9>1g5=1k169o=59`9>1g5=1?169o=58c9>1g5=09169o=5a49>1g5=i:169o=5a39>1g5=ih169o=5a99>1g5=i>169o=5a79>1g5==m3018l<:4f4?83e;3?o:63:b286`0=:=k819=525c0914=:=k81>?94=4`1>74?34?i>7<=f:?6f7<4:27>n?4<4:?6f7<4;27>n?4=c:?6f7<3:27>n?4;4:?6f7<3;27>n?4<c:?6f7<0027>n?486:?6f7<0<27>n?483:?6f7<0:27>n?481:?6f7<0827>n?49f:?6f7<1m27>n?49d:?6f7<1k27>n?49b:?6f7<1127>n?498:?6f7<1?27>n?496:?6f7<1=27>n?494:?6f7<1;27>n?492:?6f7<1927>n?490:?6f7<0n27>n?48e:?6f7<>127>n?467:?6f7<>=27>n?464:?6f7<>;27>n?462:?6f7<>927>n?460:?6f7<?n27>n?47e:?6f7<?l27>n?47c:?6f7<?i27>n?479:?6f7<?027>n?477:?6f7<?>27>n?475:?6f7<?<27>n?473:?6f7<?:27>n?471:?6f7<f827>n?46f:?6f7<>m27>n?46d:?6f7<>k27>n?46b:?6f7<>i27>n?466:?6f7<?j27>n?470:?6f7<f=27>n?4n3:?6f7<f:27>n?4na:?6f7<f027>n?4n7:?6f7<f>27>n?4:d89>1g4==m=018l=:4f5?83e:3?o963:b0864>;2j80>=63:b08162=:=k;1>?64=4`2>74a34?i=7==;<7a5?5334?i=7=<;<7a5?4d34?i=7:=;<7a5?2334?i=7:<;<7a5?5d34?i=797;<7a5?1134?i=79;;<7a5?1434?i=79=;<7a5?1634?i=79?;<7a5?0a34?i=78j;<7a5?0c34?i=78l;<7a5?0e34?i=786;<7a5?0?34?i=788;<7a5?0134?i=78:;<7a5?0334?i=78<;<7a5?0534?i=78>;<7a5?0734?i=79i;<7a5?1b34?i=776;<7a5??034?i=77:;<7a5??334?i=77<;<7a5??534?i=77>;<7a5??734?i=76i;<7a5?>b34?i=76k;<7a5?>d34?i=76n;<7a5?>>34?i=767;<7a5?>034?i=769;<7a5?>234?i=76;;<7a5?>434?i=76=;<7a5?>634?i=7o?;<7a5??a34?i=77j;<7a5??c34?i=77l;<7a5??e34?i=77n;<7a5??134?i=76m;<7a5?>734?i=7o:;<7a5?g434?i=7o=;<7a5?gf34?i=7o7;<7a5?g034?i=7o9;<7a5?3c127>n<4:d69>1g7==m<018l>:4f6?83e83?;70;m0;72?83e8389;63:b1816==:=k:1>?h4=4`3>64<5<h;6>:4=4`3>65<5<h;6?m4=4`3>14<5<h;69:4=4`3>15<5<h;6>m4=4`3>2><5<h;6:84=4`3>22<5<h;6:=4=4`3>24<5<h;6:?4=4`3>26<5<h;6;h4=4`3>3c<5<h;6;j4=4`3>3e<5<h;6;l4=4`3>3?<5<h;6;64=4`3>31<5<h;6;84=4`3>33<5<h;6;:4=4`3>35<5<h;6;<4=4`3>37<5<h;6;>4=4`3>2`<5<h;6:k4=4`3><?<5<h;6494=4`3><3<5<h;64:4=4`3><5<5<h;64<4=4`3><7<5<h;64>4=4`3>=`<5<h;65k4=4`3>=b<5<h;65m4=4`3>=g<5<h;6574=4`3>=><5<h;6594=4`3>=0<5<h;65;4=4`3>=2<5<h;65=4=4`3>=4<5<h;65?4=4`3>d6<5<h;64h4=4`3><c<5<h;64j4=4`3><e<5<h;64l4=4`3><g<5<h;6484=4`3>=d<5<h;65>4=4`3>d3<5<h;6l=4=4`3>d4<5<h;6lo4=4`3>d><5<h;6l94=4`3>d0<5<h;68j6;<7a4?3c?27>n=4:d79>1g6==m?018oi:42890ga2<;018oi:304?83fn389463:ag816c=:=hl1??525`d971=:=hl1?>525`d96f=:=hl18?525`d901=:=hl18>525`d97f=:=hl1;5525`d933=:=hl1;9525`d936=:=hl1;?525`d934=:=hl1;=525`d92c=:=hl1:h525`d92a=:=hl1:n525`d92g=:=hl1:4525`d92==:=hl1::525`d923=:=hl1:8525`d921=:=hl1:>525`d927=:=hl1:<525`d925=:=hl1;k525`d93`=:=hl154525`d9=2=:=hl158525`d9=1=:=hl15>525`d9=7=:=hl15<525`d9=5=:=hl14k525`d9<`=:=hl14i525`d9<f=:=hl14l525`d9<<=:=hl145525`d9<2=:=hl14;525`d9<0=:=hl149525`d9<6=:=hl14?525`d9<4=:=hl1m=525`d9=c=:=hl15h525`d9=a=:=hl15n525`d9=g=:=hl15l525`d9=3=:=hl14o525`d9<5=:=hl1m8525`d9e6=:=hl1m?525`d9ed=:=hl1m5525`d9e2=:=hl1m;525`d91a?<5<km68j8;<7bb?3c>27>mk4:d49>1dc==9169lk5509>1dc=:;=018oj:30;?83fm389j63:ad806>;2il08?63:ad81g>;2il0?>63:ad877>;2il08o63:ad84<>;2il0<:63:ad840>;2il0<?63:ad846>;2il0<=63:ad844>;2il0=j63:ad85a>;2il0=h63:ad85g>;2il0=n63:ad85=>;2il0=463:ad853>;2il0=:63:ad851>;2il0=863:ad857>;2il0=>63:ad855>;2il0=<63:ad84b>;2il0<i63:ad84`>;2il0<o63:ad84f>;2il0<m63:ad8:=>;2il02;63:ad8:1>;2il02863:ad8:7>;2il02>63:ad8:5>;2il02<63:ad8;b>;2il03i63:ad8;`>;2il03o63:ad8;e>;2il03563:ad8;<>;2il03;63:ad8;2>;2il03963:ad8;0>;2il03?63:ad8;6>;2il03=63:ad8b4>;2il02j63:ad8:a>;2il02h63:ad8:g>;2il02n63:ad8:e>;2il02:63:ad8;f>;2il03<63:ad8b1>;2il0j?63:ad8b6>;2il0j=63:ad8be>;2il0j463:ad8b3>;2il0j:63:ad86`<=:=ho19i94=4cf>0b134?ji7;k5:?6ea<2827>mi4:1:?6ea<5:>169lj523:890gc2;8m70;nd;11?83fl39870;nd;0`?83fl3>970;nd;60?83fl39h70;nd;5;?83fl3==70;nd;57?83fl3=870;nd;51?83fl3=:70;nd;53?83fl3<m70;nd;4f?83fl3<o70;nd;4`?83fl3<i70;nd;4:?83fl3<370;nd;44?83fl3<=70;nd;46?83fl3<?70;nd;40?83fl3<970;nd;42?83fl3<;70;nd;5e?83fl3=n70;nd;5g?83fl3=h70;nd;5a?83fl3=j70;nd;;:?83fl33<70;nd;;6?83fl33?70;nd;;0?83fl33970;nd;;2?83fl33;70;nd;:e?83fl32n70;nd;:g?83fl32h70;nd;:b?83fl32270;nd;:;?83fl32<70;nd;:5?83fl32>70;nd;:7?83fl32870;nd;:1?83fl32:70;nd;c3?83fl33m70;nd;;f?83fl33o70;nd;;`?83fl33i70;nd;;b?83fl33=70;nd;:a?83fl32;70;nd;c6?83fl3k870;nd;c1?83fl3k:70;nd;cb?83fl3k370;nd;c4?83fl3k=70;nd;7g=>;2im0>h:525`f91a0<5<ko68j:;<7bg?3734?jo7;>;<7bg?45?27>mn4=299>1de=:;l018ol:20890gd2:9018ol:3a890gd2=8018ol:51890gd2:i018ol:6:890gd2><018ol:66890gd2>9018ol:60890gd2>;018ol:62890gd2?l018ol:7g890gd2?n018ol:7a890gd2?h018ol:7;890gd2?2018ol:75890gd2?<018ol:77890gd2?>018ol:71890gd2?8018ol:73890gd2?:018ol:6d890gd2>o018ol:6f890gd2>i018ol:6`890gd2>k018ol:8;890gd20=018ol:87890gd20>018ol:81890gd208018ol:83890gd20:018ol:9d890gd21o018ol:9f890gd21i018ol:9c890gd213018ol:9:890gd21=018ol:94890gd21?018ol:96890gd219018ol:90890gd21;018ol:`2890gd20l018ol:8g890gd20n018ol:8a890gd20h018ol:8c890gd20<018ol:9`890gd21:018ol:`7890gd2h9018ol:`0890gd2h;018ol:`c890gd2h2018ol:`5890gd2h<018ol:4f:?83fk3?o;63:ab86`3=:=hi19i;4=4ca>06<5<ki68?4=4ca>74034?jn7<=8:?6eg<5:o169ll5339>1dd=;:169ll52b9>1dd=<;169ll5429>1dd=;j169ll5799>1dd=??169ll5759>1dd=?:169ll5739>1dd=?8169ll5719>1dd=>o169ll56d9>1dd=>m169ll56b9>1dd=>k169ll5689>1dd=>1169ll5669>1dd=>?169ll5649>1dd=>=169ll5629>1dd=>;169ll5609>1dd=>9169ll57g9>1dd=?l169ll57e9>1dd=?j169ll57c9>1dd=?h169ll5989>1dd=1>169ll5949>1dd=1=169ll5929>1dd=1;169ll5909>1dd=19169ll58g9>1dd=0l169ll58e9>1dd=0j169ll58`9>1dd=00169ll5899>1dd=0>169ll5879>1dd=0<169ll5859>1dd=0:169ll5839>1dd=08169ll5a19>1dd=1o169ll59d9>1dd=1m169ll59b9>1dd=1k169ll59`9>1dd=1?169ll58c9>1dd=09169ll5a49>1dd=i:169ll5a39>1dd=i8169ll5a`9>1dd=i1169ll5a69>1dd=i?169ll55e;890ge2<n<70;nb;7g2>;2ik0>h8525`7915=:=h?19<525`79671<5<k>6?<7;<7b1?45n27>m84<2:?6e0<4;27>m84=c:?6e0<3:27>m84;3:?6e0<4k27>m8488:?6e0<0>27>m8484:?6e0<0;27>m8482:?6e0<0927>m8480:?6e0<1n27>m849e:?6e0<1l27>m849c:?6e0<1j27>m8499:?6e0<1027>m8497:?6e0<1>27>m8495:?6e0<1<27>m8493:?6e0<1:27>m8491:?6e0<1827>m848f:?6e0<0m27>m848d:?6e0<0k27>m848b:?6e0<0i27>m8469:?6e0<>?27>m8465:?6e0<><27>m8463:?6e0<>:27>m8461:?6e0<>827>m847f:?6e0<?m27>m847d:?6e0<?k27>m847a:?6e0<?127>m8478:?6e0<??27>m8476:?6e0<?=27>m8474:?6e0<?;27>m8472:?6e0<?927>m84n0:?6e0<>n27>m846e:?6e0<>l27>m846c:?6e0<>j27>m846a:?6e0<>>27>m847b:?6e0<?827>m84n5:?6e0<f;27>m84n2:?6e0<f927>m84na:?6e0<f027>m84n7:?6e0<f>27>m84:d89>1d3==m=018o::4f5?83f=3?o96s|76c94?5|V>=j70;l3;014>;2j109>=5rs62;>5<5sW=;463<c68164=z{>3i6=47{_5:f>;3110:<45248;955?<5=3j6<>6;<6:f?77127?5n4>089>0<b=99301978:02:?xu0=l0;6;jt^67f?83ei3>m70;m9;6e?83e03>m70;l2;6e?83d93>m70;l0;6e?85d<3>m70=l7;6e?850k3>m70=8d;6e?85?83>m70=71;6e?85?:3>m70=73;6e?85?<3>m70=75;6e?85?>3>m70=77;6e?850m3>m70=8f;6e?84bi3>m70<j9;6e?84a:3>m70<i1;6e?84a83>m70<jf;6e?84bm3>m70<jd;6e?84bk3>m70<jb;6e?84b03>m70<j7;6e?853=3>m70=;4;6e?853l3>m70=;c;6e?853j3>m70=;a;6e?85313>m70=;8;6e?853?3>m70=;6;6e?853;3>m70=;2;6e?855l3>m70==c;6e?854=3>m70=<4;6e?854;3>m70=<2;6e?85493>m70=<0;6e?855n3>m70==e;6e?855j3>m70==a;6e?84??3>m70<76;6e?84?n3>m70<7e;6e?84?l3>m70<7c;6e?84?j3>m70<7a;6e?84?13>m70<78;6e?84?=3>m70<74;6e?827>3>m70:?f;6e?827?3>m70:?d;6e?827k3>m70:?e;6e?827i3>m70:?9;6e?827j3>m70:?5;6e?827<3>m70:?8;6e?826n3>m70:>e;6e?825?3>m70:=6;6e?825=3>m70:=4;6e?825;3>m70:=2;6e?82593>m70:=0;6e?826l3>m70:>c;6e?83313>m70;na;7;?83f13?370;n8;7;?83f?3?370;n6;7;?83e;3?370;m2;7;?83e93?370;m0;7;?83fn3?370;ne;7;?83fl3?370;nc;7;?83fj3?370;n5;7;?xu08h0;6?uQ71c891?32;8:7p}88083>21|V>2:70;l5;6e?83d;3>m70;l4;6e?83dj3>m70;la;6e?82><3>m70:63;6e?823j3>m70:;c;6e?823n3>m70::0;6e?82293>m70::2;6e?822;3>m70::4;6e?822=3>m70::6;6e?823l3>m70:;e;6e?842?3>m70<:6;6e?842n3>m70<:e;6e?842l3>m70<:c;6e?842j3>m70<:a;6e?84213>m70<:8;6e?842=3>m70<:4;6e?84e?3>m70<m6;6e?84en3>m70<me;6e?84el3>m70<mc;6e?84ej3>m70<ma;6e?84e13>m70<m8;6e?84e=3>m70<m4;6e?84>n3>m70<6e;6e?84f?3>m70<n6;6e?84f=3>m70<n4;6e?84f;3>m70<n2;6e?84f93>m70<n0;6e?84>l3>m70<6c;6e?856=3>m70=>4;6e?856l3>m70=>c;6e?856j3>m70=>a;6e?85613>m70=>8;6e?856?3>m70=>6;6e?856;3>m70=>2;6e?82cj3>m70:j4;6e?82ck3>m70:j2;6e?82b93>m70:j3;6e?82cn3>m70:ke;6e?82b83>m70:ka;6e?82c13>m70:kd;6e?82a=3>m70:i1;6e?82a:3>m70:i8;6e?82a>3>m70:i7;6e?82aj3>m70:i9;6e?82ai3>m70:i4;6e?82ak3>m70:i3;6e?837k3>m70;?b;6e?836<3>m70;>3;6e?836:3>m70;>1;6e?83683>m70;?f;6e?837m3>m70;?d;6e?837i3>m70;?9;6e?83fi3?<70;n9;74?83f03?<70;n7;74?83f>3?<70;m3;74?83e:3?<70;m1;74?83e83?<70;nf;74?83fm3?<70;nd;74?83fk3?<70;nb;74?83f=3?<7p}89083>7}Y?1?019:j:302?xu00o0;6?uQ7968912c2;8:7p}89`83>7}Y?1n019;9:302?xu0100;6?uQ79a891322;8:7p}89983>7}Y?1h019;;:302?xu01>0;6?uQ79c891342;8:7p}89783>7}Y?13019;=:302?xu01<0;6?uQ79:891362;8:7p}89583>7}Y?1=019;?:302?xu01:0;6?uQ7948912a2;8:7p}89383>7}Y?19019:l:302?xu00l0;6?uQ7908912e2;8:7p}80383>7}Y>j;018:8:33b?xu0890;6?uQ6b2890212;;j7p}9fg83>7}Y>kl018:::33b?xu1nl0;6?uQ6cg890232;;j7p}9fe83>7}Y>kn018:<:33b?xu1nk0;6?uQ6c`890252;;j7p}9f`83>7}Y>kk018:>:33b?xu1n00;6?uQ6c;890272;;j7p}9f983>7}Y>k2018=i:33b?xu1n>0;6?uQ6c58905b2;;j7p}9f783>7}Y>k<018=k:33b?xu1n<0;6?uQ6c78905d2;;j7p}9f583>7}Y>k>018=m:33b?xu1n:0;6?uQ6c18905f2;;j7p}9f383>7}Y>k8018=6:33b?xu1n90;6?uQ6c28905?2;;j7p}9eg83>7}Y>hl018=8:33b?xu1ml0;6?uQ6`g890512;;j7p}9ee83>7}Y>hn018=::33b?xu1mj0;6?uQ6`a890532;;j7p}9ec83>7}Y>hh018=<:33b?xu1mh0;6?uQ6`c890552;;j7p}9e883>7}Y>h3018=>:33b?xu1m10;6?uQ6`:890572;;j7p}9e683>7}Y>h=018<i:33b?xu1m<0;6?uQ6`78904b2;;j7p}9e583>7}Y>h>018<k:33b?xu1m:0;6?uQ6`18904d2;;j7p}9e383>7}Y>h8018<m:33b?xu1m80;6?uQ6`38904f2;;j7p}9e183>7}Y>h:018<6:33b?xu1lo0;6?uQ68d8904?2;;j7p}9dd83>7}Y>0o018<8:33b?xu1lm0;6?uQ68f890412;;j7p}9db83>7}Y>0i018<::33b?xu1lh0;6?uQ68c890432;;j7p}9d883>7}Y>03018<<:33b?xu1l10;6?uQ68:890452;;j7p}9d683>7}Y>0=018<>:33b?xu1l?0;6?uQ684890472;;j7p}9d483>7}Y>0?018?i:33b?xu1l=0;6?uQ6868907b2;;j7p}9d283>7}Y>09018?k:33b?xu1l;0;6?uQ6808907d2;;j7p}9d083>7}Y>0;018?m:33b?xu1ko0;6?uQ69d890gb2l=0q~8le;296~X10l169lk5e29~w3ec2909wS87d:?6e`<c02wx:nm50;0xZ3>d34?ji7mk;|q5gg<72;qU:5l4=4cg>`1<uz<hm7>52z\5<d=:=hn1i>5rs7a:>5<5sW<3563:ae8g<>{t>j21<7<t^7:;?83fl3io7p}9c683>7}Y>1=018ol:d58yv0d>3:1>vP9879>1de=m:1v\7f:>9:181\7f[0d<27>mn4k8:\7fp353=838pR;m<;<7bg?ec3ty<<94?:3y]2f4<5<ki6h94}r537?6=:rT=nn525``9a6=z{?lh6=4={_4a5>;2ik0o46s|6g394?4|V?k=70;nb;ag?xu1m?0;6?uQ68`890g22l=0q~8kb;296~X119169l;5e29~w3b72909wS875:?6e0<c02wx:n;50;0xZ3>334?j97mk;|q42`<72;qU;;<4=25e>7463ty<:n4?:3y]337<5:=n6?<>;|q432<72;qU;;o4=2:4>7463ty<;;4?:3y]33?<5:2=6?<>;|q430<72;qU;;64=2:6>7463ty<;94?:3y]331<5:2?6?<>;|q436<72;qU;;84=2:0>7463ty<;?4?:3y]333<5:296?<>;|q434<72;qU;;:4=2:2>7463ty<;=4?:3y]335<5:2;6?<>;|q42c<72;qU;;>4=25g>7463ty<:o4?:3y]30`<5:=h6?<>;|q53c<72:qU:>k4=4cb>d7<5<k26l?4}r44`?6=;rT=?i525`c93a=:=h31;i5rs75`>5<4sW<8o63:a`84g>;2i00<o6s|66`94?5|V?9i70;na;5a?83f13=i7p}97`83>6}Y>:k018on:6c890g>2>k0q~888;297~X1;1169lo5789>1d?=?01v\7f;98:180\7f[04?27>ml485:?6e<<0=2wx::850;1xZ35134?jm78n;<7b=?0f3ty=;84?:2y]263<5<kj68h4=4c:>0`<uz<<87>53z\571=:=h21m<525`59e4=z{?=86=4<{_407>;2i10<h63:a684`>{t>>81<7=t^711?83f03=h70;n7;5`?xu1?80;6>uQ623890g?2>h018o8:6`8yv0083:1?vP9319>1d>=?h169l957`9~w30a2908wS8=f:?6e=<0127>m:489:\7fp23b=839pR;<k;<7b<?1234?j;79:;|q52f<72:qU:?m4=4c;>3g<5<k<6;o4}r45f?6=;rT=>o525`:91c=:=h=19k5rs74b>5<4sW<9m63:a78b5>;2j:0j=6s|67;94?5|V?8270;n6;5g?83e;3=o7p}96983>6}Y>;2018o9:6a890d42>i0q~897;297~X1:>169l857c9>1g5=?k1v\7f;89:180\7f[05>27>m;48a:?6f6<0i2wx:;;50;1xZ34234?j:796;<7a7?1>3ty=:94?:2y]272<5<k=6:;4=4`0>23<uz<=>7>53z\567=:=h<1:l525c192d=z{?<:6=4<{_415>;2i?0>j63:b286b>{t>?:1<7=t^703?83e:3k:70;m1;c2?xu1=o0;6>uQ60d890d52>n018l>:6f8yv02m3:1?vP91d9>1g4=?j169o?57b9~w33c2908wS8>d:?6f7<0j27>n<48b:\7fp20e=839pR;?l;<7a6?1f34?i=79n;|q51g<72:qU:<l4=4`1>2?<5<h:6:74}r46e?6=;rT==l525c0930=:=k;1;85rs77:>5<4sW<:563:b385e>;2j80=m6s|64594?5|V?;<70;m2;7e?83e93?m7p}95783>6}Y>8<018l?:`3890ga2h;0q~8:5;297~X19<169o>57e9>1d`=?m1v\7f;;;:180\7f[06<27>n=48c:?6ec<0k2wx:8=50;1xZ37434?i<79m;<7bb?1e3ty=9?4?:2y]244<5<h;6:o4=4ce>2g<uz<>=7>53z\554=:=k:1;4525`d93<=z{??;6=4<{_424>;2j90<963:ag841>{t>=l1<7=t^72e?83e83<j70;nf;4b?xu1<l0;6>uQ61g890d72<l018oi:4d8yv03k3:1>vP90b9>1dc=?01v\7f;:m:181\7f[07j27>mh485:\7fp21g=838pR;>n;<7ba?0f3ty=844?:3y]25?<5<kn68h4}r47<?6=:rT=<5525`f93<=z{?><6=4={_433>;2im0<96s|65494?4|V?:=70;nd;4b?xu1<<0;6?uQ617890gc2<l0q~8;4;296~X18=169lm5789~w3242909wS8?3:?6ef<0=2wx:5=50;0xZ32634?jo78n;|q5<7<72;qU:9>4=4c`>0`<uz<3=7>52z\57c=:=hh1;45rs7:3>5<5sW<8563:ac841>{t>>31<7<t^70f?83fj3<j7p}96d83>7}Y>;9018om:4d8yv01;3:1>vP9199>1d3=?01v\7f;;7:181\7f[07l27>m8485:\7fp21b=838pR;>=;<7b1?0f3ty=8?4?:3y]257<5<k>68h4}r35a?6=9;q69h=520a8963>2<<01>9?:448914?2<<019=i:44896?>2<<01>l?:448910?2<<0199i:44896ce2<<01>j::44891e72<<019on:44890gb2ok018ok:gc890gd2ok018om:gc890g22ok0q~;m9;297~;2jh0>h63:b88164=:=k21995rs4`b>5<5s4?im7<=1:?6ff<6801v\7f8m;:180\7f83d=3?o70;l3;77?83d<389=6s|5b794?4|5<i>6?<>;<7`3?7712wx9o650;1x90d>2<n018l7:302?83ek3;;m6s|5b194?5|5<i86?<>;<7`0?3c34?h;7??a:\7fp1gd=83>p18m=:303?83d9389<63:c18165=:=ki1><o4}r7ab?6=:>q69n<5233896e32;8;70=l7;014>;4?j0>563<7e86=>;4090>563<8086=>;40;0>563<8286=>;40=0>563<8486=>;40?0>563<8686=>;4?l0>563<7g86=>;38?0>563;0g86=>;38>0>563;0e86=>;38j0>563;0d86=>;38h0>563;0886=>;38k0>563;0486=>;38=09>=5241:91<=:<8l1945240g91<=:<;=1945243491<=:<;?1945243691<=:<;91945243091<=:<;;1945243291<=:<8n1945240a91<=z{<hn6=4<1z?6g4<5:816>ho5589>6`?==016>k<5589>6c7==016>k>5589>6``==016>hk5589>6`b==016>hm5589>6`d==016>h65589>6`1==016?9;5589>712==016?9j5589>71e==016?9l5589>71g==016?975589>71>==016?995589>710==016?9=5589>714==016??j5589>77e==016?>;5589>762==016?>=5589>764==016?>?5589>766==016??h5589>77c==016??l5589>77g==016>595589>6=0==016>5h5589>6=c==016>5j5589>6=e==016>5l5589>6=g==016>575589>6=>==016>5;5589>6=2==01v\7f8lk:1827~;2k909><525c7955d<5<;<6<>l;<722?77k27>ml4=319>1d?=:::018o7:313?83f?388<63:a78175=:=k91>>>4=4`1>75734?i=7<<0:?6f5<5;9169lh5222890gb2;9;70;nd;004>;2ij09?=525``9666<5<k>6?=?;|q6g3<72:q69nl5232890ef2;8;70;l7;02e>{t=j31<7=<{<7`f?45927?594=219>0<5=:;:019:m:4;8912d2<3019:i:4;891372<3019;>:4;891352<3019;<:4;891332<3019;::4;891312<3019:k:4;8912b2<3019jm:4;891c32<3019jl:4;891c52<3019k>:4;891c42<3019ji:4;891bb2<3019k?:4;891bf2;8;70:k9;7:?82cl3?270:i5;7:?82a9389<63;f386=>;3n10>563;f786=>;3n>0>563;fc86=>;3n00>563;f`86=>;3n=0>563;fb86=>;3n:0>563:0b86=>;28k0>563:1586=>;29:0>563:1386=>;2980>563:1186=>;28o0>563:0d86=>;28m0>563:0`86=>;2800>56s|5b:94?56s4?hm7<=1:?112<212799;4:9:?11c<212799h4:9:?11a<212799n4:9:?11g<212799l4:9:?11<<21279954:9:?110<21279994:9:?1f2<21279n;4:9:?1fc<21279nh4:9:?1fa<21279nn4:9:?1fg<21279nl4:9:?1f<<21279n54:9:?1f0<21279n94:9:?1=c<212795h4:9:?1e2<21279m;4:9:?1e0<21279m94:9:?1e6<21279m?4:9:?1e4<21279m=4:9:?1=a<212795n4:9:?050<21278=94:9:?05a<21278=n4:9:?05g<21278=l4:9:?05<<21278=54:9:?052<21278=;4:9:?056<21278=?4:9:\7fp7f3=839p1>m;:4f896e02<n01>m9:33b?xu4k=0;68u23b69677<5:i36<>n;<7a1?77i27>=:4>0`9>140=99k0q~=78;296~;4?j0>h63<71815d=z{:2i6=4={<14`?3c349<?7<>a:\7fp7=e=838p1>6?:4f896132;;j7p}<8e83>7}:;1;19i52367964g<uz93i7>52z?0<7<2l278;;4=1`9~w6>a2909w0=73;7g?850?38:m6s|38294?4|5:2?68j4=25;>77f3ty85<4?:3y>7=3==m16?:7520c8yv5>:3:1>v3<8786`>;4?h09=l5rs2;0>5<5s493;7;k;<14f?46i2wx?5750;0x961b2<n01>9>:33b?xu40h0;6?u236d91a=:;>81><o4}r6:1?6=;r7?594:d:?7=6<2l27?5;4=1`9~w1?42903w0:63;015>;3110:<l5248;955g<5=3j6<>n;<6:f?77i27?5n4>0`9>0<b=99k01978:02b?xu3=>0;6?u245`91a=:<:l1><o4}r66e?6=:r7?8n4:d:?707<59h1v\7f9;m:181\7f823n3?o70:;3;02e>{t<<i1<7<t=573>0b<5=>?6??n;|q71a<72;q688?55e9>013=:8k0q~::e;296~;3=;0>h63;47815d=z{=?m6=4={<667?3c34>?;7<>a:\7fp036=838p19;;:4f8912?2;;j7p};6083>7}:<<?19i5245;964g<uz>=>7>52z?713<2l27?8l4=1`9~w13?2909w0:;d;7g?823838:m6s|44;94?4|5=>n68j4=562>77f3ty9ho4?:4y>732=99301>;6:4g897c02;8:70=mc;33g>;4i<0:<45rs533>5<6;r78:94>0`9>04e=:;;01?=l:02b?85f=3;;n63:a`871>;2i00?963:a9871>;2i>0?963:a7871>;2j:0?963:b3871>;2j80?963:b1871>;2io0?963:ad870>;2im0?863:ab870>;2ik0?863:a4870>{t;?>1<7=t=247>77f349>57<=9:?035<6=m1v\7f>;6:180\7f852138:m63<5c862>;4?:0>:6s|2eg94?3|5:<<6<>6;<16f?3b348n47<=1:?0ff<68h16?l;511c8yv26;3:1=9u2375955g<5=;o6?<>;<00g?771279?o4>0`9>7d3=99i018on:54890g>2=<018o7:54890g02=<018o9:54890d42=<018l=:54890d62=<018l?:54890ga2=<018oj:57890gc2=?018ol:57890ge2=?018o::578yv51?3:1?v3<66815d=:;<h1>?74=250>43c3ty89o4?:2y>70d=:8k01>;l:44896132<<0q~<kf;291~;4>10:<45234a91`=::lh1>??4=2`g>46d349j:7??9:\7fp042=83;?w0=98;33e>;3:909><5222`955?<5;9j6<>n;<1b2?77j27>ml4;7:?6e<<3?27>m54;7:?6e2<3?27>m;4;7:?6f6<3?27>n?4;7:?6f4<3?27>n=4;7:?6ec<3?27>mh4;6:?6ea<3>27>mn4;6:?6eg<3>27>m84;6:\7fp73>=839p1>87:33b?852k389563<75821a=z{:?h6=4<{<16g?46i2789i4:6:?030<2>2wx>h>50;7x960>28:270=:d;7f?84bk389=63<be824d=:;h<1==o4}r621?6=9=q6?;7511c891462;8:70<<a;33=>;5;00:<l523`4955e<5<kj6964=4c:>1><5<k36964=4c4>1><5<k=6964=4`0>1><5<h96964=4`2>1><5<h;6964=4ce>1><5<kn6994=4cg>11<5<kh6994=4ca>11<5<k>6994}r15=?6=;r78:44=1`9>70b=:;301>9::07g?xu4=m0;6>u234f964g<5:?n6884=255>00<uz8n=7>55z?02d<68016?8k55d9>6`b=:;;01>lj:02`?85f?3;;56s|40494?73s49=m7??a:?767<5:816>>7511;8975?28:j70=n7;33f>;2ih0?563:a887=>;2i10?563:a687=>;2i?0?563:b287=>;2j;0?563:b087=>;2j90?563:ag87=>;2il0?463:ae87<>;2ij0?463:ac87<>;2i<0?46s|37c94?5|5:<j6??n;<16a?451278;;4>5e9~w63b2908w0=:e;02e>;4=o0>:63<76862>{t:l81<7;t=24a>46>349>j7;j;<0fa?459278nh4>0`9>7d1=99k0q~:>7;2951}:;?h1==o4=500>746348847??9:?172<68h16?l9511a890gf2=k018o6:5c890g?2=k018o8:5c890g12=k018l<:5c890d52=k018l>:5c890d72=k018oi:5c890gb2=3018ok:5;890gd2=3018om:5;890g22=30q~=9b;297~;4>k09=l5234d967?<5:=<6<;k;|q01c<72:q6?8h520c896072<<01>97:448yv4b;3:19v3<6b824<=:;?:19h522dd9677<5:hm6<>l;<1b<?7712wx8<650;37\7f851k3;;m63;258164=:::=1==74=315>46f349j47??b:?6ed<3j27>m44;b:?6e=<3j27>m:4;b:?6e3<3j27>n>4;b:?6f7<3j27>n<4;b:?6f5<3j27>mk4;b:?6e`<3i27>mi4;a:?6ef<3i27>mo4;a:?6e0<3i2wx?;m50;1x960d2;;j70=90;01=>;4?10:9i5rs243>5<4s49=<7<>a:?024<2>278;44:6:\7fp6`2=83?p1>8k:02:?85193?n70<i0;015>;4jo0:<l523`:955g<uz>:57>515y>73b=99k019<::302?844>3;;563=34824d=:;h21==m4=4cb>6b<5<k26>j4=4c;>6b<5<k<6>j4=4c5>6b<5<h86>j4=4`1>6b<5<h:6>j4=4`3>6b<5<km6>j4=4cf>1d<5<ko69l4=4c`>1d<5<ki69l4=4c6>1d<uz9=h7>53z?02a<59h16?;?523;8961>28?o7p}<6083>6}:;?;1><o4=241>00<5:=j6884}r0f1?6==r78:h4>089>734==l16>k?5233896e728:h70=n9;33=>{t<8k1<7?;{<15a?77i27?>;4=209>663=99301?=;:02b?85f13;;n63:a`80a>;2i008i63:a980a>;2i>08i63:a780a>;2j:08i63:b380a>;2j808i63:b180a>;2io08i63:ad80`>;2im08h63:ab80`>;2ik08h63:a480`>{t;?o1<7=t=24f>77f349=>7<=9:?03d<6=m1v\7f>8=:180\7f851:38:m63<62862>;4?k0>:6s|2d494?3|5:<m6<>6;<157?3b348m>7<=1:?0g5<68h16?l7511c8yv26j3:1=9u237d955g<5=8<6?<>;<000?771279?>4>0`9>7d?=99i018on:2d890g>2:l018o7:2d890g02:l018o9:2d890d42:l018l=:2d890d62:l018l?:2d890ga2:l018oj:2g890gc2:o018ol:2g890ge2:o018o::2g8yv51n3:1?v3<6g815d=:;?91>?74=25a>43c3ty8:>4?:2y>735=:8k01>;n:44896162<<0q~<kc;291~;4><0:<45234c91`=::l31>??4=2a2>46d349jm7??9:\7fp047=83;?w0=95;33e>;39l09><52221955?<5;996<>n;<1be?77k27>ml4;0:?6e<<3827>m54;0:?6e2<3827>m;4;0:?6f6<3827>n?4;0:?6f4<3827>n=4;0:?6ec<3827>mh4<f:?6ea<4n27>mn4<f:?6eg<4n27>m84<f:\7fp733=839p1>8::33b?852i389563<70821a=z{:?j6=4={<16e?46i278;?4:6:\7fp6ab=83>p1>89:02:?84bi389=63<c0824d=:;hk1==o4}r626?6=lr78:;4>0`9>6=1==m168<h52338975528:270=na;33f>;29>0:<o52504955d<5<>j6<>6;<7ba?2734?jh7:?;<7bg?2734?jn7:?;<7b1?273ty8:;4?:3y>730=:8k01>9=:07g?xu5<10;69u2421955?<5;??6?<>;<6;f?77k27?;94>0b9~w1`c290:?v3;32824d=:<;219h5251;9677<5;><6<>n;<7be?5234?j57=:;<7b<?5234?j;7=:;<7b2?5234?i?7=:;<7a6?5234?i=7=:;<7a4?5234?jj7=:;<7ba?5334?jh7=;;<7bg?5334?jn7=;;<7b1?533ty??>4?:2y>065=:8k019<7:30:?824n3;>h6s|43:94?5|5=836??n;<61e?3134>?>7;9;|q10g<72=q68>8511;897322;8:70:7b;33e>;3?=0:<l5rs423>5<6<r7??;4>0`9>07g==l169=o52338972028:270<;6;33e>;2ih08:63:a8802>;2i108:63:a6802>;2i?08:63:b2802>;2j;08:63:b0802>;2j908:63:ag802>;2il08963:ae801>;2ij08963:ac801>;2i<0896s|42494?5|5=9=6??n;<61e?45127?8?4>5e9~w14f2908w0:=a;02e>;3:k0>:63;42862>{t:=i1<7:t=514>46>348>47<=1:?7<f<68j168:;511a8yv3793:1=9u2425955g<5=8i68k4=42g>746348?:7??9:?100<68h169lo5369>1d?=;>169l65369>1d1=;>169l85369>1g5=;>169o<5369>1g7=;>169o>5369>1d`=;>169lk5379>1db=;?169lm5379>1dd=;?169l;5379~w1502908w0:<7;02e>;3:k09>452451950b<uz>9n7>53z?76g<59h168?m5579>012==?1v\7f?:k:187\7f82403;;563=588164=:<1i1==o4=556>46f3ty><?4?:06x915?28:j70:=c;7f?837m389=63=44824<=::=>1==o4=4cb>6><5<k26>64=4c;>6><5<k<6>64=4c5>6><5<h86>64=4`1>6><5<h:6>64=4`3>6><5<km6>64=4cf>61<5<ko6>94=4c`>61<5<ki6>94=4c6>61<uz>847>53z?77=<59h168?m523;8912328?o7p};2b83>6}:<;i1><o4=50g>00<5=>>6884}r07a?6=<r7??44>089>60g=:;;0196k:02`?820>3;;o6s|51194?73s4>857??a:?76a<2m27><k4=209>612=99301?:<:02b?83fi39270;n9;1:?83f039270;n7;1:?83f>39270;m3;1:?83e:39270;m1;1:?83e839270;nf;1:?83fm39370;nd;1;?83fk39370;nb;1;?83f=3937p};3883>6}:<:31><o4=50g>74>34>?97?:d:\7fp07b=839p19<k:33b?825m3?=70:;6;75?xu5<o0;69u242c955?<5;?i6?<>;<6;`?77i27?;;4>0`9~w063290:8v3;3`824d=:<;o19h525029677<5;>86<>6;<076?77i27>ml4<a:?6e<<4i27>m54<a:?6e2<4i27>m;4<a:?6f6<4i27>n?4<a:?6f4<4i27>n=4<a:?6ec<4i27>mh4<9:?6ea<4127>mn4<9:?6eg<4127>m84<9:\7fp06g=839p19=n:33b?825m389563;47821a=z{=8n6=4<{<61a?46i27?>k4:6:?702<2>2wx>8>50;6x915e28:270<:c;015>;30l0:<n52465955e<uz?;97>515y>06d=99k019<i:4g890762;8:70<;2;33=>;5<80:<l525`c97g=:=h31?o525`:97g=:=h=1?o525`497g=:=k91?o525c097g=:=k;1?o525c297g=:=hl1?o525`g97d=:=hn1?l525`a97d=:=hh1?l525`797d=z{=9i6=4<{<60f?46i27?>k4=289>011=9<n0q~:=f;297~;3:o09=l52422913=:<=219;5rs372>5<3s4>8o7??9:?11a<5:81685k511c8911028:j7p}:0783>42|5=9h6<>n;<604?3b34?:>7<=1:?104<68016>9>511c890gf2;n018o6:3f890g?2;n018o8:3f890g12;n018l<:3f890d52;n018l>:3f890d72;n018oi:3f890gb2:h018ok:2`890gd2:h018om:2`890g22:h0q~:<c;297~;3;j09=l52422967?<5=>36<;k;|q775<72:q68>>520c891562<<019:6:448yv37?3:1=9u242f955?<5=9:68k4=430>746348?<7??9:?17c<68h169lo52d9>1d?=:l169l652d9>1d1=:l169l852d9>1g5=:l169o<52d9>1g7=:l169o>52d9>1d`=:l169lk52e9>1db=:m169lm52e9>1dd=:m169l;52e9~w735290?w0:<d;33e>;5=l09><5249d955e<5==36<>l;|q77a<72:q68>j520c891562;8270:;9;36`>{t<:;1<7=t=512>77f34>8>7;9;<67e?313ty><54?:06x915b28:270:<2;7f?836<389=63=3g824<=:::o1==o4=4cb>7`<5<k26?h4=4c;>7`<5<k<6?h4=4c5>7`<5<h86?h4=4`1>7`<5<h:6?h4=4`3>7`<5<km6?h4=4cf>7c<5<ko6?k4=4c`>7c<5<ki6?k4=4c6>7c<uz8>?7>54z?77`<68h16>8h5233891>a28:j70:88;33e>{t<:o1<7=t=51f>77f34>8>7<=9:?70d<6=m1v\7f9==:180\7f824:38:m63;28862>;3<90>:6s|4gg94?73s4>887??9:?76<<2m27><o4=209>66c=99301?=k:02b?83fi39;70;n9;13?83f039;70;n7;13?83f>39;70;m3;13?83e:39;70;m1;13?83e839;70;nf;13?83fm38m70;nd;0e?83fk38m70;nb;0e?83f=38m7p}=4883>1}:<:>1==o4=375>74634>2<7??c:?73<<68j1v\7f9=;:180\7f824<38:m63;28816<=:<=:1=8j4}r61=?6=:r7?>44=1`9>017==?1v\7f9hi:18e\7f824=3;;563<1486`>;28j09><5222f955?<5<;>6<>7;<7be?`f34?j47hn;<7b2?`f34?i>7hn;<7a4?`f34?ji7=?;<7b`?5734?jo7=?;<7bf?5734?j97=?;|q10d<72=q68>;511c897302;8:70:60;33e>;3?00:<l5rs516>5<5s4>897<>a:?704<6=m1v\7f>77:181\7f85>m3?=70=6d;02e>{t;h>1<7<t=2;f>74>349jm7<>a:\7fp7f4=838p1>7j:33b?85d>3;;n6s|38594?4|5:3o6884=2;`>77f3ty8m>4?:3y>7<b=:;301>o6:33b?xu41?0;6?u238a913=:;0h1><o4}r1b6?6=:r785n4=289>7d>=:8k0q~=65;296~;41k0>:63<9`815d=z{:k:6=4={<1:f?451278m:4=1`9~w6?32909w0=6a;75?85>138:m6s|3`294?4|5:3j6?<6;<1b2?46i2wx?4h50;0x96?>2;8270=n5;02e>{t;hl1<7<t=2`6>00<5:h?6??n;|q0fg<72;q6?o;523;896e62;;j7p}<c283>7}:;k?1><o4=2a5>46f3ty8mh4?:3y>7g2==?16?o=520c8yv5ei3:1>v3<b5816<=:;j:1><o4}r1b`?6=:r78n>4:6:?0f7<59h1v\7f>l6:181\7f85e;389563<bg815d=z{:kh6=4={<1a6?31349i=7<>a:\7fp7g>=838p1>l=:30:?85em38:m6s|3``94?4|5:h:6884=2`3>77f3ty8n:4?:3y>7g7=:;301>lk:33b?xu4j?0;6?u23c2967?<5:hh6??n;|q722<72;q68;j5579>03e=:8k0q~:83;296~;3>m09>45246;964g<uz>2=7>52z?72a<59h16848511`8yv21>3:1>v3;6b862>;3>k09=l5rs551>5<5s4>=o7<=9:?73=<59h1v\7f98::181\7f821j3?=70:9a;02e>{t<>;1<7<t=54a>74>34><;7<>a:\7fp032=838p198n:448910>2;;j7p};7183>7}:<?k1>?74=555>77f3ty?:>4?:3y>03?==?168;6520c8yv21n3:1>v3;68816<=:<>?1><o4}r65a?6=:r7?:54=289>022=:8k0q~:8e;296~;30=0>:63;82815d=z{=2j6=4={<6;0?45127?5=4=1`9~w1?52909w0:74;02e>;31?0:<l5rs55g>5<5s4>3?7;9;<6;6?46i2wx85750;0x91>42;8270:7f;02e>{t<>i1<7<t=5:1>00<5=2:6??n;|q7<=<72;q685<523;891>b2;;j7p};7c83>7}:<1;19;52492964g<uz>3;7>52z?7<4<5:01685j520c8yv20i3:1>v3;81862>;3?o09=l5rs5:5>5<5s4>3<7<=9:?7<f<59h1v\7f96::181\7f820n389563;8c815d=z{;kj6=4:{<063?3c348i;7<=1:?124<68h16>;=511;8970228:j7p}=6183>7}::<<19i52273964g<uz8=>7>52z?11c<2l279:>4=1`9~w703290jw0<:e;7g?841=38:m63=66824d=::?31==l4=34a>46e348<=7??c:?136<68j16>:9511a897bf28:h70<k8;33g>{t:?<1<7<t=37g>0b<5;<<6??n;|q12=<72;q6>8m55e9>63?=:8k0q~<9a;296~;5=k0>h63=6c815d=z{;<h6=4={<06e?3c348<=7<>a:\7fp624=838p1?;6:4f897142;;j7p}=7583>7}::<219i52265964g<uz8o57>52z?110<2l279hl4=1`9~w7b02909w0<:4;7g?84c038:m6s|32:94?g|5;oj68j4=266>746348m87??a:?1b3<68016?=;511a897b128:j70<ld;33e>;5nl0:<n522gd955d<5;lh6<>m;|q1b6<72=q6>h755e9>6c2=:8k01?h7:02`?84a?3;;o6s|2g794?4|5;l968j4=3d5>77f3ty8<94?:3y>6c7==m16?=;520c8yv4a?3:1>v3=f186`>;5n>09=l5rs3d;>5<5s48nj7;k;<0e<?46i2wx>kl50;0x97cb2<n01?hm:33b?xu5nm0;6?u22df91a=:;9:1><o4}r135?6=:r79in4:d:?044<59h1v\7f>>=:181\7f84bj3?o70=?2;02e>{t:m91<7<t=3g;>0b<5;n=6??n;|q1gg<72;q6>h955e9>6fc=:8k0q~==0;296~;4<<0>h63<2e8164=z{:;m6=4={<170?3c3499o7<=1:\7fp761=833p1>:;:302?84a<3;;563=f7824d=:;9?1==74=3ag>46e348mi7??b:?1`0<68016>kh511a897`d28:h7p}<2883>7}:;=n19i523279677<uz9?=7>5bz?00a<5:816>k8511`8966228:j70<i8;33e>;5n>0:<l52313955d<5::;6<>n;<0ef?771279oh4>089>754=99k01?j::02a?xu4:10;6?u235a91a=:;:>1>??4}r174?6=?r788n4=209>753=99h01?h8:02:?84c83;;o63=fc824d=:;981==74=3d:>46f3ty8>:4?:3y>71d==m16?>=52338yv54n3:14v3<4c8164=::o21==l4=3d4>46e348mi7??a:?045<68k16>kl511`897b228:h70<lf;33=>{t;;<1<7<t=26b>0b<5:996?<>;|q07`<72<q6?9o5233897b728:j70<i9;33=>;5nj0:<452311955?<uz9997>52z?00<<2l278?<4=209~w65c290<w0=;9;015>;5l=0:<l522e3955?<5;lm6<>6;<0eg?77i279ok4>0`9>755=99k0q~==4;296~;4<10>h63<318164=z{:9h6=4;{<17<?459279h=4>0c9>6cc=99301?hi:02b?xu4::0;6?u235591a=:;;l1>??4}r10f?6==r788:4=209>6a2=99301?j>:02b?84dn3;;n63<02824g=z{:896=4={<172?3c3499i7<=1:\7fp76g=83>p1>:9:302?84dl3;;o63=d4824d=:;991==m4}r115?6=:r788>4:d:?06g<5:81v\7f>=6:181\7f853;389=63=d1824<=z{:;n6=4={<176?3c3499m7<=1:\7fp760=838p1>:=:302?84dl3;;56s|28094?4|5;h<68j4=3;e>7463ty95<4?:3y>6g0==m16>4k52338yv4f13:18v3=b78164=::?;1==74=340>46f348=97??b:\7fp6<d=838p1?li:4f897g02;8:7p}=b283>6}::kl1>??4=340>46e348=97??c:\7fp6<g=838p1?lj:4f897g12;8:7p}=b383>7}::ko1>??4=346>46>3ty9544?:3y>6gb==m16>l;52338yv4e93:15v3=be8164=::?=1==74=34:>46f348=n7??c:?134<68h16>:=511c8971028:j70<ka;33=>;5l10:<45rs3;;>5<5s48io7;k;<0b0?4592wx>o>50;5x97dd2;8:70<99;33=>;5>k0:<452263955?<5;=86<>6;<043?771279;=4>089~w7?02909w0<mb;7g?84f;389=6s|2`d94?0|5;hi6?<>;<05f?77i279:i4>089>63c=99301?8i:02:?84083;;m6s|28494?4|5;hj68j4=3c1>7463ty9mh4?:4y>6gg=:;;01?8k:02b?841m3;;m63=6g824g=::>:1==l4}r0:1?6=:r79n44:d:?1e4<5:81v\7f?ok:187\7f84e1389=63=6d824g=::?l1==m4=353>46d3ty9594?:3y>6g>==m16>l>52338yv4fk3:18v3=b98164=::>?1==74=355>46>348=j7??a:\7fp6<5=838p1?l::4f897?c2;8:7p}=ac83>6}::k?1>??4=356>46f348<:7??a:\7fp6<6=838p1?l;:4f897?d2;8:7p}=a983>7}::k>1>??4=355>46e3ty8<54?:3y>77b==m16?<;52338yv57?3:1>v3<2b86`>;49=09><5rs232>5<5s49897;k;<12`?4592wx?<>50;0x96532<n01>?l:302?xu48o0;6?u232191a=:;8h1>??4}r13a?6=:r78??4:d:?05d<5:81v\7f>>k:181\7f85493?o70=>9;015>{t;9i1<7<t=213>0b<5:;36?<>;|q04g<72;q6??h55e9>741=:;;0q~=?a;296~;4:l0>h63<178164=z{::26=4={<11f?3c349:?7<=1:\7fp750=838p1><n:4f896752;8:7p}=7`83>7}::0l19i522959677<uz8<57>52z?1=`<2l2794;4=209~w7>42909w0<n7;7g?84?n389=6s|29094?4|5;k=68j4=3:f>7463ty94<4?:3y>6d3==m16>5j52338yv4?83:1>v3=a586`>;50j09><5rs35e>5<5s48j?7;k;<0;f?4592wx>:k50;0x97g52<n01?6n:302?xu5?m0;6?u22`391a=::131>??4}r04g?6=:r79m=4:d:?1<=<5:81v\7f?9m:181\7f84>l3?o70<75;015>{t:>21<7<t=3;`>0b<5;2?6?<>;|q1g5<72;q6>5855e9>664=:8k0q~<l1;296~;50o0>h63=32815d=z{;i96=4={<0;a?3c348887<>a:\7fp6f5=838p1?6k:4f897522;;j7p}=c583>7}::1i19i52224964g<uz8h97>52z?1<g<2l279?:4=1`9~w7e12909w0<7a;7g?844038:m6s|2b594?4|5;2268j4=31:>77f3ty9o54?:3y>6=>==m16>>o520c8yv4d13:1>v3=8486`>;5;k09=l5rs3ab>5<5s48387;k;<00g?46i2wx?9k50;0x96732<n01?=k:33b?xu4<o0;6?u230f91a=:::o1><o4}r164?6=:r78=n4:d:?17c<59h1v\7f>;>:181\7f856j3?o70<;0;02e>{t;<81<7<t=23b>0b<5;>:6??n;|q016<72;q6?<755e9>614=:8k0q~=:4;296~;4910>h63=42815d=z{:?>6=4={<123?3c348?87<>a:\7fp700=838p1>?9:4f897222;;j7p}<5683>7}:;8919i52254964g<uz9>47>52z?057<2l2798:4=1`9~w0d1290:mv3;07860>;38o0>863;06860>;38m0>863;0b860>;38l0>863;0`860>;3800>863;0c860>;38<0>863;05860>;3810>863;1g860>;39l0>863;26860>;3:?0>863;24860>;3:=0>863;22860>;3:;0>863;20860>;3:90>863;1e860>;39j0>863<c7824<=:;j21><o4}r1`f?6=:r7?<;4:d:?0af<59h1v\7f>h6:187\7f827>389=63;1d86`>;4k80:<o523e:955?<uz9o87>52z?74c<2l278j:4=1`9~w164290?w0:?f;015>;3:>0>h63<c1824<=:;lk1==74}r1`g?6=:r7?<:4:d:?0aa<59h1v\7f>hn:187\7f827?389=63;1g86`>;4k80:<4523dg955?<uz9o>7>52z?74a<2l278j84=1`9~w166290?w0:?d;015>;3:<0>h63<bg824<=:;l<1==74}r1g5?6=:r7?<n4:d:?0b1<59h1v\7f9>?:187\7f827k389=63;2586`>;4jo0:<o523d6955?<uz9o?7>52z?74`<2l278j;4=1`9~w165290?w0:?e;015>;3:?0>h63<c1824g=:;l21==74}r1`b?6=:r7?<l4:d:?0b7<59h1v\7f>hj:187\7f827i389=63;2386`>;4jl0:<o523d2955?<uz9hi7>52z?74<<2l278j<4=1`9~w6`c290?w0:?9;015>;3:80>h63<be824<=:;mo1==74}r1g4?6=:r7?<o4:d:?0b6<59h1v\7f>hi:187\7f827j389=63;2286`>;4jl0:<4523d0955?<uz9hm7>52z?740<2l278ik4=1`9~w6`e290?w0:?5;015>;39m0>h63<bb824<=:;mk1==74}r1`=?6=:r7?<94:d:?0ag<59h1v\7f>h7:187\7f827<389=63;1b86`>;4jj0:<o523e4955?<uz9hh7>52z?74=<2l278j=4=1`9~w6`d290?w0:?8;015>;3:90>h63<be824g=:;mi1==74}r1g3?6=:r78ii4:6:?0`2<59h1v\7f>kj:181\7f85bl3;>h63<ed815d=z{:o26=4<{<1fg?31349o;7;9;<1f=?46i2wx?i650;1x96cd28?o70=k7;01=>;4l109=l5rs2g4>5<4s49m;7;9;<1f=?31349n;7<>a:\7fp7`g=839p1>h8:07g?85b1389563<e`815d=z{:o>6=4<{<1e2?31349n;7;9;<1f1?46i2wx?h650;1x96`128?o70=j7;01=>;4m109=l5rs2g0>5<4s49m97;9;<1f1?31349n?7<>a:\7fp7`0=839p1>h::07g?85b=389563<e7815d=z{:o:6=4<{<1e0?31349n?7;9;<1f5?46i2wx?h:50;1x96`328?o70=j3;01=>;4m=09=l5rs2fe>5<4s49m?7;9;<1f5?31349oj7<>a:\7fp7`4=839p1>h<:07g?85b9389563<e3815d=z{:no6=4<{<1e6?31349oj7;9;<1g`?46i2wx?h>50;1x96`528?o70=kf;01=>;4m909=l5rs2fa>5<4s49m=7;9;<1g`?31349on7<>a:\7fp7ac=839p1>h>:07g?85cl389563<dd815d=z{:n26=4<{<1e4?31349on7;9;<1g=?46i2wx?im50;1x96`728?o70=kb;01=>;4lj09=l5rs2f6>5<4s49nj7;9;<1g=?31349o97<>a:\7fp7ag=839p1>ki:07g?85c1389563<d`815d=z{:n=6=4<{<1ff?72l278h84=289>7a0=:8k0q~:6c;2960}:<mh199524d6911=:<mi199524d0911=:<l;199524d1911=:<ml199524eg911=:<l:199524ec911=:<m3199524ef911=:<o?199524g3911=:<o8199524g:911=:<o<199524g5911=:<oh199524g;911=:<ok199524g6911=:<oi199524g1911=:=9i1995251`911=:=8>19952501911=:=8819952503911=:=8:1995251d911=:=9o1995251f911=:=9k1995251;911=:<0n1><o4}r6b4?6=:r7?ho4:d:?7g4<59h1v\7f9mj:187\7f82cj389=63;f286`>;3190:<o524`f955?<uz>j57>52z?7a1<2l27?on4=1`9~w1b?290?w0:j4;015>;3nj0>h63;8g824<=:<kl1==74}r6b5?6=:r7?hn4:d:?7g7<59h1v\7f9mi:187\7f82ck389=63;f586`>;3190:<4524b1955?<uz>j;7>52z?7a7<2l27?ol4=1`9~w1b1290?w0:j2;015>;3nh0>h63;8d824<=:<kh1==74}r6b2?6=:r7?i<4:d:?7g<<59h1v\7f9j::187\7f82b9389=63;f886`>;30l0:<o524c;955?<uz>j47>52z?7a6<2l27?oo4=1`9~w1b0290?w0:j3;015>;3nk0>h63;8g824g=:<kn1==74}r6b0?6=:r7?hk4:d:?7g2<59h1v\7f9j<:187\7f82cn389=63;f686`>;30m0:<o524c7955?<uz>j?7>52z?7``<2l27?o;4=1`9~w1b5290?w0:ke;015>;3n?0>h63;8b824<=:<k91==74}r6b1?6=:r7?i=4:d:?7g=<59h1v\7f9j;:187\7f82b8389=63;f986`>;30m0:<4524c5955?<uz>2j7>52z?7`d<2l27?o94=1`9~w1b7290?w0:ka;015>;3n;0>h63;8c824<=:<hl1==74}r6:a?6=:r7?h44:d:?7g5<59h1v\7f9mk:187\7f82c1389=63;f086`>;30k0:<o524``955?<uz>j>7>52z?7`a<2l27?o84=1`9~w1b6290?w0:kd;015>;3n<0>h63;8b824g=:<k;1==74}r6bg?6=:r7?o?4:6:?7ef<59h1v\7f9m<:181\7f82d:3;>h63;c2815d=z{=hn6=4<{<6`5?3134>jo7;9;<6aa?46i2wx8lj50;1x91e628?o70:nc;01=>;3im09=l5rs5``>5<4s4>ho7;9;<6aa?3134>io7<>a:\7fp0g`=839p19ml:07g?82em389563;bg815d=z{=hj6=4<{<6`f?3134>io7;9;<6ae?46i2wx8oj50;1x91ee28?o70:mc;01=>;3jm09=l5rs5`;>5<4s4>hm7;9;<6ae?3134>i47<>a:\7fp0gd=839p19mn:07g?82ei389563;bc815d=z{=h=6=4<{<6`=?3134>i47;9;<6a2?46i2wx8o750;1x91e>28?o70:m8;01=>;3j009=l5rs5`7>5<4s4>h47;9;<6a2?3134>i87<>a:\7fp0g1=839p19m7:07g?82e>389563;b6815d=z{=h96=4<{<6`3?3134>i87;9;<6a6?46i2wx8o;50;1x91e028?o70:m4;01=>;3j<09=l5rs5`3>5<4s4>h:7;9;<6a6?3134>i<7<>a:\7fp0g5=839p19m9:07g?82e:389563;b2815d=z{=kn6=4<{<6`1?3134>i<7;9;<6ba?46i2wx8o?50;1x91e228?o70:m0;01=>;3j809=l5rs5cb>5<4s4>h87;9;<6ba?3134>jm7<>a:\7fp0d`=839p19m;:07g?82fm389563;ag815d=z{=ki6=4<{<6`4?72l27?ml4=289>0dd=:8k0q~:j9;297~;3n<09><5251f91a=:<>?1==l4}r6f1?6=;r7?j<4=209>15?==m168::511`8yv2b03:1?v3;f38164=:=9k19i52466955?<uz>no7>53z?7b=<5:8169<>55e9>020=9930q~:ja;297~;3n?09><5251g91a=:<>?1==74}r6ff?6=;r7?j:4=209>15`==m168:8511`8yv2bn3:1?v3;fc8164=:=8919i5246:955d<uz>nh7>53z?7b<<5:8169<?55e9>021=99h0q~:je;297~;3nh09><5250091a=:<>=1==74}r6f3?6=;r7?j94=209>15e==m168:7511;8yv2a83:1?v3;fb8164=:=8>19i5246:955?<uz>n:7>53z?7b6<5:8169=l55e9>02?=99h0q~<>4;296~;5n10:<4522g;950c<uz8o87>52z?1`1<59h16>i8511;8yv4c:3:1?v3=d7824g=::m:1><o4=3af>46e3ty9h84?:3y>6a0=99i01?j::07f?xu5kj0;6?u22bf964g<5;in6<>l;|q6f2<72;q6848511;891?028?n7p}:4983>7`|5<><6<>6;<772?77127>884>089>112=993018:<:02:?833:3;;563:40824<=:==:1==74=41e>46>34?8i7??9:?67a<680169>m511;8905e28:270;<a;33=>;2;00:<45252:955?<5<9<6<>6;<702?77127>?84>089>162=993018=<:02:?834:3;;563:30824<=:=::1==74=40e>46>34?9i7??9:?66a<680169?m511;8904e28:270;=a;33=>;2:00:<45253:955?<5<8<6<>6;<712?77127>>84>089>172=993018<<:02:?835:3;;563:20824<=:=;:1==74=43e>46>34?:i7??9:?65a<680169<m511;8907e28:270;;a;33e>;2<009><5rs446>5<5s4??;7??a:?6e<<a<2wx9;k50;0x902028:i70;na;d7?xu2>=0;6?u2554955g<5<k26hl4}r75`?6=:r7>8;4>0c9>1dg=mk1v\7f88<:181\7f833=3;;m63:a88fe>{t=?i1<7<t=466>46e34?jm7kn;|q627<72;q699:511c890g>2l30q~;9b;296~;2<=0:<o525`c9a<=z{<<:6=4={<777?77i27>m44j8:\7fp13g=838p18:<:02a?83fi3o37p}:6183>7}:==81==o4=4c:>`1<uz?=57>52z?607<68k169lo5e69~w03a2909w0;;1;33e>;2i00n?6s|57:94?4|5<>:6<>m;<7be?c43ty>9h4?:3y>116=99k018o6:e:8yv31?3:1>v3:41824g=:=hk1h55rs47g>5<5s4?8j7??a:?6e<<dl2wx9;850;0x905a28:i70;na;ag?xu2=:0;6?u252g955g<5<k<6k:4}r76g?6=:r7>?h4>0c9>1d>=n=1v\7f8;=:181\7f834l3;;m63:a68ff>{t=<h1<7<t=41g>46e34?j47km;|q614<72;q69>m511c890g02lk0q~;:a;296~;2;j0:<o525`:9ad=z{<?;6=4={<70f?77i27>m:4j9:\7fp10?=838p18=m:02a?83f03o27p}:4g83>7}:=:k1==o4=4c4>`><uz?>47>52z?67d<68k169l65e99~w02b2909w0;<9;33e>;2i>0n;6s|54594?4|5<926<>m;<7b<?c03ty>8i4?:3y>16>=99k018o8:d18yv32>3:1>v3:39824g=:=h21i>5rs46`>5<5s4?8;7??a:?6e2<c02wx98;50;0x905028:i70;n8;f;?xu2<k0;6?u2524955g<5<k<6nj4}r760?6=:r7>?;4>0c9>1d>=km1v\7f87m:181\7f834=3;;m63:b28e0>{t=h>1<7<t=416>46e34?j:7h;;|q6=d<72;q69>:511c890d42lh0q~;n3;296~;2;=0:<o525`49ag=z{<326=4={<707?77i27>n>4ja:\7fp1d4=838p18=<:02a?83f>3oj7p}:9983>7}:=:81==o4=4`0>`?<uz?j=7>52z?677<68k169l85e89~w0?02909w0;<1;33e>;2j:0n46s|5`294?4|5<9:6<>m;<7b2?c?3ty>5;4?:3y>166=99k018l<:d58yv3>n3:1>v3:31824g=:=h<1i:5rs4;6>5<5s4?9j7??a:?6f6<b;2wx94k50;0x904a28:i70;n6;g0?xu21=0;6?u253g955g<5<h86i64}r7:`?6=:r7>>h4>0c9>1d0=l11v\7f87<:181\7f835l3;;m63:b28``>{t=0i1<7<t=40g>46e34?j:7mk;|q6<<<72;q69?m511c890d62o>0q~;62;296~;2:j0:<o525c09b1=z{<236=4={<71f?77i27>n<4jb:\7fp1<7=838p18<m:02a?83e:3oi7p}:8683>7}:=;k1==o4=4`2>`g<uz?2<7>52z?66d<68k169o<5e`9~w0>12909w0;=9;33e>;2j80n56s|59d94?4|5<826<>m;<7a6?c>3ty>484?:3y>17>=99k018l>:d:8yv3?m3:1>v3:29824g=:=k81i55rs4:7>5<5s4?9;7??a:?6f4<b?2wx95j50;0x904028:i70;m2;g4?xu20:0;6?u2534955g<5<h:6h=4}r7;g?6=:r7>>;4>0c9>1g4=m:1v\7f86=:181\7f835=3;;m63:b08g<>{t=1h1<7<t=406>46e34?i>7j7;|q6<4<72;q69?:511c890d62jn0q~;7a;296~;2:=0:<o525c09ga=z{<=<6=4={<717?77i27>mk4i4:\7fp1=6=838p18<<:02a?83e83l?7p}:7783>7}:=;81==o4=4ce>`d<uz?<j7>52z?667<68k169o>5ec9~w0122909w0;=1;33e>;2io0nm6s|56g94?4|5<8:6<>m;<7a4?cf3ty>;94?:3y>176=99k018oi:d;8yv30l3:1>v3:21824g=:=k:1i45rs450>5<5s4?:j7??a:?6ec<b02wx9:m50;0x907a28:i70;m0;g;?xu2?;0;6?u250g955g<5<km6h94}r74f?6=:r7>=h4>0c9>1g6=m>1v\7f89>:181\7f836l3;;m63:ag8f7>{t=>k1<7<t=43g>46e34?i<7k<;|q635<72;q69<m511c890ga2m20q~;89;296~;29j0:<o525c29`==z{<<m6=4={<72f?77i27>mk4ld:\7fp12>=838p18?m:02a?83e83io7p}>fg83>7}::>?1><o4=3fb>46f3ty>n94?:6y>1g3=:8k018:n:02a?83fm3li70;nd;da?83fk3li70;nb;da?83f=3li7p}:1`83>3}:=8=1><o4=4cb>cd<5<k36kl4=4c5>cd<5<h96kl4=4`3>cd<uz?:57>56z?653<59h169l75fc9>1d1=nk169o=5fc9>1g7=nk169lh5fc9~w02f2909w0;;a;02e>;2<00>h6s|21694?5|5;ln6??n;<135?77k278<?4>0b9~w7`f2908w0=?1;33=>;4890:<4522g;964g<uz8o=7>52z?044<68h16>i?514g8yv4703:1>v3<01824f=::ol1=8k4}r03e?6=:r79;<4>0c9>63b=9<o0q~<?d;296~;5?:0:<o5227g950c<uz8;i7>52z?132<68k16>;h514g8yv46=3:1>v3=d`824g=::>:1=8k4}r036?6=:r79h54>0`9>620=9<o0q~<?f;296~;5l10:<o52262964g<uz8:<7>52z?1bg<68j16>km514g8yv46:3:1>v3=cd824d=::jl1=8k4}r027?6=:r78<?4>0c9>755=9<o0q~:67;295a}:<021><o4=4cb>0b334?jm7;k2:?6ed<2l8169lo55e2890g>2<n?70;n9;7g6>;2i00>h<525`;91a6<5<k368j;;<7b<?3c:27>m54:d09>1d>==m:018o8:4f7?83f?3?o>63:a686`4=:=h=19i>4=4c5>0b334?j:7;k2:?6e3<2l8169l855e2890d42<n?70;m3;7g6>;2j:0>h<525c191a6<5<h968j;;<7a6?3c:27>n?4:d09>1g4==m:0q~:68;2954}:<031><o4=4cg>0b334?jh7;k2:?6ea<2l8169lj55e2890gd2<n?70;nc;7g6>;2ij0>h<525`a91a6<5<ki68j;;<7bf?3c:27>mo4:d09>1dd==m:018o::4f7?83f=3?o>63:a486`4=:=h?19i>4}r6:=?6=1r7?5l4=1`9>1g7==m>018l>:4f1?83e93?o=63:b086`5=:=k:19i:4=4`3>0b534?i<7;k1:?6f5<2l91v\7f97n:186\7f82>j38:m63:ad86`1=:=ho19i<4=4cf>0b634?ji7;k0:\7fp0<d=83?p197l:33b?83fn3?o863:ag86`7=:=hl19i?4=4ce>0b73ty>=54?:7y>143=:8k018o6:gc890g02ok018l<:gc890d62ok018oi:gc8yxu1?o0;6?uQ62g891b=>:o0(8ml:322?xu1?m0;6?uQ62f891b=>:n0(8ml:32`?xu1?j0;6?uQ62a891b=>:i0(8ml:332?xu1?k0;6?uQ62`891b=>:h0(8ml:335?xu1?h0;6?uQ62c891b=>:k0(8ml:04e?xu1?10;6?uQ62:891b=>:20(8ml:053?xu1?>0;6?uQ625891b=>:=0(8ml:052?xu1??0;6?uQ624891b=>:<0(8ml:051?xu1?<0;6?uQ627891b=>:?0(8ml:050?xu1?=0;6?uQ626891b=>:>0(8ml:05:?xu1?:0;6?uQ621891b=>:90(8ml:05b?xu1?;0;6?uQ620891b=>:80(8ml:0:3?xu1?80;6?uQ623891b=>:;0(8ml:0:a?xu1?90;6?uQ622891b=>::0(8ml:0;5?xu1>o0;6?uQ63d891b=>;l0(8ml:0c2?xu1>m0;6?uQ63f891b=>;n0(8ml:0c`?xu1>j0;6?uQ63a891b=>;i0(8ml:0`4?xu1>k0;6?uQ63`891b=>;h0(8ml:0a1?xu1>h0;6?uQ63c891b=>;k0(8ml:0a:?xu1>00;6?uQ63;891b=>;30(8ml:0f1?xu1>10;6?uQ63:891b=>;20(8ml:0fg?xu1>>0;6?uQ635891b=>;=0(8ml:0g3?xu1>?0;6?uQ634891b=>;<0(8ml:0g2?xu1><0;6?uQ637891b=>;?0(8ml:0g1?xu1>=0;6?uQ636891b=>;>0(8ml:0g0?xu1>;0;6?uQ630891b=>;80(8ml:0g7?xu1>80;6?uQ633891b=>;;0(8ml:0g6?xu1>90;6?uQ632891b=>;:0(8ml:0g5?xu1=o0;6?uQ60d891b=>8l0(8ml:0g4?xu1=l0;6?uQ60g891b=>8o0(8ml:0g;?xu1=m0;6?uQ60f891b=>8n0(8ml:0g:?xu1=j0;6?uQ60a891b=>8i0(8ml:0gb?xu1=k0;6?uQ60`891b=>8h0(8ml:0ga?xu1=h0;6?uQ60c891b=>8k0(8ml:0g`?xu1=00;6?uQ60;891b=>830(8ml:0gg?xu1=>0;6?uQ605891b=>8=0(8ml:0gf?xu1=?0;6?uQ604891b=>8<0(8ml:0ge?xu1=<0;6?uQ607891b=>8?0(8ml:0d3?xu1==0;6?uQ606891b=>8>0(8ml:0d2?xu1=:0;6?uQ601891b=>890(8ml:0d1?xu1=;0;6?uQ600891b=>880(8ml:0d0?xu1=80;6?uQ603891b=>8;0(8ml:0d7?xu1=90;6?uQ602891b=>8:0(8ml:0d6?xu1<o0;6?uQ61d891b=>9l0(8ml:0d5?xu1<l0;6?uQ61g891b=>9o0(8ml:0d4?xu1<j0;6?uQ61a891b=>9i0(8ml:0d;?xu1<k0;6?uQ61`891b=>9h0(8ml:0d:?xu1<h0;6?uQ61c891b=>9k0(8ml:0db?xu1<00;6?uQ61;891b=>930(8ml:0da?xu1<10;6?uQ61:891b=>920(8ml:0d`?xu1<>0;6?uQ615891b=>9=0(8ml:0dg?xu1<?0;6?uQ614891b=>9<0(8ml:0df?xu1<<0;6?uQ617891b=>9?0(8ml:0de?xu1<=0;6?uQ616891b=>9>0(8ml:323?xu1<:0;6?uQ611891b=>990(8ml:321?xu10:0;6?uQ653891b=>=;0(8ml:320?xu10;0;6?uQ652891b=>=:0(8ml:327?xu1080;6?uQ62d891b=>:l0(8ml:326?xu1090;6?uQ62;891b=>:30(8ml:325?xu1?00;6?uQ63g891b=>;o0(8ml:324?xu1>l0;6?uQ631891b=>;90(8ml:32;?xu1>:0;6?uQ60:891b=>820(8ml:32:?xu1=10;6?uQ61f891b=>9n0(8ml:32b?xu1<m0;6?uQ610891b=>980(8ml:32a?xu1<;0;6?uQ613891b=>9;0(8ml:32g?xu0=l0;6?uQ74g891b=?<o0(8ml:057?xu0?10;6?uQ76:891b=?>20(8ml:056?xu0?h0;6?uQ76c891b=?>k0(8ml:055?xu0080;6?uQ793891b=?1;0(8ml:054?xu01k0;6?uQ78`891b=?0h0(8ml:05;?xu08;0;6?uQ6b3891b=>j;0(8ml:05a?xu0890;6?uQ6b2891b=>j:0(8ml:05`?xu1no0;6?uQ6cd891b=>kl0(8ml:05g?xu1nl0;6?uQ6cg891b=>ko0(8ml:05f?xu1nm0;6?uQ6cf891b=>kn0(8ml:05e?xu1nk0;6?uQ6c`891b=>kh0(8ml:0:2?xu1nh0;6?uQ6cc891b=>kk0(8ml:0:1?xu1n00;6?uQ6c;891b=>k30(8ml:0:0?xu1n10;6?uQ6c:891b=>k20(8ml:0:7?xu1n>0;6?uQ6c5891b=>k=0(8ml:0:6?xu1n?0;6?uQ6c4891b=>k<0(8ml:0:5?xu1n<0;6?uQ6c7891b=>k?0(8ml:0:4?xu1n=0;6?uQ6c6891b=>k>0(8ml:0:;?xu1n:0;6?uQ6c1891b=>k90(8ml:0::?xu1n;0;6?uQ6c0891b=>k80(8ml:0:b?xu1n90;6?uQ6c2891b=>k:0(8ml:0:`?xu1mo0;6?uQ6`d891b=>hl0(8ml:0:g?xu1ml0;6?uQ6`g891b=>ho0(8ml:0:f?xu1mm0;6?uQ6`f891b=>hn0(8ml:0:e?xu1mj0;6?uQ6`a891b=>hi0(8ml:0;3?xu1mk0;6?uQ6``891b=>hh0(8ml:0;2?xu1mh0;6?uQ6`c891b=>hk0(8ml:0;1?xu1m00;6?uQ6`;891b=>h30(8ml:0;0?xu1m10;6?uQ6`:891b=>h20(8ml:0;7?xu1m>0;6?uQ6`5891b=>h=0(8ml:0;6?xu1m<0;6?uQ6`7891b=>h?0(8ml:0;4?xu1m=0;6?uQ6`6891b=>h>0(8ml:0;;?xu1m:0;6?uQ6`1891b=>h90(8ml:0;:?xu1m;0;6?uQ6`0891b=>h80(8ml:0;b?xu1m80;6?uQ6`3891b=>h;0(8ml:0;a?xu1m90;6?uQ6`2891b=>h:0(8ml:0;`?xu1lo0;6?uQ68d891b=>0l0(8ml:0;g?xu1ll0;6?uQ68g891b=>0o0(8ml:0;f?xu1lm0;6?uQ68f891b=>0n0(8ml:0;e?xu1lj0;6?uQ68a891b=>0i0(8ml:0c3?xu1lh0;6?uQ68c891b=>0k0(8ml:0c1?xu1l00;6?uQ68;891b=>030(8ml:0c0?xu1l10;6?uQ68:891b=>020(8ml:0c7?xu1l>0;6?uQ685891b=>0=0(8ml:0c6?xu1l?0;6?uQ684891b=>0<0(8ml:0c5?xu1l<0;6?uQ687891b=>0?0(8ml:0c4?xu1l=0;6?uQ686891b=>0>0(8ml:0c;?xu1l:0;6?uQ681891b=>090(8ml:0c:?xu1l;0;6?uQ680891b=>080(8ml:0cb?xu1l80;6?uQ683891b=>0;0(8ml:0ca?xu1ko0;6?uQ69d891b=>1l0(8ml:0cg?xu1kl0;6?uQ69g891b=>1o0(8ml:0cf?xu1km0;6?uQ69f891b=>1n0(8ml:0ce?xu1kj0;6?uQ69a891b=>1i0(8ml:0`3?xu1kk0;6?uQ69`891b=>1h0(8ml:0`2?xu1kh0;6?uQ69c891b=>1k0(8ml:0`1?xu1k00;6?uQ69;891b=>130(8ml:0`0?xu1k10;6?uQ69:891b=>120(8ml:0`7?xu1k>0;6?uQ695891b=>1=0(8ml:0`6?xu1k?0;6?uQ694891b=>1<0(8ml:0`5?xu08?0;6?uQ6b6891b=>j>0(8ml:0`;?xu08<0;6?uQ6b1891b=>j90(8ml:0`:?xu08=0;6?uQ6b0891b=>j80(8ml:0`b?xu08:0;6?uQ6ca891b=>ki0(8ml:0`a?xu1nj0;6?uQ6c3891b=>k;0(8ml:0``?xu1n80;6?uQ6`4891b=>h<0(8ml:0`g?xu1m?0;6?uQ68`891b=>0h0(8ml:0`f?xu1lk0;6?uQ682891b=>0:0(8ml:0`e?xu1l90;6?uQ697891b=>1?0(8ml:0a3?xu1k<0;6?uQ696891b=>1>0(8ml:0a2?xu0810;6?uQ71:891b=?920(8ml:0a0?xu08h0;6?uQ71c891b=?9k0(8ml:0a7?xu0>l0;6?uQ770891b=??80(8ml:0a6?xu0>j0;6?uQ773891b=??;0(8ml:0a5?xu0?>0;6?uQ77c891b=??k0(8ml:0a4?xu0??0;6?uQ77;891b=??30(8ml:0a;?xu0?<0;6?uQ77:891b=??20(8ml:0ab?xu0?=0;6?uQ775891b=??=0(8ml:0aa?xu0?:0;6?uQ774891b=??<0(8ml:0a`?xu0?;0;6?uQ777891b=???0(8ml:0ag?xu0?80;6?uQ776891b=??>0(8ml:0af?xu0?90;6?uQ771891b=??90(8ml:0ae?xu0>o0;6?uQ772891b=??:0(8ml:0f3?xu0>k0;6?uQ74d891b=?<l0(8ml:0f2?xu0180;6?uQ797891b=?1?0(8ml:0f0?xu00o0;6?uQ796891b=?1>0(8ml:0f7?xu01h0;6?uQ79f891b=?1n0(8ml:0f6?xu0100;6?uQ79a891b=?1i0(8ml:0f5?xu0110;6?uQ79`891b=?1h0(8ml:0f4?xu01>0;6?uQ79c891b=?1k0(8ml:0f;?xu01?0;6?uQ79;891b=?130(8ml:0f:?xu01<0;6?uQ79:891b=?120(8ml:0fb?xu01=0;6?uQ795891b=?1=0(8ml:0fa?xu01:0;6?uQ794891b=?1<0(8ml:0f`?xu01;0;6?uQ791891b=?190(8ml:0ff?xu00l0;6?uQ790891b=?180(8ml:0fe?x{i902n6=4={I7``>{i902m6=4={I7``>{i903;6=4={I7``>{i903:6=4={I7``>{i90396=4={I7``>{i90386=4={I7``>{i903?6=4={I7``>{i903>6=4={I7``>{i903=6=4={I7``>{i903<6=4={I7``>{i90336=4={I7``>{i90326=4={I7``>{i903j6=4={I7``>{i903i6=4={I7``>{i903h6=4={I7``>{i903o6=4={I7``>{i903n6=4={I7``>{i903m6=4={I7``>{i90k;6=4={I7``>{i90k:6=4={I7``>{i90k96=4={I7``>{i90k86=4={I7``>{i90k?6=4={I7``>{i90k>6=4={I7``>{i90k=6=4={I7``>{i90k<6=4={I7``>{i90k36=4={I7``>{i90k26=4={I7``>{i90kj6=4={I7``>{i90ki6=4={I7``>{i90kh6=4={I7``>{i90ko6=4={I7``>{i90kn6=4={I7``>{i90km6=4={I7``>{i90h;6=4={I7``>{i90h:6=4={I7``>{i90h96=4={I7``>{i90h86=4={I7``>{i90h?6=4={I7``>{i90h>6=4={I7``>{i90h=6=4={I7``>{i90h<6=4={I7``>{i90h36=4={I7``>{i90h26=4={I7``>{i90hj6=4={I7``>{i90hi6=4={I7``>{i90hh6=4={I7``>{i90ho6=4={I7``>{i90hn6=4={I7``>{i90hm6=4={I7``>{i90i;6=4={I7``>{i90i:6=4={I7``>{i90i96=4={I7``>{i90i86=4={I7``>{i90i?6=4={I7``>{i90i>6=4={I7``>{i90i=6=4={I7``>{i90i<6=4={I7``>{i90i36=4={I7``>{i90i26=4={I7``>{i90ij6=4={I7``>{i90ii6=4={I7``>{i90ih6=4={I7``>{i90io6=4={I7``>{i90in6=4={I7``>{i90im6=4={I7``>{i90n;6=4={I7``>{i90n:6=4={I7``>{i90n96=4={I7``>{i90n86=4={I7``>{i90n?6=4={I7``>{i90n>6=4={I7``>{i90n=6=4={I7``>{i90n<6=4={I7``>{i90n36=4={I7``>{i90n26=4={I7``>{i90nj6=4={I7``>{i90ni6=4={I7``>{i90nh6=4={I7``>{i90no6=4={I7``>{i90nn6=4={I7``>{i90nm6=4={I7``>{i90o;6=4={I7``>{i90o:6=4={I7``>{i90o96=4={I7``>{i90o86=4={I7``>{i90o?6=4={I7``>{i90o>6=4={I7``>{i90o=6=4={I7``>{i90o<6=4={I7``>{i90o36=4={I7``>{i90o26=4={I7``>{i90oj6=4={I7``>{i90oi6=4={I7``>{i90oh6=4={I7``>{i90oo6=4={I7``>{i90on6=4={I7``>{i90om6=4={I7``>{i90l;6=4={I7``>{i90l:6=4={I7``>{i90l96=4={I7``>{i90l86=4={I7``>{i90l?6=4={I7``>{i90l>6=4={I7``>{i90l=6=4={I7``>{i90l<6=4={I7``>{i90l36=4={I7``>{i90l26=4={I7``>{i90lj6=4={I7``>{i90li6=4={I7``>{i90lh6=4={I7``>{i90lo6=4={I7``>{i90ln6=4={I7``>{i90lm6=4={I7``>{i9h:;6=4={I7``>{i9h::6=4={I7``>{i9h:96=4={I7``>{i9h:86=4={I7``>{i9h:?6=4={I7``>{i9h:>6=4={I7``>{i9h:=6=4={I7``>{i9h:<6=4={I7``>{i9h:36=4={I7``>{i9h:26=4={I7``>{i9h:j6=4={I7``>{i9h:i6=4={I7``>{i9h:h6=4={I7``>{i9h:o6=4={I7``>{i9h:n6=4={I7``>{i9h:m6=4={I7``>{i9h;;6=4={I7``>{i9h;:6=4={I7``>{i9h;96=4={I7``>{i9h;86=4={I7``>{i9h;?6=4={I7``>{i9h;>6=4={I7``>{i9h;=6=4={I7``>{i9h;<6=4={I7``>{i9h;36=4={I7``>{i9h;26=4={I7``>{i9h;j6=4={I7``>{i9h;i6=4={I7``>{i9h;h6=4={I7``>{i9h;o6=4={I7``>{i9h;n6=4={I7``>{i9h;m6=4={I7``>{i9h8;6=4={I7``>{i9h8:6=4={I7``>{i9h896=4={I7``>{i9h886=4={I7``>{i9h8?6=4={I7``>{i9h8>6=4={I7``>{i9h8=6=4={I7``>{i9h8<6=4={I7``>{i9h836=4={I7``>{i9h826=4={I7``>{i9h8j6=4={I7``>{i9h8i6=4={I7``>{i9h8h6=4={I7``>{i9h8o6=4={I7``>{i9h8n6=4={I7``>{i9?nh6=4>{I7``>{i9>=o6=4>{I7``>{i9>=n6=4>{I7``>{i9>=m6=4>{I7``>{i9>2;6=4>{I7``>{i9>2:6=4>{I7``>{i9>296=4>{I7``>{i9>286=4>{I7``>{i9>2?6=4>{I7``>{i9>2>6=4>{I7``>{i9>2=6=4>{I7``>{i9>2<6=4>{I7``>{i9>236=4>{I7``>{i9>226=4>{I7``>{i9>2j6=4>{I7``>{i9>2i6=4>{I7``>{i9>2h6=4>{I7``>{i9>2o6=4>{I7``>{i9>2n6=4>{I7``>{i9>2m6=4>{I7``>{i9>3;6=4>{I7``>{i9>3:6=4>{I7``>{i9>396=4>{I7``>{i9>386=4>{I7``>{i9>3?6=4>{I7``>{i9>3>6=4>{I7``>{i9>3=6=4>{I7``>{i9>3<6=4>{I7``>{i9>336=4>{I7``>{i9>326=4>{I7``>{i9>3j6=4>{I7``>{i9>3i6=4>{I7``>{i9>3h6=4>{I7``>{i9>3o6=4>{I7``>{i9>3n6=4>{I7``>{i9>3m6=4>{I7``>{i9>k;6=4>{I7``>{i9>k:6=4>{I7``>{i9>k96=4>{I7``>{i9>k86=4>{I7``>{i9>k?6=4>{I7``>{i9>k>6=4>{I7``>{i9>k=6=4>{I7``>{i9>k<6=4>{I7``>{i9>k36=4>{I7``>{i9>k26=4>{I7``>{i9>kj6=4>{I7``>{i9>ki6=4>{I7``>{i9>kh6=4>{I7``>{i9>ko6=4>{I7``>{i9>kn6=4>{I7``>{i9>km6=4>{I7``>{i9>h;6=4>{I7``>{i9>h:6=4>{I7``>{i9>h96=4>{I7``>{i9>h86=4>{I7``>{i9>h?6=4>{I7``>{i9>h>6=4>{I7``>{i9>h=6=4>{I7``>{i9>h<6=4>{I7``>{i9>h36=4>{I7``>{i9>h26=4>{I7``>{i9>hj6=4>{I7``>{i9>hi6=4>{I7``>{i9>hh6=4>{I7``>{i9>ho6=4>{I7``>{i9>hn6=4>{I7``>{i9>hm6=4>{I7``>{i9>i;6=4>{I7``>{i9>i:6=4>{I7``>{i9>i96=4>{I7``>{i9>i86=4>{I7``>{i9>i?6=4>{I7``>{i9>i>6=4>{I7``>{i9>i=6=4>{I7``>{i9>i<6=4>{I7``>{i9>i36=4>{I7``>{i9>i26=4>{I7``>{i9>ij6=4>{I7``>{i9>ii6=4>{I7``>{i9>ih6=4>{I7``>{i9>io6=4>{I7``>{i9>in6=4>{I7``>{i9>im6=4>{I7``>{i9>n;6=4>{I7``>{i9>n:6=4>{I7``>{i9>n96=4>{I7``>{i9>n86=4>{I7``>{i9>n?6=4>{I7``>{i9>n>6=4>{I7``>{i9>n=6=4>{I7``>{i9>n<6=4>{I7``>{i9>n36=4>{I7``>{i9>n26=4>{I7``>{i9>nj6=4>{I7``>{i9>ni6=4>{I7``>{i9>nh6=4>{I7``>{i9>no6=4>{I7``>{i9>nn6=4>{I7``>{i9>nm6=4>{I7``>{i9>o;6=4>{I7``>{i9>o:6=4>{I7``>{i9>o96=4>{I7``>{i9>o86=4>{I7``>{i9>o?6=4>{I7``>{i9>o>6=4>{I7``>{i9>o=6=4>{I7``>{i9>o<6=4>{I7``>{i9>o36=4>{I7``>{i9>o26=4>{I7``>{i9>oj6=4>{I7``>{i9>oi6=4>{I7``>{i9>oh6=4>{I7``>{i9>oo6=4>{I7``>{i9>on6=4>{I7``>{i9>om6=4>{I7``>{i9>l;6=4>{I7``>{i9>l:6=4>{I7``>{i9>l96=4>{I7``>{i9>l86=4>{I7``>{i9>l?6=4>{I7``>{i9>l>6=4>{I7``>{i9>l=6=4>{I7``>{i9>l<6=4>{I7``>{i9>l36=4>{I7``>{i9>l26=4>{I7``>{i9>lj6=4>{I7``>{i9>li6=4>{I7``>{i9>lh6=4>{I7``>{i9>lo6=4>{I7``>{i9>ln6=4>{I7``>{i9>lm6=4>{I7``>{i91:;6=4>{I7``>{i91::6=4>{I7``>{i91:96=4>{I7``>{i91:86=4>{I7``>{i91:?6=4>{I7``>{i91:>6=4>{I7``>{i91:=6=4>{I7``>{i91:<6=4>{I7``>{i91:36=4>{I7``>{i91:26=4>{I7``>{i91:j6=4>{I7``>{i91:i6=4>{I7``>{i91:h6=4>{I7``>{i91:o6=4>{I7``>{i91:n6=4>{I7``>{i91:m6=4>{I7``>{i91;;6=4>{I7``>{i91;:6=4>{I7``>{i91;96=4>{I7``>{i91;86=4>{I7``>{i91;?6=4>{I7``>{i91;>6=4>{I7``>{i91;=6=4>{I7``>{i91;<6=4>{I7``>{i91;36=4>{I7``>{i91;26=4>{I7``>{i91;j6=4>{I7``>{i91;i6=4>{I7``>{i91;h6=4>{I7``>{i91;o6=4>{I7``>{i91;n6=4>{I7``>{i91;m6=4>{I7``>{i918;6=4>{I7``>{i918:6=4>{I7``>{i91896=4>{I7``>{i91886=4>{I7``>{i918?6=4>{I7``>{i918>6=4>{I7``>{i918=6=4>{I7``>{i918<6=4>{I7``>{i91836=4>{I7``>{i91826=4>{I7``>{i918j6=4>{I7``>{i918i6=4>{I7``>{i918h6=4>{I7``>{i918o6=4>{I7``>{i918n6=4>{I7``>{i918m6=4>{I7``>{i919;6=4>{I7``>{i919:6=4>{I7``>{i91996=4>{I7``>{i91986=4>{I7``>{i919?6=4>{I7``>{i919>6=4>{I7``>{i919=6=4>{I7``>{i919<6=4>{I7``>{i91936=4>{I7``>{i91926=4>{I7``>{i919j6=4>{I7``>{i919i6=4>{I7``>{i919h6=4>{I7``>{i919o6=4>{I7``>{i919n6=4>{I7``>{i919m6=4>{I7``>{i91>;6=4>{I7``>{i91>:6=4>{I7``>{i91>96=4>{I7``>{i91>86=4>{I7``>{i91>?6=4>{I7``>{i91>>6=4>{I7``>{i91>=6=4>{I7``>{i91><6=4>{I7``>{i91>36=4>{I7``>{i91>26=4>{I7``>{i91>j6=4>{I7``>{i91>i6=4>{I7``>{i91>h6=4>{I7``>{i91>o6=4>{I7``>{i91>n6=4>{I7``>{i91>m6=4>{I7``>{i91?;6=4>{I7``>{i91?:6=4>{I7``>{i91?96=4>{I7``>{i91?86=4>{I7``>{i91??6=4>{I7``>{i91?>6=4>{I7``>{i91?=6=4>{I7``>{i91?<6=4>{I7``>{i91?36=4>{I7``>{i91?26=4>{I7``>{i91?j6=4>{I7``>{i91?i6=4>{I7``>{i91?h6=4>{I7``>{i91?o6=4>{I7``>{i91?n6=4>{I7``>{i91?m6=4>{I7``>{i91<;6=4>{I7``>{i91<:6=4>{I7``>{i91<96=4>{I7``>{i91<86=4>{I7``>{i91<?6=4>{I7``>{i91<>6=4>{I7``>{i91<=6=4>{I7``>{i91<<6=4>{I7``>{i91<36=4>{I7``>{i91<26=4>{I7``>{i91<j6=4>{I7``>{i91<i6=4>{I7``>{i91<h6=4>{I7``>{i91<o6=4>{I7``>{i91<n6=4>{I7``>{i91<m6=4>{I7``>{i91=;6=4>{I7``>{i91=:6=4>{I7``>{i91=96=4>{I7``>{i91=86=4>{I7``>{i91=?6=4>{I7``>{i91=>6=4>{I7``>{i91==6=4>{I7``>{i91=<6=4>{I7``>{i91=36=4>{I7``>{i91=26=4>{I7``>{i91=j6=4>{I7``>{i91=i6=4>{I7``>{i91=h6=4>{I7``>{i91=o6=4>{I7``>{i91=n6=4>{I7``>{i91=m6=4>{I7``>{i912;6=4>{I7``>{i912:6=4>{I7``>{i91296=4>{I7``>{i91286=4>{I7``>{i912?6=4>{I7``>{i912>6=4>{I7``>{i912=6=4>{I7``>{i912<6=4>{I7``>{i91236=4>{I7``>{i91226=4>{I7``>{i912j6=4>{I7``>{i912i6=4>{I7``>{i912h6=4>{I7``>{i912o6=4>{I7``>{i912n6=4>{I7``>{i912m6=4>{I7``>{i913;6=4>{I7``>{i913:6=4>{I7``>{i91396=4>{I7``>{i91386=4>{I7``>{i913?6=4>{I7``>{i913>6=4>{I7``>{i913=6=4>{I7``>{i913<6=4>{I7``>{i91336=4>{I7``>{i91326=4>{I7``>{i913j6=4>{I7``>{i913i6=4>{I7``>{i913h6=4>{I7``>{i913o6=4>{I7``>{i913n6=4>{I7``>{i913m6=4>{I7``>{i91k;6=4>{I7``>{i91k:6=4>{I7``>{i91k96=4>{I7``>{i91k86=4>{I7``>{i91k?6=4>{I7``>{i91k>6=4>{I7``>{i91k=6=4>{I7``>{i91k<6=4>{I7``>{i91k36=4>{I7``>{i91k26=4>{I7``>{i91kj6=4>{I7``>{i91ki6=4>{I7``>{i91kh6=4>{I7``>{i91ko6=4>{I7``>{i91kn6=4>{I7``>{i91km6=4>{I7``>{i91h;6=4>{I7``>{i91h:6=4>{I7``>{i91h96=4>{I7``>{i91h86=4>{I7``>{i91h?6=4>{I7``>{i91h>6=4>{I7``>{i91h=6=4>{I7``>{i91h<6=4>{I7``>{i91h36=4>{I7``>{i91h26=4>{I7``>{i91hj6=4>{I7``>{i91hi6=4>{I7``>{i91hh6=4>{I7``>{i91ho6=4>{I7``>{i91hn6=4>{I7``>{i91hm6=4>{I7``>{i91i;6=4>{I7``>{i91i:6=4>{I7``>{i91i96=4>{I7``>{i91i86=4>{I7``>{i91i?6=4>{I7``>{i91i>6=4>{I7``>{i91i=6=4>{I7``>{i91i<6=4>{I7``>{i91i36=4>{I7``>{i91i26=4>{I7``>{i91ij6=4>{I7``>{i91ii6=4>{I7``>{i91ih6=4>{I7``>{i91io6=4>{I7``>{i91in6=4>{I7``>{i91im6=4>{I7``>{i91n;6=4>{I7``>{i91n:6=4>{I7``>{i91n96=4>{I7``>{i91n86=4>{I7``>{i91n?6=4>{I7``>{i91n>6=4>{I7``>{i91n=6=4>{I7``>{i91n<6=4>{I7``>{i91n36=4>{I7``>{i91n26=4>{I7``>{i91nj6=4>{I7``>{i91ni6=4>{I7``>{i91nh6=4>{I7``>{i91no6=4>{I7``>{i91nn6=4>{I7``>{i91nm6=4>{I7``>{i91o;6=4>{I7``>{i91o:6=4>{I7``>{i91o96=4>{I7``>{i91o86=4>{I7``>{i91o?6=4>{I7``>{i91o>6=4>{I7``>{i91o=6=4>{I7``>{i91o<6=4>{I7``>{i91o36=4>{I7``>{i91o26=4>{I7``>{i91oj6=4>{I7``>{i91oi6=4>{I7``>{i91oh6=4>{I7``>{i91oo6=4>{I7``>{i91on6=4>{I7``>{i91om6=4>{I7``>{i91l;6=4>{I7``>{i91l:6=4>{I7``>{i91l96=4>{I7``>{i91l86=4>{I7``>{i91l?6=4>{I7``>{i91l>6=4>{I7``>{i91l=6=4>{I7``>{i91l<6=4>{I7``>{i91l36=4>{I7``>{i91l26=4>{I7``>{i91lj6=4>{I7``>{i91li6=4>{I7``>{i91lh6=4>{I7``>{i91lo6=4>{I7``>{i91ln6=4>{I7``>{i91lm6=4>{I7``>{i90:;6=4>{I7``>{i90::6=4>{I7``>{i90:96=4>{I7``>{i90:86=4>{I7``>{i90:?6=4>{I7``>{i90:>6=4>{I7``>{i90:=6=4>{I7``>{i90:<6=4>{I7``>{i90:36=4>{I7``>{i90:26=4>{I7``>{i90:j6=4>{I7``>{i90:i6=4>{I7``>{i90:h6=4>{I7``>{i90:o6=4>{I7``>{i90:n6=4>{I7``>{i90:m6=4>{I7``>{i90;;6=4>{I7``>{i90;:6=4>{I7``>{i90;96=4>{I7``>{i90;86=4>{I7``>{i90;?6=4>{I7``>{i90;>6=4>{I7``>{i90;=6=4>{I7``>{i90;<6=4>{I7``>{i90;36=4>{I7``>{i90;26=4>{I7``>{i90;j6=4>{I7``>{i90;i6=4>{I7``>{i90;h6=4>{I7``>{i90;o6=4>{I7``>{i90;n6=4>{I7``>{i90;m6=4>{I7``>{i908;6=4>{I7``>{i908:6=4>{I7``>{i90896=4>{I7``>{i90886=4>{I7``>{i908?6=4>{I7``>{i908>6=4>{I7``>{i908=6=4>{I7``>{i908<6=4>{I7``>{i90836=4>{I7``>{i90826=4>{I7``>{i908j6=4>{I7``>{i908i6=4>{I7``>{i908h6=4>{I7``>{i908o6=4>{I7``>{i908n6=4>{I7``>{i908m6=4>{I7``>{i909;6=4>{I7``>{i909:6=4>{I7``>{i90996=4>{I7``>{i90986=4>{I7``>{i909?6=4>{I7``>{i909>6=4>{I7``>{i909=6=4>{I7``>{i909<6=4>{I7``>{i90936=4>{I7``>{i90926=4>{I7``>{i909j6=4>{I7``>{i909i6=4>{I7``>{i909h6=4>{I7``>{i909o6=4>{I7``>{i909n6=4>{I7``>{i909m6=4>{I7``>{i90>;6=4>{I7``>{i90>:6=4>{I7``>{i90>96=4>{I7``>{i90>86=4>{I7``>{i90>?6=4>{I7``>{i90>>6=4>{I7``>{i90>=6=4>{I7``>{i90><6=4>{I7``>{i90>36=4>{I7``>{i90>26=4>{I7``>{i90>j6=4>{I7``>{i90>i6=4>{I7``>{i90>h6=4>{I7``>{i90>o6=4>{I7``>{i90>n6=4>{I7``>{i90>m6=4>{I7``>{i90?;6=4>{I7``>{i90?:6=4>{I7``>{i90?96=4>{I7``>{i90?86=4>{I7``>{i90??6=4>{I7``>{i90?>6=4>{I7``>{i90?=6=4>{I7``>{i90?<6=4>{I7``>{i90?36=4>{I7``>{i90?26=4>{I7``>{i90?j6=4>{I7``>{i90?i6=4>{I7``>{i90?h6=4>{I7``>{i90?o6=4>{I7``>{i90?n6=4>{I7``>{i90?m6=4>{I7``>{i90<;6=4>{I7``>{i90<:6=4>{I7``>{i90<96=4>{I7``>{i90<86=4>{I7``>{i90<?6=4>{I7``>{i90<>6=4>{I7``>{i90<=6=4>{I7``>{i90<<6=4>{I7``>{i90<36=4>{I7``>{i90<26=4>{I7``>{i90<j6=4>{I7``>{i90<i6=4>{I7``>{i90<h6=4>{I7``>{i90<o6=4>{I7``>{i90<n6=4>{I7``>{i90<m6=4>{I7``>{i90=;6=4>{I7``>{i90=:6=4>{I7``>{i90=96=4>{I7``>{i90=86=4>{I7``>{i90=?6=4>{I7``>{i90=>6=4>{I7``>{i90==6=4>{I7``>{i90=<6=4>{I7``>{i90=36=4>{I7``>{i90=26=4>{I7``>{i90=j6=4>{I7``>{i90=i6=4>{I7``>{i90=h6=4>{I7``>{i90=o6=4>{I7``>{i90=n6=4>{I7``>{i90=m6=4>{I7``>{i902;6=4>{I7``>{i902:6=4>{I7``>{i90296=4>{I7``>{i90286=4>{I7``>{i902?6=4>{I7``>{i902>6=4>{I7``>{i902=6=4>{I7``>{i902<6=4>{I7``>{i90236=4>{I7``>{i90226=4>{I7``>{i902j6=4>{I7``>{i902i6=4>{I7``>{i902h6=4>{I7``>{i902o6=4>{I7``>{zutJKOv?le38:75g2>1ivLMLt0|BCT~{GH
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file data_address_to_sdram_fifo.vhd when simulating
+-- the core, data_address_to_sdram_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY data_address_to_sdram_fifo IS
+ port (
+ din: IN std_logic_VECTOR(64 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(64 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(11 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(11 downto 0));
+END data_address_to_sdram_fifo;
+
+ARCHITECTURE data_address_to_sdram_fifo_a OF data_address_to_sdram_fifo IS
+-- synthesis translate_off
+component wrapped_data_address_to_sdram_fifo
+ port (
+ din: IN std_logic_VECTOR(64 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(64 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(11 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(11 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_data_address_to_sdram_fifo use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 65,
+ c_has_wr_data_count => 1,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_use_embedded_reg => 0,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 1,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 65,
+ c_msgon_val => 1,
+ c_rd_depth => 4096,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 12,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 12,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 12,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 12,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 12,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 4092,
+ c_wr_depth => 4096,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 4093,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "4kx9",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_data_address_to_sdram_fifo
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count,
+ wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END data_address_to_sdram_fifo_a;
+
--- /dev/null
+--------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni
+--
+-- Create Date: 7/3/07
+-- Design Name: vulom3
+-- Module Name: delay - Behavioral
+-- Project Name: triggerbox
+-- Target Device: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: 16 clock cycle programmable delayer
+--
+--------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity delay is port (
+ clk : in std_logic;
+ to_be_delayed : in std_logic;
+ delay_value : in std_logic_vector(3 downto 0);
+ delayed_pulse : out std_logic);
+end delay;
+
+architecture Behavioral of delay is
+signal shift : std_logic_vector (15 downto 0) := x"0000";
+
+begin
+
+ process (clk)
+ begin
+ if rising_edge(clk) then
+ shift <= shift (14 downto 0) & to_be_delayed;
+
+ case delay_value is
+ when "0000" => delayed_pulse <= shift(0);
+ when "0001" => delayed_pulse <= shift(1);
+ when "0010" => delayed_pulse <= shift(2);
+ when "0011" => delayed_pulse <= shift(3);
+ when "0100" => delayed_pulse <= shift(4);
+ when "0101" => delayed_pulse <= shift(5);
+ when "0110" => delayed_pulse <= shift(6);
+ when "0111" => delayed_pulse <= shift(7);
+ when "1000" => delayed_pulse <= shift(8);
+ when "1001" => delayed_pulse <= shift(9);
+ when "1010" => delayed_pulse <= shift(10);
+ when "1011" => delayed_pulse <= shift(11);
+ when "1100" => delayed_pulse <= shift(12);
+ when "1101" => delayed_pulse <= shift(13);
+ when "1110" => delayed_pulse <= shift(14);
+ when "1111" => delayed_pulse <= shift(15);
+ when others => delayed_pulse <= 'X';
+ end case;
+ end if;
+ end process;
+
+end Behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+entity delay_pulse_module is port (
+ CLK : in std_logic;
+ SIGNAL_IN : in std_logic;
+ SIGNAL_OUT : out std_logic;
+ DELAY : in std_logic_vector(7 downto 0);
+ LENGTH : in std_logic_vector(7 downto 0)
+ );
+end delay_pulse_module;
+
+architecture delay_pulse_module of delay_pulse_module is
+
+ signal chain : std_logic_vector(47 downto 0);
+ signal internal : std_logic;
+ signal signal_out_s : std_logic:='0';
+ signal delay_in : integer range 0 to 7 :=0;
+ signal length_in : integer range 0 to 7 :=0;
+
+begin
+
+ delay_in<= conv_integer(DELAY(7 downto 0));
+ length_in<= conv_integer(LENGTH(7 downto 0));
+ signal_out <= signal_out_s;
+
+ process (clk)
+ begin
+ if rising_edge(clk) then
+ internal <= signal_in;
+ chain <= (chain (46 downto 0) & (not internal and signal_in));
+ if (chain(delay_in) = '1') then
+ signal_out_s <= '1';
+ elsif (chain(delay_in)='0' and chain(delay_in+length_in) ='1') then
+ signal_out_s <= '0';
+ end if;
+ end if;
+ end process;
+
+end delay_pulse_module;
--- /dev/null
+--------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni
+--
+-- Create Date: 7/3/07
+-- Design Name: vulom3
+-- Module Name: downscale - Behavioral
+-- Project Name: triggerbox
+-- Target Device: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: 2^16 programmable divider with output shaper
+--
+--------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.vcomponents.all;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity downscale is port (
+ disable : in std_logic;
+ to_be_downscaled : in std_logic;
+ downscale_value : in std_logic_vector(3 downto 0);
+ clk : in std_logic;
+ downscaled : out std_logic);
+end downscale;
+
+architecture Behavioral of downscale is
+signal reset, internal, to_be_downscaled_d : std_logic := '0';
+signal accu : std_logic_vector (15 downto 0) := x"0000";
+
+begin
+
+ process(clk)
+ begin
+ if rising_edge(clk) then
+ if disable = '0' then
+ to_be_downscaled_d <= to_be_downscaled;
+ else to_be_downscaled_d <= '0';
+ end if;
+
+ if to_be_downscaled_d = '1' then
+ accu <= accu + 1;
+ end if;
+
+ case downscale_value is
+ when "0000" => reset <= to_be_downscaled_d; --bypass
+ when "0001" => reset <= accu(0);
+ when "0010" => reset <= accu(1);
+ when "0011" => reset <= accu(2);
+ when "0100" => reset <= accu(3);
+ when "0101" => reset <= accu(4);
+ when "0110" => reset <= accu(5);
+ when "0111" => reset <= accu(6);
+ when "1000" => reset <= accu(7);
+ when "1001" => reset <= accu(8);
+ when "1010" => reset <= accu(9);
+ when "1011" => reset <= accu(10);
+ when "1100" => reset <= accu(11);
+ when "1101" => reset <= accu(12);
+ when "1110" => reset <= accu(13);
+ when "1111" => reset <= accu(14);
+ when others => reset <= 'X';
+ end case;
+
+ internal <= reset;
+ downscaled <= (not internal) and reset;
+ end if;
+ end process;
+
+end Behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+--use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+use STD.TEXTIO.all;
+use IEEE.STD_LOGIC_TEXTIO.all;
+--library UNISIM;
+--use UNISIM.VCOMPONENTS.all;
+
+entity etrax_interfacev2 is
+ generic (
+ ENABLE_DMA : positive;
+ RW_SYSTEM : positive;
+ RW_REGISTERS_NUMBER : natural;
+ R_REGISTERS_NUMBER : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic;--_vector(16 downto 0);
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ IPU_READY_IN : in std_logic;
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ RW_REGISTER : out std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ R_REGISTER : in std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ LVL2_VALID : in std_logic;
+ TRB_LVL2_BUSY : in std_logic;
+ REGIO_ADDR_IN : in std_logic_vector(15 downto 0);
+ REGIO_READ_ENABLE_IN : in std_logic;
+ REGIO_WRITE_ENABLE_IN : in std_logic;
+ REGIO_DATA_IN : in std_logic_vector(31 downto 0);
+ REGIO_DATA_OUT : out std_logic_vector(31 downto 0);
+ REGIO_DATAREADY_OUT : out std_logic;
+ REGIO_NO_MORE_DATA_OUT : out std_logic;
+ REGIO_WRITE_ACK_OUT : out std_logic;
+ REGIO_UNKNOWN_ADDR_OUT : out std_logic
+ -- DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0)
+ );
+end etrax_interfacev2;
+
+architecture etrax_interfacev2 of etrax_interfacev2 is
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ signal rw_operation_finished_pulse : std_logic;
+ signal saved_rw_mode : std_logic_vector(15 downto 0):= (others => '0');
+ signal saved_address : std_logic_vector (31 downto 0):= (others => '0');
+ signal saved_data : std_logic_vector(31 downto 0):= (others => '0');
+ signal saved_data_fpga : std_logic_vector(31 downto 0):= (others => '0');
+ signal r_register_i : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0) := (others => '0');
+ signal rw_register_i : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0) := (others => '0');
+
+
+ signal saved_external_data : std_logic_vector(31 downto 0);
+ signal etrax_is_ready_to_read_i : std_logic;
+ signal lvl2_not_valid_pulse : std_logic;
+ signal counter_for_pulses : std_logic_vector(2 downto 0);
+ signal internal_reset_i : std_logic := '0';
+
+ signal data_from_etrax : std_logic_vector(80 downto 0):= (others => '0');
+ signal etrax_std_data_counter : std_logic_vector(7 downto 0):=x"00";
+ signal enable_transmition : std_logic :='1';
+ signal etrax_strobe : std_logic;
+ signal data_to_etrax : std_logic_vector(31 downto 0);
+
+
+ signal not_etrax_busy : std_logic;
+
+
+ signal data_bus_reg : std_logic_vector(31 downto 0);
+
+
+ signal readout_lvl2_fifo :std_logic;
+
+ signal data_valid_start_pulse : std_logic;
+ signal data_valid_end_pulse : std_logic;
+ signal data_valid_not : std_logic;
+ signal etrax_busy_end : std_logic;
+ signal write_to_dma_synch : std_logic;
+ signal word16_counter : std_logic_vector(7 downto 0);
+ signal write_to_dma_synch_synch : std_logic;
+ signal reg_address : integer range 0 to 256 :=1;
+ signal data_valid_synch : std_logic;
+ signal how_many_data_was_sent : std_logic_vector(4 downto 0);
+ signal time_out_cntr : std_logic_vector(31 downto 0);
+ signal time_out_pulse : std_logic;
+ signal etrax_or_regio_reg_access : std_logic;
+
+--reg io signals
+ signal regio_address_saved : std_logic_vector(15 downto 0);
+ signal regio_data_saved : std_logic_vector(31 downto 0);
+ signal regio_mode_save : std_logic;
+ signal ack_out_synch_a : std_logic;
+ signal ack_out_synch_b : std_logic;
+ signal ack_out_synch_c : std_logic;
+ signal ack_out_synch_d : std_logic;
+ signal ack_out_synch_e : std_logic;
+
+begin
+
+
+-------------------------------------------------------------------------------
+-- serial transmition for reading, writing fpga registers, dsp, sdram , addon . . .
+-------------------------------------------------------------------------------
+
+ TRB_SYSTEM : if RW_SYSTEM = 1 generate
+ ETRAX_DATA_BUS_C(17) <= 'Z';
+ STROBE_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ETRAX_DATA_BUS_C(17),
+ pulse => etrax_strobe);
+
+ SAVE_ETRAX_DATA : process (CLK, RESET)
+ variable etrax_data_counter : integer := 0;
+ begin
+ if rising_edge(CLK)then
+ if RESET = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114 and saved_rw_mode(15) = '1') then
+ etrax_data_counter := 0;
+ data_from_etrax <= data_from_etrax;
+ ETRAX_DATA_BUS_C(16) <= 'Z';
+ enable_transmition <= '1';
+ etrax_std_data_counter <= x"00";
+ elsif etrax_strobe = '1' and etrax_std_data_counter < 81 then -- and etrax_data_counter < 81 and etrax_data_counter > 0 then
+ data_from_etrax(etrax_data_counter) <= ETRAX_DATA_BUS_C(16);
+ etrax_data_counter := etrax_data_counter + 1;
+ ETRAX_DATA_BUS_C(16) <= 'Z';
+ enable_transmition <= '0';
+ etrax_std_data_counter <= etrax_std_data_counter + 1;
+ --elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' and saved_rw_mode(7 downto 0) = x"00" then
+ elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' then
+ data_from_etrax <= data_from_etrax;
+ ETRAX_DATA_BUS_C(16) <= data_to_etrax(0);
+ etrax_data_counter := etrax_data_counter + 1;
+ etrax_std_data_counter <= etrax_std_data_counter + 1;
+ enable_transmition <= '0';
+ elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1' then
+ data_from_etrax <= data_from_etrax;
+ ETRAX_DATA_BUS_C(16) <= data_to_etrax((etrax_data_counter-81) mod 32);--+reg_address*32
+ etrax_data_counter := etrax_data_counter + 1;
+ etrax_std_data_counter <= etrax_std_data_counter + 1;
+ enable_transmition <= '0';
+ end if;
+ end if;
+ end process SAVE_ETRAX_DATA;
+
+ CHANGE_MODE_ETRAX_OR_REGIO : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ etrax_or_regio_reg_access <= '0';
+ elsif etrax_std_data_counter > 0 then
+ etrax_or_regio_reg_access <= '1';
+ elsif REGIO_WRITE_ENABLE_IN = '1' or REGIO_READ_ENABLE_IN = '1' then
+ etrax_or_regio_reg_access <= '0';
+ else
+ etrax_or_regio_reg_access <= etrax_or_regio_reg_access;
+ end if;
+ end if;
+ end process CHANGE_MODE_ETRAX_OR_REGIO;
+
+ end generate TRB_SYSTEM;
+ -- we should add one state to wait for the data from external device (valid
+ -- pulse- > one long puls on the data bus !)
+ ADDON_SYSTEM : if RW_SYSTEM = 2 generate
+ ETRAX_DATA_BUS_E(9) <= 'Z';
+ STROBE_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ETRAX_DATA_BUS_E(9),--
+ pulse => etrax_strobe);
+
+ SAVE_ETRAX_DATA : process (CLK, RESET)
+ variable etrax_data_counter : integer := 0;
+ begin
+ if rising_edge(CLK)then
+ if RESET = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114 and saved_rw_mode(15) = '1') then
+ etrax_data_counter := 0;
+ data_from_etrax <= data_from_etrax;
+ ETRAX_DATA_BUS_E(8) <= 'Z';
+ enable_transmition <= '1';
+ etrax_std_data_counter <= x"00";
+ elsif etrax_strobe = '1' and etrax_std_data_counter < 81 then -- and etrax_data_counter < 81 and etrax_data_counter > 0 then
+ data_from_etrax(etrax_data_counter) <= ETRAX_DATA_BUS_E(8);
+ etrax_data_counter := etrax_data_counter + 1;
+ ETRAX_DATA_BUS_E(8) <= 'Z';
+ enable_transmition <= '0';
+ etrax_std_data_counter <= etrax_std_data_counter + 1;
+-- elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' and saved_rw_mode(7 downto 0) = x"00" then
+ elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' then
+ data_from_etrax <= data_from_etrax;
+ ETRAX_DATA_BUS_E(8) <= data_to_etrax(0);
+ etrax_data_counter := etrax_data_counter + 1;
+ etrax_std_data_counter <= etrax_std_data_counter + 1;
+ enable_transmition <= '0';
+ elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1' then
+ data_from_etrax <= data_from_etrax;
+ ETRAX_DATA_BUS_E(8) <= data_to_etrax((etrax_data_counter-81) mod 32);--+reg_address*32
+ etrax_data_counter := etrax_data_counter + 1;
+ etrax_std_data_counter <= etrax_std_data_counter + 1;
+ enable_transmition <= '0';
+ end if;
+ end if;
+ end process SAVE_ETRAX_DATA;
+
+ CHANGE_MODE_ETRAX_OR_REGIO : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ etrax_or_regio_reg_access <= '0';
+ elsif etrax_std_data_counter > 0 then
+ etrax_or_regio_reg_access <= '1';
+ elsif REGIO_WRITE_ENABLE_IN = '1' or REGIO_READ_ENABLE_IN = '1' then
+ etrax_or_regio_reg_access <= '0';
+ else
+ etrax_or_regio_reg_access <= etrax_or_regio_reg_access;
+ end if;
+ end if;
+ end process CHANGE_MODE_ETRAX_OR_REGIO;
+
+ end generate ADDON_SYSTEM;
+
+ SYNC_DATA_TO_ETRAX : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ data_to_etrax <= (others => '0');
+ elsif saved_rw_mode(7 downto 0) = x"00" then
+ data_to_etrax <= saved_data_fpga;
+ else
+ data_to_etrax <= saved_external_data;
+ end if;
+ end if;
+ end process SYNC_DATA_TO_ETRAX;
+
+ TIME_OUT : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ time_out_cntr <= x"00000000";
+ elsif etrax_std_data_counter = 81 then
+ time_out_cntr <= time_out_cntr + 1;
+ else
+ time_out_cntr <= x"00000000";
+ end if;
+ end if;
+ end process TIME_OUT;
+
+ TIME_OUT_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => time_out_cntr(26),
+ pulse => time_out_pulse);
+
+ RW_FINISHED_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => EXTERNAL_VALID,
+ pulse => rw_operation_finished_pulse);
+ --for reading only 1us for responce for any external device !!! - ask RADEK
+ --abut timing
+ REGISTER_ETRAX_BUS: process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ saved_external_data <= x"bad1face";
+ elsif rw_operation_finished_pulse = '1' then
+ saved_external_data <= EXTERNAL_DATA_IN;
+ else
+ saved_external_data <= saved_external_data;
+ end if;
+ end if;
+ end process REGISTER_ETRAX_BUS;
+
+ EXTERNAL_ADDRESS <= saved_address;
+ EXTERNAL_MODE <= saved_rw_mode(15 downto 0);
+ EXTERNAL_DATA_OUT <= saved_data;
+ EXTERNAL_ACK <= '1' when etrax_std_data_counter = 80 else '0';
+
+ SAVE_REG_IO_ADD_DATA : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ regio_address_saved <= (others => '0');
+ regio_data_saved <= (others => '0');
+ elsif REGIO_WRITE_ENABLE_IN = '1' or REGIO_READ_ENABLE_IN = '1' then
+ regio_address_saved <= REGIO_ADDR_IN;
+ regio_data_saved <= REGIO_DATA_IN;
+ else
+ regio_address_saved <= regio_address_saved;
+ regio_data_saved <= regio_data_saved;
+ end if;
+ end if;
+ end process SAVE_REG_IO_ADD_DATA;
+
+ SET_ACK_OUT : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ ack_out_synch_a <= '0';
+ ack_out_synch_b <= '0';
+ ack_out_synch_c <= '0';
+ ack_out_synch_d <= '0';
+ ack_out_synch_e <= '0';
+ else
+ ack_out_synch_e <= ack_out_synch_d;
+ ack_out_synch_d <= ack_out_synch_c;
+ ack_out_synch_c <= ack_out_synch_b;
+ ack_out_synch_b <= ack_out_synch_a;
+ ack_out_synch_a <= REGIO_WRITE_ENABLE_IN or REGIO_READ_ENABLE_IN;
+ end if;
+ end if;
+ end process SET_ACK_OUT;
+
+ REGIO_NO_MORE_DATA_OUT <= ack_out_synch_d;
+ REGIO_DATAREADY_OUT <= ack_out_synch_c and regio_mode_save;
+ REGIO_WRITE_ACK_OUT <= ack_out_synch_c and (not (regio_mode_save));
+
+
+ SEND_DATA_OUT : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ REGIO_DATA_OUT <= x"00000000";
+ else
+ REGIO_DATA_OUT <= saved_data_fpga;
+ end if;
+ end if;
+ end process SEND_DATA_OUT;
+
+ REGIO_SAVE_MODE : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ regio_mode_save <= '0';
+ elsif REGIO_WRITE_ENABLE_IN = '1' then
+ regio_mode_save <= '0';
+ elsif REGIO_READ_ENABLE_IN = '1' then
+ regio_mode_save <= '1';
+ else
+ regio_mode_save <= regio_mode_save;
+ end if;
+ end if;
+ end process REGIO_SAVE_MODE;
+
+ CLOCK_SAVED_DATA: process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ saved_rw_mode <= (others => '0');
+ saved_address <= (others => '0');
+ saved_data <= (others => '0');
+ reg_address <= 1;
+ elsif etrax_or_regio_reg_access = '1' then
+ saved_rw_mode <= data_from_etrax(15 downto 0);
+ saved_address <= data_from_etrax(47 downto 16);
+ -- reg_address <= reg_address* (conv_integer(data_from_etrax(47 downto 16)));
+-- reg_address <= conv_integer(data_from_etrax(31 downto 16));
+ reg_address <= conv_integer(data_from_etrax(23 downto 16));
+ --only 16 lowest bits - the 8 highest is not taken into address for
+ --internal registers
+ saved_data <= data_from_etrax(79 downto 48);
+ else
+ saved_rw_mode <= regio_mode_save & "000" & x"000";
+ saved_address <= x"0000" & regio_address_saved;
+ saved_data <= regio_data_saved;
+ reg_address <= conv_integer(regio_address_saved(23 downto 16));
+ end if;
+ end if;
+ end process CLOCK_SAVED_DATA;
+
+ REGISTERS: process (CLK)
+ begin
+ if rising_edge(CLK) then
+-- if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+ RW_REGISTER <= rw_register_i;
+ r_register_i <= R_REGISTER;
+ end if;
+ end process REGISTERS;
+
+ DATA_SOURCE_SELECT : process (CLK,RESET,saved_rw_mode,saved_address)
+
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then--(ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then
+ rw_register_i <= (others => '0');
+ else
+ case saved_rw_mode(7 downto 0) is
+ when x"00" =>
+ if saved_rw_mode(15) = '1' and etrax_std_data_counter = 80 and reg_address > 127 and reg_address < 192 then
+ saved_data_fpga <= r_register((reg_address+1-128)*32-1 downto ((reg_address-128)*32));
+ elsif saved_rw_mode(15) = '1' and etrax_std_data_counter = 80 and reg_address >191 and reg_address < 256 then
+ saved_data_fpga <= rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32);
+ elsif saved_rw_mode(15) = '0' and etrax_std_data_counter = 80 then
+ rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32) <= saved_data;
+ else
+ saved_data_fpga <= saved_data_fpga;
+ end if;
+ when x"01" => --DSP write read
+ saved_data_fpga <= saved_external_data;
+ when x"02" => --sdram
+ saved_data_fpga <= saved_external_data;
+ when x"03" => --ADDON board write read
+ saved_data_fpga <= saved_external_data;
+ when x"05" => --trigger interface
+ saved_data_fpga <= saved_external_data;
+ when x"06" => --SFP read
+ saved_data_fpga <= saved_external_data;
+ when others =>
+ saved_data_fpga <= x"deadface";
+ end case;
+ end if;
+ end if;
+ end process DATA_SOURCE_SELECT;
+
+-------------------------------------------------------------------------------
+-- data transmitio fpga <-> etrax
+-------------------------------------------------------------------------------
+--DMA
+ DMA_INTERFACE: if ENABLE_DMA = 1 generate
+
+ REG_DATA_TO_ETRAXa:process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ data_bus_reg <= (others => '0');
+ write_to_dma_synch <= '0';
+ write_to_dma_synch_synch <= '0';
+ data_valid_synch <= '0';
+ else
+ data_bus_reg <= DATA_BUS;
+ write_to_dma_synch <= readout_lvl2_fifo;--write_to_dma;
+ write_to_dma_synch_synch <= write_to_dma_synch and (DATA_VALID or (not(TRB_LVL2_BUSY)));
+ data_valid_synch <= DATA_VALID;
+ end if;
+ end if;
+ end process REG_DATA_TO_ETRAXa;
+ ETRAX_DATA_BUS_B(7 downto 0) <= data_bus_reg(31 downto 24);
+-- ETRAX_DATA_BUS_B(6 downto 0) <= data_bus_reg(30 downto 24); --!!!test
+ ETRAX_DATA_BUS_B(15 downto 8) <= data_bus_reg(23 downto 16);
+ ETRAX_DATA_BUS_C(15 downto 8) <= data_bus_reg(15 downto 8);
+ ETRAX_DATA_BUS_C(7 downto 4) <= data_bus_reg(7 downto 4);
+-- ETRAX_DATA_BUS_B(7) <= ETRAX_DATA_BUS_B_17; --for test
+
+ TDC_TMS <= ETRAX_DATA_BUS_C(1) when rw_register_i(0) = '1' else '1';
+ TDC_TCK <= ETRAX_DATA_BUS_C(2) when rw_register_i(0) = '1' else '1';
+ TDC_TDI <= ETRAX_DATA_BUS_C(3) when rw_register_i(0) = '1' else '1';
+ ETRAX_DATA_BUS_C(0) <= TDC_TDO when rw_register_i(0) = '1' else data_bus_reg(0);
+ ETRAX_DATA_BUS_C(1) <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(1);
+ ETRAX_DATA_BUS_C(2) <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(2);
+ ETRAX_DATA_BUS_C(3) <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(3);
+
+ COUNT_CORRECT_WORD_NUMBER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 5)
+ port map (
+ CLK => CLK,
+ RESET => data_valid_start_pulse,
+ COUNT_OUT => how_many_data_was_sent,
+ UP_IN => write_to_dma_synch_synch,
+ DOWN_IN => '0');
+
+ START_READOUT : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => TRB_LVL2_BUSY,--DATA_VALID,
+ pulse => data_valid_start_pulse);
+ data_valid_not <= not TRB_LVL2_BUSY;
+
+ END_READOUT : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => data_valid_not,
+ pulse => data_valid_end_pulse);
+
+ not_etrax_busy <= not ETRAX_DATA_BUS_B_17;
+
+ ETRAX_BUSY_END_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_etrax_busy,
+ pulse => etrax_busy_end);
+
+ COUNTER_FOR_READOUT: process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ word16_counter <= x"FF";
+ elsif (data_valid_start_pulse = '1') or (etrax_busy_end = '1' and DATA_VALID = '1') then
+ word16_counter <= x"00";
+ elsif (word16_counter < x"1e") and (DATA_VALID = '1' or TRB_LVL2_BUSY = '0') then
+ word16_counter <= word16_counter + 1;
+ elsif (word16_counter < x"1e") and (DATA_VALID = '0' and TRB_LVL2_BUSY = '1') then
+ word16_counter(4 downto 0) <= how_many_data_was_sent(3 downto 0) & '0';
+ else
+ word16_counter <= word16_counter;
+ end if;
+ end if;
+ end process COUNTER_FOR_READOUT;
+
+ READOUT_LVL2_FIFO_PROC: process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or data_valid_end_pulse = '1' or word16_counter = x"1e" then
+ readout_lvl2_fifo <= '0';
+ elsif word16_counter < x"1e" and (DATA_VALID = '1' or TRB_LVL2_BUSY = '0') then
+ readout_lvl2_fifo <= word16_counter(0);
+ else
+ readout_lvl2_fifo <= '0';
+ end if;
+ end if;
+ end process READOUT_LVL2_FIFO_PROC;
+
+
+-- etrax_is_ready_to_read_i <= (data_valid_start_pulse or readout_lvl2_fifo) and DATA_VALID;
+ ETRAX_IS_READY_TO_READ <= readout_lvl2_fifo;
+ ETRAX_DATA_BUS_B(16) <= write_to_dma_synch_synch;--(not CLK) and (write_to_dma_synch_synch);
+
+ end generate DMA_INTERFACE;
+
+
+-- NO DMA
+ WITHOUT_DMA_ETRAX_INTERFACE: if ENABLE_DMA = 2 generate
+
+ WITH_TRBNET: if TRBNET_ENABLE = 1 generate
+ etrax_is_ready_to_read_i <= IPU_READY_IN;
+ ETRAX_DATA_BUS_B(16) <= '0';
+ end generate WITH_TRBNET;
+
+
+ WITHOUT_TRBNET: if TRBNET_ENABLE /= 1 generate
+ ETRAX_READY_PULSE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ETRAX_DATA_BUS_B_17,
+ pulse => etrax_is_ready_to_read_i);
+ ETRAX_DATA_BUS_B(16) <= DATA_VALID and (not LVL2_VALID);
+ end generate WITHOUT_TRBNET;
+
+ MAKE_PULSES: process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ counter_for_pulses <= "000";
+ else
+ counter_for_pulses <= counter_for_pulses + 1;
+ end if;
+ end if;
+ end process make_pulses;
+
+ LVL2_NOT_VALID_READY_PULSE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => counter_for_pulses(2),
+ pulse => lvl2_not_valid_pulse);
+
+
+
+ ETRAX_IS_READY_TO_READ <= DATA_VALID and ((etrax_is_ready_to_read_i and (not LVL2_VALID)) or (lvl2_not_valid_pulse and LVL2_VALID));
+
+ TDC_TMS <= ETRAX_DATA_BUS_C(1) when rw_register_i(0) = '1' else '1';
+ TDC_TCK <= ETRAX_DATA_BUS_C(2) when rw_register_i(0) = '1' else '1';
+ TDC_TDI <= ETRAX_DATA_BUS_C(3) when rw_register_i(0) = '1' else '1';
+ ETRAX_DATA_BUS_C(0) <= TDC_TDO when rw_register_i(0) = '1' else data_bus_reg(16);
+ ETRAX_DATA_BUS_C(1) <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(17);
+ ETRAX_DATA_BUS_C(2) <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(18);
+ ETRAX_DATA_BUS_C(3) <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(19);
+ ETRAX_DATA_BUS_C(15 downto 4) <= data_bus_reg(31 downto 20);
+ ETRAX_DATA_BUS_B(15 downto 0) <= data_bus_reg(15 downto 0);
+
+
+ REG_DATA_TO_ETRAXb:process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ data_bus_reg <= (others => '0');
+ IPU_DATAREADY_OUT <= '0';
+ IPU_DATA_OUT <= (others => '0');
+ else
+ data_bus_reg <= DATA_BUS;
+ IPU_DATAREADY_OUT <= DATA_VALID and (not LVL2_VALID);
+ IPU_DATA_OUT <= DATA_BUS;
+ end if;
+ end if;
+ end process REG_DATA_TO_ETRAXb;
+
+end generate WITHOUT_DMA_ETRAX_INTERFACE;
+
+end etrax_interfacev2;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+entity etrax_interfacev2_tb is
+ generic (
+ RW_SYSTEM : integer range 1 to 2 := 1; --1 -trb, 2 -addon with portE 10 9 as rw
+ RW_REGISTERS_NUMBER : integer range 0 to 40 := 4; --32 bit registers
+ --accesed by trbnet or
+ --etrax (read/write) -
+ --control
+ R_REGISTERS_NUMBER : integer range 0 to 40 := 4; --only read - status
+ ENABLE_DMA : integer range 0 to 1 :=1;
+ TRBNET_ENABLE : integer range 0 to 1 :=1
+ );
+end etrax_interfacev2_tb;
+architecture etrax_interfacev2_tb of etrax_interfacev2_tb is
+ component etrax_interfacev2
+ generic (
+ ENABLE_DMA : positive;
+ RW_SYSTEM : positive;
+ RW_REGISTERS_NUMBER : natural;
+ R_REGISTERS_NUMBER : natural;
+ TRBNET_ENABLE : natural);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic;
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ IPU_READY_IN : in std_logic;
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ RW_REGISTER : out std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ R_REGISTER : in std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ LVL2_VALID : in std_logic;
+ TRB_LVL2_BUSY : in std_logic;
+ REGIO_ADDR_IN : in std_logic_vector(15 downto 0);
+ REGIO_READ_ENABLE_IN : in std_logic;
+ REGIO_WRITE_ENABLE_IN : in std_logic;
+ REGIO_DATA_IN : in std_logic_vector(31 downto 0);
+ REGIO_DATA_OUT : out std_logic_vector(31 downto 0);
+ REGIO_DATAREADY_OUT : out std_logic;
+ REGIO_NO_MORE_DATA_OUT : out std_logic;
+ REGIO_WRITE_ACK_OUT : out std_logic;
+ REGIO_UNKNOWN_ADDR_OUT : out std_logic;
+ DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0));
+ end component;
+
+ signal CLK : std_logic;
+ signal RESET : std_logic;
+ signal DATA_BUS : std_logic_vector(31 downto 0);
+ signal ETRAX_DATA_BUS_B : std_logic_vector(16 downto 0);
+ signal ETRAX_DATA_BUS_B_17 : std_logic;
+ signal ETRAX_DATA_BUS_C : std_logic_vector(17 downto 0);
+ signal ETRAX_DATA_BUS_E : std_logic_vector(9 downto 8);
+ signal IPU_READY_IN : std_logic;
+ signal IPU_DATAREADY_OUT : std_logic;
+ signal IPU_DATA_OUT : std_logic_vector(31 downto 0);
+ signal DATA_VALID : std_logic;
+ signal ETRAX_BUS_BUSY : std_logic;
+ signal ETRAX_IS_READY_TO_READ : std_logic;
+ signal TDC_TCK : std_logic;
+ signal TDC_TDI : std_logic;
+ signal TDC_TMS : std_logic;
+ signal TDC_TRST : std_logic;
+ signal TDC_TDO : std_logic;
+ signal TDC_RESET : std_logic;
+ signal EXTERNAL_ADDRESS : std_logic_vector(31 downto 0);
+ signal EXTERNAL_DATA_OUT : std_logic_vector(31 downto 0);
+ signal EXTERNAL_DATA_IN : std_logic_vector(31 downto 0);
+ signal EXTERNAL_ACK : std_logic;
+ signal EXTERNAL_VALID : std_logic;
+ signal EXTERNAL_MODE : std_logic_vector(15 downto 0);
+ signal RW_REGISTER : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ signal R_REGISTER : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ signal LVL2_VALID : std_logic;
+ signal TRB_LVL2_BUSY : std_logic;
+ signal REGIO_ADDR_IN : std_logic_vector(15 downto 0);
+ signal REGIO_READ_ENABLE_IN : std_logic;
+ signal REGIO_WRITE_ENABLE_IN : std_logic;
+ signal REGIO_DATA_IN : std_logic_vector(31 downto 0);
+ signal REGIO_DATA_OUT : std_logic_vector(31 downto 0);
+ signal REGIO_DATAREADY_OUT : std_logic;
+ signal REGIO_NO_MORE_DATA_OUT : std_logic;
+ signal REGIO_WRITE_ACK_OUT : std_logic;
+ signal REGIO_UNKNOWN_ADDR_OUT : std_logic;
+ signal DEBUG_REGISTER_OO : std_logic_vector(31 downto 0);
+begin
+
+ etrax_interfacev2_1: etrax_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ RW_SYSTEM => RW_SYSTEM,
+ RW_REGISTERS_NUMBER => RW_REGISTERS_NUMBER,
+ R_REGISTERS_NUMBER => R_REGISTERS_NUMBER,
+ TRBNET_ENABLE => TRBNET_ENABLE)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ DATA_BUS => DATA_BUS,
+ ETRAX_DATA_BUS_B => ETRAX_DATA_BUS_B,
+ ETRAX_DATA_BUS_B_17 => ETRAX_DATA_BUS_B_17,
+ ETRAX_DATA_BUS_C => ETRAX_DATA_BUS_C,
+ ETRAX_DATA_BUS_E => ETRAX_DATA_BUS_E,
+ IPU_READY_IN => IPU_READY_IN,
+ IPU_DATAREADY_OUT => IPU_DATAREADY_OUT,
+ IPU_DATA_OUT => IPU_DATA_OUT,
+ DATA_VALID => DATA_VALID,
+ ETRAX_BUS_BUSY => ETRAX_BUS_BUSY,
+ ETRAX_IS_READY_TO_READ => ETRAX_IS_READY_TO_READ,
+ TDC_TCK => TDC_TCK,
+ TDC_TDI => TDC_TDI,
+ TDC_TMS => TDC_TMS,
+ TDC_TRST => TDC_TRST,
+ TDC_TDO => TDC_TDO,
+ TDC_RESET => TDC_RESET,
+ EXTERNAL_ADDRESS => EXTERNAL_ADDRESS,
+ EXTERNAL_DATA_OUT => EXTERNAL_DATA_OUT,
+ EXTERNAL_DATA_IN => EXTERNAL_DATA_IN,
+ EXTERNAL_ACK => EXTERNAL_ACK,
+ EXTERNAL_VALID => EXTERNAL_VALID,
+ EXTERNAL_MODE => EXTERNAL_MODE,
+ RW_REGISTER => RW_REGISTER,
+ R_REGISTER => R_REGISTER,
+ LVL2_VALID => LVL2_VALID,
+ TRB_LVL2_BUSY => TRB_LVL2_BUSY,
+ REGIO_ADDR_IN => REGIO_ADDR_IN,
+ REGIO_READ_ENABLE_IN => REGIO_READ_ENABLE_IN,
+ REGIO_WRITE_ENABLE_IN => REGIO_WRITE_ENABLE_IN,
+ REGIO_DATA_IN => REGIO_DATA_IN,
+ REGIO_DATA_OUT => REGIO_DATA_OUT,
+ REGIO_DATAREADY_OUT => REGIO_DATAREADY_OUT,
+ REGIO_NO_MORE_DATA_OUT => REGIO_NO_MORE_DATA_OUT,
+ REGIO_WRITE_ACK_OUT => REGIO_WRITE_ACK_OUT,
+ REGIO_UNKNOWN_ADDR_OUT => REGIO_UNKNOWN_ADDR_OUT,
+ DEBUG_REGISTER_OO => DEBUG_REGISTER_OO);
+
+ clock_gclk : process
+ begin
+ CLK <= '0';
+ wait for 5 ns;
+ CLK <= '1';
+ wait for 5 ns;
+ end process;
+
+-------------------------------------------------------------------------------
+-- write read from etrax
+-------------------------------------------------------------------------------
+ R_REGISTER <= (others => '1');
+
+ etrax_int_test: process
+ begin
+ REGIO_READ_ENABLE_IN <= '0';
+ REGIO_WRITE_ENABLE_IN <= '0';
+ wait for 200 ns;
+
+ REGIO_ADDR_IN <= x"A080";
+ REGIO_DATA_IN <= x"0000abab";
+ wait for 200 ns;
+ REGIO_READ_ENABLE_IN <= '1';
+ wait for 10 ns;
+ REGIO_READ_ENABLE_IN <= '0';
+
+ wait for 100 ns;
+ REGIO_ADDR_IN <= x"A0C0";
+ REGIO_WRITE_ENABLE_IN <= '1';
+ wait for 10 ns;
+ REGIO_WRITE_ENABLE_IN <= '0';
+
+ wait for 100 ns;
+ REGIO_ADDR_IN <= x"A0C0";
+ REGIO_READ_ENABLE_IN <= '1';
+ wait for 10 ns;
+ REGIO_READ_ENABLE_IN <= '0';
+
+ wait for 100 ns;
+ REGIO_ADDR_IN <= x"70C0";
+ REGIO_WRITE_ENABLE_IN <= '1';
+ wait for 10 ns;
+ REGIO_WRITE_ENABLE_IN <= '0';
+
+
+ end process etrax_int_test;
+end etrax_interfacev2_tb;
--- /dev/null
+
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+use ieee.std_logic_arith.all;
+
+entity etrax_write_read_tb is
+
+ port (
+ CLK : in std_logic;
+ REG_ADDRESS_IN : in std_logic_vector(31 downto 0);
+ REG_DATA_IN : in std_logic_vector(31 downto 0);
+ REG_MODE_IN : in std_logic_vector(15 downto 0);
+ REG_START_IN : in std_logic;
+ REG_FINISHED_OUT : out std_logic;
+ CLOCK_PORT_OUT : out std_logic;
+ DATA_PORT_OUT : out std_logic
+ );
+end etrax_write_read_tb;
+
+architecture etrax_write_read_tb of etrax_write_read_tb is
+
+begin
+ rw_process : process
+ begin
+ REG_FINISHED_OUT <= '0';
+ wait on CLK until REG_START_IN = '1';
+ CLOCK_PORT_OUT <= '0';
+ DATA_PORT_OUT <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '0';
+ DATA_PORT_OUT <= (REG_MODE_IN(rw_mode_counter));
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '1';
+ DATA_PORT_OUT <= (REG_MODE_IN(rw_mode_counter));
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '0';
+ DATA_PORT_OUT <= (REG_ADDRESS_IN(address_counter));
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '1';
+ DATA_PORT_OUT <= (REG_ADDRESS_IN(address_counter));
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '0';
+ DATA_PORT_OUT <= (REG_DATA_IN(data_counter));
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '1';
+ DATA_PORT_OUT <= (REG_DATA_IN(data_counter));
+ end loop;
+ wait for 300 ns;
+ CLOCK_PORT_OUT <= '0';
+ DATA_PORT_OUT <= '0';
+ wait for 400 ns;
+ CLOCK_PORT_OUT <= '1';
+
+ wait for 100 ns;
+ CLOCK_PORT_OUT <= '0';
+ REG_FINISHED_OUT <= '1';
+
+ wait for 20 ns;
+ end process rw_process;
+end etrax_write_read_tb;
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$544\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0122?45<9':?7<;?506850<NFY__6LJKR@>20?699=1:97GAPTV9EABUJ5;?6=0>2:3;>LHW]]0oec2>7;2=51=603E^X][[:emvp970294:7?:4211357=4:3CE\XZ5DHC?74<76880??4FNQWW>AOE4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87;08<?44;KMTPR=L@ZJ0>4?>0380?OIX\^1HD^M<283:46<<3CE\XZ5WDC?7?69991?6D@_UU8TAG:4294:>6:5OTVSQQ<CGYK7?7>11397>JSSX\^1HB^M<283:1=3N=Im79xcx52eb4730$;;=68;;77041=0=OL:75:485025>?330:;5<k49;KMTPR=x{elShc\7ftx]wlwct`521<3<:;88JJUSS2yxdkRkbpu{\pmtb{aUj\7f~k}t=:94;42300BB][[:qplcZcjx}sTxe|jsi]mabgsm521<3?k;88JJUSS2yxdkRhzlm]wlwct`521<3<;;88JJUSS2yxdkRhzlm]wlwct`Vkx\7fh|{<983:72<13CE\XZ5psmd[cskdV~c~h}g_ogdeqc;03:5=556:NWWTPR=lh~jSnaznu>;>586j231CXZ_UU8q`Zbf|hUhcx`{<983:4d<13E^X][[:vp\`drfWje~by27:1<1?D4?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?;8EABUI5;82o5NDEPB842=8730MIJ]A=37:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02==>GCL[H7=<06;@FGVG:6:730MIJ]B=30:g=FLMXI0<:50?;8EABUJ5;?255NDEPA848?3HNO^O2=>99B@ATE4:437LJKRC>7:==FLMXI0807;@FGVG:1611JHI\M<6<;?DBCZK63255NDEPA8<8>3HYRBNQ]EF18FP4?3K_XSD@IO09@e>EF[JKOID@Na:ABWFGCM@DIn6MNSBCGAJSSIk1HM^MNDDMVPG4<KL;97NFJCJ]OMFCI[LU_U]K=;BK7?FJLI=1H@FL<;BNQ=>EHEDC_XHJ>4:ALV@Y@MGOYMYG@N^KMBJ1<K[OJXHJ>;E68@2>5:2NM>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>69GKDYUMN<0HBL30?58@JD;994<7IAM<03=3>BHJ5;92:5KOC>27;1<LFH7=908;EMA8439?2NDN1?9>69GKG:6?7=0HBL319<4?AIE4835:6J@B=3=3>BHJ58;2:5KOC>15;1<LFH7>?08;EMA8759?2NDN1<;>69GKG:5=7=0HBL327<4?AIE4;=5;6J@B=0;:2=CGK695384DN@?6;1<LFH7?=06;EMA867=87=0HBL330<5?AIE4:4=7IAM<5<5?AIE4<4=7IAM<7<5?AIE4>4=7IAM<9<5?AIE404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?68AFG7;2OEM>5JNC78BDJTM;1MH>5IDB68BAEB;2LO\95IDQG6?Cgk{l;0K>5HNE08M54<A880E?<4I2:8MKOSXV:;46GAIUR\44><AGC_\R>=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451<AGC_S=?8;HLJPZ65?2CEEYQ?369JJLRX8==0ECG[_174?LHN\V:=;6GAIU]332=NF@^T<594IOKW[5?03@DBXR>N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551<AGC_S<?8;HLJPZ75?2CEEYQ>369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651<AGC_S??8;HLJPZ45?2CEEYQ=369JJLRX:==0ECG[_374?LHN\V8=;6GAIU]132=NF@^T>594IOKW[7?03@DBXR<N7:KMMQY5J>1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751<AGC_S>?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN45FNHV\BLJB9;1BB[K]T^AOOZBHIVXNK<<4IOTFVQYDDBUOCOQ]EF18MJD53EC97AA9;MMB@@B03EELENOC4:NVP42<D\^9:6BZT3]O0>JR\:<0@XZ<_M68HPR3>2F^X9QC8:OVHQYFFM?0AXVLYb9Neoiu^lxxeb`l;LkmkwPbzzcdb>5A0048J5Ynf\7f90B<>;;O3341=I99;?7C??259M55533G;;895A1177?K77>=1E==9;;O33<1=I99387C?>4:L2552<F8;:86@>1368J474<2D:=9:4N0360>H69?>0B<?63:L266=I9:90B<:<;O367>H6>:1E=:=4N0:0?K7>:2D9?6@=029M645<F;887C<<3:L656=I=;90B8=<;O777>H2=:1E9;=4N450?K3?;2D>5?5A639M36=I?080B5=4N930?K>3;2D34>5A8808J<5<F0:87C7>3:L:66=I1:90B4:<;O;67>H>>:1E5:=4N8:0?K?>n2DISO[\PHL\TWIW[>1EIYY@RJ68JJHB92E97BE>;Q68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE29SV@7<Y?1YM@L>6g9QEHYBP]OE_DAA_@d8VDKXMQ^NB^G@N^@`?WCFLV]BHYFPAb9QADBX_@N_DRL9;SGDG@G13[OLOHL>;R:8WDPBLH^J=>5\IL]@KIJN[@EESNFJCJ48WJSUKL=0__XNL^C4?VTQIEUI86]\R@68WVTE<2^R\H<l;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fex?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f=?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f>?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f??j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f8?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f9?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f:?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f;?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f4?j4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f5><4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSnw30?11?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8484:2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq585??5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Tot2<>208Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_b{?0;553\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZe~4<48>6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu181339V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>4:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[iss4948?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Ugyy2>>218Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_mww8784;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}682>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSa{{<5<07>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]oqq:26:90Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWe\7f\7f0;0<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>4:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[iss4148?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Usc\7f2?>218Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_ymq8484;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqY\7fg{692>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSua}<2<07>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]{kw:36:90Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWqey080<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>5:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[}iu4>48?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Usc\7f27>358Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,gjsi|V:9;6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\571<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR<=7:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX;;=0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^613>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*eh}g~T9?94U1-dvc(un&mg<#|k/fppw+ack'nh#im cnwmpZ05?2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&idyczP7358Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,gjsi|V29h6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\jjr789;9i6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\jjr789;:>45Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!hmtz-ch]6U'mf\7f#c|2g9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+bkrp'mfW9S!glq-iv443\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)q95:5>95Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#{?30?312>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:768U:>>5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#{?31?07?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u59799;<0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:0<0>_000?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u5949:=1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7>3?=6:W3+bta&{l$ka>!re-dvvu)zz~x#n? v0>1:4Y6::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7?3<;;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=1=570<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*p64:4:S<<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=6=61=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;<7;9:6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2;>0]26<=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+fguzpdnx1>1289V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/bcqv|hb|5;5>45Z0.eqb+ta'nf;"\7fj gsqp*wus{&i9#no}rxlfp949:01^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'jky~t`jt=1=6c=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+bkrp'mfW=S!gl3-i44a3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)`e|r%k`U>]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'ng~t#ib[3_-chu)ez8m7X> gsd-vc)`d9$yh"i}sr,qwqu(k;%laxv!glY0Y+aj{'gx>k5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i9#jczx/en_1[)ody%a~<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!y1=2=66=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7;97887X> gsd-vc)`d9$yh"i}sr,qwqu(k;%}=1<1229V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/w3?7;443\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)q95>5>o5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i9#{?P0^cm`567888i7X> gsd-vc)`d9$yh"i}sr,qwqu(k;%}=R?Paof34566:k1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'\7f;T>Road123444e3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)q9V9Tmcj?01226g=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7X<Vddx=>?0003?P6(o{l%~k!hl1,q`*au{z$y\7fy} cnos476<]9%l~k }f.eo4+tc'nxx\7f#||tr-`khv6:01^<"i}f/pe+bj7&{n$k\7f}|.sqww*tfeVof|ywPfc]j74=R8&myj#|i/fn3*wb(o{yx"\7f}{s.pbiZcjx}sTjoQf_lw{4567;91^<"i}f/pe+bj7&{n$k\7f}|.sqww*tfeVof|ywPfc]j[jt789:886[?/fpe*w`(oe:%~i!hrrq-vvrt'{kfShc\7ftx]efZoXg{:;<=Q\W105?P6(o{l%~k!hl1,q`*au{z$y\7fy} r`o\ahvsqVc:h6[?/fpe*w`(oe:%~i!}al]q`ZciW`;9?6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr6:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by<=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp6433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f8?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov661=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}<986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at607?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs0;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>3:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2?>^pw6<=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1?12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5;5S\7fz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4;49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az878Xz}827X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?7;4d3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw33?]qp7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2;>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6?2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5?5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}939W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8385k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<7<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw37?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7;3Q}t3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7<3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4849m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv949:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>0:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|34?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey080=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5<5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:06;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?<;4c3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<9<\vq7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9699o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;97;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|585=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7?3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1:11g9V4*aun'xm#jb?.sf,vuhsz&idycz35?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=4=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?3;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9>99l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX88o0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsW8;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V8:i6[?/fpe*w`(oe:%~i!}povq+firf}U8=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T8<k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS8?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR8>e:W3+bta&{l$ka>!re-qtkru'je~byQ81d9V4*aun'xm#jb?.sf,vuhsz&idyczP8308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?4;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64849>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1<1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>0:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;<7897X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:080=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=4=67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:06;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;743<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_102?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[4463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W;8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S><>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_502?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[0463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W?8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S:<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_91e?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=2=7c=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?5;5a3\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]`}949;o1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f\7f;;79m7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq5>5?k5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs793=i;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzex\7fQ\7fae]b`a6789Uhu1813g9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.Ob`aYcaolT|cz}_qcg[dbc89:;Snw37?1e?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=:=05=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww848382_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;:7>;7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6829>4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd\7f~R~nd^cg`5678Vf~x1:1419V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<4<74>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimn;<=>Pltv?2;273\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:06=:0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5258=5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We\7f\7f040;0:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|31?63?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>1:16<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv959<91^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu4=4?<6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx793:?;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzex\7fQ\7fae]b`a6789Usc\7f29>528Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=5=05=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq8=8382_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;17>87X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Anabp`p\twi`Wo\7fg`RgPclr\at6789Uhu1>1429V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.O`khvfzVzycjQiumn\mZejxVoz<=>?_b{?5;243\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pep2345Ydq5858>5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"ClolrbvZvugnUmyabPi^antZcv89:;Snw33?60?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTi|>?01]`}929<=1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^gr4567We\7f\7f0=0;4:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWl{;<=>Pltv?5;233\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pep2345Yk}}6929:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuYby9:;<Rbzt=1=01=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Rk~0123[iss4=4??6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[br789:Tot2?>518Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-Ngjkwi{U{~biPftno[lYdeyUlx=>?0^az8483;2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'Dida}o}_qplcZ`rdeUbSnc\7f_fv3456Xkp6929=4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuY`|9:;<Rmv<2<77>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HeheykyS}|`g^dvhiYnWjg{Sjz?012\g|:36=90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%Fobc\7fas]svjaXn|fgSdQlmq]dp5678Vir080;3:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWn~;<=>Pcx>5:15<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)Jkfg{m\7fQ\7frne\bpjkW`Uha}Qht1234Ze~4>4??6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[br789:Tot27>568Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-Ngjkwi{U{~biPftno[lYdeyUlx=>?0^nvp969<=1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^ew4567We\7f\7f0<0;4:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWn~;<=>Pltv?6;233\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Yk}}6829:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuY`|9:;<Rbzt=6=01=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Ri{0123[iss4<4?86[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[br789:T`xz36?67?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTky>?01]oqq:06=>0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%Fobc\7fas]svjaXn|fgSdQlmq]dp5678Vf~x1612g9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|lj31?0e?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,`l`aWyd\7f~R~nd=0=6c=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f?7;4a3\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(l`lmS}`{r^rb`929:o1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&nbjkQ\7fnup\tdb;=78m7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvfl5<5>k5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhn7;3<i;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjh1612g9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|lj39?0f?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,`l`aWyd\7f~R~nd^31a>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]16`=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\77c<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg[14b3\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(l`lmS}`{r^rb`Z35m2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]seaY1:l1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&nbjkQ\7fnup\tdbX?;o0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcW18n7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflV38;6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwimUjhi>?01>2:61<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg[dbc89:;0?0<7:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{miQnde2345:46:=0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcWhno<=>?<5<03>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]b`a67896>2>94U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWykoSljk01238384?2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]seaYflm:;<=28>258Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674148;6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwimUjhi>?01>::7g<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)wzfmTjxbc_h11?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,twi`Wo\7fg`RgPmtz34504>2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'yxdkRhzlm]j[hs\7f89:=S^Y?339V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.rqkbYa}efTeR``t123565<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)wzfmTjxbc_h]mkq6788;9m6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#\7fob_sf\akYn:h1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&xjaRy}_dl\m6?<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)ulVzex\7fQxr^kmrZvfl5:5S`oad2c8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-q`Zvi|{U|~Rgav^rb`969Wdkeh<=l;T2,cw`)zo%l`= xr.etwv(`xo$|~}h ws]sjqtXxe\7fx=R~nd^2\ekb789::=?74U1-dvc(un&mg<#y}/fupw+qt|z%h<"mnrs{maq:76;30Y=!hrg,qb*ak8'}y#jy|s/uppv)d8&ij~\7fwaeu>2:7?<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`4*efz{seiy2=>3;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l0.abvw\7fim}682?h4U1-dvc(un&mg<#y}/fupw+qt|z%h<"ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l0.enq}(`eR8V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,chs\7f&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`4*aj}q$laV:R.fop*hu512_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(khxyuck{<1<1=>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,gdtuqgo\7f0<0=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? c`pq}kcs4;4956[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$ol|}yogw8685n2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(od\7fs"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$k`{w.foX6X(`ez$f\7f?h4U1-dvc(un&mg<#y}/fupw+qt|z%h="ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.t28585;2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~86:2?=4U1-dvc(un&mg<#y}/fupw+qt|z%h="x><3<17>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,r4:46;90Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:090=b:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0]3[dhc89:;=?l4U1-dvc(un&mg<#y}/fupw+qt|z%h="x>_0]bja6789;9n6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$z<Q=_`lg45679;h0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:S>Qnne234575j2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~8U?Sca{0123576<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`khv7:91^<"i}f/pe+bj7&~x$kz}|.vqww*ehey;9<6[?/fpe*w`(oe:%{\7f!hwrq-svrt'jef|?<k;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-`ewt~fl~7<3<k;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-`ewt~fl~7=3<k;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-`ewt~fl~7>3<k;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-`ewt~fl~7?3=<;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-dip~)odQ;Q#ib1/o276=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'ng~t#ib[0_-chu)ez987X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!hmtz-ch]5U'mf\7f#c|329V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+bkrp'mfW>S!glq-iv543\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%laxv!glY7Y+aj{'gx>:5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/w3?4;403\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%}=1?1269V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+s7;:78<7X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!y1=1=62=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'\7f;783<i;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-u5Z6Xign;<=>>2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+s7X9Vkeh=>?000e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} g`d-g7)q9V8Tmcj?01226c=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'\7f;T?Road123444a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%}=R:Pnnv34566:>1^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVkmyabPfc0f?P6(o{l%~k!hl1,tv*ap{z$|\7fy} r`o\ecskdVliSb|?01204>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/scn[d`rdeUmnRa}012356573\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXio\7fg`Rhm_np345661;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUjjxbc_g`\kw678988<6[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSlhzlm]efZiu89:;?=<7;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPftno[fijj;k0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa504>3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSnabb30b?P6(o{l%~k!hl1,tv*ap{z$|\7fy} r`o\bpjkWjefn?:=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#\7fob_gwohZehek3946[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSk{cl^da[l423\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSd<;;T2,cw`)zo%l`= xr.etwv(p{}y${\7fQ}su]g54b<]9%l~k }f.eo4+qu'{kfSz|Peo]j54`<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Z76n2_;#j|i.sd,ci6)\7f{%ym`Qxr^gm[l7X:;90Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp4433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f>?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov061=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}>986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at407?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs>;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz8259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq>512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<1<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0=0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;97Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:56;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>1:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;=78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5=5S\7fz=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5:5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:66;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?6;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<2<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1:12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6>2?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;>78j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8285i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=:=6a=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f27>^pw5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?4;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9799o1^<"i}f/pe+bj7&~x${}`{r.alqkr;:7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|595=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~783?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1;11g9V4*aun'xm#jb?.vp,suhsz&idycz36?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=5=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?<;7b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ66m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY69l1^<"i}f/pe+bj7&~x${}`{r.alqkrX:8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW:;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V>:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U>=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T:<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS:?j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR6=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=2=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:66;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7>3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><2<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5929:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86>2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?36?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28285:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9525><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q?209V4*aun'xm#jb?.vp,suhsz&idyczPd0]264=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y5:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U8><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q;209V4*aun'xm#jb?.vp,suhsz&idyczPd0]664=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y1:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U<><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q7239V4*aun'xm#jb?.vp,suhsz&idyczPd3>3:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4;97897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn90?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=1=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7:36;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8793<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<7<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6919:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;632??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\577<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T???4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P4338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\177<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X>;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T;??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P87d8Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER27>S7'nxm"\7fh r`o\swYbf8n0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtbo;:0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtboVn:>=5Z0.eqb+ta'{y\7fnae re]qwqYf{{olSi<>c:W3+bta&{l$~~zmlj-q`Ztt|Vidao?k;T2,cw`)zo%y\7fylck.pg[wusWjefn<?j;T2,cw`)zo%y\7fylck.pg[wusW{ol0?0>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR<>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{y\7fSl}}ef]g64e<]9%l~k }f.pppgjl'~xT~~zPcnoa5a=R8&myj#|i/sqwfim(\7f{Uy\7fyQlol`25`=R8&myj#|i/sqwfim(\7f{Uy\7fyQ}ef>2:4b<]9%l~k }f.pppgjl'~xT~~zPrde\5d=R[LXTMAGNSb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K9;VGB85813^OJ0<09;VGB878?3^OJ0>4?>79TAD:46?1\IO2?>79TAG:66?1\IO2=>99TAG:4294=7ZKM<2<a?RTN\LUME_][c:UQMQCXEFNNSLm4WSKWAZKHLLUIh6Y]IUG\SLBS@VKo7Z\FTD]TMAROWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjhd3hffc~zPelrw}g=fddexxRhzlm48fdel}yn0nlmduq]newmbz:1h`fj4d`vb[firf}";%i5kauc\gjsi|!;"h6jnt`]`kphs ;#o7io{a^alqkr/; n0hlzn_bmvjq.3!m1omyoPcnwmp-3.l2njxlQlotlw,3/c3mk\7fmRm`uov+3,b<lh~jSnaznu*;-a=ci}kTob{at=2=`>bf|hUhcx`{<0<g?agsiVidycz32?f8`drfWje~by2<>e9geqgXkf\7fex1:1d:fbpdYdg|d\7f080k;ecweZeh}g~7:3j4d`vb[firf}6<2k5kauc\gjsi|521<3j4d`vb[firf}632:5kbhvfvw0<l`d#<$84dhl+5,1<l`d#=='8;ekm,47.?2nbb%?=)69gmk.6; =0hd`'15+4?aoi 8?";6jfn)35-2=cag":;$84dhl+6,0<l`d#?$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:<=cag6:;7>17:fjj9706?1oec2>>79gmk:56?1oec2<>79gmk:36?1oec2:>79gmk:16?1oec28>79gmk:?6?1oec26>69gkpr/8 =0hb{{(0+;?air|!;;%55kotv+54/?3me~x%?=)99gkpr/9:#37iazt)37-==cg|~#=8'7;emvp-71!11ocxz'16+4?air|!8";6j`uu*0-2=cg|~#8$94dnww,0/03me~x%8&7:flqq.0!>1ocxz'8(58`jss 0#<7iazt=2=<>bh}}6:<364dnww847902ndyy2>2?:8`jss489546j`uu>20;><lf\7f\7f0<;18:flqq:6>7k0hb{{<0594;><lf\7f\7f0<917:flqq:66>1ocxz32?58`jss4:4<7iazt=6=3>bh}}6>2:5kotv?2;1<lf\7f\7f0:08;emvp9>9?2ndyy26>99f`l`5fnn>7hc\7ftx33?coagV;3t8?=_npfc7c<n`ldS<6w500\kwc`$ocmcRijndpbpjtX~=U9 CAAE-LLJ@5fj2lbjbQ>8y726Ziumn&mekaPgdlfvdrhzV|?S?"tc^jbwZoi|Vigg0>#c^jbwZuu{}7; nQ}d^dqat;6$jUcm~Q}d^fbpdYdg|d\7f1="l_ekm[roc|a7:4!mPh`q\swYci}kTob{at<2/gZ`rdeUm`li|_sqw[sgk58&hSdc\7fldofjqgsafdTxt~j=1.`[pubWlgiiijjd^pfc87+kV}ySk|jq<3/gZstmVndyyQ}su?3(fYrfmoyjaax_mmt95*dWakxSbxjrgnls86+kVzyiaand^nbp`hdq48'oRj`uu]tmaro582'oR|k_egspm;29;&hSeo|_tlgaw`kg~7; nQgar]bhhit|Vl~`a3?,b]kevYu{}7: nQkauc\gjsi|V}bhyf29-a\lduX\7f{Ujof3?,b]vw`Ybkj7; nQlololjZekgja6=!mPws]bgnYkg~7; nQ}d^rmpwYpam~c14"l_tqf[cqa|VnjxlQlotlw95*dWakxSlbborv\ahvsq4:'oR|k_ecweZeh}g~T{dj{h<;/gZiqm{lgczQcov?3(fYpzVzex\7fQxievk9<*dWyxdkRkbpu{\p|vb59&hSz|Pd`vb[firf}U|eizg=8.`[utbdfkoS\7fkhs<3/gZbh}}Uy\7fyQyam?3(fYoizUomyoPcnwmp87+kVzycjQiumn\pmtb{aUeijo{e^tbh8371%iT{\7fQkeqvk9075$jU{~biPelrw}ZrozlycSckhaug\rdj:;%iT|\7fah_dosp|Ys`{oxdRo|sdpw[sgk5;&hSeo|_wcoma;7$jU{~biPftno[qnumzbTm~}jru]uei;299&hc`~`ndlw[kgjm4lbjbQ>8y726Ziumn&hS{ocie]okr;7$jU{~dcPfhdl[q\7fwm4?:>u=9,b]svjaXn|fgSyw\7fe<1/gZkbefxrSyw\7fe<3/y7g<n`ldS<6w500\kwc`WocmcRijndpbpjtX~=U9Su}{_015?coagVmnbh|ntnp\r1Y5$)Rb`d`w BMQA%Abflxjxb|/11,2*55Xay9?7k{cl69jjqYddb20bjmmuhng<>iqm{lgczo4psmd[`kw|p;?7}|`g^gntq\7fX|axn\7fe&?)068twi`Wlg{xtQ{hsgpl-7.9=1{~biPelrw}Zrozlyc$?'>4:rqkbYbey~rSyf}erj+7,733yxdkRkbpu{\pmtb{a"?%<:4psmd[`kw|pU\7fd\7fk|h)7*51=wzfmTi`~{y^vkv`uo ?#:86~}of]fiur~W}byi~f'7(37?uthoVof|ywPtipfwm.?!8<0|\7fah_dosp|Ys`{oxd1650?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdz\7fuRzgrdqk[dutm{~#=$?m;qplcZcjx}sTxe|jsi]bwvcu|!8"=o5\7frne\ahvsqV~c~h}g_`qpawr/; ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-2.9k1{~biPelrw}ZrozlycSl}|esv+1,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)4*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'7(3a?uthoVof|ywPtipfwmYf{zoyx%6&1e9svjaXmdz\7fuRzgrdqk[dutm{~747>11c9svjaXmdz\7fuRzgrdqk[kc`i}o#<$?m;qplcZcjx}sTxe|jsi]mabgsm!;"=o5\7frne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntq\7fX|axn\7feQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnk\7fi%9&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#4$?k;qplcZcjx}sTxe|jsi]mabgsm521<374psmd[cskd890|\7fah_gwohZrozlyc$='>3:rqkbYa}efTxe|jsi*2-45<x{elSk{cl^vkv`uo ;#:?6~}of]eqijX|axn\7fe&<)018twi`Wo\7fg`Rzgrdqk,1/6;2zycjQiumn\pmtb{a">%<=4psmd[cskdV~c~h}g(7+27>vugnUmyabPtipfwm.0!890|\7fah_gwohZrozlyc$5'>3:rqkbYa}efTxe|jsi>3:45<x{elSk{cl^vkv`uo484:?6~}of]eqijX|axn\7fe2=>018twi`Wo\7fg`Rzgrdqk8686;2zycjQiumn\pmtb{a6?2<=4psmd[cskdV~c~h}g<4<27>vugnUmyabPtipfwm:16890|\7fah_gwohZrozlyc0:0>5:rqkbYa}efTxe|jsi>;>586;2zycjQiumn\pmtb{a632<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6i2zycjQiumn\pmtb{aUj\7f~k}t)0*5d=wzfmTjxbc_ujqavnXizyn~y&<)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#8$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts <#:m6~}of]eqijX|axn\7feQnsrgqp-0.9h1{~biPftno[qnumzbTm~}jru*4-4g<x{elSk{cl^vkv`uoWhyxi\7fz'8(3`?uthoVl~`aQ{hsgplZgt{lx\7f054?>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#<$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 8#:m6~}of]eqijX|axn\7feQaefcwa-4.9h1{~biPftno[qnumzbTbhintd*0-4g<x{elSk{cl^vkv`uoWgolmyk'4(3b?uthoVl~`aQ{hsgplZhboh~n$8'>a:rqkbYa}efTxe|jsi]mabgsm!<"=l5\7frne\bpjkW}byi~fPndebp`.0!8k0|\7fah_gwohZrozlycSckhaug+<,7d3yxdkRhzlm]wlwct`Vdnklzj<983:3=ulVigg<>4re]geqgXkf\7fex%>&119q`Zbf|hUhcx`{(0+24>tcWmk\7fmRm`uov+6,773{nThlzn_bmvjq.4!8:0~iQkauc\gjsi|!>"==5}d^fbpdYdg|d\7f$8'>0:pg[agsiVidycz'6(33?wbXlh~jSnaznu*4-46<zmUomyoPcnwmp->.991yhRjnt`]`kphs494:>6|k_ecweZeh}g~747>15:pg[`h13{nT~~z<;sqw3>uea}oy~95|rrv2g>rjx&Uhk"hffn]2<}36:Veyij agn;8qkbbzofd{85yamkg2>quWhi`:6y}_bnh55=pzVnjxlQlotlw,5/682}ySio{a^alqkr/9 ;;7z|Pd`vb[firf}"9%<>4ws]geqgXkf\7fex%=&119tvZbf|hUhcx`{(5+24>quWmk\7fmRm`uov+1,773~xThlzn_bmvjq.1!8:0{\7fQkauc\gjsi|!="==5xr^fbpdYdg|d\7f$5'>2:uq[agsiVidycz38;2=1>quWld=7z|Prrv~DEv>mh1KLu::6;D90?7|[<h1?k=55;306g33;389on6tn2:f>4=i;1l186*<8b80<3=z[<31?k=55;306g33;389on64S07a>6`d290:??l:42816fe>3Z?26>hl:18277d2<:09>nmn;e1e1?6=93;p_8l53g191?74:k???7<=cb:8rQ7ek3:1=7?515dxW0d=;o9197?<2c777?45kj20(>99:07`?S5?l38py<89:09v531=82w/=lj5189a7c3=839o6>4<dzJ031=]<m0?w?:5a;;962<z,8i:6>h:;%1;f?5a12e?=i4?::k714<722c?:84?::m0bd<722e8j94?::k763<72-;jj7:=f:l2e`<732c?>84?:%3bb?25n2d:mh4>;:k761<72-;jj7:=f:l2e`<532c?>>4?:%3bb?25n2d:mh4<;:k767<72-;jj7:=f:l2e`<332c?><4?:%3bb?25n2d:mh4:;:k765<72-;jj7:=f:l2e`<132c?=k4?:%3bb?25n2d:mh48;:k75`<72-;jj7:=f:l2e`<?32c8594?::k716<722e8h:4?:%3bb?5b02d:mh4?;:m0`3<72-;jj7=j8:l2e`<632e8h84?:%3bb?5b02d:mh4=;:m0`1<72-;jj7=j8:l2e`<432e8h>4?:%3bb?5b02d:mh4;;:m0`7<72-;jj7=j8:l2e`<232e8h<4?:%3bb?5b02d:mh49;:m0`5<72-;jj7=j8:l2e`<032e8hk4?:%3bb?5b02d:mh47;:m0``<72-;jj7=j8:l2e`<>32e8hi4?:%3bb?5b02d:mh4n;:m0`f<72-;jj7=j8:l2e`<e32e8ho4?:%3bb?5b02d:mh4l;:m0`d<72-;jj7=j8:l2e`<c32e8h44?:%3bb?5b02d:mh4j;:m0`=<72-;jj7=j8:l2e`<a32e8ok4?:%3bb?5b02d:mh4>0:9l7fc=83.:mk4<e99m5dc=9810e>l=:18'5d`=;j90b<oj:198m6d6290/=lh53b18j4gb2810e>l?:18'5d`=;j90b<oj:398m6ga290/=lh53b18j4gb2:10e>oj:18'5d`=;j90b<oj:598m6gc290/=lh53b18j4gb2<10e>ol:18'5d`=;j90b<oj:798m6ge290/=lh53b18j4gb2>10e>ln:18'5d`=;j90b<oj:998m6d>290/=lh53b18j4gb2010e>l7:18'5d`=;j90b<oj:`98m6d0290/=lh53b18j4gb2k10e>l9:18'5d`=;j90b<oj:b98m6d2290/=lh53b18j4gb2m10e>l;:18'5d`=;j90b<oj:d98m6d4290/=lh53b18j4gb2o10e>on:18'5d`=;j90b<oj:028?l5f13:1(<oi:2a0?k7fm3;:76a<9e83>!7fn39j:6`>ad83?>i41j0;6)?nf;1b2>h6il0:76a<9c83>!7fn39j:6`>ad81?>i41h0;6)?nf;1b2>h6il0876a<9883>!7fn39j:6`>ad87?>i4110;6)?nf;1b2>h6il0>76a<9683>!7fn39j:6`>ad85?>i41?0;6)?nf;1b2>h6il0<76a<9483>!7fn39j:6`>ad8;?>d4?<0;6<4?:1yK722<,8i:6>9:;n3bg?6=3th:<84?:083>5}O;>>0(<m>:026?j77<3:17pl;c;29<a<69o0:8kuG3668^1b=9?q9=7<::3:967<5138?6l46:34962<5;38<6?75a;0;>74=138=6?;525817?462t.:o<4<f99'50>=9990(8:53g48 0g=;o=0(<o8:0ca?j5>83:17d::2;29?l27?3:1(<oi:52;?k7fm3:07d:?6;29 4ga2=:37c?ne;38?l27=3:1(<oi:52;?k7fm3807d:?4;29 4ga2=:37c?ne;18?l27;3:1(<oi:52;?k7fm3>07d:?2;29 4ga2=:37c?ne;78?l2793:1(<oi:52;?k7fm3<07d:?0;29 4ga2=:37c?ne;58?l5an3:1(<oi:52;?k7fm3207b:>d;29?l22=3:17b::8;29?l2413:1(<oi:51b?k7fm3:07d:<8;29 4ga2=9j7c?ne;38?l24?3:1(<oi:51b?k7fm3807d:<6;29 4ga2=9j7c?ne;18?l24=3:1(<oi:51b?k7fm3>07d:<4;29 4ga2=9j7c?ne;78?l24;3:1(<oi:51b?k7fm3<07d:<2;29 4ga2=9j7c?ne;58?l2493:1(<oi:51b?k7fm3207d::1;29?j5al3:17b=ie;29?l5>;3:17b:;e;29 4ga2=?;7c?ne;28?j23l3:1(<oi:573?k7fm3;07b:;c;29 4ga2=?;7c?ne;08?j23j3:1(<oi:573?k7fm3907b:;a;29 4ga2=?;7c?ne;68?j2313:1(<oi:573?k7fm3?07b:;8;29 4ga2=?;7c?ne;48?j23?3:1(<oi:573?k7fm3=07b:;6;29 4ga2=?;7c?ne;:8?l2693:1(<oi:531?k7fm3:07d:>0;29 4ga2=;97c?ne;38?l27n3:1(<oi:531?k7fm3807d:?e;29 4ga2=;97c?ne;18?l27l3:1(<oi:531?k7fm3>07d:?c;29 4ga2=;97c?ne;78?l27j3:1(<oi:531?k7fm3<07d:?a;29 4ga2=;97c?ne;58?l2713:1(<oi:531?k7fm3207d:95;29?j21;3:1(<oi:547?k7fm3:07b:92;29 4ga2=<?7c?ne;38?j2193:1(<oi:547?k7fm3807b:90;29 4ga2=<?7c?ne;18?j22n3:1(<oi:547?k7fm3>07b::e;29 4ga2=<?7c?ne;78?j22l3:1(<oi:547?k7fm3<07b::c;29 4ga2=<?7c?ne;58?j22j3:1(<oi:547?k7fm3207b=ia;29?j5a<3:17d:=6;29 4ga2=8m7c?ne;28?l25=3:1(<oi:50e?k7fm3;07d:=4;29 4ga2=8m7c?ne;08?l25;3:1(<oi:50e?k7fm3907d:=2;29 4ga2=8m7c?ne;68?l2593:1(<oi:50e?k7fm3?07d:=0;29 4ga2=8m7c?ne;48?l26n3:1(<oi:50e?k7fm3=07d:>e;29 4ga2=8m7c?ne;:8?l22i3:17d=ib;29?l5><3:17d:96;29?j22<3:17b::9;29?j22?3:17d::3;29?j5c?3:1(<oi:2g;?k7fm3:07b=k6;29 4ga2:o37c?ne;38?j5c=3:1(<oi:2g;?k7fm3807b=k4;29 4ga2:o37c?ne;18?j5c;3:1(<oi:2g;?k7fm3>07b=k2;29 4ga2:o37c?ne;78?j5c93:1(<oi:2g;?k7fm3<07b=k0;29 4ga2:o37c?ne;58?j5cn3:1(<oi:2g;?k7fm3207b=ke;29 4ga2:o37c?ne;;8?j5cl3:1(<oi:2g;?k7fm3k07b=kc;29 4ga2:o37c?ne;`8?j5cj3:1(<oi:2g;?k7fm3i07b=ka;29 4ga2:o37c?ne;f8?j5c13:1(<oi:2g;?k7fm3o07b=k8;29 4ga2:o37c?ne;d8?j5dn3:1(<oi:2g;?k7fm3;;76a<cd83>!7fn39n46`>ad825>=n;k81<7*>ag80g6=i9ho1<65f3c394?"6io08o>5a1`g95>=n;k:1<7*>ag80g6=i9ho1>65f3`d94?"6io08o>5a1`g97>=n;ho1<7*>ag80g6=i9ho1865f3`f94?"6io08o>5a1`g91>=n;hi1<7*>ag80g6=i9ho1:65f3``94?"6io08o>5a1`g93>=n;kk1<7*>ag80g6=i9ho1465f3c;94?"6io08o>5a1`g9=>=n;k21<7*>ag80g6=i9ho1m65f3c594?"6io08o>5a1`g9f>=n;k<1<7*>ag80g6=i9ho1o65f3c794?"6io08o>5a1`g9`>=n;k>1<7*>ag80g6=i9ho1i65f3c194?"6io08o>5a1`g9b>=n;hk1<7*>ag80g6=i9ho1==54i2c:>5<#9hl1?n=4n0cf>47<3f92=7>5;h1:6?6=3`>??7>5$0ce>1233g;ji7>4;h676?6=,8km69:;;o3ba?7<3`>?=7>5$0ce>1233g;ji7<4;h674?6=,8km69:;;o3ba?5<3`>8j7>5$0ce>1233g;ji7:4;h60a?6=,8km69:;;o3ba?3<3`>8h7>5$0ce>1233g;ji784;h60g?6=,8km69:;;o3ba?1<3`>8n7>5$0ce>1233g;ji764;n1:`?6=,8km6>o9;o3ba?6<3f92o7>5$0ce>6g13g;ji7?4;n1:f?6=,8km6>o9;o3ba?4<3f92m7>5$0ce>6g13g;ji7=4;n1:=?6=,8km6>o9;o3ba?2<3f9247>5$0ce>6g13g;ji7;4;n1:3?6=,8km6>o9;o3ba?0<3f92:7>5$0ce>6g13g;ji794;n1:1?6=,8km6>o9;o3ba?><3`>:n7>5$0ce>17d3g;ji7>4;h62e?6=,8km69?l;o3ba?7<3`>:57>5$0ce>17d3g;ji7<4;h62<?6=,8km69?l;o3ba?5<3`>:;7>5$0ce>17d3g;ji7:4;h622?6=,8km69?l;o3ba?3<3`>:97>5$0ce>17d3g;ji784;h620?6=,8km69?l;o3ba?1<3`>:?7>5$0ce>17d3g;ji764;n1b<?6=3`>?97>5;c1;=?6=93:1<v*>c08240=O;120D>9;;n330?6=3th84l4?:083>5}#9j;1?:;4H2:;?M50<2e:mn4?::\7fa731=8391<7>t$0a2>cc<@:237E=84:J7f>"69;0:7d:j:188m0e=831d=o?50;9~f60b29086=4?{%3`5?`b3A9346F<759K0g=#9881=6g;e;29?l3d2900c<l>:188yg51>3:1?7>50z&2g4<am2B8455G3668L1d<,8;96<5f4d83>>o2k3:17b?m1;29?xd4>j0;684?:1y'5f7=9980D>67;I140>N3j2.:=?4>;h6f>5<<a<91<75f5b83>>o6j90;66a>b083>>{e;?n1<7=50;2x 4e62oo0D>67;I140>N3j2.:=?4>;h6f>5<<a<i1<75`1c394?=zj:<>6=4::183\7f!7d93;;>6F<899K722<@=h0(<?=:09j0`<722c>?7>5;h7`>5<<a8h;6=44o0`2>5<<uk9=n7>54;294~"6k80:<<5G39:8L6133-;:>7?4i5g94?=n=j0;66g>b183>>i6j80;66sm36094?2=83:p(<m>:022?M5?02B8;95+10095>o3m3:17d;l:188m4d72900c<l>:188yg46m3:187>50z&2g4<6881C?564H257?!76:3>0e9k50;9j1f<722c:n=4?::m2f4<722wi>?<50;694?6|,8i:6<>>;I1;<>N4?=1/=<<54:k7a?6=3`?h6=44i0`3>5<<g8h:6=44}c3`g?6=<3:1<v*>c08220=O;120D>9;;h76>5<<a<l1<75f1c;94?=h9hk1<75rb0fg>5<4290;w)?l1;1;3>N4011C?::4i4794?=n9<31<75`1`c94?=zj8io6=4;:183\7f!7d93;=96F<899K722<a<?1<75f5g83>>o6j00;66a>a`83>>{e9mo1<7=50;2x 4e62:2<7E=78:J031=n=<0;66g>5883>>i6ih0;66sm1bg94?2=83:p(<m>:046?M5?02B8;95f5483>>o2n3:17d?m9;29?j7fi3:17pl>dg83>6<729q/=n?53958L6>?3A9<86g:5;29?l7213:17b?na;29?xd6ko0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg7b83:1?7>50z&2g4<40>1C?564H257?l322900e<;6:188k4gf2900qo?k0;290?6=8r.:o<4>649K7=><@:=?7d;::188m0`=831b=o750;9l5dg=831vn<k>:180>5<7s-;h=7=77:J0<==O;>>0e8;50;9j50?=831d=lo50;9~f4b6290?6=4?{%3`5?71=2B8455G3668m03=831b9k4?::k2f<<722e:ml4?::\7fa5`4=8391<7>t$0a2>6>03A9346F<759j10<722c:944?::m2ed<722wi=i<50;694?6|,8i:6<8:;I1;<>N4?=1b984?::k6b?6=3`;i57>5;n3be?6=3th:i>4?:283>5}#9j;1?594H2:;?M50<2c>97>5;h36=?6=3f;jm7>5;|`2`6<72=0;6=u+1b39533<@:237E=84:k61?6=3`?m6=44i0`:>5<<g8kj6=44}c3f0?6=;3:1<v*>c080<2=O;120D>9;;h76>5<<a8?26=44o0cb>5<<uk;oo7>55;294~"6k80::?5G39:8L6133-;:>7;k;h332?6=3`;;;7>5;h33<?6=3`;;57>5;n3be?6=3th:i84?:283>5}#9j;1?594H2:;?M50<2c>97>5;h36=?6=3f;jm7>5;|`2a3<72<0;6=u+1b39556<@:237E=84:&257<63`>n6=44i4194?=n=10;66g:c;29?j7e93:17pl>e683>0<729q/=n?51128L6>?3A9<86*>1382?l2b2900e8=50;9j1=<722c>o7>5;n3a5?6=3th:i54?:483>5}#9j;1==>4H2:;?M50<2.:=?4>;h6f>5<<a<91<75f5983>>o2k3:17b?m1;29?xd6m00;684?:1y'5f7=99:0D>67;I140>"69;0:7d:j:188m05=831b954?::k6g?6=3f;i=7>5;|`2ad<72<0;6=u+1b39556<@:237E=84:&257<63`>n6=44i4194?=n=10;66g:c;29?j7e93:17pl>ec83>0<729q/=n?51128L6>?3A9<86*>1382?l2b2900e8=50;9j1=<722c>o7>5;n3a5?6=3th:in4?:483>5}#9j;1==>4H2:;?M50<2.:=?4>;h6f>5<<a<91<75f5983>>o2k3:17b?m1;29?xd6mm0;684?:1y'5f7=99:0D>67;I140>"69;0:7d:j:188m05=831b954?::k6g?6=3f;i=7>5;|`2a`<72<0;6=u+1b39556<@:237E=84:&257<63`>n6=44i4194?=n=10;66g:c;29?j7e93:17pl>f883>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo?i8;290?6=8r.:o<4>649K7=><@:=?7d;::188m0`=831b=o750;9l5dg=831vn<h8:187>5<7s-;h=7?95:J0<==O;>>0e8;50;9j1c<722c:n44?::m2ed<722wi=k850;694?6|,8i:6<8:;I1;<>N4?=1b984?::k6b?6=3`;i57>5;n3be?6=3th:j84?:583>5}#9j;1=;;4H2:;?M50<2c>97>5;h7e>5<<a8h26=44o0cb>5<<uk8:=7>54;294~"6k80::85G39:8L6133`?>6=44i4d94?=n9k31<75`1`c94?=zj;;;6=4;:183\7f!7d93;=96F<899K722<a<?1<75f5g83>>o6j00;66a>a`83>>{e:9l1<7:50;2x 4e628<>7E=78:J031=n=<0;66g:f;29?l7e13:17b?na;29?xd58l0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg47l3:187>50z&2g4<6><1C?564H257?l322900e8h50;9j5g?=831d=lo50;9~f7ee290?6=4?{%3`5?71=2B8455G3668m03=831b9k4?::k2f<<722e:ml4?::\7fa6fe=83>1<7>t$0a2>4023A9346F<759j10<722c>j7>5;h3a=?6=3f;jm7>5;|`1ga<72=0;6=u+1b39533<@:237E=84:k61?6=3`?m6=44i0`:>5<<g8kj6=44}c0`a?6=<3:1<v*>c08220=O;120D>9;;h76>5<<a<l1<75f1c;94?=h9hk1<75rb3ae>5<3290;w)?l1;351>N4011C?::4i4794?=n=o0;66g>b883>>i6ih0;66sm2e694?2=83:p(<m>:046?M5?02B8;95f5483>>o2n3:17d?m9;29?j7fi3:17pl=d483>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo<k6;290?6=8r.:o<4>649K7=><@:=?7d;::188m0`=831b=o750;9l5dg=831vn?j8:187>5<7s-;h=7?95:J0<==O;>>0e8;50;9j1c<722c:n44?::m2ed<722wi>i650;694?6|,8i:6<8:;I1;<>N4?=1b984?::k6b?6=3`;i57>5;n3be?6=3th9il4?:583>5}#9j;1=;;4H2:;?M50<2c>97>5;h7e>5<<a8h26=44o0cb>5<<uk8nn7>54;294~"6k80::85G39:8L6133`?>6=44i4d94?=n9k31<75`1`c94?=zj;oh6=4;:183\7f!7d93;=96F<899K722<a<?1<75f5g83>>o6j00;66a>a`83>>{e:ln1<7:50;2x 4e628<>7E=78:J031=n=<0;66g:f;29?l7e13:17b?na;29?xd5ml0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4a13:187>50z&2g4<6881C?564H257?!76:3;0e9k50;9j1f<722c:n=4?::m2f4<722wi?=;50;694?6|,8i:6<>>;I1;<>N4?=1/=<<51:k7a?6=3`?h6=44i0`3>5<<g8h:6=44}c130?6=<3:1<v*>c08244=O;120D>9;;%326?7<a=o1<75f5b83>>o6j90;66a>b083>>{e;9<1<7:50;2x 4e628::7E=78:J031=#988186g;e;29?l3d2900e<l?:188k4d62900qo<:8;291?6=8r.:o<4>019K7=><@:=?7)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0`2>5<<uk8>;7>55;294~"6k80:<=5G39:8L6133-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<l>:188yg42>3:197>50z&2g4<6891C?564H257?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8h:6=44}c061?6==3:1<v*>c08245=O;120D>9;;%326?7<a=o1<75f5283>>o203:17d;l:188k4d62900qo<:4;291?6=8r.:o<4>019K7=><@:=?7)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0`2>5<<uk8>?7>55;294~"6k80:<=5G39:8L6133-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<l>:188yg4293:197>50z&2g4<6891C?564H257?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8h:6=44}c064?6==3:1<v*>c08247=O;120D>9;;%326?2<a=o1<75f5283>>o2k3:17d?m0;29?j7e93:17pl=5383>0<729q/=n?51128L6>?3A9<86*>1382?l2b2900e8=50;9j1=<722c>o7>5;n3a5?6=3th9884?:283>5}#9j;1?594H2:;?M50<2c>97>5;h36=?6=3f;jm7>5;|`101<72:0;6=u+1b397=1<@:237E=84:k61?6=3`;>57>5;n3be?6=3th9?o4?:583>5}#9j;1=;;4H2:;?M50<2c>97>5;h7e>5<<a8h26=44o0cb>5<<uk8??7>53;294~"6k8084:5G39:8L6133`?>6=44i07:>5<<g8kj6=44}c00=?6=<3:1<v*>c08220=O;120D>9;;h76>5<<a<l1<75f1c;94?=h9hk1<75rb361>5<4290;w)?l1;1;3>N4011C?::4i4794?=n9<31<75`1`c94?=zj;9<6=4;:183\7f!7d93;=96F<899K722<a<?1<75f5g83>>o6j00;66a>a`83>>{e:=;1<7=50;2x 4e62:2<7E=78:J031=n=<0;66g>5883>>i6ih0;66sm22794?2=83:p(<m>:046?M5?02B8;95f5483>>o2n3:17d?m9;29?j7fi3:17pl=4183>6<729q/=n?53958L6>?3A9<86g:5;29?l7213:17b?na;29?xd5;:0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg44n3:1?7>50z&2g4<40>1C?564H257?l322900e<;6:188k4gf2900qo<<1;290?6=8r.:o<4>649K7=><@:=?7d;::188m0`=831b=o750;9l5dg=831vn?=j:180>5<7s-;h=7=77:J0<==O;>>0e8;50;9j50?=831d=lo50;9~f74a290?6=4?{%3`5?71=2B8455G3668m03=831b9k4?::k2f<<722e:ml4?::\7fa66b=8391<7>t$0a2>6>03A9346F<759j10<722c:944?::m2ed<722wi>?j50;694?6|,8i:6<8:;I1;<>N4?=1b984?::k6b?6=3`;i57>5;n3be?6=3th9:l4?:483>5}#9j;1==>4H2:;?M50<2.:=?4>;h6f>5<<a<91<75f5983>>o2k3:17b?m1;29?xd5>00;684?:1y'5f7=99:0D>67;I140>"69;0:7d:j:188m05=831b954?::k6g?6=3f;i=7>5;|`12=<72<0;6=u+1b39556<@:237E=84:&257<63`>n6=44i4194?=n=10;66g:c;29?j7e93:17pl=6683>0<729q/=n?51128L6>?3A9<86*>1382?l2b2900e8=50;9j1=<722c>o7>5;n3a5?6=3th9:;4?:483>5}#9j;1==>4H2:;?M50<2.:=?4>;h6f>5<<a<91<75f5983>>o2k3:17b?m1;29?xd5><0;684?:1y'5f7=99:0D>67;I140>"69;0:7d:j:188m05=831b954?::k6g?6=3f;i=7>5;|`121<72<0;6=u+1b39556<@:237E=84:&257<63`>n6=44i4194?=n=10;66g:c;29?j7e93:17pl=6283>0<729q/=n?51128L6>?3A9<86*>1382?l2b2900e8=50;9j1=<722c>o7>5;n3a5?6=3th9:?4?:483>5}#9j;1==>4H2:;?M50<2.:=?4>;h6f>5<<a<91<75f5983>>o2k3:17b?m1;29?xd5110;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4f93:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd51>0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4f83:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd51?0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>n3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd51<0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>m3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd51=0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>l3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd51:0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>k3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd51;0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>j3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd5180;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>i3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd5190;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4>13:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd50<0;6>4?:1y'5f7=;1=0D>67;I140>o2=3:17d?:9;29?j7fi3:17pl=8583>6<729q/=n?53958L6>?3A9<86g:5;29?l7213:17b?na;29?xd5?:0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4?;3:1?7>50z&2g4<40>1C?564H257?l322900e<;6:188k4gf2900qo<82;290?6=8r.:o<4>649K7=><@:=?7d;::188m0`=831b=o750;9l5dg=831vn?6=:180>5<7s-;h=7=77:J0<==O;>>0e8;50;9j50?=831d=lo50;9~f716290?6=4?{%3`5?71=2B8455G3668m03=831b9k4?::k2f<<722e:ml4?::\7fa6=7=8391<7>t$0a2>6>03A9346F<759j10<722c:944?::m2ed<722wi>:>50;694?6|,8i:6<8:;I1;<>N4?=1b984?::k6b?6=3`;i57>5;n3be?6=3th94=4?:283>5}#9j;1?594H2:;?M50<2c>97>5;h36=?6=3f;jm7>5;|`12c<72=0;6=u+1b39533<@:237E=84:k61?6=3`?m6=44i0`:>5<<g8kj6=44}c04b?6=;3:1<v*>c080<2=O;120D>9;;h76>5<<a8?26=44o0cb>5<<uk8=i7>54;294~"6k80::85G39:8L6133`?>6=44i4d94?=n9k31<75`1`c94?=zj;=n6=4<:183\7f!7d9393;6F<899K722<a<?1<75f14;94?=h9hk1<75rb34g>5<3290;w)?l1;351>N4011C?::4i4794?=n=o0;66g>b883>>i6ih0;66sm26f94?5=83:p(<m>:2:4?M5?02B8;95f5483>>o6=00;66a>a`83>>{e:?i1<7:50;2x 4e628<>7E=78:J031=n=<0;66g:f;29?l7e13:17b?na;29?xd5>k0;694?:1y'5f7=9??0D>67;I140>o2=3:17d;i:188m4d>2900c<on:188yg4d<3:1?7>50z&2g4<6>=1C?564H257?l77>3:17d??7;29?j72i3:17pl=8`83>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo<7f;297?6=8r.:o<4>5d9K7=><@:=?7)?>2;3e?l77>3:17d??7;29?j7fi3:17pl=8883>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo<7e;291?6=8r.:o<4>639K7=><@:=?7)?>2;03?l77>3:17d??7;29?l7703:17d??9;29?j7fi3:17pl=8983>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo<7d;291?6=8r.:o<4>639K7=><@:=?7)?>2;03?l77>3:17d??7;29?l7703:17d??9;29?j7fi3:17pl=8683>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo<7c;291?6=8r.:o<4>639K7=><@:=?7)?>2;03?l77>3:17d??7;29?l7703:17d??9;29?j7fi3:17pl=8783>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo<7b;291?6=8r.:o<4>639K7=><@:=?7)?>2;3f?l77>3:17d??7;29?l7703:17d??9;29?j7fi3:17pl=b283>1<729q/=n?5fg9K7=><@:=?7)?>2;38m1c=831b954?::k6g?6=3f;i=7>5;|`1f7<72=0;6=u+1b39bc=O;120D>9;;%326?7<a=o1<75f5983>>o2k3:17b?m1;29?xd5j80;694?:1y'5f7=no1C?564H257?!76:3;0e9k50;9j1=<722c>o7>5;n3a5?6=3th9n=4?:583>5}#9j;1jk5G39:8L6133-;:>7?4i5g94?=n=10;66g:c;29?j7e93:17pl=ag83>1<729q/=n?5fg9K7=><@:=?7)?>2;38m1c=831b954?::k6g?6=3f;i=7>5;|`1e`<72=0;6=u+1b39bc=O;120D>9;;%326?7<a=o1<75f5983>>o2k3:17b?m1;29?xd5im0;694?:1y'5f7=no1C?564H257?!76:3;0e9k50;9j1=<722c>o7>5;n3a5?6=3th9mn4?:583>5}#9j;1jk5G39:8L6133-;:>7?4i5g94?=n=10;66g:c;29?j7e93:17pl=ac83>1<729q/=n?5fg9K7=><@:=?7)?>2;38m1c=831b954?::k6g?6=3f;i=7>5;|`1fa<72<0;6=u+1b39554<@:237E=84:&257<63`>n6=44i4194?=n=j0;66g>b183>>i6j80;66sm2b194?2=83:p(<m>:gd8L6>?3A9<86*>1382?l2b2900e8650;9j1f<722e:n<4?::\7fa6f4=83>1<7>t$0a2>c`<@:237E=84:&257<63`>n6=44i4:94?=n=j0;66a>b083>>{e;:o1<7;50;2x 4e628:;7E=78:J031=#9881=6g;e;29?l342900e8650;9j1f<722e:n<4?::\7fa76b=83?1<7>t$0a2>4673A9346F<759'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6j80;66sm32a94?3=83:p(<m>:023?M5?02B8;95+10095>o3m3:17d;<:188m0>=831b9n4?::m2f4<722wi?>l50;794?6|,8i:6<>?;I1;<>N4?=1/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>b083>>{e;:k1<7;50;2x 4e628:;7E=78:J031=#9881=6g;e;29?l342900e8650;9j1f<722e:n<4?::\7fa76?=83?1<7>t$0a2>4673A9346F<759'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6j80;66sm32594?3=83:p(<m>:021?M5?02B8;95+10090>o3m3:17d;<:188m0e=831b=o>50;9l5g7=831vn>=9:186>5<7s-;h=7??0:J0<==O;>>0(<?=:09j0`<722c>?7>5;h7;>5<<a<i1<75`1c394?=zj:936=4::183\7f!7d93;;<6F<899K722<,8;96<5f4d83>>o2;3:17d;7:188m0e=831d=o?50;9~f64e29086=4?{%3`5?5??2B8455G3668m03=831b=8750;9l5dg=831vn><n:180>5<7s-;h=7=77:J0<==O;>>0e8;50;9j50?=831d=lo50;9~f646290?6=4?{%3`5?71=2B8455G3668m03=831b9k4?::k2f<<722e:ml4?::\7fa77?=8391<7>t$0a2>6>03A9346F<759j10<722c:944?::m2ed<722wi?<h50;694?6|,8i:6<8:;I1;<>N4?=1b984?::k6b?6=3`;i57>5;n3be?6=3th8>54?:283>5}#9j;1?594H2:;?M50<2c>97>5;h36=?6=3f;jm7>5;|`05a<72=0;6=u+1b39533<@:237E=84:k61?6=3`?m6=44i0`:>5<<g8kj6=44}c113?6=;3:1<v*>c080<2=O;120D>9;;h76>5<<a8?26=44o0cb>5<<uk9:n7>54;294~"6k80::85G39:8L6133`?>6=44i4d94?=n9k31<75`1`c94?=zj:8=6=4<:183\7f!7d9393;6F<899K722<a<?1<75f14;94?=h9hk1<75rb23:>5<3290;w)?l1;351>N4011C?::4i4794?=n=o0;66g>b883>>i6ih0;66sm33794?5=83:p(<m>:2:4?M5?02B8;95f5483>>o6=00;66a>a`83>>{e;8=1<7:50;2x 4e628<>7E=78:J031=n=<0;66g:f;29?l7e13:17b?na;29?xd4:=0;6>4?:1y'5f7=;1=0D>67;I140>o2=3:17d?:9;29?j7fi3:17pl<1483>1<729q/=n?51778L6>?3A9<86g:5;29?l3a2900e<l6:188k4gf2900qo==3;297?6=8r.:o<4<869K7=><@:=?7d;::188m43>2900c<on:188yg56;3:187>50z&2g4<6><1C?564H257?l322900e8h50;9j5g?=831d=lo50;9~f62f290>6=4?{%3`5?7782B8455G3668 475281b8h4?::k67?6=3`?36=44i4a94?=h9k;1<75rb26;>5<2290;w)?l1;336>N4011C?::4$031>1=n<l0;66g:3;29?l3d2900e<l?:188k4d62900qo=;9;291?6=8r.:o<4>019K7=><@:=?7)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0`2>5<<uk9?n7>55;294~"6k80:<=5G39:8L6133-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<l>:188yg53k3:197>50z&2g4<6891C?564H257?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8h:6=44}c17`?6==3:1<v*>c08245=O;120D>9;;%326?7<a=o1<75f5283>>o203:17d;l:188k4d62900qo=;e;291?6=8r.:o<4>019K7=><@:=?7)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0`2>5<<uk9?j7>55;294~"6k80:<=5G39:8L6133-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<l>:188yg5283:197>50z&2g4<6891C?564H257?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8h:6=44}c156?6==3:1<v*>c08245=O;120D>9;;%326?7<a=o1<75f5283>>o203:17d;l:188k4d62900qo=91;291?6=8r.:o<4>019K7=><@:=?7)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0`2>5<<uk9=<7>55;294~"6k80:<=5G39:8L6133-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<l>:188yg52n3:197>50z&2g4<6891C?564H257?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8h:6=44}c16a?6==3:1<v*>c08245=O;120D>9;;%326?7<a=o1<75f5283>>o203:17d;l:188k4d62900qo=:d;291?6=8r.:o<4>019K7=><@:=?7)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0`2>5<<uk9>o7>55;294~"6k80:<=5G39:8L6133-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<l>:188yg52j3:197>50z&2g4<6891C?564H257?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8h:6=44}c16e?6==3:1<v*>c08245=O;120D>9;;%326?7<a=o1<75f5283>>o203:17d;l:188k4d62900qo=80;297?6=8r.:o<4>5d9K7=><@:=?7)?>2;32?l77>3:17d??7;29?j7fi3:17pl<6883>6<729q/=n?514g8L6>?3A9<86*>13825>o68?0;66g>0683>>i6ih0;66sm2b394?5=83:p(<m>:07f?M5?02B8;95+10091f=n99<1<75f11594?=h9hk1<75rb3d;>5<4290;w)?l1;36a>N4011C?::4$031>4`<a8:=6=44i024>5<<g8kj6=44}c02f?6=;3:1<v*>c0821`=O;120D>9;;%326?7a3`;;:7>5;h333?6=3f;jm7>5;|`1b2<72<0;6=u+1b39534<@:237E=84:&257<582c:<;4?::k242<722c:<54?::k24<<722e:ml4?::\7fa64g=83?1<7>t$0a2>4053A9346F<759'544=:91b==850;9j551=831b==650;9j55?=831d=lo50;9~f7`1290>6=4?{%3`5?71:2B8455G3668 4752;:0e<>9:188m4602900e<>7:188m46>2900c<on:188yg4613:197>50z&2g4<6>;1C?564H257?!76:38;7d??6;29?l77?3:17d??8;29?l7713:17b?na;29?xd5n<0;684?:1y'5f7=9?80D>67;I140>"69;09<6g>0783>>o68>0;66g>0983>>o6800;66a>a`83>>{e:821<7;50;2x 4e628<97E=78:J031=#9881>=5f11494?=n99=1<75f11:94?=n9931<75`1`c94?=zj;l?6=4::183\7f!7d93;=>6F<899K722<,8;96?>4i025>5<<a8:<6=44i02;>5<<a8:26=44o0cb>5<<uk8:;7>55;294~"6k80::?5G39:8L6133-;:>7<?;h332?6=3`;;;7>5;h33<?6=3`;;57>5;n3be?6=3th8<:4?:283>5}#9j;1=8k4H2:;?M50<2.:=?4m;h332?6=3`;;;7>5;n3be?6=3th9i?4?:283>5}#9j;1=8k4H2:;?M50<2.:=?4>f:k243<722c:<:4?::m2ed<722wi>=950;194?6|,8i:6<;j;I1;<>N4?=1/=<<51g9j550=831b==950;9l5dg=831vn?k>:186>5<7s-;h=7?92:J0<==O;>>0(<?=:328m4612900e<>8:188m46?2900e<>6:188k4gf2900qo<?4;291?6=8r.:o<4>639K7=><@:=?7)?>2;3f?l77>3:17d??7;29?l7703:17d??9;29?j7fi3:17pl=e183>0<729q/=n?51708L6>?3A9<86*>13814>o68?0;66g>0683>>o6810;66g>0883>>i6ih0;66sm21394?3=83:p(<m>:041?M5?02B8;95+10095`=n99<1<75f11594?=n9921<75f11;94?=h9hk1<75rb3fe>5<2290;w)?l1;356>N4011C?::4$031>76<a8:=6=44i024>5<<a8:36=44i02:>5<<g8kj6=44}c3ea?6==3:1<v*>c08227=O;120D>9;;%326?7b3`;;:7>5;h333?6=3`;;47>5;h33=?6=3f;jm7>5;|`1``<72<0;6=u+1b39534<@:237E=84:&257<582c:<;4?::k242<722c:<54?::k24<<722e:ml4?::\7fa5cd=83?1<7>t$0a2>4053A9346F<759'544=9l1b==850;9j551=831b==650;9j55?=831d=lo50;9~f747290>6=4?{%3`5?71:2B8455G3668 4752820e<>9:188m4602900e<>7:188m46>2900c<on:188yg5783:187>50z&2g4<6>91C?564H257?!76:38j7d??6;29?l77?3:17d??8;29?j7fi3:17pl<0383>0<729q/=n?51708L6>?3A9<86*>138ee>o68?0;66g>0683>>o6810;66g>0883>>i6ih0;66sm2ga94?2=83:p(<m>:043?M5?02B8;95+10096d=n99<1<75f11594?=n9921<75`1`c94?=zj;ln6=4::183\7f!7d93;=>6F<899K722<,8;96ko4i025>5<<a8:<6=44i02;>5<<a8:26=44o0cb>5<<uk89?7>53;294~"6k80:9h5G39:8L6133-;:>7l4i025>5<<a8:<6=44o0cb>5<<uk8;47>53;294~"6k80:9h5G39:8L6133-;:>7?i;h332?6=3`;;;7>5;n3be?6=3th9<84?:483>5}#9j;1=;<4H2:;?M50<2.:=?4>e:k243<722c:<:4?::k24=<722c:<44?::m2ed<722wi>=<50;794?6|,8i:6<8=;I1;<>N4?=1/=<<51d9j550=831b==950;9j55>=831b==750;9l5dg=831vn<hi:186>5<7s-;h=7?92:J0<==O;>>0(<?=:0g8m4612900e<>8:188m46?2900e<>6:188k4gf2900qo?ic;291?6=8r.:o<4>639K7=><@:=?7)?>2;3f?l77>3:17d??7;29?l7703:17d??9;29?j7fi3:17pl=3b83>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd5;h0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl=3983>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd5;?0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl=3583>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd5;;0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl=3183>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd5:l0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl<2383>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd4:90;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl<1d83>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd49j0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl<1`83>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd4910;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl<1783>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd49=0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl=4783>7<729q/=n?514f8L6>?3A9<86*>138a?l77>3:17b?na;29?xd4:j0;6?4?:1y'5f7=9<n0D>67;I140>"69;0i7d??6;29?j7fi3:17pl=c783>6<729q/=n?514g8L6>?3A9<86*>1386g>o68?0;66g>0683>>i6ih0;66sm1e694?5=83:p(<m>:07f?M5?02B8;95+10095d=n99<1<75f11594?=h9hk1<75rb0f6>5<4290;w)?l1;36a>N4011C?::4$031>4`<a8:=6=44i024>5<<g8kj6=44}c3g2?6=;3:1<v*>c0821`=O;120D>9;;%326?7a3`;;:7>5;h333?6=3f;jm7>5;|`2`2<72:0;6=u+1b3950c<@:237E=84:&257<6n2c:<;4?::k242<722e:ml4?::\7fa5a>=8391<7>t$0a2>43b3A9346F<759'544=9o1b==850;9j551=831d=lo50;9~f4b>29086=4?{%3`5?72m2B8455G3668 47528l0e<>9:188m4602900c<on:188yg7ci3:1?7>50z&2g4<6=l1C?564H257?!76:3;m7d??6;29?l77?3:17b?na;29?xd5?=0;6>4?:1y'5f7=9<o0D>67;I140>"69;0:j6g>0783>>o68>0;66a>a`83>>{e:ko1<7;50;2x 4e628<97E=78:J031=#9881:6g>0783>>o68>0;66g>0983>>o6800;66a>a`83>>{e:>?1<7=50;2x 4e628?n7E=78:J031=#9881=k5f11494?=n99=1<75`1`c94?=zj;==6=4<:183\7f!7d93;>i6F<899K722<,8;96<h4i025>5<<a8:<6=44o0cb>5<<uk8<;7>53;294~"6k80:9h5G39:8L6133-;:>7?i;h332?6=3`;;;7>5;n3be?6=3th9;54?:283>5}#9j;1=8k4H2:;?M50<2.:=?4>f:k243<722c:<:4?::m2ed<722wi>:750;194?6|,8i:6<;j;I1;<>N4?=1/=<<51g9j550=831b==950;9l5dg=831vn?9n:180>5<7s-;h=7?:e:J0<==O;>>0(<?=:0d8m4612900e<>8:188k4gf2900qo<8b;297?6=8r.:o<4>5d9K7=><@:=?7)?>2;3e?l77>3:17d??7;29?j7fi3:17pl>dc83>6<729q/=n?514g8L6>?3A9<86*>1382b>o68?0;66g>0683>>i6ih0;66sm26a94?5=83:p(<m>:07f?M5?02B8;95+10095c=n99<1<75f11594?=h9hk1<75rb222>5<2290;w)?l1;356>N4011C?::4$031>f=n99<1<75f11594?=n9921<75f11;94?=h9hk1<75rb0d3>5<2290;w)?l1;356>N4011C?::4$031>4d<a8:=6=44i024>5<<a8:36=44i02:>5<<g8kj6=44}c13<?6=;3:1<v*>c0821`=O;120D>9;;%326?d<a8:=6=44i024>5<<g8kj6=44}c13=?6=;3:1<v*>c0821`=O;120D>9;;%326?d<a8:=6=44i024>5<<g8kj6=44}c157?6=k;0;6=u+1b395g4<@:237E=84:X7`?e|?321h7k513827?7328?1j7??:04952<zfjn1<6`jf;38 4342;1/=8:52:&24d<43-;;n7=4$02`>6=#99n1?6*>0d80?!77n390(<ln:gf8 4dc2;1/=<=53:&251<43-;:97=4$035>6=#98=1?6*>1980?!761390(<?n:29'54d=;2.:=n4<;%32`?5<,8;n6>5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8;51`;8 4312on0(<;8:gf8 4d428k27)?m4;3b=>h6j<0986`>b7810>"6jk0:m45+10297>"698087)=72;1;5>"40:084<5a396961=i;1?1>95+1cg96>o3n3:17d;?:188m00=831b9:4?::kef?6=3`;i;7>5;h3a<?6=3`lh6=44i0`e>5<<a8i;6=44o4394?=h=;0;66g<1;29 4ga2::0b<oj:198m7`=83.:mk4<0:l2e`<632c9i7>5$0ce>66<f8kn6?54i3f94?"6io08<6`>ad80?>o5k3:1(<oi:228j4gb2=10e>o50;&2ec<482d:mh4:;:k0=?6=,8km6>>4n0cf>3=<a:21<7*>ag804>h6il0<76g<7;29 4ga2::0b<oj:998m60=83.:mk4<0:l2e`<>32c897>5$0ce>66<f8kn6l54i2694?"6io08<6`>ad8a?>o4;3:1(<oi:228j4gb2j10e><50;&2ec<482d:mh4k;:k1f?6=,8km6>>4n0cf>`=<a=;1<7*>ag874>h6il0;76g<f;29 4ga2=:0b<oj:098m6c=83.:mk4;0:l2e`<532c8h7>5$0ce>16<f8kn6>54i2a94?"6io0?<6`>ad87?>o3i3:1(<oi:528j4gb2<10e9750;&2ec<382d:mh49;:k7<?6=,8km69>4n0cf>2=<a==1<7*>ag874>h6il0376g;6;29 4ga2=:0b<oj:898m13=83.:mk4;0:l2e`<f32c?87>5$0ce>16<f8kn6o54i5194?"6io0?<6`>ad8`?>o3:3:1(<oi:528j4gb2m10e>l50;&2ec<382d:mh4j;:k4=?6=,8km6:64n0cf>5=<a>=1<7*>ag84<>h6il0:76g85;29 4ga2>20b<oj:398m22=83.:mk488:l2e`<432c<?7>5$0ce>2><f8kn6954i6094?"6io0<46`>ad86?>o093:1(<oi:6:8j4gb2?10e:>50;&2ec<002d:mh48;:k5b?6=,8km6:64n0cf>==<a?o1<7*>ag84<>h6il0276g9d;29 4ga2>20b<oj:`98m3e=83.:mk488:l2e`<e32c=m7>5$0ce>2><f8kn6n54i7;94?"6io0<46`>ad8g?>o103:1(<oi:6:8j4gb2l10e;950;&2ec<002d:mh4i;:k52?6=,8km6:64n0cf>46<3`<>6=4+1`d93==i9ho1=<54i7694?"6io0<46`>ad826>=n>:0;6)?nf;5;?k7fm3;876g92;29 4ga2>20b<oj:068?l06290/=lh5799m5dc=9<10e5>50;&2ec<002d:mh4>6:9j3c<72-;jj797;o3ba?7032c<i7>5$0ce>2><f8kn6<64;h5g>5<#9hl1;55a1`g95<=<a>i1<7*>ag84<>h6il0:m65f7c83>!7fn3=37c?ne;3a?>o0i3:1(<oi:6:8j4gb28i07d99:18'5d`=?11e=lk51e98m3d=83.:mk488:l2e`<6m21b:=4?:%3bb?1?3g;ji7?i;:k:e?6=,8km6474n0cf>5=<a021<7*>ag8:=>h6il0:76g66;29 4ga2030b<oj:398m<3=83.:mk469:l2e`<432c287>5$0ce><?<f8kn6954i8194?"6io0256`>ad86?>o>:3:1(<oi:8;8j4gb2?10e4?50;&2ec<>12d:mh48;:k:4?6=,8km6474n0cf>==<a1l1<7*>ag8:=>h6il0276g7e;29 4ga2030b<oj:`98m=b=83.:mk469:l2e`<e32c3n7>5$0ce><?<f8kn6n54i9c94?"6io0256`>ad8g?>o?13:1(<oi:8;8j4gb2l10e5650;&2ec<>12d:mh4i;:k;3?6=,8km6474n0cf>46<3`2=6=4+1`d9=<=i9ho1=<54i9794?"6io0256`>ad826>=n0=0;6)?nf;;:?k7fm3;876g73;29 4ga2030b<oj:068?l>5290/=lh5989m5dc=9<10el?50;&2ec<>12d:mh4>6:9je5<72-;jj776;o3ba?7032c2j7>5$0ce><?<f8kn6<64;h;f>5<#9hl1545a1`g95<=<a0n1<7*>ag8:=>h6il0:m65f9b83>!7fn3327c?ne;3a?>o>j3:1(<oi:8;8j4gb28i07d78:18'5d`=101e=lk51e98m=e=83.:mk469:l2e`<6m21b4<4?:%3bb??>3g;ji7?i;:kb2?6=,8km6l;4n0cf>5=<ah>1<7*>ag8b1>h6il0:76gn3;29 4ga2h?0b<oj:398md4=83.:mk4n5:l2e`<432cjn7>5$0ce>dg<f8kn6=54i`;94?"6io0jm6`>ad82?>of03:1(<oi:`c8j4gb2;10el950;&2ec<fi2d:mh4<;:k03g<72-;jj7=8a:l2e`<732c8;44?:%3bb?50i2d:mh4>;:k03=<72-;jj7=8a:l2e`<532c8;:4?:%3bb?50i2d:mh4<;:k0<5<72-;jj7=8f:l2e`<732c8;h4?:%3bb?50n2d:mh4>;:k03a<72-;jj7=8f:l2e`<532c8;n4?:%3bb?50n2d:mh4<;:m`1?6=,8km6n:4n0cf>5=<gj91<7*>ag8`0>h6il0:76al1;29 4ga2j>0b<oj:398kf6=83.:mk4l4:l2e`<432eij7>5$0ce>f2<f8kn6954ocg94?"6io0h86`>ad86?>iel3:1(<oi:b68j4gb2?10com50;&2ec<d<2d:mh48;:maf?6=,8km6n:4n0cf>==<gkk1<7*>ag8`0>h6il0276am9;29 4ga2j>0b<oj:`98kg>=83.:mk4l4:l2e`<e32ei:7>5$0ce>f2<f8kn6n54oc794?"6io0h86`>ad8g?>ie<3:1(<oi:b68j4gb2l10co=50;&2ec<d<2d:mh4i;:ma6?6=,8km6n:4n0cf>46<3fh:6=4+1`d9g1=i9ho1=<54oc294?"6io0h86`>ad826>=hio0;6)?nf;a7?k7fm3;876ane;29 4ga2j>0b<oj:068?jgc290/=lh5c59m5dc=9<10cnm50;&2ec<d<2d:mh4>6:9lgg<72-;jj7m;;o3ba?7032ehm7>5$0ce>f2<f8kn6<64;na:>5<#9hl1o95a1`g95<=<gj21<7*>ag8`0>h6il0:m65`c683>!7fn3i?7c?ne;3a?>id>3:1(<oi:b68j4gb28i07bm=:18'5d`=k=1e=lk51e98kg1=83.:mk4l4:l2e`<6m21dmn4?:%3bb?e33g;ji7?i;:mf3?6=,8km6h84n0cf>5=<gl?1<7*>ag8f2>h6il0:76aj3;29 4ga2l<0b<oj:398k`4=83.:mk4j6:l2e`<432en=7>5$0ce>`0<f8kn6954od294?"6io0n:6`>ad86?>icn3:1(<oi:d48j4gb2?10cik50;&2ec<b>2d:mh48;:mg`?6=,8km6h84n0cf>==<gmi1<7*>ag8f2>h6il0276akb;29 4ga2l<0b<oj:`98kag=83.:mk4j6:l2e`<e32eo47>5$0ce>`0<f8kn6n54oe594?"6io0n:6`>ad8g?>ic>3:1(<oi:d48j4gb2l10ci;50;&2ec<b>2d:mh4i;:mg0?6=,8km6h84n0cf>46<3fn86=4+1`d9a3=i9ho1=<54oe094?"6io0n:6`>ad826>=hl80;6)?nf;g5?k7fm3;876ak0;29 4ga2l<0b<oj:068?jea290/=lh5e79m5dc=9<10chk50;&2ec<b>2d:mh4>6:9laa<72-;jj7k9;o3ba?7032eno7>5$0ce>`0<f8kn6<64;nga>5<#9hl1i;5a1`g95<=<glk1<7*>ag8f2>h6il0:m65`e883>!7fn3o=7c?ne;3a?>ib03:1(<oi:d48j4gb28i07bk;:18'5d`=m?1e=lk51e98ka?=83.:mk4j6:l2e`<6m21doh4?:%3bb?c13g;ji7?i;:me0?6=,8km6k=4n0cf>5=<go81<7*>ag8e7>h6il0:76ai1;29 4ga2o90b<oj:398kc6=83.:mk4i3:l2e`<432em57>5$0ce>c><f8kn6=54og594?"6io0m46`>ad82?>ia>3:1(<oi:g:8j4gb2;10ck;50;&2ec<a02d:mh4<;:\7fa677=8391<7>t$0a2>43a3A9346F<759'544=9m1b==850;9j551=831d=8o50;9~f7da29086=4?{%3`5?72n2B8455G3668 47528;0e<>9:188m4602900c<;n:188yg4aj3:197>50z&2g4<6>:1C?564H257?!76:3;h7d??6;29?l77?3:17d??8;29?l7713:17b?:a;29?xd48:0;694?:1y'5f7=9?;0D>67;I140>"69;0>i6g>0783>>o68>0;66g>0983>>i6=h0;66s|38694?34sW92863<6687a>;4>l0?i63<6787a>;4>j0?i63<6e87a>;4><0?i63<6c87a>;4?;0?i63=1d87a>;5:;0?i63>e787a>;6m>0?i63>e987a>;6m00?i63>e`87a>;6mk0?i63>eb87a>;6mm0?i63>ed87a>;5n00?i63<0487a>;48=0?i63<0787a>;5=10?i63=5687a>;5=?0?i63=5487a>;5==0?i63=5287a>;5=80?i63=5187a>;5=;0?i63=6`87a>;5>00?i63=6987a>;5>>0?i63=6787a>;5><0?i63=6587a>;5>:0?i63=6387a>;5j:0?i63=b387a>;5j80?i63=b187a>;5io0?i63=ad87a>;5im0?i63=ab87a>;5ik0?i63=be87a>;5k:0?i63=c387a>;4;l0?i63<3e87a>;4;j0?i63<3c87a>;4;h0?i63<3887a>;4;>0?i63<3787a>;4;10?i63<4`87a>;4<10?i63<4887a>;4<k0?i63<4b87a>;4<m0?i63<4d87a>;4<o0?i63<5187a>;4>;0?i63<6087a>;4>90?i63<5g87a>;4=l0?i63<5e87a>;4=j0?i63<5c87a>;4=h0?i63<62862>;4>:0>;6s|44394?1|V=?:70<=3;332>;5k?0:<;52313955?<58l;6<>6;<015?77>278<>4>079~w12a2902nvP<a99]7<7<V=?27S::7:\0=5=Y<<>0R>hk;_66<>X4nl1U8;=4^541?[2192T?:=5Q44d8Z13b3W>>h6P;5b9]00d<V=>n7S:;d:\70f=Y<=h0R9:n;_67=>X3<11U8994^565?85?13;;863<6b86g>;4><0>o63<6c86g>;4?;0>o63>db824<=:9o319k521g:91c=:9o=19k521g491c=:9o?19k5220391c=::8:19k5221d91c=::9o19k5221f91c=::jh19k522ba91c=::jn19k522bg91c=::jl19k522e691c=::m?19k522e491c=::m=19k522e:91c=::lk19k522d`91c=::li19k522df91c=::lo19k5231491f=:::h19k5222;91c=:::=19k5222791c=:::919k5222391c=::;l19k5223f91c=::?h1=o74=3:b>0`<5;2268h4=3:;>0`<5;2<68h4=3:5>0`<5:8:68h4=23e>0`<5:;o68h4=23a>0`<5:;268h4=234>0`<5:;>68h4=230>0`<5:<869h4=240>06<5:<86<li;<157?7d8278:>4<1:?026<4=278:>4<4:?026<4;278:>4<2:?026<5j278:>4;1:?026<3=278:>4;4:?026<3;278:>4;2:?026<4j278:>489:?026<0?278:>485:?026<0<278:>49f:?026<1m278:>49d:?026<1>278:>495:?026<1<278:>493:?026<0m278:>48d:?026<0k278:>46a:?026<>0278:>466:?026<>=278:>464:?026<>;278:>462:?026<>9278:>460:?026<?n278:>47e:?026<?l278:>47b:?026<?i278:>479:?026<?0278:>477:?026<?>278:>475:?026<?<278:>473:?026<?:278:>4n1:?026<f8278:>46f:?026<>m278:>46d:?026<>k278:>46b:?026<>?278:>47c:?026<?9278:>4n6:?026<f<278:>4n3:?026<f:278:>4nb:?026<f1278:>4n8:?026<f?278:>4<819>735=;>o01>8<:25g?851;39<o6s|44194?5|V=?870=9c;3a4>;4><0:n=5rs2d7>5<5sW9m863=2382f4=z{=<>6=48{_651>;48>0:<;52313955><58l;6<>7;<13<?77>278<44>079>6cd=9920q~=ia;296~X4nh16?=;51c38yv26l3:1>vP;1e9>6gb=9k;0q~=n7;297~X41m16=im5115894cb28h:7p}<a483>1}Y;0i01<j<:4d894cc28h:70?kb;332>{t;h>1<7:t^2;a?87c:3?m70?jc;3a5>;6lh0:<;5rs2c0>5<3sW92m63>d086b>;6mk0:n<521e;9550<uz9j>7>54z\0=<=:9m:19k521dc95g7<58n36<>9;|q0e4<72=qU?464=0ae>0`<58o26<l>;<3g3?77>2wx?l>50;6xZ6?034;hi7;i;<3f<?7e927:h;4>079~w6?a290?wS=66:?2ga<2n27:i:4>b09>5a3=99<0q~=6e;290~X41<16=nm55g9>5`0=9k;01<j;:025?xu4m00;6?uQ3e5896042l;0q~=j7;296~X4l?16?;=5e19~w6c12909wS=k5:?026<cn2wx?h;50;0xZ6b3349=?7jj;|q0a1<72;qU?i=4=240>ag<uz9n?7>52z\0`7=:;?91h55rs2g1>5<5sW9o=63<628g3>{t;l;1<7<t^2f3?851;3n=7p}<f383>7}Y;ml01>8<:e78yv5a93:1>vP<dd9>735=l91v\7f>h?:181\7f[5cl278:>4lf:\7fp7``=838pR>jl;<157?cb3ty8ih4?:3y]7ad<5:<86hj4}r1f`?6=:rT8hl523719a<=z{:oh6=4={_1g=>;4>:0n46s|3d`94?4|V:n370=93;g7?xu4mh0;6?uQ3bd896042m30q~=j0;296~X4kl16?;=5cd9~w6e32909wS=m2:?026<0;2wx?n<50;0xZ6d6349=?79=;|q0g4<72;qU?o>4=240>27<uz9h<7>52z\0ec=:;?91;=5rs2`e>5<5sW9ji63<6285g>{t;ko1<7<t^2cg?851;3<j7p}<be83>7}Y;hi01>8<:7;8yv5ek3:1>vP<ac9>735=>11v\7f>mk:181\7f[5ei278:>497:\7fp7fe=838pR>l6;<157?053ty8oo4?:3y]7g><5:<86;?4}r1`e?6=:rT8n:523719<5=z{:i26=4={_1a2>;4>:0<j6s|3b:94?4|V:h>70=93;5a?xu4k>0;6?uQ3c6896042>k0q~=l6;296~X4j:16?;=5779~w6e22909wS=na:?026<1j2wx?ol50;0xZ6g>349=?78?;|q775<72=qU8?84=3;;>0`<5;k:6<>9;<0;b?77?2wx8?k50;6xZ1423482;7;i;<0b4?77>2794h4>089~w14c290?wS:=4:?1=3<2n2795k4>079>6=c=99=0q~:=c;290~X3::16>4;55g9>6<c=99<01?6k:02:?xu3:k0;69uQ430897?32<l01?7k:025?84?l3;;;6s|43c94?2|V=8:70<63;7e?84>k3;;:63=8b824<=z{=826=4;{_614>;51;0>j63=9c8243=::1i1==94}r61<?6=<rT?=k5228391c=::0k1==84=3:a>4613ty?>:4?:5y]04c<5;3;68h4=3;:>4613483n7??7:\7fp53?=83;:w0=7a;3bg>;6lj0:<;521g7910=::9n198522b`910=::m>198522dc910=:::n1985223f910=::0:1985227`910=::1<19852331910=:;89198523719bg=:;?91=o94=240>4d?3ty8:;4?:2y>731==j16?;851c3896022<90q~=97;296~;4>>0:n<5237;9550<uz9=h7>53z?02`<2k278:n4:3:?02a<6j81v\7f>8j:181\7f851m3;i=63<718243=z{:<>6=4<{<152?3d349=97?m1:?02<<68>1v\7f>8l:180\7f851k3;i=63<6e86g>;4?90:<:5rs24;>5<5s49=n7?m0:?02<<6ih1v\7f>8n:182a~;4>k0:n<5220g95g6<5;896<l?;<3f2?3?34;n;7;7;<3f<?3?34;n57;7;<3fe?3?34;nn7;7;<3fg?3?34;nh7;7;<3fa?3?348>47;7;<063?3?348>:7;7;<061?3?348>87;7;<067?3?348>=7;7;<064?7e82799?4:8:?12d<20279:44:8:?12=<20279::4:8:?123<20279:84:8:?121<20279:>4:8:?127<202wx?;h50;0x961528h;70=80;3be>{t;>;1<7<j{<146?7e9279j44>b19>753=9k:01>>;:0`3?857>3;i<63=b286<>;5j;0>463=b086<>;5j90>463=ag86<>;5il0>463=ae86<>;5ij0>463=ac86<>;5jm0:n=522b191==::j81955232g91==:;:n1955232a91==:;:h1955232c91==:;:31955232595g6<5:9=6864=21;>0><5:>j6864=26;>4d7349?57;7;<17f?3?349?o7;7;<17`?3?349?i7;7;<17b?3?349><7;7;<156?3?349==7;7;<154?3?349>j7;7;<16a?3?349>h7;7;<16g?3?349>n7;7;<16e?3?349;<7??8:?1bf<6811v\7f??i:180\7f846m3?h70<=2;7`?84583;jm6s|20g94?1|5;;n6<l>;<014?77?279>>4>069>6f0=99=01>>>:025?87a83;;:63<028242=z{8i96=4>8z?2gf<2=27:hi4:5:?11=<2;2799:4:3:?113<2;279984:3:?111<2;2799>4:3:?114<2;2799=4:3:?117<2;279:l4:3:?12<<2;279:54:3:?122<2;279:;4:3:?120<2;279:94:3:?126<2;279:?4:3:?1g7<2k279>>4>a`9>735=nj16>kl51148yv7c<3:1?v3>cb82f<=:9mn1=874=0f7>4gf3ty:on4?:2y>5fe=9hk01<mk:47894bb2<?0q~?l3;296~;6lm0:ml521d491f=z{8n>6=4<{<3``?7e127:hh4>589>5a3=9hk0q~?ld;297~;6km0:ml521bg910=:9ml1985rs0a7>5<5s4;oi7?na:?2a2<2k2wx=i850;1x94eb28h270?kf;36=>;6l?0:ml5rs0af>5<4s4;hi7?na:?2gc<2=27:i=4:5:\7fp5f3=838p1<ji:0cb?87b03?h7p}>d683>6}:9jl1=o74=0g3>43>34;o;7?na:\7fp5f`=839p1<mi:0cb?87c83?>70?j1;76?xu6k?0;6?u21d295dg<58o268m4}r3g<?6=;r7:h=4>b89>5`7=9<301<j7:0cb?xu6l90;6>u21e295dg<58n:68;4=0g1>03<uz;h;7>52z?2a4<6ih16=ho55b9~w4b>2908w0?k1;3a=>;6m;0:94521e;95dg<uz;o=7>53z?2`4<6ih16=i<5549>5`5==<1v\7f<m7:181\7f87b:3;jm63>ec86g>{t9mk1<7=t=0f1>4d>34;n?7?:9:?2`d<6ih1v\7f<j=:180\7f87c:3;jm63>d2861>;6m=0>96s|1b;94?4|58o86<on;<3fg?3d3ty:ho4?:2y>5a5=9k301<k;:07:?87cj3;jm6s|1e194?4|58n86<on;<3f1?323ty:ol4?:3y>5`2=9hk01<kk:4a8yv4d<3:1nv3>db824==::j>1==94=3a5>4gf34;o87??7:?2`0<68>16=i85115894b028:<70?k8;333>;6l00:<:521ec9551<58ni6<>8;|q2`f<72;q6=im51`c894c228?27p}>cc83>7}:9l?1=lo4=0gf>0e<uz;nj7>5az?2a3<2;27:i:4:3:?2a=<2;27:i44:3:?2ad<2;27:io4:3:?2af<2;27:ii4:3:?2a`<2;27:j=4>a`9~w4`32909w0?i9;76?87a03;jm6s|21494?4|58l26<l6;<033?7fi2wx><m50;0x94`>28kj70<=0;332>{t9o91<7<t=0d;>03<58l<6<on;|q146<72;q6=k651c;8976328kj7p}>f383>7}:9o=198521g495dg<uz8;<7>52z?2b2<6j016>=?51`c8yv7a93:1>v3>f7861>;6n<0:ml5rs0dg>5<5s4;m:7?m9:?2b`<6ih1v\7f<hn:181\7f87a=3;i563>fc82ed=z{;:h6=4={<025?32348:<7?na:\7fp640=838p1??>:0`:?846j3;jm6s|20f94?4|5;;:6<on;<015?77?2wx>=l50;0x97772<?01?>i:0cb?xu59<0;6?u220295g?<5;;j6<on;|q14d<72;q6>=h5549>65c=9hk0q~<>4;296~;58o0:n45220;95dg<uz8;57>52z?14`<2=279<i4>a`9~w7742909w0<?e;3a=>;5910:ml5rs331>5<5s48;h7?m9:?152<6ih1v\7f<hl:181\7f84dj3;i563>fb82ed=z{;i<6=4={<0`f?7fi279on4:5:\7fp5c`=838p1?ml:0`:?87an3;jm6s|2b:94?4|5;ih6<on;<0``?323ty9<?4?:3y>6fb=9k301?>=:0cb?xu5k00;6?u22bf95dg<5;in68;4}r031?6=:r79oh4>b89>653=9hk0q~<la;296~;5kl0:ml522bd910=z{;:36=4={<0`b?7e1279<54>a`9~w7c42909w0<lf;3be>;48:0:<55rs3f:>5<5s48o87?m9:?1``<6ih1v\7f?j?:181\7f84c<3;jm63=d4861>{t:mk1<7<t=3f6>4d>348oj7?na:\7fp6a7=838p1?j::0cb?84c>3?>7p}=dc83>7}::m<1=o74=3g3>4gf3ty9h?4?:3y>6a0=9hk01?j8:478yv4ck3:1>v3=d682f<=::l;1=lo4}r0g7?6=:r79h:4>a`9>6a>==<1v\7f?jk:181\7f84c03;i563=e382ed=z{;o?6=4<{<0g<?7fi278<?4>099>6cd=9930q~<jf;296~;5mh0:n4522g695dg<uz8n:7>52z?1ad<6ih16>hl5549~w7`72909w0<jb;3a=>;5n<0:ml5rs3g4>5<5s48nn7?na:?1af<2=2wx>k?50;0x97cd28h270<i6;3be>{t:l21<7<t=3g`>4gf348nh7;:;|q1b7<72;q6>hj51c;897`028kj7p}=e883>7}::ln1=lo4=3gf>03<uz8m?7>52z?1a`<6j016>k651`c8yv4b=3:1>v3=ed82ed=::oo1==64}r0ee?6=:r79j44:c:?1b`<6ih1v\7f?h6:180\7f84a13;i=63=c08243=::oi1==84}r0eb?6=;r78<84:c:?041<2k278<?4>a`9~w6632903w0=?4;3a5>;48>0:<:523129550<5:::6<>8;<3e4?77?278<54>069>75?=99=01?hm:024?xu48?0;68u231495g7<5;i:6<>8;<134?77?279jn4>069>6gc=99<0q~<=c;296~;5=10>o63=4482ed=z{;>m6=4;{<06<?7e9279:l4:c:?15g<68>16>9851148yv45j3:1>v3=5686g>;5<=0:ml5rs36f>5<3s48>;7?m1:?12<<2k279=l4>079>66e=99<0q~<=a;296~;5=?0>o63=4282ed=z{;>o6=4;{<062?7e9279:54:c:?15d<68116>>o51148yv4513:1>v3=5486g>;5<;0:ml5rs36`>5<3s48>97?m1:?122<2k279=44>079>66>=99<0q~<=8;296~;5==0>o63=4082ed=z{;>i6=4;{<060?7e9279:;4:c:?15<<68116>>851148yv45?3:1>v3=5286g>;5<90:ml5rs36b>5<3s48>?7?m1:?120<2k279=54>079>662=99<0q~<=5;296~;5=80>o63=3d82ed=z{;>36=4;{<065?7e9279:>4:c:?152<68?16>>>51148yv45<3:1>v3=5186g>;5;m0:ml5rs364>5<3s48><7?m1:?127<2k279=:4>099>67c=99<0q~<=6;296~;5=;0>o63=3g82ed=z{;>26=4;{<066?7e9279:94:c:?15=<68116>><51148yv44j3:1>v3=44861>;5;k0:ml5rs365>5<5s48?97?:9:?103<6ih1v\7f?=6:180\7f843<3?>70<<b;76?84413;jm6s|22a94?5|5;>?6<;6;<00f?7e1279?n4>a`9~w7502908w0<;3;76?84413?>70<<7;3be>{t::k1<7=t=360>43>348857?m9:?17d<6ih1v\7f?=::180\7f843:3?>70<<7;76?844=3;jm6s|22:94?5|5;>96<;6;<003?7e1279?54>a`9~w7542908w0<;1;76?844=3?>70<<3;3be>{t::<1<7=t=362>43>348897?m9:?173<6ih1v\7f?=>:180\7f84383?>70<<3;76?84493;jm6s|22694?5|5;>;6<;6;<007?7e1279?94>a`9~w74a2908w0<<f;76?84493?>70<=f;3be>{t::81<7=t=31e>43>3488=7?m9:?177<6ih1v\7f?<k:180\7f844m3?>70<=f;76?845l3;jm6s|22294?5|5;9n6<;6;<01b?7e1279?=4>a`9~w74b2908w0<<d;36=>;5:m0:n45223g95dg<uz8==7>57z?12d<6j816>k65115897c528:<70<?7;333>;5810:<:5226a9551<5:<86>h4}r054?6=?r79:44>b09>6c1=99=01?k>:024?847<3;;563=04824<=::>h1==94=240>6c<uz8>j7>57z?12=<6j816>k9511;897c628:270<?4;33<>;58<0:<55226c9551<5:<86>j4}r06a?6=?r79::4>b09>6c0=99=01?k?:024?84793;;563=03824<=::>31==94=240>6e<uz8>h7>57z?123<6j816>k8511;897c728:270<?1;33<>;58;0:<55226:9551<5:<869o4}r06g?6=?r79:84>b09>6c3=99=01?ji:024?87am3;;563>fg824<=::>=1==94=240>1?<uz8>n7>57z?121<6j816>k;511;897ba28:270?ie;33<>;6no0:<5522649551<5:<86964}r06e?6=?r79:>4>b09>6c2=99=01?jj:024?87aj3;;563>fb824<=::>?1==94=240>11<uz8>57>57z?127<6j816>k:511;897bb28:270?ib;33<>;6nj0:<5522669551<5:<86984}r0:3?6=:r79554:5:?1=2<6ih1v\7f?o>:181\7f84>03;i563=a082ed=z{;336=4={<0:<?7fi279nh4>099~w7gf2908w0<n1;333>;50o0:<;522c195g7<uz82:7>52z?1=2<2=2795;4>a`9~w7g72909w0<67;3a=>;5i90:ml5rs3c:>5<4s48j<7??7:?1<`<68116>o<51c38yv4>=3:1>v3=97861>;51<0:ml5rs3;e>5<5s482:7?m9:?1=c<6ih1v\7f?o7:180\7f84>n3;;;63=8d8243=::k;1=o?4}r0:0?6=:r79584:5:?1=1<6ih1v\7f?7j:181\7f84>=3;i563=9d82ed=z{;k<6=4<{<0:a?77?2794i4>099>6g6=9k;0q~<63;296~;51=0>963=9282ed=z{;3o6=4={<0:0?7e12795i4>a`9~w7g12908w0<6d;333>;50m0:<;522`d95g7<uz82>7>52z?1=6<2=2795?4>a`9~w7?d2909w0<63;3a=>;51j0:ml5rs3c6>5<4s482o7??7:?1<f<68116>lk51c38yv4>93:1>v3=93861>;5180:ml5rs3;a>5<5s482>7?m9:?1=g<6ih1v\7f?o;:180\7f84>j3;;;63=8b8243=::hn1=o?4}r0:4?6=:r795<4:5:?1=5<6ih1v\7f?7n:181\7f84>93;i563=9`82ed=z{;k86=4<{<0:e?77?2794o4>099>6de=9k;0q~<69;296~;5190:n45228;95dg<uz8j>7>53z?1=<<68>16>5l511;897ge28h:7p}=7283>7}::1?1985226195dg<uz8<o7>52z?1<0<6=016>:m51`c8yv4ek3:1>v3=8482ed=::k919n5rs351>5<4s48387;:;<047?32348<>7?na:\7fp62d=839p1?6;:07:?840;3;i563=7c82ed=z{;hi6=4={<0;0?7fi279n?4:c:\7fp710=83?p1?9<:4d8962a28h:70=91;7`?84b93;;:63=7c8243=z{;=:6=4<{<0;7?32348<>7;:;<045?7fi2wx>:o50;1x97>428?270<82;3a=>;5?h0:ml5rs3`b>5<5s483?7?na:?1f4<2k2wx?9;50;7x97152<l01>:j:0`2?85183?h70<j1;33<>;5?h0:<;5rs353>5<4s483>7;:;<045?32348<<7?na:\7fp62?=839p1?6=:07:?84093;i563=7882ed=z{;h26=4={<0;6?7fi279n=4:c:\7fp712=83?p1?9>:4d8962c28h:70=:f;7`?84b83;;:63=788243=z{;<m6=4<{<0;5?32348<<7;:;<05b?7fi2wx>:650;1x97>628?270<80;3a=>;5?10:ml5rs3`;>5<5s483=7?na:?1ec<2k2wx?9=50;7x97172<l01>:l:0`2?852m3?h70<j0;33<>;5?10:<;5rs34f>5<4s483<7;:;<05b?32348=i7?na:\7fp621=839p1?6?:07:?841n3;i563=7682ed=z{;h<6=4={<0;4?7fi279mh4:c:\7fp714=83?p1?8i:4d8962e28h:70=:d;7`?84cn3;;:63=768243=z{;<o6=4<{<04b?32348=i7;:;<05`?7fi2wx>:850;1x971a28?270<9e;3a=>;5??0:ml5rs3`5>5<5s48<j7?na:?1ea<2k2wx?9?50;7x970b2<l01>:n:0`2?852k3?h70<kf;33<>;5??0:<;5rs34`>5<4s48<i7;:;<05`?32348=o7?na:\7fp623=839p1?9j:07:?841l3;i563=7482ed=z{;h>6=4={<04a?7fi279mn4:c:\7fp716=83?p1?8k:4d8962>28h:70=:b;7`?84cm3;;:63=748243=z{;<i6=4<{<04`?32348=o7;:;<05f?7fi2wx>::50;1x971c28?270<9c;3a=>;5?=0:ml5rs3`7>5<5s48<h7?na:?1eg<2k2wx?>h50;7x970d2<l01>:7:0`2?852i3?h70<ke;33<>;5?=0:<;5rs3a6>5<5s48=n7;i;<0`0?72i2wx?=950;0x97e328:=70=?8;3be>{t:131<7<t=3:b>03<5;226<on;|q1<c<72;q6>5o51c;897>a28kj7p}=8`83>7}::1k1=lo4=3`f>46>3ty9454?:3y>6=?==<16>5651`c8yv4?m3:1>v3=8882f<=::1o1=lo4}r0;3?6=:r79454:5:?1<2<6ih1v\7f?6k:181\7f84?03;i563=8e82ed=z{;2=6=4={<0;3?323483:7?na:\7fp6=e=838p1?68:0`:?84?k3;jm6s|29`94?4|5;2=6<l6;<0;f?7fi2wx>n>50;0x97dc2<901?m>:0cb?xu5jl0;6?u22cf91f=::ko1=lo4}r150?6=?r79o>4:c:?042<6ih16>?>511:896042:=i70=93;14=>;4>:08;5523719721<uz8h?7>52z?1g6<6j816>oh51148yv4d:3:1>v3=c382f4=::kl1==94}r13<?6=9jq6?>k5529>76b==:16?>m5529>76d==:16?>o5529>76?==:16?>95529>760==:16?>65529>71g==:16?965529>71?==:16?9l5529>71e==:16?9j5529>71c==:16?9h5529>706==:16?;<5529>737==:16?;>5529>70`==:16?8k5529>70b==:16?8m5529>70d==:16?8o5529>75?=9hk0q~=>2;296~;4;l0>o63<2c82ed=z{:9>6=4;{<10a?7e92789=4:c:?1b=<68?16??m51148yv5693:1>v3<3e86g>;4:h0:ml5rs217>5<3s498h7?m1:?00c<2k279j:4>079>774=99<0q~=>0;296~;4;j0>o63<2882ed=z{:986=4;{<10g?7e92788h4:c:?1b2<68116??>51148yv57n3:1>v3<3c86g>;4:10:ml5rs211>5<3s498n7?m1:?00a<2k279j;4>079>74c=99<0q~=?e;296~;4;h0>o63<2682ed=z{:9:6=4;{<10e?7e92788n4:c:?1b3<68116?<m51148yv57l3:1>v3<3886g>;4:?0:ml5rs213>5<3s49857?m1:?00g<2k279j84>079>74g=99<0q~=?b;296~;4;>0>o63<2582ed=z{:8n6=4;{<103?7e9278844:c:?1b1<68?16?<851148yv57i3:1>v3<3786g>;4::0:ml5rs20g>5<3s498:7?m1:?00=<2k279j94>099>742=99<0q~=?c;296~;4;10>o63<2482ed=z{:8m6=4;{<10<?7e92788l4:c:?1b0<68116?<651148yv5593:1>v3<2c861>;4:80:ml5rs20`>5<5s499n7?:9:?06f<6ih1v\7f>?i:180\7f855i3?>70==1;76?856n3;jm6s|33094?5|5:8j6<;6;<115?7e1278>?4>a`9~w67c2908w0==9;76?856n3?>70=>d;3be>{t;;:1<7=t=20:>43>349:j7?m9:?065<6ih1v\7f>?m:180\7f85503?>70=>d;76?856j3;jm6s|30g94?5|5:836<;6;<12`?7e1278=h4>a`9~w67>2908w0==7;76?856j3?>70=>9;3be>{t;8i1<7=t=204>43>349:n7?m9:?05f<6ih1v\7f>?8:180\7f855>3?>70=>9;76?856?3;jm6s|30c94?5|5:8=6<;6;<12=?7e1278=l4>a`9~w6722908w0==5;76?856?3?>70=>5;3be>{t;821<7=t=206>43>349:;7?m9:?05=<6ih1v\7f>?<:180\7f855<3?>70=>5;76?856;3;jm6s|30494?5|5:8?6<;6;<121?7e1278=;4>a`9~w6732908w0==3;36=>;49:0:n45230695dg<uz9?;7>54z?015<6j816?;<55b9>6`4=99<01?9l:025?xu4=00;68u237095g7<5;;i6<>9;<033?77>279<54>079>735=:o1v\7f>;7:186\7f85193;i=63=1`8242=::9>1==94=326>460349=?7<j;|q012<72<q6?;>51c38977f28:270<?4;332>;58<0:<;5237196a=z{:?=6=4:{<16b?7e9279=44>069>657=99=01?>=:024?851;38h7p}<5483>0}:;<o1=o?4=33:>46>348;=7??6:?147<68?16?;=53`9~w633290>w0=:d;3a5>;5910:<:521gg9551<58lm6<>8;<157?5>3ty89>4?:4y>70e=9k;01??7:02:?87am3;;:63>fg8243=:;?91?55rs271>5<2s49>n7?m1:?152<68>16=kl5115894`d28:<70=93;14?xu4=80;68u234c95g7<5;;<6<>6;<3ef?77>27:jn4>079>735=;?1v\7f<87:181\7f84583;;563=20821d=z{::;6=4={<134?7fi278<?4>069~w7`c2908w0=?2;332>;5nl0:<;5231395dg<uz9;?7>52z?047<68016?==514c8yv4ak3:1>v3=fb82ed=::oo1==94}r0ef?6=:r79jh4>089>6cd=9<k0q~?76;296~;5jl0:<:522cd950g<uty8594?:3y]7<2<5=i1?4:4$250>4>13ty8o94?:3y]7g4<5=i1?o<4$250>4?33ty8o?4?:3y]7g7<5=i1?o?4$250>4?23ty8o<4?:3y]7g6<5=i1?o>4$250>4?03ty8o=4?:3y]7d`<5=i1?lh4$250>4?d3ty8nk4?:3y]7dc<5=i1?lk4$250>40f3ty8nh4?:3y]7db<5=i1?lj4$250>4123ty8ni4?:3y]7de<5=i1?lm4$250>41b3ty8nn4?:3y]7dd<5=i1?ll4$250>41a3ty8oi4?:3y]7gg<5=i1?oo4$250>4>73ty8on4?:3y]7g?<5=i1?o74$250>4>63ty8oo4?:3y]7g><5=i1?o64$250>4>53ty8ol4?:3y]7g1<5=i1?o94$250>4>43ty8o44?:3y]7g0<5=i1?o84$250>4>33ty8o54?:3y]7g3<5=i1?o;4$250>4>23ty8o:4?:3y]7g2<5=i1?o:4$250>4>03ty8o;4?:3y]7g5<5=i1?o=4$250>4>?3ty8o84?:3y]7dg<5=i1?lo4$250>4>>3ty8no4?:3y]7d?<5=i1?l74$250>4>f3ty??=4?:3y]070<5=i18?84$250>4>e3ty?>h4?:3y]073<5=i18?;4$250>4>d3ty?>i4?:3y]072<5=i18?:4$250>4>c3ty?>n4?:3y]075<5=i18?=4$250>4>b3ty?>o4?:3y]074<5=i18?<4$250>4>a3ty?>l4?:3y]077<5=i18??4$250>4?73ty?>44?:3y]076<5=i18?>4$250>4?63ty?>54?:3y]04`<5=i18<h4$250>4?53ty?>:4?:3y]04c<5=i18<k4$250>4?43ty?9<4?:3y]007<5=i188?4$250>4?13ty?9>4?:3y]005<5=i188=4$250>4??3ty?:84?:3y]033<5=i18;;4$250>4?>3ty8m:4?:3y]7<b<5=i1?4j4$250>4?f3ty8m84?:3y]7<e<5=i1?4m4$250>4?e3ty8m94?:3y]7<d<5=i1?4l4$250>4?c3ty8m>4?:3y]7<g<5=i1?4o4$250>4?b3ty8m?4?:3y]7<?<5=i1?474$250>4?a3ty8m<4?:3y]7<><5=i1?464$250>4g73ty8m=4?:3y]7<1<5=i1?494$250>4g63ty85k4?:3y]7<0<5=i1?484$250>4g53ty85h4?:3y]7<3<5=i1?4;4$250>4g43ty8i44?:3y]7a1<5=i1?i94$250>4g33ty8i:4?:3y]7a0<5=i1?i84$250>4g23ty8i;4?:3y]7a3<5=i1?i;4$250>4g13ty8i84?:3y]7a2<5=i1?i:4$250>40e3ty8i94?:3y]7a5<5=i1?i=4$250>40d3ty8i>4?:3y]7a4<5=i1?i<4$250>40c3ty8i?4?:3y]7a7<5=i1?i?4$250>40b3ty8i<4?:3y]7a6<5=i1?i>4$250>40a3ty8j?4?:3y]7a`<5=i1?ih4$250>4173ty8j<4?:3y]7ac<5=i1?ik4$250>4163ty8j=4?:3y]7ab<5=i1?ij4$250>4153ty8ik4?:3y]7ae<5=i1?im4$250>4143ty8ih4?:3y]7ad<5=i1?il4$250>4133ty8ii4?:3y]7ag<5=i1?io4$250>4113ty8in4?:3y]7a?<5=i1?i74$250>4103ty8io4?:3y]7a><5=i1?i64$250>41?3ty8il4?:3y]7f`<5=i1?nh4$250>41>3ty8i=4?:3y]7fc<5=i1?nk4$250>41f3ty8j94?:3y]7c2<5=i1?k:4$250>41e3ty8jl4?:3y]7cg<5=i1?ko4$250>41d3ty?=i4?:3y]04b<5=i18<j4$250>41c3twe48k50;0xL6133td39k4?:3yK722<ug2=<7>52zJ031=zf1<:6=4={I140>{i0?81<7<tH257?xh?>:0;6?uG3668yk>1<3:1>vF<759~j=022909wE=84:\7fm<30=838pD>9;;|l;22<72;qC?::4}o:5<?6=:rB8;95rn94:>5<5sA9<86sa87c94?4|@:=?7p`76c83>7}O;>>0qc69c;296~N4?=1vb58k:181\7fM50<2we4;k50;0xL6133td3:k4?:3yK722<ug2<<7>52zJ031=zf1=:6=4={I140>{i0>81<7<tH257?xh??:0;6?uG3668yk>0<3:1>vF<759~j=122909wE=84:\7fm<20=838pD>9;;|l;32<72;qC?::4}o:4<?6=:rB8;95rn95:>5<5sA9<86sa86c94?4|@:=?7p`77c83>7}O;>>0qc68c;296~N4?=1vb59k:181\7fM50<2we4:k50;0xL6133td3;k4?:3yK722<ug23<7>52zJ031=zf12:6=4={I140>{i0181<7<tH257?xh?0:0;6?uG3668yk>?<3:1>vF<759~j=>22909wE=84:\7fm<=0=838pD>9;;|l;<2<72;qC?::4}o:;<?6=:rB8;95rn9::>5<5sA9<86sa89c94?4|@:=?7p`78c83>7}O;>>0qc67c;296~N4?=1vb56k:181\7fM50<2we45k50;0xL6133td34k4?:3yK722<ug22<7>52zJ031=zf13:6=4={I140>{i0081<7<tH257?xh?1:0;6?uG3668yk>><3:1>vF<759~j=?22909wE=84:\7fm<<0=838pD>9;;|l;=2<72;qC?::4}o::<?6=:rB8;95rn9;:>5<5sA9<86sa88c94?4|@:=?7p`81083>4}O;>>0qc9;d;295~N4?=1vb::j:182\7fM50<2we;9h50;3xL6133td<9=4?:0yK722<ug=>=7>51zJ031=zf>?96=4>{I140>{i?<91<7?tH257?xh0==0;6<uG3668yk12=3:1=vF<759~j231290:wE=84:\7fm301=83;pD>9;;|l41=<728qC?::4}o56=?6=9rB8;95rn67b>5<6sA9<86sa74`94?7|@:=?7p`85b83>4}O;>>0qc9:d;295~N4?=1vb:;j:182\7fM50<2we;8h50;3xL6133td<:=4?:0yK722<ug===7>51zJ031=zf><96=4>{I140>{i??91<7?tH257?xh0>=0;6<uG3668yk11=3:1=vF<759~j201290:wE=84:\7fm331=83;pD>9;;|l42=<728qC?::4}o55=?6=9rB8;95rn64b>5<6sA9<86sa77`94?7|@:=?7p`86b83>4}O;>>0qc99d;295~N4?=1vb:8j:182\7fM50<2we;;h50;3xL6133td<;=4?:0yK722<ug=<=7>51zJ031=zf>=96=4>{I140>{i?>91<7?tH257?xh0?=0;6<uG3668yk10=3:1=vF<759~j211290:wE=84:\7fm321=83;pD>9;;|l43=<728qC?::4}o54=?6=9rB8;95rn65b>5<6sA9<86sa76`94?7|@:=?7p`87b83>4}O;>>0qc98d;295~N4?=1vb:9j:182\7fM50<2we;:h50;3xL6133td<4=4?:0yK722<ug=3=7>51zJ031=zf>296=4>{I140>{i?191<7?tH257?xh00=0;6<uG3668yk1?=3:1=vF<759~j2>1290:wE=84:\7fm3=1=83;pD>9;;|l4<=<728qC?::4}o5;=?6=9rB8;95rn6:b>5<6sA9<86sa79`94?7|@:=?7p`88b83>4}O;>>0qc97d;295~N4?=1vb:6j:182\7fM50<2we;5h50;3xL6133td<5=4?:0yK722<ug=2=7>51zJ031=zf>396=4>{I140>{i?091<7?tH257?xh01=0;6<uG3668yk1>=3:1=vF<759~j2?1290:wE=84:\7fm3<1=83;pD>9;;|l4==<728qC?::4}o5:=?6=9rB8;95rn6;b>5<6sA9<86sa78`94?7|@:=?7p`89b83>4}O;>>0qc96d;295~N4?=1vb:7j:182\7fM50<2we;4h50;3xL6133td<m=4?:0yK722<ug=j=7>51zJ031=zf>k96=4>{I140>{i?h91<7?tH257?xh0i=0;6<uG3668yk1f=3:1=vF<759~j2g1290:wE=84:\7fm3d1=83;pD>9;;|l4e=<728qC?::4}o5b=?6=9rB8;95rn6cb>5<6sA9<86sa7``94?7|@:=?7p`8ab83>4}O;>>0qc9nd;295~N4?=1vb:oj:182\7fM50<2we;lh50;3xL6133td<n=4?:0yK722<ug=i=7>51zJ031=zf>h96=4>{I140>{i?k91<7?tH257?xh0j=0;6<uG3668yk1e=3:1=vF<759~j2d1290:wE=84:\7fm3g1=83;pD>9;;|l4f=<728qC?::4}o5a=?6=9rB8;95rn6`b>5<6sA9<86sa7c`94?7|@:=?7p`8bb83>4}O;>>0qc9md;295~N4?=1vb:lj:182\7fM50<2we;oh50;3xL6133td<o=4?:0yK722<ug=h=7>51zJ031=zf>i96=4>{I140>{i?j91<7?tH257?xh0k=0;6<uG3668yk1d=3:1=vF<759~j2e1290:wE=84:\7fm3f1=83;pD>9;;|l4g=<728qC?::4}o5`=?6=9rB8;95rn6ab>5<6sA9<86sa7b`94?7|@:=?7p`8cb83>4}O;>>0qc9ld;295~N4?=1vb:mj:182\7fM50<2we;nh50;3xL6133td<h=4?:0yK722<ug=o=7>51zJ031=zf>n96=4>{I140>{i?m91<7?tH257?xh0l=0;6<uG3668yk1c=3:1=vF<759~j2b1290:wE=84:\7fm3a1=83;pD>9;;|l4`=<728qC?::4}o5g=?6=9rB8;95rn6fb>5<6sA9<86sa7e`94?7|@:=?7p`8db83>4}O;>>0qc9kd;295~N4?=1vb:jj:182\7fM50<2we;ih50;3xL6133td<i=4?:0yK722<ug=n=7>51zJ031=zf>o96=4>{I140>{i?l91<7?tH257?xh0m=0;6<uG3668yk1b=3:1=vF<759~j2c1290:wE=84:\7fm3`1=83;pD>9;;|l4a=<728qC?::4}o5f=?6=9rB8;95rn6gb>5<6sA9<86sa7d`94?7|@:=?7p`8eb83>4}O;>>0qc9jd;295~N4?=1vb:kj:182\7fM50<2we;hh50;3xL6133td<j=4?:0yK722<ug=m=7>51zJ031=zf>l96=4>{I140>{i?o91<7?tH257?xh0n=0;6<uG3668yk1a=3:1=vF<759~j2`1290:wE=84:\7fm3c1=83;pD>9;;|l4b=<728qC?::4}o5e=?6=9rB8;95rn6db>5<6sA9<86sa7g`94?7|@:=?7p`8fb83>4}O;>>0qc9id;295~N4?=1vb:hj:182\7fM50<2we;kh50;3xL6133td3<=4?:0yK722<ug2;=7>51zJ031=zf1:96=4>{I140>{i0991<7?tH257?xh?8=0;6<uG3668yk>7=3:1=vF<759~j=61290:wE=84:\7fm<51=83;pD>9;;|l;4=<728qC?::4}o:3=?6=9rB8;95rn92b>5<6sA9<86sa81`94?7|@:=?7p`70b83>4}O;>>0qc6?d;295~N4?=1vb5>j:182\7fM50<2we4=h50;3xL6133td3==4?:0yK722<ug2:=7>51zJ031=zf1;96=4>{I140>{i0891<7?tH257?xh?9=0;6<uG3668yk>6=3:1=vF<759~j=71290:wE=84:\7fm<41=83;pD>9;;|l;5=<728qC?::4}o:2=?6=9rB8;95rn93b>5<6sA9<86sa80`94?7|@:=?7p`71b83>4}O;>>0qc6>d;295~N4?=1vb5?j:182\7fM50<2we4<h50;3xL6133td3>=4?:0yK722<ug29=7>51zJ031=zf1896=4>{I140>{i0;91<7?tH257?xh?:=0;6<uG3668yk>5=3:1=vF<759~j=41290:wE=84:\7fm<71=83;pD>9;;|l;6=<728qC?::4}o:1=?6=9rB8;95rn90b>5<6sA9<86sa83`94?7|@:=?7p`72b83>4}O;>>0qc6=d;295~N4?=1vb5<j:182\7fM50<2we4?h50;3xL6133td3?=4?:0yK722<ug28=7>51zJ031=zf1996=4>{I140>{i0:91<7?tH257?xh?;=0;6<uG3668yk>4=3:1=vF<759~j=51290:wE=84:\7fm<61=83;pD>9;;|l;7=<728qC?::4}o:0=?6=9rB8;95rn91b>5<6sA9<86sa82`94?7|@:=?7p`73b83>4}O;>>0qc6<d;295~N4?=1vb5=j:182\7fM50<2we4>h50;3xL6133td38=4?:0yK722<ug2?=7>51zJ031=zf1>96=4>{I140>{i0=91<7?tH257?xh?<=0;6<uG3668yk>3=3:1=vF<759~j=21290:wE=84:\7fm<11=83;pD>9;;|l;0=<728qC?::4}o:7=?6=9rB8;95rn96b>5<6sA9<86sa85`94?7|@:=?7p`74b83>4}O;>>0qc6;d;295~N4?=1vb5:j:182\7fM50<2we49h50;3xL6133td39=4?:0yK722<ug2>=7>51zJ031=zf1?96=4>{I140>{i0<91<7?tH257?xh?==0;6<uG3668yk>2=3:1=vF<759~j=31290:wE=84:\7fm<01=83;pD>9;;|l;1=<728qC?::4}o:6=?6=9rB8;95rn97b>5<6sA9<86sa84`94?7|@:=?7p`75b83>4}O;>>0qc6:d;295~N4?=1vqpsO@By:ad<d1oo>o;6r@A@x4xFGXrwKL
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$9a6\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0121?57339;;<?5?25930565392?7=6?00927>7)8=1:<=>;;026176<9=0BB][[:qplcZcjx}sTxe|jsi>27?69:>1:87GAPTV9twi`Wlg{xtQ{hsgplZgt{lx\7f0<=50?04?42=AGZ^X7~}of]fiur~W}byi~fPndebp`:6;3:5=k5>4;KMTPR=x{elSk{cl^vkv`uo4891<3<9;069MKVR\3zycjQiumn\pmtb{aUj\7f~k}t=30>585>2;?6D@_UU8svjaXn|fgSyf}erj\j`af|l6:?7>11`920?IR\Y__6io{a^alqkr;9:0;2<j4158LQQVR\3xoSio{a^alqkr;9:0;2<j4158LQQVR\3}ySio{a^alqkr;9:0;2<:4148JJUSS2HNO^L2>4;2=51=6=3CE\XZ5AEFQF973294:7?:421230>47I9>0>>OI13906?OIX\^1HDO33083:44<;;0BB][[:EKA867=87;87><5OTVSQQ<CGH68=7>112906?IR\Y__6IAM<2394;753:<1EC^ZT;fjj952294:86=9:NWWTPR=lf\7f\7f0>;50?687G4F92>:=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<e?1pkp=:mj<?;8,335>033??8<<572::30>>789>04=O?4::1042<0=8:=67;;823===FLMXJ0=06;@FGVD:68730MIJ]A=32:<=FLMXJ0<<19:CG@WG;9:4i7LJKR@>20?6912KOH_O315<;?DBCZH6:255NDEPB878?3HNO^L2<>99B@ATF4=437LJKR@>6:==FLMXJ0;07;@FGVD:0611JHI\N<9<;?DBCZH62255NDEPA858>3HNO^O2>0?;8EABUJ5;:245NDEPA844912KOH_L312<a?DBCZK6:87>19:CG@WD;9=437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::<=F[PDHS_KH4:@0E55<J\837O[\_HLEK4=Di2IJ_NOKEHLBe>EF[JKOID@Mb:ABWFGCMF__Mo5LARAB@@IR\K80OH?=;BJFGNYKAJOE_HQ[YQG1?FO33JF@M95LLJ@0?FJU12IDA@G[TDF20>EHZLULICK]AUKLJZOINF=0O_KNTDF2?A2<L>29>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>69GKDYUMN<0HBL30?58@JD;994<7IAM<03=3>BHJ5;92:5KOC>27;1<LFH7=908;EMA8439?2NDN1?9>69GKG:6?7=0HBL319<4?AIE4835:6J@B=3=3>BHJ58;2:5KOC>15;1<LFH7>?08;EMA8759?2NDN1<;>69GKG:5=7=0HBL327<4?AIE4;=5;6J@B=0;:2=CGK695384DN@?6;1<LFH7?=06;EMA867=87=0HBL330<5?AIE4:4=7IAM<5<5?AIE4<4=7IAM<7<5?AIE4>4=7IAM<9<5?AIE404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?08A15<MGK87H@M5:DBHVC53ON87KJL4:DGG@5<NMO87KJ_4:DGT@3<Nhfxi<5H3:EM@7=N8;1B=?5F239J7==NF@^[S=>7;HLJPUY7911BBDZ__10;?LHN\YU;?55FNHVS[52?3@DBX]Q?599JJLRWW9<37D@FTQ]33<=NF@^XXLZJ7:KMMQY78>1BBDZP0058MKOSW98<7D@FT^203>OIA]U;8:5FNHV\401<AGC_S=88;HLJPZ60?2CEEYQ?869JJLRX80=0ECG[_1C4?LHN\V:I;6GAIU]3G2=NF@^T<I94IOKW[5C03@DBXR>I7:KMMQY68>1BBDZP1058MKOSW88<7D@FT^303>OIA]U:8:5FNHV\501<AGC_S<88;HLJPZ70?2CEEYQ>869JJLRX90=0ECG[_0C4?LHN\V;I;6GAIU]2G2=NF@^T=I94IOKW[4C03@DBXR?I7:KMMQY58>1BBDZP2058MKOSW;8<7D@FT^003>OIA]U98:5FNHV\601<AGC_S?88;HLJPZ40?2CEEYQ=869JJLRX:0=0ECG[_3C4?LHN\V8I;6GAIU]1G2=NF@^T>I94IOKW[7C03@DBXR<I7:KMMQY48>1BBDZP3058MKOSW:8<7D@FT^103>OIA]U88:5FNHV\701<AGC_S>88;HLJPZ50?2CEEYQ<869JJLRX;0=0ECG[_2C4?LHN\V9I;6GAIU]0G2=NF@^T?I94IOKW[6C03@DBXR=I6:KMMQYF>2CEEYQM9:KMMQYAAEO:>6GAVDPW[FJLWMEJS_KH139JJSCU\VIGGRJ@B^PFC6=NGK80@D<4LN48HJGCMM=0@BIFC@N7?ISS9=1GYY<9;MWW6ZB13E__>RB;;MWW71=K]]>37@[CT^CM@0=J]QIR96CZXG76?HS_N?i0Ald`rWgqwliik2Gbbb|Yesqjkk5<F9;=7C>Piot0?K77;2D:=>5A1368J440<2D:>4=4N017?K74:=1E=>;;;O3021=I9:=?7C?<859M56?43G;?86@>4168J426<2D:8?:4N0600>H6<=>0B<::4:L2032<F8><86@>4968J42>;2D:995A1427?K729=1E=8<;;O3671=I9<>?7C?:559M50033G;>;95A14:7?K721:1E=;:4N0430>H6>8>0B<8=4:L2262<F8<?86@>6468J401<2D::::4N04;0>H6>090B<9;;O3441=I9>;?7C?8259M52533G;<895A1677?K70>=1E=:9;;O34<1=I9>387C?74:L2<52<F82:86@>8368J4>4<2D:49:4N0:60>H60?>0B<683:L2=1=I90:97C<<;O037>H59:1E>?=4N310?K43;2D99>5A2718J7143G83?6@=929M755<F:;87C==3:L076=I;=90B>;<;O157>H4?:1E?5=4N2;1?K243G>;?6@;139M27=I?;1E4>5A8518J=343G2=?6@7729M<=5<F1397C7<;O;37>H>9:1E5?=4N810?K?3;2D29>5A9718J<1a3GHTNX]_IO]SVJVT?2DNXZA]K59MKKC63F80CF?4P59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQA4=V>2XJAO?9f:PBIZC_\LDXEB@PAg9QEHYBP]OE_DAA_Ca8V@GCW^COXEQNc:PFEAYPAM^CSO84RDE@AD0<ZLMHIO?4S99PESCCI]K:?6]FM^ALHIOTAFDTOEKLK79PKPTDM>1X^[OC_@58WWPFDVH?7^]]A59PWWD33]S[I?m4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f>i5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~:>h5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~:<?k4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7f=<<j;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fex<<=e:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~by?<2d9V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|Vidycz>43f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{23f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{33f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{43f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{53f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{63f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{73f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{83f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{9208Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_b{?4;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZe~48:5?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Tot2>1?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8449;;1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXkp6:2><4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSnw32?11?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8684:2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq5>5??5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Tot2:>208Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_b{?2;553\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZe~4>48>6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu161339V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>::65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[iss494886[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Ugyy2>0?17?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^nvp9766:>0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWe\7f\7f0<<1359V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPltv?5684;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6:2>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSa{{<3<07>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]oqq:46:90Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWe\7f\7f090<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>6:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[iss4?48?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Ugyy28>218Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_mww8=84;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}622>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSua}<1<00>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]{kw:6879?7X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vrd~1?>>268Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_ymq8449;=1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXpfx7=>0<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>2:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[}iu4;48?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Usc\7f2<>218Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_ymq8184;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqY\7fg{6>2>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSua}<7<07>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]{kw:06:90Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWqey050<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>::71<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR>=7:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX9;20Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^336==R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)dg|d\7fS<?=8:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX9;837X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#naznu]2771<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR<=7:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX;;=0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^613>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*eh}g~T9?94U1-dvc(un&mg<#|k/fppw+ack'nh#im cnwmpZ05?2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&idyczP7358Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,gjsi|V29;6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\=7b<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR``t12357c<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR``t123544>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)di{xrbhz30?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-`ewt~fl~7=3<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!laspzj`r;:7827X> gsd-vc)`d9$yh"i}sr,qwqu(k8%hm\7f|vndv?7;4>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)di{xrbhz34?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-`ewt~fl~793<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'ng~t#ib[4_-chu)ez8m7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%laxv!glY5Y+aj{'gx>>5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#{?30?07?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u59699;<0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:0=0>_000?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u5979:=1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7=3?=6:W3+bta&{l$ka>!re-dvvu)zz~x#n? v0>2:4Y6::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7>3<;;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=0=570<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*p64;4:S<<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=1=61=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;;7;9:6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2<>0]266=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1:11348Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28186W8887X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1;1259V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/w3?1;75>2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~86>2<Q>229V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/w3?2;433\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)q95<5=?84U1-dvc(un&mg<#|k/fppw+tt|z%h="x><7<2[44>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)di{xrbhz30?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-`ewt~fl~7=3<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!laspzj`r;:7827X> gsd-vc)`d9$yh"i}sr,qwqu(k;%hm\7f|vndv?7;4>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)di{xrbhz34?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-`ewt~fl~793<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'ng~t#ib[4_-chu)ez8m7X> gsd-vc)`d9$yh"i}sr,qwqu(k;%laxv!glY5Y+aj{'gx>>5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i9#{?30?00?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-u5979::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'\7f;7>3<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!y1=1=66=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7;<7887X> gsd-vc)`d9$yh"i}sr,qwqu(k;%}=1;1229V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/w3?2;4e3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)q9V:Tmcj?01226g=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/w3\7Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!y1^6\ekb789::>o5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i9#{?P5^cm`567888i7X> gsd-vc)`d9$yh"i}sr,qwqu(k;%}=R8Paof34566:91^<"i}f/pe+bj7&{n$k\7f}|.sqww*ehey:9<6[?/fpe*w`(oe:%~i!hrrq-vvrt'jef|<<6;T2,cw`)zo%l`= }d.eqwv(u{}y$~lcPelrw}Z`eW`9:7X> gsd-vc)`d9$yh"i}sr,qwqu(zhgTi`~{y^da[lYj}q:;<==?;T2,cw`)zo%l`= }d.eqwv(u{}y$~lcPelrw}Z`eW`Ud~=>?0268Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}0123[VQ7:?1^<"i}f/pe+bj7&{n$k\7f}|.sqww*tfeVof|ywPi0f8Q5)`zo$yj"ic0/pg+wgjW{nTicQf10d8Q5)`zo$yj"ic0/pg+wgjW{nTicQf1^32b>S7'nxm"\7fh gm2-va)uidUyhRka_h3\64`<]9%l~k }f.eo4+tc'{kfS\7fjPeo]j5Z56n2_;#j|i.sd,ci6)zm%ym`Q}d^gm[l7X<8l0Y=!hrg,qb*ak8'xo#\7fob_sf\akYn9V?9?6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr6:<1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by??249V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq76:<1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by?=249V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq74:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by<=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp6433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f8?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov661=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}<986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at607?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs0;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz6289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}969W{~9m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8469:m1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=33:Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=32:7b<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2>1?]qp7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2>2?0g?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7=?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7=3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;97Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:56;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>1:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;=78h7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5=5S\7fz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4149o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8=8Xz}827X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?=;4d3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw39?]qp7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|30?0a?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0<>12c9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{6:=3<m;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4885>o5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:6;78n7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8459W{~9m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv979:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>1:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|33?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey090=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5?5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:16;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?3;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<9<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1711g9V4*aun'xm#jb?.sf,vuhsz&idycz30?03?P6(o{l%~k!hl1,q`*twf}x$ob{at=33:76<]9%l~k }f.eo4+tc'{zex\7f!lotlw8479:91^<"i}f/pe+bj7&{n$~}`{r.alqkr;9;49<6[?/fpe*w`(oe:%~i!}povq+firf}6:?3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1?11g9V4*aun'xm#jb?.sf,vuhsz&idycz32?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=1=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?0;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9399o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;>7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5=5=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~743?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1711d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03e?P6(o{l%~k!hl1,q`*twf}x$ob{at^335c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\547a3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ759o1^<"i}f/pe+bj7&{n$~}`{r.alqkrX9:;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V8:i6[?/fpe*w`(oe:%~i!}povq+firf}U8=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T8<k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS8?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR8>e:W3+bta&{l$ka>!re-qtkru'je~byQ81d9V4*aun'xm#jb?.sf,vuhsz&idyczP80g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_801?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28585;2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;;2?=4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?310<17>S7'nxm"\7fh gm2-va)uxg~y#naznu]g59756;90Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;7=>0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=3=67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:56;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><5<16>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5939:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86=2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?37?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28=85:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9535><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q?229V4*aun'xm#jb?.sf,vuhsz&idyczPd0]3[4443\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W9U9><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q>239V4*aun'xm#jb?.sf,vuhsz&idyczPd0]2472<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7X99U:>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q>1308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\57453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W899?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R?P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\5Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V89?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R<P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\6Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V99?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R=P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\7Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V>9?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R:P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\0Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V?9?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R;P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\1Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V<9?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R8P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\2Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V=9?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R9P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\3Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V29?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R6P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\<Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V39?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R7P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\=Z44n2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:76=:0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~48:58=5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7=<0;0:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2>2?63?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=30:6`<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8484n2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:56:l0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4:48j6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6?2>h4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd\7f~R~nd^cg`5678Vir080<f:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot29>2d8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<6<0b>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimn;<=>Pcx>;:6`<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8<8392_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;994?=6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~7=<0;1:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz313<75>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimn;<=>Pltv?568392_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;9=4?<6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~7=3:?;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzex\7fQ\7fae]b`a6789Ugyy2=>528Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=1=05=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww818382_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;=7>;7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6=29>4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd\7f~R~nd^cg`5678Vf~x191419V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<9<74>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimn;<=>Pltv?=;263\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:687>:7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Y\7fg{6:=3:>;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzex\7fQ\7fae]b`a6789Usc\7f2>2?62?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>27;263\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:6<7>;7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Y\7fg{6:29>4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd\7f~R~nd^cg`5678Vrd~1<1419V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<2<74>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimn;<=>Pxnp?0;273\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:26=:0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5<58=5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey0:0;0:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|38?63?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>::15<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)Jkfg{m\7fQ\7frne\bpjkW`Uha}Qjq1234Ze~494??6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[`w789:Tot2>>518Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-Ngjkwi{U{~biPftno[lYdeyUn}=>?0^az8783;2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'Dida}o}_qplcZ`rdeUbSnc\7f_ds3456Xkp6829=4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuYby9:;<Rmv<5<77>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HeheykyS}|`g^dvhiYnWjg{Sh\7f?012\g|:26=90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%Fobc\7fas]svjaXn|fgSdQlmq]fu5678Vir0;0;4:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWl{;<=>Pltv?4;233\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pep2345Yk}}6:29:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuYby9:;<Rbzt=0=01=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Rk~0123[iss4:4?86[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[`w789:T`xz34?67?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTi|>?01]oqq:26=>0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%Fobc\7fas]svjaXn|fgSdQlmq]fu5678Vf~x181429V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.O`khvfzVzycjQiumn\mZejxVm\7f<=>?_b{?4;233\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Ydq5;;29:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuY`|9:;<Rmv<03=01=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Ri{0123[f\7f;9;4?86[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[br789:Tot2>3?60?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTky>?01]`}979<:1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^ew4567Wjs7>3:<;T2,cw`)zo%l`= xr.etwv(`xo$|~}h MbmntdtXx{elSk{cl^k\ghvXo}:;<=Qly=1=06=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Ri{0123[f\7f;<7>87X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Anabp`p\twi`Wo\7fg`RgPclr\cq6789Uhu1;1429V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.O`khvfzVzycjQiumn\mZejxVm\7f<=>?_b{?2;243\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Ydq5=58>5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"ClolrbvZvugnUmyabPi^antZas89:;Snw38?60?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTky>?01]`}9?9<=1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^ew4567We\7f\7f0=0;5:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWn~;<=>Pltv?5583=2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'Dida}o}_qplcZ`rdeUbSnc\7f_fv3456Xd|~7=<0;5:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWn~;<=>Pltv?5783=2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'Dida}o}_qplcZ`rdeUbSnc\7f_fv3456Xd|~7=>0;4:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWn~;<=>Pltv?5;233\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Yk}}6929:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuY`|9:;<Rbzt=1=01=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Ri{0123[iss4=4?86[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[br789:T`xz35?67?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTky>?01]oqq:16=>0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%Fobc\7fas]svjaXn|fgSdQlmq]dp5678Vf~x191459V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.O`khvfzVzycjQiumn\mZejxVm\7f<=>?_mww8=83<2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'Dida}o}_qplcZ`rdeUbSnc\7f_fv3456Xd|~753=?;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjh1??>228Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<03=75=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f?578482_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]sea:6;79;7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvfl5;?2?h4U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWyko0<0=f:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{mi2=>3d8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<2<1b>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae>7:7`<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg8085n2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]sea:16;l0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugc4>49j6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwim632?h4U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWyko040=e:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{miQ>2g9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|ljP110e?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,`l`aWyd\7f~R~nd^326c=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\574a3\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(l`lmS}`{r^rb`Z74:o1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&nbjkQ\7fnup\tdbX9=8n7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflV89i6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwimU8>h5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhnT8?k4U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWykoS8<j;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjhR8=e:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{miQ82d9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|ljP83g8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_81;?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,`l`aWyd\7f~R~nd^cg`56785;;2>64U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWykoSljk01238479;11^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&nbjkQ\7fnup\tdbXimn;<=>313<0<>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]b`a67896:?3=7;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjhRokd12349736:=0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcWhno<=>?<0<03>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]b`a6789692>94U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWykoSljk01238684?2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]seaYflm:;<=2;>258Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674<48;6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwimUjhi>?01>5:61<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg[dbc89:;0:0<7:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{miQnde2345:?6:=0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcWhno<=>?<8<1e>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+uthoVl~`aQf339V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.rqkbYa}efTeRczx123260<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)wzfmTjxbc_h]nq}678?UX[===;T2,cw`)zo%l`= xr.etwv(`xo$|~}h psmd[cskdVcTbbz?01307>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+uthoVl~`aQf_omw45669;k0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%ym`Q}d^gm[l4f3\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(zhgT{\7fQjn^k0=>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+wbXxg~ySz|Piot\tdb;87Ufmcj<a:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/sf\tkruW~xTecxPp`f?4;Yjign:?n5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"y}_qlwvZvk}z;T|ljP0^cm`56788;956[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$ol|}yogw858512_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f6(khxyuck{<0<1=>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,gdtuqgo\7f0?0=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> c`pq}kcs4:4956[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$ol|}yogw818512_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f6(khxyuck{<4<1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,chs\7f&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`4*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f6(od\7fs"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d8&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$k`{w.foX0X(`ez$f\7f?h4U1-dvc(un&mg<#y}/fupw+qt|z%h<"ibuy,di^3Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> glw{*bk\>T$la~ bs3;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.abvw\7fim}6;2?74U1-dvc(un&mg<#y}/fupw+qt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&ij~\7fwaeu>1:7?<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*efz{seiy2<>3;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.abvw\7fim}6?2?74U1-dvc(un&mg<#y}/fupw+qt|z%h="mnrs{maq:26;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$k`{w.foX5X(`ez$f\7f?h4U1-dvc(un&mg<#y}/fupw+qt|z%h="ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.enq}(`eR>V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,chs\7f&ngP9P hmr,nw7`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*aj}q$laV8R.fop*hu5;2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~86;2?=4U1-dvc(un&mg<#y}/fupw+qt|z%h="x><0<17>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,r4:56;90Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:0>0=3:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0>7:75<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p64<49?6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$z<29>3`8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0]2[dhc89:;=?l4U1-dvc(un&mg<#y}/fupw+qt|z%h="x>_3]bja6789;9n6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:S9Qnne234575j2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~8U>Sl`k012357d<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p6W?Ujbi>?01314>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/bmnt5473\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,gjkw9;:0Y=!hrg,qb*ak8'}y#jy|s/uppv)dgdz9>i5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/bcqv|hb|5:5>i5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/bcqv|hb|5;5>i5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/bcqv|hb|585>i5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/bcqv|hb|595>i5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/bcqv|hb|5>5>i5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/bcqv|hb|5?5?>5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/fov|+ajS9W%k`?!m010?P6(o{l%~k!hl1,tv*ap{z$|\7fy} g`d-g7)`e|r%k`U>]/enw+kt;:1^<"i}f/pe+bj7&~x$kz}|.vqww*afn'i9#jczx/en_7[)ody%a~=<;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-dip~)odQ8Q#ibs/op76=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'ng~t#ib[5_-chu)ez987X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!hmtz-ch]2U'mf\7f#c|329V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+bkrp'mfW;S!glq-iv403\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%}=1>1269V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+s7;978<7X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!y1=0=62=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'\7f;7?3<8;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-u5929:>1^<"i}f/pe+bj7&~x$kz}|.vqww*afn'i9#{?35?04?P6(o{l%~k!hl1,tv*ap{z$|\7fy} g`d-g7)q95<5>k5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/w3\4Zgil9:;<<<i;T2,cw`)zo%l`= xr.etwv(p{}y$klh!c3-u5Z7Xign;<=>>2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+s7X:Vkeh=>?000e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} g`d-g7)q9V9Tmcj?01226c=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'\7f;T8Road123444a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%}=R;Paof34566:o1^<"i}f/pe+bj7&~x$kz}|.vqww*afn'i9#{?P6^cm`567888h7X> gsd-vc)`d9$|~"ixsr,twqu(dlk}eciPagwohZUP8;=0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUjjxbc_g`1a>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/scn[d`rdeUmnRa}01236c=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZga}efTjoQ`r12344563\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXio\7fg`Rhm_np34566WO9:7X> gsd-vc)`d9$|~"ixsr,twqu(zhgTmk{cl^da[jt789::SJ<9;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZo5l2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+wgjWhl~`aQf_np34565m2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+wgjWhl~`aQf_np34566;91^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVkmyabPi^mq45679VL8<6[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSlhzlm]j[jt789::SJ<7;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPftno[fijj;k0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[C4f3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSnabb^E1<>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/scn[cskdVliSd<:;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPftno[l433\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,swYu{}Uo=<j4U1-dvc(un&mg<#y}/scn[rtXmgUb=<h4U1-dvc(un&mg<#y}/scn[rtXmgUb=R?>f:W3+bta&{l$ka>!ws-qehYpzVoeSd?P2318Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr5<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex<<:;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw55423\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f=<<:;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw57423\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f=><;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw672<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~8>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu610>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|<8?7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{6368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr0:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by6=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp<4>3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw30?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7<3Q}t3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6:<3<k;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;994T~y<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;9849h6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8479W{~9m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8449:m1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=31:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=3=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1?1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0?0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4;4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;;78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?7;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>7:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2;>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1;12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5?5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4?49o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az838Xz}827X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?3;4d3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw37?]qp7?<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot27>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp632R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq535>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}9?9W{~9m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv969:k1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>24;4e3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<03=6g=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f2>2?0a?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0<=12d9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6:?3Q}t3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7=3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4;49m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv959:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>7:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|35?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0;0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5=5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:?6;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?=;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp969:91^<"i}f/pe+bj7&~x${}`{r.alqkr;9949<6[?/fpe*w`(oe:%{\7f!xpovq+firf}6:=3<?;T2,cw`)zo%l`= xr.usjqt(kf\7fex1?=>328Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<01=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?5;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9499o1^<"i}f/pe+bj7&~x${}`{r.alqkr;;7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5>5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~793?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1811g9V4*aun'xm#jb?.vp,suhsz&idycz37?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=:=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?=;7b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ66m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY69o1^<"i}f/pe+bj7&~x${}`{r.alqkrX99;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V;:=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T=??i;T2,cw`)zo%l`= xr.usjqt(kf\7fexR?<1d9V4*aun'xm#jb?.vp,suhsz&idyczP20g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_23f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^62a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]65`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\24c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[27b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ>6m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY>:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86;2?=4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?311<17>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g59766;90Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7=?0=3:W3+bta&{l$ka>!ws-ttkru'je~byQk1=30:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;97897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=1=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:36;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;793<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><7<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5919:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8632?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?39?02?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[5463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W8897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S<>=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1^3267=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y6:;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T=><>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_302?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[6463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W=8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S8<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_702?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[2463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W18:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S4<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<1<17>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g69776;90Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87=<0=3:W3+bta&{l$ka>!ws-ttkru'je~byQk2=31:75<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4;9:49>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>1?1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>1:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4;;7897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9090=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=7=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7:16;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87;3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<9<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g69?9:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U;>>5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q?_000?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[5Y5:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U:>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q>0368Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\55Y6:=1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U:<R<=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2^3267=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y6:;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T=><<;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_0]266=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y6W;8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9S?<<;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_3]266=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y5W;8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9S><<;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_2]264=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y3::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U?S<<<;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_5]164=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7Y2::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U>S<<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_700?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[3Y6::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U=S?<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_600?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[2Y6::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U<S?<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_900?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[=Y6::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U3S?<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_800?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[<Y6>m1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~T<Rmbp^gr4567>l1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~T<Rmbp^gr45679?n0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS<Qlmq]fu5678?o0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS<Qlmq]fu56788<o7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~xR<Pclr\at6789<n7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~xR<Pclr\at6789;=h6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWh$iecjjc^kmwqYf'lddyyQ<_bos[`w789:=i6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWh$iecjjc^kmwqYf'lddyyQ<_bos[`w789:::i5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP4^antZcv89:;:h5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP4^antZcv89:;=;j4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_4]`iuYby9:;<;k4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_4]`iuYby9:;<<8k;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^4\ghvXmx:;<=8j;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^4\ghvXmx:;<=?9d:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu]4[fkwWl{;<=>9e:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu]4[fkwWl{;<=>>6c9V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRl!bhlgafYnfz~Tn"kaotv\4Zkrp9:;<<8m;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt^3\ip~789:::o5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxzP2^ov|56788<i7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~xR=Pmtz34566>k1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZd)j`doinQfnrv\f*cig|~T8Rczx123440e3\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|V?Taxv?01222g=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX>Vg~t=>?004a?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvpZ1Xe|r;<=>>519V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<1<64>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?5;373\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:56<:0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq5959=5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr090:0:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw35?73?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>5:06<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}919>;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:;:4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]321=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V;=96[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_0251>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W8;=96[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_0051>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W89=96[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_0651>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W8?=96[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_0451>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W8==96[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_0:51>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W83=86[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_346?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:9<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2046?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:;<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2246?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:=<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2446?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:?<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2646?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:1<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2847?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X;??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q<0778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y49??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q<2778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y4;??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q<4768Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y3>=1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R;94:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[3033\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T;;:4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7];21=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V3=>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:629V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e14033\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?:<;:4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf43221=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=88=86[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:1247?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c36<?>0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8?:659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1401<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=:8;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g72<32<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<;2:>5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5347?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c358?>0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<>659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1741<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>>>8;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g71032<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<8>:95Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi53450>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2:><?7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=8768Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b04>>:1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9>8;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g70432<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<9::95Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi52050>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2;:<?7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;<4768Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b052>:1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um998<;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7626=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=?<87X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;8629V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1=043\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?2:95Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^250>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W8<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P1146?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X98<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P1346?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9:<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P1546?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9<<>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P1746?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9><>7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P1946?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X90<?7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P2778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y58??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=1778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y5:??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=3778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y5<??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=5778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y5>??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=7778Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y50??0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=9768Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y4><1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R=?649V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z56><1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R==649V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z54><1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R=;659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z21<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S88;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\232<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U<:95Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^:50>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W0?m7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?:=5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9?91:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP40255>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\047192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<88==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8<=91:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP40655>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\043192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<8<==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8<991:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP40:55>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\04?182_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<;<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?>=8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;2042?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]767063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3::<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?>98>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;2442?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]763063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3:><:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?>58>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;2843?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7737<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z248?;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>8=;?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:<2738Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^60737<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z24<?;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>89;>4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:;619V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5754>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\03073\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3??:0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>3:=5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9792:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d626=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=8<?7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>0768Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b076>=1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<<94:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d656033\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?:8;:4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf43621=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=8<=86[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:1647?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c360?>0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8?6629V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e17033\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?9<;:4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf40221=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;8=86[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:2247?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c35<?>0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8<:659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1701<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>>:8;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g71<32<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<82:>5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5247?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c348?>0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8=>659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1641<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>?>8;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g70032<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<9>:>5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5540?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c32>:1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9;8<;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7426=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=1<87X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;6659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z61<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S<8:;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\55023\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=<8:;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\57023\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=>8:;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\51023\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=88:;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\53023\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=:8:;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\5=023\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=48;;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\633<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U9<;;4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]1533<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U9>;;4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]1733<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U98;;4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]1133<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U9:;;4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]1333<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U94;;4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]1=32<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U8:85Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^1320=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V9::85Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^1120=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V98:85Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^1721=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V>=86[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_447?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X>?>0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q8659V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z>1<2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S4;i;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:619V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4355>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\146192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=8;==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<<91:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP50155>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\142192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=8?==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<891:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP50555>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\14>192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=83=<6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9?8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:2142?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]664063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2:;<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>>>8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:2542?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]660063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2:?<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>>:8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:2942?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]66<073\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2;?;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?8<;?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<1738Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^70637<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z34;?;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?88;?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<5738Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^70237<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z34??;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?84;?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<9728Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7724=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[027>81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>::<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8:=609V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_46024=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[023>81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>>:<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8:9609V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_46424=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[02?>81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>2:=5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8;91:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP54255>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\107192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=<8==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT98=91:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP54655>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\103192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=<<==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT98991:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP54:55>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\10?182_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=?<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>:=8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:6042?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]627063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2>:<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>:98>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:6442?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]623063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2>><:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>:58>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:6843?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6337<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z308?;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?<=;>4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;7619V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4;6b>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\236<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z06>81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;;:<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?>609V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_73124=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[374>81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;?:<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?:609V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_73524=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[370>81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;3:<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?6619V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7055>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\276192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;;==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?<91:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP63155>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\272192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;?==6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?891:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP63555>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\27>192_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;3=<6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:>8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ93142?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]574063\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1;;<:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=?>8>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ93542?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]570073\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1<?:0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<>:=5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;890:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6643?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5<36<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0>=k1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg?4;3e3\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}g\7fe=3=1g=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}k32?7b?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{iR>:a:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxlU:9l5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smucX:<o0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$\7fhbPphrf[a7;87?n7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksaZb6484>i6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`Yc95859i5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smucXl8U;9i5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smucXl8U:9i5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smucXl8U99<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i<1>1509V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm0=3=14=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva4949=81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre85959<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i<1:1509V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm0=7=14=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva4909=81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre85=59<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i<161539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>3:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf46;97?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;;0?0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>0=1=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva55:36<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8:793;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??<7<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`24919=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre996328<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<?30?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc328482:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd695859?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=<2<>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b03?0;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg764<4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:=181539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm10>4:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;07?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;90=0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>2=3=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva57:56<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj887?3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<5<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`26939=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9;6=28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<<37?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318=82:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6;5:59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=>2>>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b01?6;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744:4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:?1:1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm12>6:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf45;>7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;80:0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>3=:=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva51:76<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8>7=3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<3<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`20959=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9=6?28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<:35?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc378382:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6<5=59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=927>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?4;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg72484>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:91<1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm14>0:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf43;<7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;>080:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>5=4=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:06<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8?743;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?9<1<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`22979=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?6928<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<833?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc358182:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6>5?59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;29>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b04?3;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg71414>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:;1>1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm16>2:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf41;:7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;<0>0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=6=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:26<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8=7:3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?8<6<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`239>9=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916;28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<631?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3;8782:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd605959?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=52;>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0:?1;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?4?4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:4191539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm19>;:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4?;87?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;20<0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>9=0=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5<:46<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj83783;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<4<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2=909=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre906<28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<738?72?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3?4;363\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7;97?:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;7>3;>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?33?72?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3?0;363\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7;=7?:7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;7:3;>;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?37?72?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3?<;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg47494>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9<1?1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm21>1:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;;7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8;090:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=0=7=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva65:16<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;:7;3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<?<9<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`15969=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:86:28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn??32?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc028682:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd595>59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><2:>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b33?2;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg464>4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=161539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm23>3:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf74;97?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k890?0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=2=1=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:36<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;8793;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<=<7<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`16919=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:;6328<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?=30?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc008482:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5;5859?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>2<>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b31?0;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg444<4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9?181539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm22>4:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf75;07?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8?0=0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=4=3=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:56<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;>7?3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<;<5<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`10939=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:=6=28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?:37?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078=82:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5=5:59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82>>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b37?6;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg424:4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h991:1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm24>6:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;>7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0:0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=5=:=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva63:76<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;<7=3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<9<3<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`12959=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:?6?28<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?835?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058382:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5>5=59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>;27>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b35?4;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg40484>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9;1<1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm26>0:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;<7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<080:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=7=4=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva62:06<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;=743;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<7<1<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`1<979=;1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:16928<4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?633?71?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc0;8182:2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd505?59?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>529>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b3:?3;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg4?414>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h951>1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm28>2:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf7?;:7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k820>0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=9=6=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6<:26<80Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;37:3;=;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<6<6<66>S7'nxm"\7fh mdo,cgk)okgl"jlbgb,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`1=9>9=81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:5:59<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>1?1509V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm2=0=14=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6959=81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:5>59<5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>1;1509V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm2=4=14=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6919=81^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:5259?5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?=2?>408Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b22?5;353\:$k\7fh!rg-nah)`jd$ln`i!gcodg+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg574;4>>6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8<1=1539V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm31>7:04<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf66;=7?97X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9;0;0:2:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<0=5=17=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva75:?6<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:6;28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>2>>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b2>1:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf6:46<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:6?28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>2:>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b2>5:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf6:06<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:6328?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn92?>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>2:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:56<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=6828?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn92;>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>6:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:16<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=6<28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn927>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>3:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:66<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<6928?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn82<>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>7:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:26<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<6=28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn828>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>;:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:76<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6:28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;2=>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>0:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:36<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6>28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;29>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>4:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:?6<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6;28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:2>>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>1:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:46<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6?28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:2:>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>5:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:06<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6328?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn52?>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>2:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:56<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16828?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn52;>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>6:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:16<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16<28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn527>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>3:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:66<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj06928?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn42<>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>7:07<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:26<;0Y=!hrg,qb*kbe&mia#immf,dfhad&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj06=28?4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn428>438Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>;:06<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf969=91^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre484><6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h7>3;?;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo2<>428Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b=6=15=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva808282_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd;>7?;7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k6<28>4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn1616g9V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U?]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ53?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[02^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT26<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX54[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW?91^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6:T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ<<6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;8Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]9?;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<:R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP628Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T14_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS35=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY22X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV082_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^70U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY=;7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS82V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\:>4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=4S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6g9V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ53?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[32^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT26<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX64[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW?91^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]5:T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ<<6[?/fpe*w`(elg$koc!gcod*bdjoj$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR88Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]9?;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?:R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP628Q5)`zo$yj"cjm.eai+aeen$ln`il.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T24_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS35=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY12X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV082_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^40U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY=;7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;2V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\:>4U1-dvc(un&gna"imm/eaib(`jdmh"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>4S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6g9V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ53?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[22^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT26<]9%l~k }f.ofi*aee'miaj hble`*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX74[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>o1^<"i}f/pe+hcj'nhf"jlbg/eaibe)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]4U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<m7X> gsd-vc)jmd%ln` hble-cgk`k'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS=W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:k5Z0.eqb+ta'dof#jlb.f`nc+aeeni%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ>Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8i;T2,cw`)zo%fi`!hbl,dfha)okglo#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W;S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6g9V4*aun'xm#`kb/f`n*bdjo'miajm!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4e?P6(o{l%~k!bel-dfh(`jdm%kochc/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[9_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2c=R8&myj#|i/lgn+bdj&nhfk#immfa-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY:Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U743\:$k\7fh!rg-qehYpzVoe=i5Z0.eqb+ta'{y\7fnae re]qwqYf{{ol>=5Z0.eqb+ta'{y\7fnae re]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*tcW{y\7fSnabb0f8Q5)`zo$yj"||tcnh+wbXzz~Tobcm10g8Q5)`zo$yj"||tcnh+wbXzz~T~hi32?3g?P6(o{l%~k!}su`oo*tcW{y\7fS\7fkh_33g?P6(o{l%~k!}su`oo*quW{y\7fSl}}ef03?P6(o{l%~k!}su`oo*quW{y\7fSl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb59j1^<"i}f/pe+wusjea${\7fQ}su]`khd6l2_;#j|i.sd,vvredb%|~R||t^alig76m2_;#j|i.sd,vvredb%|~R||t^pfc9799m1^<"i}f/pe+wusjea${\7fQ}su]qabY6i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD48S@G;87<0[HO31?48S@G;:720[HO33;2=2>QBI595:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;d<_[C_IRHFRRV`?RTN\LUFCIKPAb9TVLRBWDEOIRLk;VPJP@YPAM^CSLj4WSKWAZQNL]BTN<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE85WOSAZ2>^T\ECI;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE=7Ujm_Hfe?]boWYxba[k}shmm55=_ldUFmga}Vdppmjh682RoaRCfnnpUawunggi0mac`su]fiur~j2kgab}{_gwoh3=eija~|i5mabivtZkfzboy?6mcke9geqgXkf\7fex%>&d:fbpdYdg|d\7f$<'j;ecweZeh}g~#=='j;ecweZeh}g~#=<'j;ecweZeh}g~#=?'j;ecweZeh}g~#=>'k;ecweZeh}g~#>$j4d`vb[firf}"8%i5kauc\gjsi|!>"h6jnt`]`kphs <#o7io{a^alqkr/> n0hlzn_bmvjq.0!m1omyoPcnwmp->.l2njxlQlotlw,</c3mk\7fmRm`uov?4;c<lh~jSnaznu>24;c<lh~jSnaznu>25;c<lh~jSnaznu>26;773mk\7fmRm`uov?56<76l1omyoPcnwmp9746m1omyoPcnwmp979l2njxlQlotlw878c3mk\7fmRm`uov?7;b<lh~jSnaznu>7:a=ci}kTob{at=7=`>bf|hUhcx`{<7<g?agsiVidycz37?f8`drfWje~by27>e9geqgXkf\7fex1717:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)69gmk.60 =0hd`'18+5?aoi ;#<7iga(32*3>bnf!8:%:5kio*16,1<l`d#>>'8;ekm,72.?2nbb%<:)69gmk.5> =0hd`'26+4?aoi ;2";6jfn)0:-3=cag"8%:5kio*04,1<l`d#?<'8;ekm,64.?2nbb%=<)69gmk.4< =0hd`'34+5?aoi =#=7iga(4+5?aoi ?#=7iga(6+5?aoi 1#=7iga(8+5?aoi494<7iga<02=3>bnf5;:2:5kio>26;1<l`d7=>08;ekm8429?2nbb1?:>69gmk:6>7=0hd`316<4?aoi4825;6jfn=3::3=cag6:2:5kio>14;1<l`d7><08;ekm8749?2nbb1<<>69gmk:5<7=0hd`324<4?aoi4;<5;6jfn=04:2=cag694394dhl?6<813mce0?08;ekm8669?2nbb1=>>69gmk:4:7=0hd`332<4?aoi4:>556jfn=16>5803mce0>;16:fjj959>2nbb1:16:fjj939>2nbb1816:fjj919>2nbb1616:fjj9?9?2ndyy&?)69gkpr/9 20hb{{(02*<>bh}}":=$64dnww,44.02ndyy&>3(:8`jss 8>"46j`uu*21,><lf\7f\7f$<8&8:flqq.6? 20hb{{(0:*<>bh}}":5$94dnww,7/?3me~x%<?)99gkpr/:8#37iazt)01-==cg|~#>>'7;emvp-43!11ocxz'24+;?air|!8=%55kotv+62/?3me~x%<7)99gkpr/:0#<7iazt)1*<>bh}}"8<$64dnww,67.02ndyy&<2(:8`jss :9"46j`uu*00,><lf\7f\7f$>;&7:flqq.3!>1ocxz'5(58`jss ?#<7iazt)5*3>bh}}"3%:5kotv+=,1<lf\7f\7f0=07;emvp977611ocxz310<;?air|5;9255kotv?568?3me~x1?;>99gkpr;9<437iazt=35:==cg|~7=:07;emvp97?611ocxz318<4?air|5;546j`uu>14;><lf\7f\7f0??18:flqq:5:720hb{{<31=<>bh}}698364dnww873902ndyy2=6?:8`jss4;=546j`uu>1<;><lf\7f\7f0?717:flqq:5611ocxz331<;?air|59:255kotv?778?3me~x1=<>99gkpr;;=4j7iazt=16>58?3me~x1=:>69gkpr;;7=0hb{{<5<4?air|5?5;6j`uu>5:2=cg|~7;394dnww8=803me~x1718:ggmc4iom?0i`~{y028bl`hW:<s=;ePosgd6`=aaoeT?;v>6j]lv`a+n`ldSjkaescwkwYq<V8'BB@J,OMMA6d13ocmcR=9x04h[jtbo%lbjbQheogqeqiuW\7f>T>!ul_icp[lhsWjf`1="l_icp[vtt|4:'oR|k_gpfu87+kVbj\7fR|k_ecweZeh}g~6<!mPdhl\slbs`49= nQgar]tvZbf|hUhcx`{=1.`[cskdVlgmj}Prrv\rdj:9%iTe`~celgmpdrnggU\7fu}k20-a\qvcXmdhnhikk_sgd94*dW~xTj\7fk~=0.`[pubWme~xR||t<2/gZsillxm`byPlnu>4)eX`hyTc{k}fmmt95*dWyxn`bok_mcwake~5;&hSiazt^uj`qn:;?&hS\7fjPddrwl871;1>'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab21-a\lduXzz~6=!mPd`vb[firf}U|eizg=06/gZnf{V}ySlmd=1.`[pubWlih1="l_bmnijhXkeehg0?#c^uq[delWee|1="l_sf\tkruW~coxe3>4-a\qvcXn~l\7fSio{a^alqkr:8%iTdl}PamolwqYbey~r1="l_sf\`drfWje~byQxievk942+kVe}i\7fhcov]okr;7$jU|~R~ats]tmaro58>'oR~}of]fiur~W}s{i0>#c^uq[agsiVidyczPwhfwl873$jU{~hb`ae]qabu:9%iThb{{_sqw[sgk59&hSeo|_ecweZeh}g~6=!mPpsmd[cskdV~c~h}g_ogdeqcX~hf6=;=71-a\swYcmy~c1<8<85.`[uthoVof|ywPtipfwmYimnk\7fiRxnl<1/gZvugnUna}zv_ujqavnXizyn~yQyam?1(fYoizU}magk=1.`[uthoVl~`aQ{hsgplZgt{lx\7fS{oc=040<7*dgdzdbh`{_ocna8`nnfU8:u?9k^mqab*dW\7fkgeiQcov?3(fYwz`gTjdh`_u{sa82lp0&hS}|`g^dvhiYsqyo6?!mPmdolv|Ysqyo6=!s=a:djbjY4>q;=gRa}ef]emciXoldn~lz`r^t7[7Y\7f{}U:?;5iigm\c`hbzh~d~Rx;_3.#\ljnfq*HC_K/Gdlfvdrhz);;"< ?3^ks71=a}ef<7d`{_bnh<>h`kk\7fb`i64owgqbiipi2zycjQjmqvz51=wzfmTi`~{y^vkv`uo 9#:86~}of]fiur~W}byi~f'1(36?uthoVof|ywPtipfwm.68 ;>7}|`g^gntq\7fX|axn\7fe&>1(36?uthoVof|ywPtipfwm.6: ;>7}|`g^gntq\7fX|axn\7fe&>3(37?uthoVof|ywPtipfwm.5!8>0|\7fah_dosp|Ys`{oxd%=&159svjaXmdz\7fuRzgrdqk,1/6<2zycjQjmqvz[qnumzb#9$?;;qplcZcjx}sTxe|jsi*5-42<x{elShc\7ftx]wlwct`!="=95\7frne\ahvsqV~c~h}g(9+20>vugnUna}zv_ujqavn/1 ;<7}|`g^gntq\7fX|axn\7fe2>3;2=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'0(3a?uthoVof|ywPtipfwmYf{zoyx%?&1b9svjaXmdz\7fuRzgrdqk[dutm{~#=='>c:rqkbYbey~rSyf}erj\evubz}":=$?l;qplcZcjx}sTxe|jsi]bwvcu|!;9%<m4psmd[`kw|pU\7fd\7fk|h^cpw`ts 89"=o5\7frne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdz\7fuRzgrdqk[dutm{~#4$?m;qplcZcjx}sTxe|jsi]bwvcu|!3"=h5\7frne\ahvsqV~c~h}g_`qpawr;9:0;2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb 9#:n6~}of]fiur~W}byi~fPndebp`.6!8i0|\7fah_dosp|Ys`{oxdR`jg`vf,46.9j1{~biPelrw}ZrozlycSckhaug+54/6k2zycjQjmqvz[qnumzbTbhintd*26,7d3yxdkRkbpu{\pmtb{aUeijo{e)30-4d<x{elShc\7ftx]wlwct`Vdnklzj(3+2f>vugnUna}zv_ujqavnXflmjxh&<)0`8twi`Wlg{xtQ{hsgplZhboh~n$9'>b:rqkbYbey~rSyf}erj\j`af|l">%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb ?#:n6~}of]fiur~W}byi~fPndebp`.0!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,=/6j2zycjQjmqvz[qnumzbTbhintd*:-4c<x{elShc\7ftx]wlwct`Vdnklzj<0194;?<x{elSk{cl018twi`Wo\7fg`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<:4psmd[cskdV~c~h}g(02*51=wzfmTjxbc_ujqavn/98#:86~}of]eqijX|axn\7fe&>2(37?uthoVl~`aQ{hsgpl-74!890|\7fah_gwohZrozlyc$?'>3:rqkbYa}efTxe|jsi*0-45<x{elSk{cl^vkv`uo =#:?6~}of]eqijX|axn\7fe&:)018twi`Wo\7fg`Rzgrdqk,3/6;2zycjQiumn\pmtb{a"<%<=4psmd[cskdV~c~h}g(9+27>vugnUmyabPtipfwm.>!890|\7fah_gwohZrozlyc0=0>4:rqkbYa}efTxe|jsi>24;733yxdkRhzlm]wlwct`5;:2<:4psmd[cskdV~c~h}g<00=53=wzfmTjxbc_ujqavn;9:0;2<:4psmd[cskdV~c~h}g<01=56=wzfmTjxbc_ujqavn;97;87}|`g^dvhiYs`{oxd1<1129svjaXn|fgSyf}erj?7;743yxdkRhzlm]wlwct`5>5=>5\7frne\bpjkW}byi~f35?30?uthoVl~`aQ{hsgpl9099:1{~biPftno[qnumzb7;3?<;qplcZ`rdeU\7fd\7fk|h=:=56=wzfmTjxbc_ujqavn;17;j7}|`g^dvhiYs`{oxdRo|sdpw,5/6i2zycjQiumn\pmtb{aUj\7f~k}t)3*5g=wzfmTjxbc_ujqavnXizyn~y&>0(3a?uthoVl~`aQ{hsgplZgt{lx\7f$<?&1c9svjaXn|fgSyf}erj\evubz}":>$?m;qplcZ`rdeU\7fd\7fk|h^cpw`ts 89"=l5\7frne\bpjkW}byi~fParqfvq.5!8k0|\7fah_gwohZrozlycSl}|esv+7,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(5+2e>vugnUmyabPtipfwmYf{zoyx%;&1`9svjaXn|fgSyf}erj\evubz}"=%<o4psmd[cskdV~c~h}g_`qpawr/? ;j7}|`g^dvhiYs`{oxdRo|sdpw,=/6i2zycjQiumn\pmtb{aUj\7f~k}t);*5a=wzfmTjxbc_ujqavnXizyn~y2>3;2=5d=wzfmTjxbc_ujqavnXflmjxh&?)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#=$?m;qplcZ`rdeU\7fd\7fk|h^lfcdrb 8:"=o5\7frne\bpjkW}byi~fPndebp`.69 ;i7}|`g^dvhiYs`{oxdR`jg`vf,44.9k1{~biPftno[qnumzbTbhintd*27,7f3yxdkRhzlm]wlwct`Vdnklzj(3+2e>vugnUmyabPtipfwmYimnk\7fi%=&1`9svjaXn|fgSyf}erj\j`af|l"?%<o4psmd[cskdV~c~h}g_ogdeqc/= ;j7}|`g^dvhiYs`{oxdR`jg`vf,3/6i2zycjQiumn\pmtb{aUeijo{e)5*5d=wzfmTjxbc_ujqavnXflmjxh&7)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#5$?k;qplcZ`rdeU\7fd\7fk|h^lfcdrb4891<384re]`hn773{nThlzn_bmvjq.7!8:0~iQkauc\gjsi|!;"=<5}d^fbpdYdg|d\7f$<>&109q`Zbf|hUhcx`{(03*54=ulVnjxlQlotlw,44.981yhRjnt`]`kphs 89"==5}d^fbpdYdg|d\7f$?'>0:pg[agsiVidycz'3(33?wbXlh~jSnaznu*7-46<zmUomyoPcnwmp-3.991yhRjnt`]`kphs ?#:<6|k_ecweZeh}g~#;$??;sf\`drfWje~by&7)028vaYci}kTob{at);*55=ulVnjxlQlotlw8586;2xoSio{a^alqkr;9:0;285}d^gm2>tcW{y\7f?6||t69pflrbz{>0\7f\7f}{1b9wiu)Xkn%mekaP37z22nYhzlm%bja6;tlgaw`kg~?0zlbfd79tvZgdc?1|~Rmck028swYci}kTob{at)2*55=pzVnjxlQlotlw,4/692}ySio{a^alqkr/99#:=6y}_ecweZeh}g~#=<'>1:uq[agsiVidycz'13+25>quWmk\7fmRm`uov+56/682}ySio{a^alqkr/: ;;7z|Pd`vb[firf}"8%<>4ws]geqgXkf\7fex%:&119tvZbf|hUhcx`{(4+24>quWmk\7fmRm`uov+2,773~xThlzn_bmvjq.0!8:0{\7fQkauc\gjsi|!2"==5xr^fbpdYdg|d\7f$4'>3:uq[agsiVidycz31283:0=pzVoe:6y}_sqwyEFw;8:o7MNw8`d9B?2=9rY=;76=4;`9564e==91>?hkdzl42a<63g==i7:4$64a>2023tY=976=4;`9564e==91>?hkd:Q222<?:m0;6<==b460>74all1X:8472e83>455j<>86?<idg9g<70=83;1=v]97;:10?d=9:8i99=523dg`>pS9o31<7?51;60e~U1?32987l5120a115=:;loh6*854822==Q??i1>v{>7282?p70<3:0q)?ja;0a?g>5>3:1:n4<:7axL2343S?269u>1;01>77=9=0v(<hj:905?!11i329m6a75e83>>o?ih0;66g7c283>>i?:k0;66a72483>>o?>:0;6)?jc;:44>h6mk0;76g76383>!7bk32<<6`>ec82?>o?>80;6)?jc;:44>h6mk0976g76183>!7bk32<<6`>ec80?>o?>k0;6)?jc;:44>h6mk0?76g76`83>!7bk32<<6`>ec86?>o?>00;6)?jc;:44>h6mk0=76g76983>!7bk32<<6`>ec84?>o?>>0;6)?jc;:44>h6mk0376g76783>!7bk32<<6`>ec8:?>o?><0;6)?jc;:44>h6mk0j76g76583>!7bk32<<6`>ec8a?>o?=o0;6)?jc;:44>h6mk0h76g75d83>!7bk32<<6`>ec8g?>o0?:0;66g7ab83>>i0n10;6)?jc;:2f>h6mk0;76a8f683>!7bk32:n6`>ec82?>i0n?0;6)?jc;:2f>h6mk0976a8f483>!7bk32:n6`>ec80?>i0n=0;6)?jc;:2f>h6mk0?76a8f283>!7bk32:n6`>ec86?>i0n80;6)?jc;:2f>h6mk0=76a8f183>!7bk32:n6`>ec84?>i0mo0;6)?jc;:2f>h6mk0376a8ed83>!7bk32:n6`>ec8:?>i0mm0;6)?jc;:2f>h6mk0j76a8eb83>!7bk32:n6`>ec8a?>i0mk0;6)?jc;:2f>h6mk0h76a8e`83>!7bk32:n6`>ec8g?>i0m00;6)?jc;:2f>h6mk0n76a8e983>!7bk32:n6`>ec8e?>i0m?0;6)?jc;:2f>h6mk0:<65`7d794?"6mj03=o5a1d`954=<g>o?6=4+1da9<4d<f8oi6<<4;n5f7?6=,8oh65?m;o3ff?7432e<i?4?:%3fg?>6j2d:io4>4:9l3`7=83.:in471c9m5`d=9<10c:k?:18'5`e=08h0b<km:048?j1cn3:1(<kl:93a?k7bj3;<76a8dd83>!7bk32:n6`>ec82<>=h?mn1<7*>eb8;5g=i9lh1=454o6df>5<#9li14<l4n0ga>4g<3f=mh7>5$0g`>=7e3g;nn7?m;:m4bf<72-;no76>b:l2ag<6k21d;kl50;&2af<?9k1e=hl51e98k2`f290/=hm580`8j4ce28o07b9i9;29 4cd21;i7c?jb;3e?>i0n;0;6)?jc;:2f>h6mk09<65`7d594?"6mj03=o5a1d`964=<g>nh6=4+1da9<4d<f8oi6?<4;n5gf?6=,8oh65?m;o3ff?4432c<mk4?:%3fg?1c:2d:io4?;:k4e`<72-;no79k2:l2ag<632c<mi4?:%3fg?1c:2d:io4=;:k4ef<72-;no79k2:l2ag<432c<mo4?:%3fg?1c:2d:io4;;:k4ed<72-;no79k2:l2ag<232c<m54?:%3fg?1c:2d:io49;:k4e2<72-;no79k2:l2ag<032c<m;4?:%3fg?1c:2d:io47;:k4e0<72-;no79k2:l2ag<>32c<m94?:%3fg?1c:2d:io4n;:k4e6<72-;no79k2:l2ag<e32c<m?4?:%3fg?1c:2d:io4l;:k4e4<72-;no79k2:l2ag<c32c<m=4?:%3fg?1c:2d:io4j;:k4=c<72-;no79k2:l2ag<a32c<5i4?:%3fg?1c:2d:io4>0:9j3<e=83.:in48d39m5`d=9810e:7m:18'5`e=?m80b<km:008?l1>i3:1(<kl:6f1?k7bj3;876g89883>!7bk3=o>6`>ec820>=n?021<7*>eb84`7=i9lh1=854i6;4>5<#9li1;i<4n0ga>40<3`=2:7>5$0g`>2b53g;nn7?8;:k4=0<72-;no79k2:l2ag<6021b;4:50;&2af<0l;1e=hl51898m2d2290/=hm57e08j4ce28k07d9m4;29 4cd2>n97c?jb;3a?>o0j:0;6)?jc;5g6>h6mk0:o65f7c094?"6mj0<h?5a1d`95a=<a>h:6=4+1da93a4<f8oi6<k4;h5a4?6=,8oh6:j=;o3ff?7a32c<m44?:%3fg?1c:2d:io4=0:9j3<c=83.:in48d39m5`d=:810e:7<:18'5`e=?m80b<km:308?l1>:3:1(<kl:6f1?k7bj38876a87183>>i0?00;6)?jc;5;2>h6mk0;76a87983>!7bk3=3:6`>ec82?>i0?>0;6)?jc;5;2>h6mk0976a87783>!7bk3=3:6`>ec80?>i0080;6)?jc;5;2>h6mk0?76a88183>!7bk3=3:6`>ec86?>i0?o0;6)?jc;5;2>h6mk0=76a87d83>!7bk3=3:6`>ec84?>i0?m0;6)?jc;5;2>h6mk0376a87b83>!7bk3=3:6`>ec8:?>i0?k0;6)?jc;5;2>h6mk0j76a87`83>!7bk3=3:6`>ec8a?>i0?<0;6)?jc;5;2>h6mk0h76a87583>!7bk3=3:6`>ec8g?>d0==0;6<4?:1yK305<,8ln6:;;;n3f=?6=3th:=<4?:083>5}O?<90(<hj:032?j7683:17pl:8;29``<48;0??luG7418^0?=9?qn6<<5148e>40=9809>7<>:01951<683;?6<8523821?`=:80:?7?=:03955<b2t.:jh47289'532=99l0(;>58358 30=0;20(<k;:0g;?j11n3:17d6nb;29?l>4=3:1(<kl:91f?k7bj3:07d6<4;29 4cd219n7c?jb;38?l>4;3:1(<kl:91f?k7bj3807d6<2;29 4cd219n7c?jb;18?l>4l3:1(<kl:91f?k7bj3>07d6<c;29 4cd219n7c?jb;78?l>4j3:1(<kl:91f?k7bj3<07d6<a;29 4cd219n7c?jb;58?l>413:1(<kl:91f?k7bj3207d6<8;29 4cd219n7c?jb;;8?l>4?3:1(<kl:91f?k7bj3k07d6<6;29 4cd219n7c?jb;`8?l>493:1(<kl:91f?k7bj3i07d6<0;29 4cd219n7c?jb;f8?j>2l3:17d6ne;29?j>e93:17d670;29 4cd21227c?jb;28?l>0n3:1(<kl:9::?k7bj3;07d68e;29 4cd21227c?jb;08?l>0l3:1(<kl:9::?k7bj3907d678;29 4cd21227c?jb;68?l>??3:1(<kl:9::?k7bj3?07d676;29 4cd21227c?jb;48?l>?=3:1(<kl:9::?k7bj3=07d674;29 4cd21227c?jb;:8?l>?;3:1(<kl:9::?k7bj3307d672;29 4cd21227c?jb;c8?l>?93:1(<kl:9::?k7bj3h07d68c;29 4cd21227c?jb;a8?l>0j3:1(<kl:9::?k7bj3n07d6na;29?j>5m3:17b6=f;29?l10:3:17b66f;29 4cd21k27c?jb;28?j>>m3:1(<kl:9c:?k7bj3;07b66d;29 4cd21k27c?jb;08?j>>k3:1(<kl:9c:?k7bj3907b6n7;29 4cd21k27c?jb;68?j>f>3:1(<kl:9c:?k7bj3?07b6n5;29 4cd21k27c?jb;48?j>f<3:1(<kl:9c:?k7bj3=07b6n3;29 4cd21k27c?jb;:8?j>f:3:1(<kl:9c:?k7bj3307b6n1;29 4cd21k27c?jb;c8?j>f83:1(<kl:9c:?k7bj3h07b66b;29 4cd21k27c?jb;a8?j>>i3:1(<kl:9c:?k7bj3n07d6;4;29 4cd21>o7c?jb;28?l>3;3:1(<kl:96g?k7bj3;07d6;2;29 4cd21>o7c?jb;08?l>393:1(<kl:96g?k7bj3907d6;c;29 4cd21>o7c?jb;68?l>3j3:1(<kl:96g?k7bj3?07d6;a;29 4cd21>o7c?jb;48?l>313:1(<kl:96g?k7bj3=07d6;8;29 4cd21>o7c?jb;:8?l>3?3:1(<kl:96g?k7bj3307d6;6;29 4cd21>o7c?jb;c8?l>3=3:1(<kl:96g?k7bj3h07d6;0;29 4cd21>o7c?jb;a8?l>4n3:1(<kl:96g?k7bj3n07d6l3;29?j>e13:1(<kl:9a1?k7bj3:07b6m8;29 4cd21i97c?jb;38?j>e?3:1(<kl:9a1?k7bj3807b6m6;29 4cd21i97c?jb;18?j>d93:1(<kl:9a1?k7bj3>07b6l0;29 4cd21i97c?jb;78?j>en3:1(<kl:9a1?k7bj3<07b6me;29 4cd21i97c?jb;58?j>el3:1(<kl:9a1?k7bj3207b6mc;29 4cd21i97c?jb;;8?j>ej3:1(<kl:9a1?k7bj3k07b6ma;29 4cd21i97c?jb;`8?j>e=3:1(<kl:9a1?k7bj3i07b6m4;29 4cd21i97c?jb;f8?j>5j3:17b6=5;29?l>1;3:1(<kl:953?k7bj3:07d692;29 4cd21=;7c?jb;38?l>193:1(<kl:953?k7bj3807d690;29 4cd21=;7c?jb;18?l>1j3:1(<kl:953?k7bj3>07d69a;29 4cd21=;7c?jb;78?l>113:1(<kl:953?k7bj3<07d698;29 4cd21=;7c?jb;58?l>1?3:1(<kl:953?k7bj3207d696;29 4cd21=;7c?jb;;8?l>1=3:1(<kl:953?k7bj3k07d694;29 4cd21=;7c?jb;`8?l>2n3:1(<kl:953?k7bj3i07d6:e;29 4cd21=;7c?jb;f8?l>e;3:17d6=c;29?l10;3:17d6l4;29?j>fl3:17b6m2;29?j>e83:17d6nc;29?j1a03:1(<kl:93a?k7bj3:07b9i7;29 4cd21;i7c?jb;38?j1a>3:1(<kl:93a?k7bj3807b9i5;29 4cd21;i7c?jb;18?j1a<3:1(<kl:93a?k7bj3>07b9i3;29 4cd21;i7c?jb;78?j1a93:1(<kl:93a?k7bj3<07b9i0;29 4cd21;i7c?jb;58?j1bn3:1(<kl:93a?k7bj3207b9je;29 4cd21;i7c?jb;;8?j1bl3:1(<kl:93a?k7bj3k07b9jc;29 4cd21;i7c?jb;`8?j1bj3:1(<kl:93a?k7bj3i07b9ja;29 4cd21;i7c?jb;f8?j1b13:1(<kl:93a?k7bj3o07b9j8;29 4cd21;i7c?jb;d8?j1b>3:1(<kl:93a?k7bj3;;76a8e483>!7bk32:n6`>ec825>=h?l>1<7*>eb8;5g=i9lh1=?54o6g0>5<#9li14<l4n0ga>45<3f=n>7>5$0g`>=7e3g;nn7?;;:m4a4<72-;no76>b:l2ag<6=21d;h>50;&2af<?9k1e=hl51798k2ba290/=hm580`8j4ce28=07b9ke;29 4cd21;i7c?jb;3;?>i0lm0;6)?jc;:2f>h6mk0:565`7gg94?"6mj03=o5a1d`95d=<g>lo6=4+1da9<4d<f8oi6<l4;n5eg?6=,8oh65?m;o3ff?7d32e<jo4?:%3fg?>6j2d:io4>d:9l3cg=83.:in471c9m5`d=9l10c:h6:18'5`e=08h0b<km:0d8?j1a:3:1(<kl:93a?k7bj38;76a8e683>!7bk32:n6`>ec815>=h?mi1<7*>eb8;5g=i9lh1>?54o6fa>5<#9li14<l4n0ga>75<3`=jj7>5$0g`>2b53g;nn7>4;h5ba?6=,8oh6:j=;o3ff?7<3`=jh7>5$0g`>2b53g;nn7<4;h5bg?6=,8oh6:j=;o3ff?5<3`=jn7>5$0g`>2b53g;nn7:4;h5be?6=,8oh6:j=;o3ff?3<3`=j47>5$0g`>2b53g;nn784;h5b3?6=,8oh6:j=;o3ff?1<3`=j:7>5$0g`>2b53g;nn764;h5b1?6=,8oh6:j=;o3ff??<3`=j87>5$0g`>2b53g;nn7o4;h5b7?6=,8oh6:j=;o3ff?d<3`=j>7>5$0g`>2b53g;nn7m4;h5b5?6=,8oh6:j=;o3ff?b<3`=j<7>5$0g`>2b53g;nn7k4;h5:b?6=,8oh6:j=;o3ff?`<3`=2h7>5$0g`>2b53g;nn7??;:k4=f<72-;no79k2:l2ag<6921b;4l50;&2af<0l;1e=hl51398m2?f290/=hm57e08j4ce28907d969;29 4cd2>n97c?jb;37?>o0110;6)?jc;5g6>h6mk0:965f78594?"6mj0<h?5a1d`953=<a>3=6=4+1da93a4<f8oi6<94;h5:1?6=,8oh6:j=;o3ff?7?32c<594?:%3fg?1c:2d:io4>9:9j3g3=83.:in48d39m5`d=9h10e:l;:18'5`e=?m80b<km:0`8?l1e;3:1(<kl:6f1?k7bj3;h76g8b383>!7bk3=o>6`>ec82`>=n?k;1<7*>eb84`7=i9lh1=h54i6`3>5<#9li1;i<4n0ga>4`<3`=j57>5$0g`>2b53g;nn7<?;:k4=`<72-;no79k2:l2ag<5921b;4=50;&2af<0l;1e=hl52398m2?5290/=hm57e08j4ce2;907b980;29?l1093:17d67f;29 4cd21337c?jb;28?l>?m3:1(<kl:9;;?k7bj3;07d67d;29 4cd21337c?jb;08?l>?k3:1(<kl:9;;?k7bj3907d667;29 4cd21337c?jb;68?l>>>3:1(<kl:9;;?k7bj3?07d665;29 4cd21337c?jb;48?l>><3:1(<kl:9;;?k7bj3=07d663;29 4cd21337c?jb;:8?l>>:3:1(<kl:9;;?k7bj3307d661;29 4cd21337c?jb;c8?l>>83:1(<kl:9;;?k7bj3h07d67b;29 4cd21337c?jb;a8?l>?i3:1(<kl:9;;?k7bj3n07b989;29 4cd2>2=7c?jb;28?j1003:1(<kl:6:5?k7bj3;07b987;29 4cd2>2=7c?jb;08?j10>3:1(<kl:6:5?k7bj3907b971;29 4cd2>2=7c?jb;68?j1?83:1(<kl:6:5?k7bj3?07b98f;29 4cd2>2=7c?jb;48?j10m3:1(<kl:6:5?k7bj3=07b98d;29 4cd2>2=7c?jb;:8?j10k3:1(<kl:6:5?k7bj3307b98b;29 4cd2>2=7c?jb;c8?j10i3:1(<kl:6:5?k7bj3h07b985;29 4cd2>2=7c?jb;a8?j10<3:1(<kl:6:5?k7bj3n07d6:3;29 4cd21?h7c?jb;28?l>2:3:1(<kl:97`?k7bj3;07d6:1;29 4cd21?h7c?jb;08?l>283:1(<kl:97`?k7bj3907d6:b;29 4cd21?h7c?jb;68?l>2i3:1(<kl:97`?k7bj3?07d6:9;29 4cd21?h7c?jb;48?l>203:1(<kl:97`?k7bj3=07d6:7;29 4cd21?h7c?jb;:8?l>2>3:1(<kl:97`?k7bj3307d6:5;29 4cd21?h7c?jb;c8?l>2<3:1(<kl:97`?k7bj3h07d6;f;29 4cd21?h7c?jb;a8?l>3m3:1(<kl:97`?k7bj3n07b961;29?l>>13:17o998;295?6=8r.:jh4>109K331<@>?87b?>0;29?xd0>00;6<4?:1y'5cc=?<>0D:88;I567>i6m00;66sm75494?5=83:p(<hj:02:?M11?2B<9>5G579'54c=92c>m7>5;h4;>5<<g8on6=44}c57`?6=;3:1<v*>fd824<=O??=0D:;<;I75?!76m3;0e8o50;9j2=<722e:ih4?::\7fa313=8391<7>t$0df>46>3A==;6F8529K13=#98o1=6g:a;29?l0?2900c<kj:188yg13j3:197>50z&2b`<68l1C;;94H670?M313-;:i7?4i4c94?=n=o0;66g98;29?l7bl3:17b?je;29?xd0<j0;6>4?:1y'5cc=9930D:88;I567>N2>2.:=h4>;h7b>5<<a?21<75`1dg94?=zj>>?6=4::183\7f!7am3;;i6F8669K305<@<<0(<?j:09j1d<722c>j7>5;h4;>5<<a8oo6=44o0gf>5<<uk=?m7>54;294~"6nl0:<i5G7758L2343-;:i7?4i4c94?=n>10;66g>ee83>>i6ml0;66sm74394?2=83:p(<hj:02g?M11?2B<9>5+10g95>o2i3:17d87:188m4cc2900c<kj:188yg4?>3:187>50z&2b`<68m1C;;94H670?!76m3k0e8o50;9j2=<722c:ii4?::m2a`<722wi>5o50;694?6|,8ln6<>k;I553>N0=:1/=<k5a:k6e?6=3`<36=44i0gg>5<<g8on6=44}c03a?6=<3:1<v*>fd8235=O??=0D:;<;h42>5<<a?k1<75f1g494?=h9l=1<75rb30:>5<4290;w)?ie;552>N0>>1C;8=4i7394?=n9??1<75`1d594?=zj;;96=4;:183\7f!7am3;<<6F8669K305<a?;1<75f6`83>>o6n?0;66a>e683>>{e:;o1<7=50;2x 4`b2><=7E997:J416=n>80;66g>6483>>i6m>0;66sm20194?2=83:p(<hj:053?M11?2B<9>5f6083>>o1i3:17d?i6;29?j7b?3:17pl=2g83>6<729q/=kk57748L2003A=>?6g91;29?l71=3:17b?j7;29?xd59=0;694?:1y'5cc=9>:0D:88;I567>o193:17d8n:188m4`12900c<k8:188yg4483:1?7>50z&2b`<0>?1C;;94H670?l062900e<8::188k4c02900qo<>5;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn?=>:180>5<7s-;mi7996:J422=O?<90e;?50;9j533=831d=h950;9~f771290?6=4?{%3ea?7082B<::5G7418m37=831b:l4?::k2b3<722e:i:4?::\7fa664=8391<7>t$0df>2013A==;6F8529j24<722c::84?::m2a2<722wi><950;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th9?>4?:283>5}#9oo1;;84H644?M12;2c==7>5;h351?6=3f;n;7>5;|`15=<72=0;6=u+1gg9526<@><<7E9:3:k55?6=3`<j6=44i0d5>5<<g8o<6=44}c000?6=;3:1<v*>fd8423=O??=0D:;<;h42>5<<a8<>6=44o0g4>5<<uk8:57>54;294~"6nl0:;=5G7758L2343`<:6=44i7c94?=n9o<1<75`1d594?=zj;9>6=4<:183\7f!7am3==:6F8669K305<a?;1<75f17794?=h9l=1<75rb33b>5<3290;w)?ie;344>N0>>1C;8=4i7394?=n>h0;66g>f783>>i6m>0;66sm22494?5=83:p(<hj:645?M11?2B<9>5f6083>>o6><0;66a>e683>>{e:9l1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd5:h0;6>4?:1y'5cc=??<0D:88;I567>o193:17d?95;29?j7b?3:17pl=1183>1<729q/=kk51628L2003A=>?6g91;29?l0f2900e<h9:188k4c02900qo<=b;297?6=8r.:jh48679K331<@>?87d8>:188m4022900c<k8:188yg4693:187>50z&2b`<6?91C;;94H670?l062900e;o50;9j5c0=831d=h950;9~f74d29086=4?{%3ea?11>2B<::5G7418m37=831b=;;50;9l5`1=831vn??i:186>5<7s-;mi7?9e:J422=O?<90(<?j:7;8m4752900e<?<:188m4732900e<?::188k4c02900qo<=d;297?6=8r.:jh48679K331<@>?87d8>:188m4022900c<k8:188yg44?3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c00<?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo<<d;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk88i7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg44n3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c074?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo<;1;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk8?>7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg43;3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c070?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo<<9;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk88m7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg44j3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c00g?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo<:3;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn?;=:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi>8?50;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th99=4?:583>5}#9oo1=:>4H644?M12;2c==7>5;h4b>5<<a8l=6=44o0g4>5<<uk8?j7>54;294~"6nl0:;=5G7758L2343`<:6=44i7c94?=n9o<1<75`1d594?=zj;>n6=4;:183\7f!7am3;<<6F8669K305<a?;1<75f6`83>>o6n?0;66a>e683>>{e:=n1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd5?<0;694?:1y'5cc=9>:0D:88;I567>o193:17d8n:188m4`12900c<k8:188yg40<3:187>50z&2b`<6?91C;;94H670?l062900e;o50;9j5c0=831d=h950;9~f714290?6=4?{%3ea?7082B<::5G7418m37=831b:l4?::k2b3<722e:i:4?::\7fa624=83>1<7>t$0df>4173A==;6F8529j24<722c=m7>5;h3e2?6=3f;n;7>5;|`134<72=0;6=u+1gg9526<@><<7E9:3:k55?6=3`<j6=44i0d5>5<<g8o<6=44}c044?6=<3:1<v*>fd8235=O??=0D:;<;h42>5<<a?k1<75f1g494?=h9l=1<75rb34e>5<3290;w)?ie;344>N0>>1C;8=4i7394?=n>h0;66g>f783>>i6m>0;66sm3`194?2=83:p(<hj:053?M11?2B<9>5f6083>>o1i3:17d?i6;29?j7b?3:17pl<a583>1<729q/=kk51628L2003A=>?6g91;29?l0f2900e<h9:188k4c02900qo=n5;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn>o9:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?l950;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th8m54?:583>5}#9oo1=:>4H644?M12;2c==7>5;h4b>5<<a8l=6=44o0g4>5<<uk9j57>54;294~"6nl0:;=5G7758L2343`<:6=44i7c94?=n9o<1<75`1d594?=zj:h;6=4;:183\7f!7am3;<<6F8669K305<a?;1<75f6`83>>o6n?0;66a>e683>>{e;k;1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4j;0;694?:1y'5cc=9>:0D:88;I567>o193:17d8n:188m4`12900c<k8:188yg5e;3:187>50z&2b`<6?91C;;94H670?l062900e;o50;9j5c0=831d=h950;9~f6d3290?6=4?{%3ea?7082B<::5G7418m37=831b:l4?::k2b3<722e:i:4?::\7fa7g3=83>1<7>t$0df>4173A==;6F8529j24<722c=m7>5;h3e2?6=3f;n;7>5;|`0f3<72=0;6=u+1gg9526<@><<7E9:3:k55?6=3`<j6=44i0d5>5<<g8o<6=44}c1`a?6=<3:1<v*>fd8235=O??=0D:;<;h42>5<<a?k1<75f1g494?=h9l=1<75rb2ae>5<3290;w)?ie;344>N0>>1C;8=4i7394?=n>h0;66g>f783>>i6m>0;66sm3e294?2=83:p(<hj:053?M11?2B<9>5f6083>>o1i3:17d?i6;29?j7b?3:17pl<d083>1<729q/=kk51628L2003A=>?6g91;29?l0f2900e<h9:188k4c02900qo=k2;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn>j<:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?i:50;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th8i44?:583>5}#9oo1==j4H644?M12;2.:=h4>;h7b>5<<a?21<75f1df94?=h9lo1<75rb2d2>5<3290;w)?ie;33`>N0>>1C;8=4$03f>4=n=h0;66g98;29?l7bl3:17b?je;29?xd4m=0;694?:1y'5cc=99n0D:88;I567>"69l0:7d;n:188m3>=831b=hj50;9l5`c=831vn>h=:187>5<7s-;mi7??d:J422=O?<90(<?j:09j1d<722c=47>5;h3f`?6=3f;ni7>5;|`0b6<72=0;6=u+1gg955b<@><<7E9:3:&25`<f3`?j6=44i7:94?=n9ln1<75`1dg94?=zj;nj6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f7b>290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb3fa>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn?j7:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj;o86=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f7c5290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb3g2>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn?ji:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj;nn6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f7c7290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb3fg>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn?jl:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj;n<6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f7b1290>6=4?{%3ea?77m2B<::5G7418 47b2h1b9l4?::k6b?6=3`<36=44i0gg>5<<g8on6=44}c0a`?6=;3:1<v*>fd8423=O??=0D:;<;h42>5<<a8<>6=44o0g4>5<<uk8io7>53;294~"6nl0<:;5G7758L2343`<:6=44i046>5<<g8o<6=44}c0b1?6=<3:1<v*>fd8235=O??=0D:;<;h42>5<<a?k1<75f1g494?=h9l=1<75rb3`a>5<4290;w)?ie;552>N0>>1C;8=4i7394?=n9??1<75`1d594?=zj;k86=4;:183\7f!7am3;<<6F8669K305<a?;1<75f6`83>>o6n?0;66a>e683>>{e:kk1<7=50;2x 4`b2><=7E997:J416=n>80;66g>6483>>i6m>0;66sm2`394?2=83:p(<hj:053?M11?2B<9>5f6083>>o1i3:17d?i6;29?j7b?3:17pl=c683>6<729q/=kk57748L2003A=>?6g91;29?l71=3:17b?j7;29?xd5j>0;694?:1y'5cc=9>:0D:88;I567>o193:17d8n:188m4`12900c<k8:188yg4d>3:1?7>50z&2b`<0>?1C;;94H670?l062900e<8::188k4c02900qo<m5;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn?m::180>5<7s-;mi7996:J422=O?<90e;?50;9j533=831d=h950;9~f7d4290?6=4?{%3ea?7082B<::5G7418m37=831b:l4?::k2b3<722e:i:4?::\7fa6f2=8391<7>t$0df>2013A==;6F8529j24<722c::84?::m2a2<722wi>o?50;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th9o>4?:283>5}#9oo1;;84H644?M12;2c==7>5;h351?6=3f;n;7>5;|`1ec<72=0;6=u+1gg9526<@><<7E9:3:k55?6=3`<j6=44i0d5>5<<g8o<6=44}c0`6?6=;3:1<v*>fd8423=O??=0D:;<;h42>5<<a8<>6=44o0g4>5<<uk8jh7>54;294~"6nl0:;=5G7758L2343`<:6=44i7c94?=n9o<1<75`1d594?=zj;i:6=4<:183\7f!7am3==:6F8669K305<a?;1<75f17794?=h9l=1<75rb3ca>5<3290;w)?ie;344>N0>>1C;8=4i7394?=n>h0;66g>f783>>i6m>0;66sm2b294?5=83:p(<hj:645?M11?2B<9>5f6083>>o6><0;66a>e683>>{e:h31<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd5jo0;6>4?:1y'5cc=??<0D:88;I567>o193:17d?95;29?j7b?3:17pl=a683>1<729q/=kk51628L2003A=>?6g91;29?l0f2900e<h9:188k4c02900qo<m9;297?6=8r.:jh48679K331<@>?87d8>:188m4022900c<k8:188yg4>n3:187>50z&2b`<6?91C;;94H670?l062900e;o50;9j5c0=831d=h950;9~f7`f290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb3d:>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn?h7:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj;l=6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f675290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb22e>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn>>l:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj::26=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f661290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb220>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn>>?:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj;lo6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f7`2290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb3d1>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn>;>:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?8h50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;?:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?8k50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>:i:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?8j50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>:j:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?8m50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;n:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;650;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;6:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;950;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;7:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;850;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;8:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;;50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;9:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;:50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;::187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;=50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;;:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;<50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;<:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;?50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>;=:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?;>50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>:k:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?8l50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn>=::180>5<7s-;mi7996:J422=O?<90e;?50;9j533=831d=h950;9~f65329086=4?{%3ea?11>2B<::5G7418m37=831b=;;50;9l5`1=831vn>?6:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi?>=50;194?6|,8ln6:89;I553>N0=:1b:<4?::k220<722e:i:4?::\7fa74>=83>1<7>t$0df>4173A==;6F8529j24<722c=m7>5;h3e2?6=3f;n;7>5;|`077<72:0;6=u+1gg9330<@><<7E9:3:k55?6=3`;=97>5;n3f3?6=3th8=:4?:583>5}#9oo1=:>4H644?M12;2c==7>5;h4b>5<<a8l=6=44o0g4>5<<uk98=7>53;294~"6nl0<:;5G7758L2343`<:6=44i046>5<<g8o<6=44}c122?6=<3:1<v*>fd8235=O??=0D:;<;h42>5<<a?k1<75f1g494?=h9l=1<75rb21f>5<4290;w)?ie;552>N0>>1C;8=4i7394?=n9??1<75`1d594?=zj:896=4;:183\7f!7am3;<<6F8669K305<a?;1<75f6`83>>o6n?0;66a>e683>>{e;:n1<7=50;2x 4`b2><=7E997:J416=n>80;66g>6483>>i6m>0;66sm33394?2=83:p(<hj:053?M11?2B<9>5f6083>>o1i3:17d?i6;29?j7b?3:17pl<3b83>6<729q/=kk57748L2003A=>?6g91;29?l71=3:17b?j7;29?xd4:90;694?:1y'5cc=9>:0D:88;I567>o193:17d8n:188m4`12900c<k8:188yg54j3:1?7>50z&2b`<0>?1C;;94H670?l062900e<8::188k4c02900qo=>f;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn>=n:180>5<7s-;mi7996:J422=O?<90e;?50;9j533=831d=h950;9~f67b290?6=4?{%3ea?7082B<::5G7418m37=831b:l4?::k2b3<722e:i:4?::\7fa76?=8391<7>t$0df>2013A==;6F8529j24<722c::84?::m2a2<722wi?<j50;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th8?54?:283>5}#9oo1;;84H644?M12;2c==7>5;h351?6=3f;n;7>5;|`05f<72=0;6=u+1gg9526<@><<7E9:3:k55?6=3`<j6=44i0d5>5<<g8o<6=44}c103?6=;3:1<v*>fd8423=O??=0D:;<;h42>5<<a8<>6=44o0g4>5<<uk9:n7>54;294~"6nl0:;=5G7758L2343`<:6=44i7c94?=n9o<1<75`1d594?=zj:9=6=4<:183\7f!7am3==:6F8669K305<a?;1<75f17794?=h9l=1<75rb23b>5<3290;w)?ie;344>N0>>1C;8=4i7394?=n>h0;66g>f783>>i6m>0;66sm30794?2=83:p(<hj:053?M11?2B<9>5f6083>>o1i3:17d?i6;29?j7b?3:17pl<9`83>6<729q/=kk517d8L2003A=>?6g>1383>>o69:0;66a>6783>>{e;=?1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<j0;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;=>1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<k0;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;=91<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<h0;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;=81<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<00;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;=;1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<10;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;=:1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<>0;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;:l1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd4<?0;684?:1y'5cc=9?o0D:88;I567>"69l08?6g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;>i1<7:50;2x 4`b28:j7E997:J416=#98o1=6g:a;29?l032900e;650;9l5`c=831vn>9m:187>5<7s-;mi7??a:J422=O?<90(<?j:09j1d<722c=87>5;h4;>5<<g8on6=44}c14e?6=<3:1<v*>fd824d=O??=0D:;<;%32a?7<a<k1<75f6583>>o103:17b?je;29?xd4?00;694?:1y'5cc=99k0D:88;I567>"69l0:7d;n:188m32=831b:54?::m2a`<722wi?:650;694?6|,8ln6<>n;I553>N0=:1/=<k51:k6e?6=3`<?6=44i7:94?=h9lo1<75rb2:7>5<3290;w)?ie;33e>N0>>1C;8=4$03f>4=n=h0;66g94;29?l0?2900c<kj:188yg5?;3:187>50z&2b`<68h1C;;94H670?!76m3;0e8o50;9j21<722c=47>5;n3fa?6=3th84?4?:583>5}#9oo1==o4H644?M12;2.:=h4>;h7b>5<<a?>1<75f6983>>i6ml0;66sm39394?2=83:p(<hj:02b?M11?2B<9>5+10g95>o2i3:17d8;:188m3>=831d=hk50;9~f6>7290?6=4?{%3ea?77i2B<::5G7418 47b281b9l4?::k50?6=3`<36=44o0gf>5<<uk9<j7>54;294~"6nl0:<l5G7758L2343-;:i7?4i4c94?=n>=0;66g98;29?j7bm3:17pl<7d83>1<729q/=kk511c8L2003A=>?6*>1d82?l3f2900e;:50;9j2=<722e:ih4?::\7fa72b=83>1<7>t$0df>46f3A==;6F8529'54c=92c>m7>5;h47>5<<a?21<75`1dg94?=zj:=<6=4;:183\7f!7am3;;m6F8669K305<,8;n6<5f5`83>>o1<3:17d87:188k4cb2900qo=63;291?6=8r.:jh4>0d9K331<@>?87)?>e;38m0g=831b9k4?::k5<?6=3`;nh7>5;n3fa?6=3th8544?:583>5}#9oo1==o4H644?M12;2.:=h4>;h7b>5<<a?>1<75f6983>>i6ml0;66sm38:94?2=83:p(<hj:02b?M11?2B<9>5+10g95>o2i3:17d8;:188m3>=831d=hk50;9~f127290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb51e>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn9:>:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj=9n6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f12>290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb56;>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn9:8:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj=>>6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f123290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb565>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn9:<:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj=>96=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f15c290>6=4?{%3ea?77m2B<::5G7418 47b2h1b9l4?::k6b?6=3`<36=44i0gg>5<<g8on6=44}c60g?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo:=3;297?6=8r.:jh48679K331<@>?87d8>:188m4022900c<k8:188yg25:3:1?7>50z&2b`<0>?1C;;94H670?l062900e<8::188k4c02900qo:?b;290?6=8r.:jh4>719K331<@>?87d8>:188m3g=831b=k850;9l5`1=831vn9<>:180>5<7s-;mi7996:J422=O?<90e;?50;9j533=831d=h950;9~f16>290?6=4?{%3ea?7082B<::5G7418m37=831b:l4?::k2b3<722e:i:4?::\7fa076=8391<7>t$0df>2013A==;6F8529j24<722c::84?::m2a2<722wi8=950;694?6|,8ln6<9?;I553>N0=:1b:<4?::k5e?6=3`;m:7>5;n3f3?6=3th?>i4?:283>5}#9oo1;;84H644?M12;2c==7>5;h351?6=3f;n;7>5;|`75a<72=0;6=u+1gg9526<@><<7E9:3:k55?6=3`<j6=44i0d5>5<<g8o<6=44}c61g?6=;3:1<v*>fd8423=O??=0D:;<;h42>5<<a8<>6=44o0g4>5<<uk>:n7>54;294~"6nl0:;=5G7758L2343`<:6=44i7c94?=n9o<1<75`1d594?=zj=8i6=4<:183\7f!7am3==:6F8669K305<a?;1<75f17794?=h9l=1<75rb53:>5<3290;w)?ie;344>N0>>1C;8=4i7394?=n>h0;66g>f783>>i6m>0;66sm43c94?5=83:p(<hj:645?M11?2B<9>5f6083>>o6><0;66a>e683>>{e<8=1<7:50;2x 4`b28=;7E997:J416=n>80;66g9a;29?l7a>3:17b?j7;29?xd3:00;6>4?:1y'5cc=??<0D:88;I567>o193:17d?95;29?j7b?3:17pl;1483>1<729q/=kk51628L2003A=>?6g91;29?l0f2900e<h9:188k4c02900qo:=8;297?6=8r.:jh48679K331<@>?87d8>:188m4022900c<k8:188yg26;3:187>50z&2b`<6?91C;;94H670?l062900e;o50;9j5c0=831d=h950;9~f14029086=4?{%3ea?11>2B<::5G7418m37=831b=;;50;9l5`1=831vn9?>:187>5<7s-;mi7?80:J422=O?<90e;?50;9j2d<722c:j;4?::m2a2<722wi8?850;194?6|,8ln6:89;I553>N0=:1b:<4?::k220<722e:i:4?::\7fa05`=83>1<7>t$0df>4173A==;6F8529j24<722c=m7>5;h3e2?6=3f;n;7>5;|`760<72:0;6=u+1gg9330<@><<7E9:3:k55?6=3`;=97>5;n3f3?6=3th?<i4?:583>5}#9oo1=:>4H644?M12;2c==7>5;h4b>5<<a8l=6=44o0g4>5<<uk>:j7>53;294~"6nl0<:;5G7758L2343`<:6=44i046>5<<g8o<6=44}c631?6=<3:1<v*>fd8235=O??=0D:;<;h42>5<<a?k1<75f1g494?=h9l=1<75rb57;>5<2290;w)?ie;33a>N0>>1C;8=4$03f>d=n=h0;66g:f;29?l0?2900e<kk:188k4cb2900qo::9;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk>>i7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg22n3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c656?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo:90;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk>==7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg21;3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c650?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo:95;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk>>m7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg22l3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c66f?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo::c;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk><h7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg20k3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c64f?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo:88;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk>2?7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg2>83:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c6;`?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo:7a;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk>347>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg2?=3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c6;7?6==3:1<v*>fd824g=O??=0D:;<;%32a?7<a<k1<75f5g83>>o1<3:17d87:188k4cb2900qo:70;291?6=8r.:jh4>0c9K331<@>?87)?>e;38m0g=831b9k4?::k50?6=3`<36=44o0gf>5<<uk><;7>55;294~"6nl0:<o5G7758L2343-;:i7?4i4c94?=n=o0;66g94;29?l0?2900c<kj:188yg20<3:197>50z&2b`<68k1C;;94H670?!76m3;0e8o50;9j1c<722c=87>5;h4;>5<<g8on6=44}c714?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi95l50;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg2c13:187>50z&2b`<6?81C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd20j0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb4f0>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>894?:583>5}#9oo1=:?4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi8o;50;694?6|,8ln6<9=;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn8<>:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd21>0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb5dg>5<3290;w)?ie;345>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c7b6?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9i:50;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3103:187>50z&2b`<6?81C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd3j?0;694?:1y'5cc=9>80D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e=;i1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7b`?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi8kk50;694?6|,8ln6<9>;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn8l7:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2lo0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb44:>5<3290;w)?ie;345>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c6a3?6=<3:1<v*>fd8237=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`672<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8m<:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2800;694?:1y'5cc=9>;0D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e=jo1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7fe?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9::50;694?6|,8ln6<9>;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn9m=:187>5<7s-;mi7?82:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo;<e;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=m;1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c720?6=<3:1<v*>fd8234=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`6`7<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8h>:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2?o0;694?:1y'5cc=9>;0D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e<jn1<7:50;2x 4`b28=97E997:J416=n9881<75f10194?=n9o<1<75`1d594?=zj<9m6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`6<a<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8?n:187>5<7s-;mi7?81:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo;7e;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=o81<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7;1?6=<3:1<v*>fd8234=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`7`6<72=0;6=u+1gg9524<@><<7E9:3:k257<722c:=>4?::k2b3<722e:i:4?::\7fa116=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;7f;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=8h1<7:50;2x 4`b28=:7E997:J416=n9881<75f10194?=n9o<1<75`1d594?=zj<3;6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`6b6<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn869:187>5<7s-;mi7?81:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo:k4;290?6=8r.:jh4>739K331<@>?87d?>2;29?l76;3:17d?i6;29?j7b?3:17pl:4083>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<3:6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`65f<72=0;6=u+1gg9527<@><<7E9:3:k257<722c:=>4?::k2b3<722e:i:4?::\7fa1<4=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;i4;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=1=1<7:50;2x 4`b28=:7E997:J416=n9881<75f10194?=n9o<1<75`1d594?=zj=n>6=4;:183\7f!7am3;<>6F8669K305<a8;96=44i030>5<<a8l=6=44o0g4>5<<uk??>7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa1<5=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;>d;290?6=8r.:jh4>709K331<@>?87d?>2;29?l76;3:17d?i6;29?j7b?3:17pl:9583>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<l>6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`6<=<72=0;6=u+1gg9527<@><<7E9:3:k257<722c:=>4?::k2b3<722e:i:4?::\7fa0a0=83>1<7>t$0df>4153A==;6F8529j544=831b=<=50;9j5c0=831d=h950;9~f024290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:9483>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<;n6=4;:183\7f!7am3;<=6F8669K305<a8;96=44i030>5<<a8l=6=44o0g4>5<<uk?2:7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa1c0=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;79;290?6=8r.:jh4>709K331<@>?87d?>2;29?l76;3:17d?i6;29?j7b?3:17pl;d683>1<729q/=kk51608L2003A=>?6g>1383>>o69:0;66g>f783>>i6m>0;66sm53094?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?247>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa14`=83>1<7>t$0df>4163A==;6F8529j544=831b=<=50;9j5c0=831d=h950;9~f0?>290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:d483>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<2j6=4;:183\7f!7am3;<=6F8669K305<a8;96=44i030>5<<a8l=6=44o0g4>5<<uk>o47>54;294~"6nl0:;?5G7758L2343`;:>7>5;h327?6=3`;m:7>5;n3f3?6=3th>>>4?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f0?f290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl;fg83>1<729q/=kk51638L2003A=>?6g>1383>>o69:0;66g>f783>>i6m>0;66sm58`94?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?o:7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa13g=83>1<7>t$0df>4163A==;6F8529j544=831b=<=50;9j5c0=831d=h950;9~f1d?290?6=4?{%3ea?70:2B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg35<3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm58a94?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?;<7>54;294~"6nl0:;<5G7758L2343`;:>7>5;h327?6=3`;m:7>5;n3f3?6=3th>5i4?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f0b0290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:6c83>1<729q/=kk51638L2003A=>?6g>1383>>o69:0;66g>f783>>i6m>0;66sm4c;94?2=83:p(<hj:051?M11?2B<9>5f10094?=n9891<75f1g494?=h9l=1<75rb406>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>5h4?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f066290?6=4?{%3ea?7092B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg3>n3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm5e:94?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?=o7>54;294~"6nl0:;<5G7758L2343`;:>7>5;h327?6=3`;m:7>5;n3f3?6=3th?nl4?:583>5}#9oo1=:<4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi9?850;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3f83:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm51094?2=83:p(<hj:052?M11?2B<9>5f10094?=n9891<75f1g494?=h9l=1<75rb4c2>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>h44?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f00c290?6=4?{%3ea?7092B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg2ej3:187>50z&2b`<6?;1C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd2:>0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb4c0>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th><>4?:583>5}#9oo1=:?4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi9l:50;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3ci3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm57g94?2=83:p(<hj:052?M11?2B<9>5f10094?=n9891<75f1g494?=h9l=1<75rb5``>5<3290;w)?ie;346>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c71<?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9l;50;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg37<3:187>50z&2b`<6?81C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd2i?0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb4fa>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>:k4?:583>5}#9oo1=:?4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi8oj50;694?6|,8ln6<9=;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn8<6:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2i>0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb426>5<3290;w)?ie;345>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c7b<?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9im50;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3083:187>50z&2b`<6?81C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd3jl0;694?:1y'5cc=9>80D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e=;k1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7b=?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9=850;694?6|,8ln6<9>;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn8on:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2lm0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb452>5<3290;w)?ie;345>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c6ab?6=<3:1<v*>fd8237=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`66g<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8om:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd28>0;694?:1y'5cc=9>;0D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e=hi1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7ga?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9:<50;694?6|,8ln6<9>;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn9m?:187>5<7s-;mi7?82:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo;=d;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=ho1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c73<?6=<3:1<v*>fd8234=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`6ec<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8k?:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2?:0;694?:1y'5cc=9>;0D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e<j;1<7:50;2x 4`b28=97E997:J416=n9881<75f10194?=n9o<1<75`1d594?=zj<8n6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`6f5<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8>n:187>5<7s-;mi7?81:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo;m1;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=l;1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c741?6=<3:1<v*>fd8234=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`7g6<72=0;6=u+1gg9524<@><<7E9:3:k257<722c:=>4?::k2b3<722e:i:4?::\7fa17`=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;m2;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=9h1<7:50;2x 4`b28=:7E997:J416=n9881<75f10194?=n9o<1<75`1d594?=zj<h86=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`6a7<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn899:187>5<7s-;mi7?81:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo:l4;290?6=8r.:jh4>739K331<@>?87d?>2;29?l76;3:17d?i6;29?j7b?3:17pl:3183>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<h?6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`64f<72=0;6=u+1gg9527<@><<7E9:3:k257<722c:=>4?::k2b3<722e:i:4?::\7fa1g3=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;j3;290?6=8r.:jh4>6e9K331<@>?87)?>e;331>o69;0;66g>1283>>o69=0;66a>e683>>{e=>=1<7:50;2x 4`b28=:7E997:J416=n9881<75f10194?=n9o<1<75`1d594?=zj=i>6=4;:183\7f!7am3;<>6F8669K305<a8;96=44i030>5<<a8l=6=44o0g4>5<<uk?8=7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa1g0=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;?d;290?6=8r.:jh4>709K331<@>?87d?>2;29?l76;3:17d?i6;29?j7b?3:17pl:b683>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<o?6=4;:183\7f!7am3;=h6F8669K305<,8;n6<>:;h326?6=3`;:?7>5;h320?6=3f;n;7>5;|`63=<72=0;6=u+1gg9527<@><<7E9:3:k257<722c:=>4?::k2b3<722e:i:4?::\7fa0f0=83>1<7>t$0df>4153A==;6F8529j544=831b=<=50;9j5c0=831d=h950;9~f055290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:b883>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<:n6=4;:183\7f!7am3;<=6F8669K305<a8;96=44i030>5<<a8l=6=44o0g4>5<<uk?im7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa1`3=83>1<7>t$0df>40c3A==;6F8529'54c=99?0e<?=:188m4742900e<?;:188k4c02900qo;89;290?6=8r.:jh4>709K331<@>?87d?>2;29?l76;3:17d?i6;29?j7b?3:17pl;c683>1<729q/=kk51608L2003A=>?6g>1383>>o69:0;66g>f783>>i6m>0;66sm52194?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?in7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa15`=83>1<7>t$0df>4163A==;6F8529j544=831b=<=50;9j5c0=831d=h950;9~f0dd290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:e783>1<729q/=kk517f8L2003A=>?6*>1d8240=n9881<75f10194?=n98>1<75`1d594?=zj<=j6=4;:183\7f!7am3;<=6F8669K305<a8;96=44i030>5<<a8l=6=44o0g4>5<<uk>h47>54;294~"6nl0:;?5G7758L2343`;:>7>5;h327?6=3`;m:7>5;n3f3?6=3th>?94?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f0dc290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:1183>1<729q/=kk51638L2003A=>?6g>1383>>o69:0;66g>f783>>i6m>0;66sm5cg94?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?n;7>54;294~"6nl0::i5G7758L2343-;:i7??5:k257<722c:=>4?::k251<722e:i:4?::\7fa12d=83>1<7>t$0df>4163A==;6F8529j544=831b=<=50;9j5c0=831d=h950;9~f1e>290?6=4?{%3ea?70:2B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg34=3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm5cd94?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?:=7>54;294~"6nl0:;<5G7758L2343`;:>7>5;h327?6=3`;m:7>5;n3f3?6=3th>o=4?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f0c?290?6=4?{%3ea?71l2B<::5G7418 47b28:>7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl:7b83>1<729q/=kk51638L2003A=>?6g>1383>>o69:0;66g>f783>>i6m>0;66sm4bc94?2=83:p(<hj:051?M11?2B<9>5f10094?=n9891<75f1g494?=h9l=1<75rb415>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>o<4?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f075290?6=4?{%3ea?7092B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg3d:3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm5d;94?2=83:p(<hj:04g?M11?2B<9>5+10g9553<a8;96=44i030>5<<a8;?6=44o0g4>5<<uk?<h7>54;294~"6nl0:;<5G7758L2343`;:>7>5;h327?6=3`;m:7>5;n3f3?6=3th?oo4?:583>5}#9oo1=:<4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi9>650;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3d<3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm50194?2=83:p(<hj:052?M11?2B<9>5f10094?=n9891<75f1g494?=h9l=1<75rb4a6>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>io4?:583>5}#9oo1=;j4H644?M12;2.:=h4>049j544=831b=<=50;9j542=831d=h950;9~f01b290?6=4?{%3ea?7092B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg2dk3:187>50z&2b`<6?;1C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd2;00;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb4a5>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>=84?:583>5}#9oo1=:?4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi9n950;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3bk3:187>50z&2b`<6>m1C;;94H670?!76m3;;96g>1383>>o69:0;66g>1583>>i6m>0;66sm59294?2=83:p(<hj:052?M11?2B<9>5f10094?=n9891<75f1g494?=h9l=1<75rb5af>5<3290;w)?ie;346>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c70e?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9n650;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg36>3:187>50z&2b`<6?81C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd2k00;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb4gg>5<3290;w)?ie;35`>N0>>1C;8=4$03f>4623`;:>7>5;h327?6=3`;:87>5;n3f3?6=3th>4<4?:583>5}#9oo1=:?4H644?M12;2c:=?4?::k256<722c:j;4?::m2a2<722wi8nh50;694?6|,8ln6<9=;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn8=m:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2kh0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb434>5<3290;w)?ie;345>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c7`f?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9hk50;694?6|,8ln6<8k;I553>N0=:1/=<k51178m4752900e<?<:188m4732900c<k8:188yg3?:3:187>50z&2b`<6?81C;;94H670?l76:3:17d?>3;29?l7a>3:17b?j7;29?xd3l90;694?:1y'5cc=9>80D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e=:i1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7`g?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi9<650;694?6|,8ln6<9>;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn8mk:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2mo0;694?:1y'5cc=9?n0D:88;I567>"69l0:<85f10094?=n9891<75f10694?=h9l=1<75rb4:0>5<3290;w)?ie;345>N0>>1C;8=4i031>5<<a8;86=44i0d5>5<<g8o<6=44}c6g5?6=<3:1<v*>fd8237=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`67a<72=0;6=u+1gg953b<@><<7E9:3:&25`<68<1b=<<50;9j545=831b=<:50;9l5`1=831vn8mi:187>5<7s-;mi7?9d:J422=O?<90(<?j:026?l76:3:17d?>3;29?l76<3:17b?j7;29?xd2900;694?:1y'5cc=9>;0D:88;I567>o69;0;66g>1283>>o6n?0;66a>e683>>{e=m:1<7:50;2x 4`b28<o7E997:J416=#98o1==;4i031>5<<a8;86=44i037>5<<g8o<6=44}c7e4?6=<3:1<v*>fd822a=O??=0D:;<;%32a?77=2c:=?4?::k256<722c:=94?::m2a2<722wi95:50;694?6|,8ln6<9>;I553>N0=:1b=<<50;9j545=831b=k850;9l5`1=831vn9j=:187>5<7s-;mi7?82:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo;ia;290?6=8r.:jh4>0b9K331<@>?87)?>e;38m0g=831b9k4?::k5<?6=3f;ni7>5;|`6bg<72=0;6=u+1gg955e<@><<7E9:3:&25`<63`?j6=44i4d94?=n>10;66a>ed83>>{e=oi1<7:50;2x 4`b28:h7E997:J416=#98o1=6g:a;29?l3a2900e;650;9l5`c=831vn;>?:187>5<7s-;mi7??c:J422=O?<90(<?j:09j1d<722c>j7>5;h4;>5<<g8on6=44}c435?6=<3:1<v*>fd824f=O??=0D:;<;%32a?7<a<k1<75f5g83>>o103:17b?je;29?xd18;0;694?:1y'5cc=99i0D:88;I567>"69l0:7d;n:188m0`=831b:54?::m2a`<722wi;9h50;194?6|,8ln6<8n;I553>N0=:1/=<k5259j544=831b=<=50;9l5`1=831vn::7:180>5<7s-;mi7?9a:J422=O?<90(<?j:368m4752900e<?<:188k4c02900qo:n4;290?6=8r.:jh4>6e9K331<@>?87)?>e;0e?l76:3:17d?>3;29?l76<3:17b?j7;29?xd3i;0;694?:1y'5cc=9?n0D:88;I567>"69l037d?>2;29?l76;3:17d?>4;29?j7b?3:17pl;a183>1<729q/=kk517f8L2003A=>?6*>1d8;?l76:3:17d?>3;29?l76<3:17b?j7;29?xd31l0;694?:1y'5cc=9?n0D:88;I567>"69l0=7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl;9b83>1<729q/=kk517f8L2003A=>?6*>1d8;?l76:3:17d?>3;29?l76<3:17b?j7;29?xd31h0;694?:1y'5cc=9?n0D:88;I567>"69l0=7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl;9983>1<729q/=kk517f8L2003A=>?6*>1d85?l76:3:17d?>3;29?l76<3:17b?j7;29?xd31?0;694?:1y'5cc=9?n0D:88;I567>"69l0?7d?>2;29?l76;3:17d?>4;29?j7b?3:17pl<9683>6<729q/=kk517c8L2003A=>?6*>1d85<>o69;0;66g>1283>>i6m>0;66sm3d094?3=83:p(<hj:04f?M11?2B<9>5+10g976=n9881<75f10194?=n98>1<75f10794?=h9l=1<75rb3:0>5<2290;w)?ie;35a>N0>>1C;8=4$03f>65<a8;96=44i030>5<<a8;?6=44i036>5<<g8o<6=44}c1f5?6==3:1<v*>fd822`=O??=0D:;<;%32a?523`;:>7>5;h327?6=3`;:87>5;h321?6=3f;n;7>5;|`1<7<72<0;6=u+1gg953c<@><<7E9:3:&25`<4=2c:=?4?::k256<722c:=94?::k250<722e:i:4?::\7fa7`6=83?1<7>t$0df>40b3A==;6F8529'54c=;:1b=<<50;9j545=831b=<:50;9j543=831d=h950;9~f7>6290>6=4?{%3ea?71m2B<::5G7418 47b2:?0e<?=:188m4742900e<?;:188m4722900c<k8:188yg5cn3:197>50z&2b`<6>l1C;;94H670?!76m3987d?>2;29?l76;3:17d?>4;29?l76=3:17b?j7;29?xd5090;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e<hi1<7;50;2x 4`b28<n7E997:J416=#98o1?=5f10094?=n9891<75f10694?=n98?1<75`1d594?=zj=ki6=4::183\7f!7am3;=i6F8669K305<,8;n645f10094?=n9891<75f10694?=n98?1<75`1d594?=zj=kj6=4::183\7f!7am3;=i6F8669K305<,8;n6<o4i031>5<<a8;86=44i037>5<<a8;>6=44o0g4>5<<uk>j57>55;294~"6nl0::h5G7758L2343-;:i794i031>5<<a8;86=44i037>5<<a8;>6=44o0g4>5<<uk>j47>55;294~"6nl0::h5G7758L2343-;:i7?n;h326?6=3`;:?7>5;h320?6=3`;:97>5;n3f3?6=3th?m:4?:483>5}#9oo1=;k4H644?M12;2.:=h4l;h326?6=3`;:?7>5;h320?6=3`;:97>5;n3f3?6=3th?m;4?:483>5}#9oo1=;k4H644?M12;2.:=h4l;h326?6=3`;:?7>5;h320?6=3`;:97>5;n3f3?6=3th?m84?:483>5}#9oo1=;k4H644?M12;2.:=h4:;h326?6=3`;:?7>5;h320?6=3`;:97>5;n3f3?6=3th8hh4?:483>5}#9oo1=;k4H644?M12;2.:=h4<3:k257<722c:=>4?::k251<722c:=84?::m2a2<722wi>:h50;794?6|,8ln6<8j;I553>N0=:1/=<k5349j544=831b=<=50;9j542=831b=<;50;9l5`1=831vn>jk:186>5<7s-;mi7?9e:J422=O?<90(<?j:218m4752900e<?<:188m4732900e<?::188k4c02900qo<8e;291?6=8r.:jh4>6d9K331<@>?87)?>e;16?l76:3:17d?>3;29?l76<3:17d?>5;29?j7b?3:17pl<db83>0<729q/=kk517g8L2003A=>?6*>1d807>o69;0;66g>1283>>o69=0;66g>1483>>i6m>0;66sm26f94?3=83:p(<hj:04f?M11?2B<9>5+10g970=n9881<75f10194?=n98>1<75f10794?=h9l=1<75rb344>5<2290;w)?ie;35a>N0>>1C;8=4$03f>65<a8;96=44i030>5<<a8;?6=44i036>5<<g8o<6=44}c050?6==3:1<v*>fd822`=O??=0D:;<;%32a?523`;:>7>5;h327?6=3`;:87>5;h321?6=3f;n;7>5;|`124<72<0;6=u+1gg953c<@><<7E9:3:&25`<4;2c:=?4?::k256<722c:=94?::k250<722e:i:4?::\7fa60c=83?1<7>t$0df>40b3A==;6F8529'54c=;:1b=<<50;9j545=831b=<:50;9j543=831d=h950;9~f73e290>6=4?{%3ea?71m2B<::5G7418 47b2:90e<?=:188m4742900e<?;:188m4722900c<k8:188yg4203:197>50z&2b`<6>l1C;;94H670?!76m3987d?>2;29?l76;3:17d?>4;29?l76=3:17b?j7;29?xd5=<0;684?:1y'5cc=9?o0D:88;I567>"69l0896g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e:121<7;50;2x 4`b28<n7E997:J416=#98o1>>5f10094?=n9891<75f10694?=n98?1<75`1d594?=zj:i?6=4::183\7f!7am3;=i6F8669K305<,8;n6>=4i031>5<<a8;86=44i037>5<<a8;>6=44o0g4>5<<uk9h?7>55;294~"6nl0::h5G7758L2343-;:i7=:;h326?6=3`;:?7>5;h320?6=3`;:97>5;n3f3?6=3th8o?4?:483>5}#9oo1=;k4H644?M12;2.:=h4<2:k257<722c:=>4?::k251<722c:=84?::m2a2<722wi?n?50;794?6|,8ln6<8j;I553>N0=:1/=<k5349j544=831b=<=50;9j542=831b=<;50;9l5`1=831vn>7::180>5<7s-;mi7?9a:J422=O?<90(<?j:368m4752900e<?<:188k4c02900qo=i4;297?6=8r.:jh4>6`9K331<@>?87)?>e;3:?l76:3:17d?>3;29?j7b?3:17pl<c183>0<729q/=kk517g8L2003A=>?6*>1d806>o69;0;66g>1283>>o69=0;66g>1483>>i6m>0;66sm3cd94?3=83:p(<hj:04f?M11?2B<9>5+10g977=n9881<75f10194?=n98>1<75f10794?=h9l=1<75rb2`f>5<2290;w)?ie;35a>N0>>1C;8=4$03f>63<a8;96=44i030>5<<a8;?6=44i036>5<<g8o<6=44}c1f7?6=;3:1<v*>fd822d=O??=0D:;<;%32a?0?3`;:>7>5;h327?6=3f;n;7>5;|`1<g<72:0;6=u+1gg953g<@><<7E9:3:&25`<612c:=?4?::k256<722e:i:4?::\7fa63>=83?1<7>t$0df>40b3A==;6F8529'54c=;:1b=<<50;9j545=831b=<:50;9j543=831d=h950;9~f702290>6=4?{%3ea?71m2B<::5G7418 47b2:?0e<?=:188m4742900e<?;:188m4722900c<k8:188yg41:3:197>50z&2b`<6>l1C;;94H670?!76m3987d?>2;29?l76;3:17d?>4;29?l76=3:17b?j7;29?xd5=o0;684?:1y'5cc=9?o0D:88;I567>"69l08>6g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e:<i1<7;50;2x 4`b28<n7E997:J416=#98o1??5f10094?=n9891<75f10694?=n98?1<75`1d594?=zj;?26=4::183\7f!7am3;=i6F8669K305<,8;n6><4i031>5<<a8;86=44i037>5<<a8;>6=44o0g4>5<<uk8>:7>55;294~"6nl0::h5G7758L2343-;:i7=:;h326?6=3`;:?7>5;h320?6=3`;:97>5;n3f3?6=3th9m;4?:383>5}#9oo1=;74H644?M12;2.:=h4>9:k257<722e:i:4?::\7fa6d2=8381<7>t$0df>40>3A==;6F8529'54c=901b=<<50;9l5`1=831vn?o=:181>5<7s-;mi7?99:J422=O?<90(<?j:0;8m4752900c<k8:188yg4e03:1>7>50z&2b`<6>01C;;94H670?!76m3;27d?>2;29?j7b?3:17pl=b783>7<729q/=kk517;8L2003A=>?6*>1d82=>o69;0;66a>e683>>{e:k>1<7<50;2x 4`b28<27E997:J416=#98o1=45f10094?=h9l=1<75rb3`1>5<5290;w)?ie;35=>N0>>1C;8=4$03f>4?<a8;96=44o0g4>5<<uk8i<7>52;294~"6nl0::45G7758L2343-;:i7?6;h326?6=3f;n;7>5;|`1e`<72;0;6=u+1gg953?<@><<7E9:3:&25`<612c:=?4?::m2a2<722wi>lm50;094?6|,8ln6<86;I553>N0=:1/=<k5189j544=831d=h950;9~f7gf29096=4?{%3ea?7112B<::5G7418 47b2830e<?=:188k4c02900qo<n8;296?6=8r.:jh4>689K331<@>?87)?>e;3:?l76:3:17b?j7;29?xd5i90;6?4?:1y'5cc=9?30D:88;I567>"69l0:56g>1383>>i6m>0;66sm41a94?4=83:p(<hj:04:?M11?2B<9>5+10g95<=n9881<75`1d594?=zj=:j6=4=:183\7f!7am3;=56F8669K305<,8;n6<74i031>5<<g8o<6=44}c63<?6=:3:1<v*>fd822<=O??=0D:;<;%32a?7>3`;:>7>5;n3f3?6=3th?=h4?:383>5}#9oo1=;74H644?M12;2.:=h4>9:k257<722e:i:4?::\7fa04e=8381<7>t$0df>40>3A==;6F8529'54c=901b=<<50;9l5`1=831vn9?n:181>5<7s-;mi7?99:J422=O?<90(<?j:0;8m4752900c<k8:188yg2603:1>7>50z&2b`<6>01C;;94H670?!76m3;27d?>2;29?j7b?3:17pl;1783>7<729q/=kk517;8L2003A=>?6*>1d82=>o69;0;66a>e683>>{e<8>1<7<50;2x 4`b28<27E997:J416=#98o1=45f10094?=h9l=1<75rb531>5<5290;w)?ie;35=>N0>>1C;8=4$03f>4?<a8;96=44o0g4>5<<uk>:<7>52;294~"6nl0::45G7758L2343-;:i7?6;h326?6=3f;n;7>5;|`74`<72;0;6=u+1gg953?<@><<7E9:3:&25`<612c:=?4?::m2a2<722wi8=850;094?6|,8ln6<86;I553>N0=:1/=<k5189j544=831d=h950;9~f7db29096=4?{%3ea?7112B<::5G7418 47b2830e<?=:188k4c02900qo:=4;296?6=8r.:jh4>689K331<@>?87)?>e;3:?l76:3:17b?j7;29?xd41=0;684?:1y'5cc=9?o0D:88;I567>"69l0o7d?>2;29?l76;3:17d?>4;29?l76=3:17b?j7;29?xd41j0;6>4?:1y'5cc=9?k0D:88;I567>"69l0=46g>1383>>o69:0;66a>e683>>{e:8h1<7=50;2x 4`b28<j7E997:J416=#98o1>n5f10094?=n9891<75`1d594?=zj;8;6=4<:183\7f!7am3;=m6F8669K305<,8;n6>:4i031>5<<a8;86=44o0g4>5<<uk89=7>53;294~"6nl0::l5G7758L2343-;:i7=;;h326?6=3`;:?7>5;n3f3?6=3th9>?4?:283>5}#9oo1=;o4H644?M12;2.:=h4<4:k257<722c:=>4?::m2a2<722wi>?=50;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn?<;:180>5<7s-;mi7?9a:J422=O?<90(<?j:268m4752900e<?<:188k4c02900qo<=5;297?6=8r.:jh4>6`9K331<@>?87)?>e;17?l76:3:17d?>3;29?j7b?3:17pl=2783>6<729q/=kk517c8L2003A=>?6*>1d800>o69;0;66g>1283>>i6m>0;66sm33:94?5=83:p(<hj:04b?M11?2B<9>5+10g971=n9881<75f10194?=h9l=1<75rb20:>5<4290;w)?ie;35e>N0>>1C;8=4$03f>62<a8;96=44i030>5<<g8o<6=44}c11e?6=;3:1<v*>fd822d=O??=0D:;<;%32a?533`;:>7>5;h327?6=3f;n;7>5;|`06g<72:0;6=u+1gg953g<@><<7E9:3:&25`<4<2c:=?4?::k256<722e:i:4?::\7fa77e=8391<7>t$0df>40f3A==;6F8529'54c=;=1b=<<50;9j545=831d=h950;9~f64c29086=4?{%3ea?71i2B<::5G7418 47b2:>0e<?=:188m4742900c<k8:188yg55m3:1?7>50z&2b`<6>h1C;;94H670?!76m39?7d?>2;29?l76;3:17b?j7;29?xd4:o0;6>4?:1y'5cc=9?k0D:88;I567>"69l0886g>1383>>o69:0;66a>e683>>{e;::1<7=50;2x 4`b28<j7E997:J416=#98o1?95f10094?=n9891<75`1d594?=zj:886=4<:183\7f!7am3;=m6F8669K305<,8;n6>:4i031>5<<a8;86=44o0g4>5<<uk9987>53;294~"6nl0::l5G7758L2343-;:i7=;;h326?6=3`;:?7>5;n3f3?6=3th8>84?:283>5}#9oo1=;o4H644?M12;2.:=h4<4:k257<722c:=>4?::m2a2<722wi??850;194?6|,8ln6<8n;I553>N0=:1/=<k5359j544=831b=<=50;9l5`1=831vn?<8:180>5<7s-;mi7?9a:J422=O?<90(<?j:268m4752900e<?<:188k4c02900qo<=8;297?6=8r.:jh4>6`9K331<@>?87)?>e;17?l76:3:17d?>3;29?j7b?3:17pl=1b83>6<729q/=kk517c8L2003A=>?6*>1d800>o69;0;66g>1283>>i6m>0;66sm20f94?5=83:p(<hj:04b?M11?2B<9>5+10g971=n9881<75f10194?=h9l=1<75rb33f>5<4290;w)?ie;35e>N0>>1C;8=4$03f>62<a8;96=44i030>5<<g8o<6=44}c1ff?6=<3:1<v*>fd8234=O??=0D:;<;h326?6=3`;:?7>5;h3e2?6=3f;n;7>5;|`0af<72<0;6=u+1gg953c<@><<7E9:3:&25`<6j2c:=?4?::k256<722c:=94?::k250<722e:i:4?::\7fa7`b=83?1<7>t$0df>40b3A==;6F8529'54c==>1b=<<50;9j545=831b=<:50;9j543=831d=h950;9~f6c1290?6=4?{%3ea?7092B<::5G7418m4752900e<?<:188m4`12900c<k8:188yg5b?3:197>50z&2b`<6>l1C;;94H670?!76m3;i7d?>2;29?l76;3:17d?>4;29?l76=3:17b?j7;29?xd4m10;684?:1y'5cc=9?o0D:88;I567>"69l0>;6g>1383>>o69:0;66g>1583>>o69<0;66a>e683>>{e;;=1<7=50;2x 4`b28<j7E997:J416=#98o1?95f10094?=n9891<75`1d594?=zj;>=6=4::183\7f!7am3;=i6F8669K305<,8;n6?j4i031>5<<a8;86=44i037>5<<a8;>6=44o0g4>5<<uk9m97>53;294~"6nl0::l5G7758L2343-;:i7?6;h326?6=3`;:?7>5;n3f3?6=3th9j>4?:483>5}#9oo1==l4H644?M12;2.:=h4>;h7b>5<<a<l1<75f6583>>o103:17b?je;29?xd5nl0;684?:1y'5cc=99h0D:88;I567>"69l0:7d;n:188m0`=831b:94?::k5<?6=3f;ni7>5;|`041<72<0;6=u+1gg955d<@><<7E9:3:&25`<63`?j6=44i4d94?=n>=0;66g98;29?j7bm3:17pl;7483>0<729q/=kk511`8L2003A=>?6*>1d82?l3f2900e8h50;9j21<722c=47>5;n3fa?6=3th?4<4?:483>5}#9oo1==l4H644?M12;2.:=h4>;h7b>5<<a<l1<75f6583>>o103:17b?je;29?xd3?l0;684?:1y'5cc=99h0D:88;I567>"69l0:7d;n:188m0`=831b:94?::k5<?6=3f;ni7>5;|`7<3<72<0;6=u+1gg955d<@><<7E9:3:&25`<63`?j6=44i4d94?=n>=0;66g98;29?j7bm3:17pl;8583>0<729q/=kk511`8L2003A=>?6*>1d82?l3f2900e8h50;9j21<722c=47>5;n3fa?6=3th?4o4?:483>5}#9oo1==l4H644?M12;2.:=h4>;h7b>5<<a<l1<75f6583>>o103:17b?je;29?xd3000;684?:1y'5cc=99h0D:88;I567>"69l0:7d;n:188m0`=831b:94?::k5<?6=3f;ni7>5;|`7=4<72<0;6=u+1gg955d<@><<7E9:3:&25`<63`?j6=44i4d94?=n>=0;66g98;29?j7bm3:17pl=fc83>0<729q/=kk511`8L2003A=>?6*>1d82?l3f2900e8h50;9j21<722c=47>5;n3fa?6=3th8j;4?:283>5}#9oo1=;o4H644?M12;2.:=h4>9:k257<722c:=>4?::m2a2<722wi?=o50;794?6|,8ln6<>m;I553>N0=:1/=<k51:k6e?6=3`?m6=44i7694?=n>10;66a>ed83>>{e;9n1<7;50;2x 4`b28:i7E997:J416=#98o1=6g:a;29?l3a2900e;:50;9j2=<722e:ih4?::\7fa6c2=83?1<7>t$0df>46e3A==;6F8529'54c=92c>m7>5;h7e>5<<a?>1<75f6983>>i6ml0;66sm31394?3=83:p(<hj:02a?M11?2B<9>5+10g95>o2i3:17d;i:188m32=831b:54?::m2a`<722wi?=950;794?6|,8ln6<>m;I553>N0=:1/=<k51:k6e?6=3`?m6=44i7694?=n>10;66a>ed83>>{e;8:1<7;50;2x 4`b28:i7E997:J416=#98o1=6g:a;29?l3a2900e;:50;9j2=<722e:ih4?::\7fa745=83?1<7>t$0df>46e3A==;6F8529'54c=92c>m7>5;h7e>5<<a?>1<75f6983>>i6ml0;66sm2gd94?3=83:p(<hj:02a?M11?2B<9>5+10g95>o2i3:17d;i:188m32=831b:54?::m2a`<722wi85k50;794?6|,8ln6<>m;I553>N0=:1/=<k51:k6e?6=3`?m6=44i7694?=n>10;66a>ed83>>{e<0>1<7;50;2x 4`b28:i7E997:J416=#98o1=6g:a;29?l3a2900e;:50;9j2=<722e:ih4?::\7fa02?=83?1<7>t$0df>46e3A==;6F8529'54c=92c>m7>5;h7e>5<<a?>1<75f6983>>i6ml0;66sm49094?3=83:p(<hj:02a?M11?2B<9>5+10g95>o2i3:17d;i:188m32=831b:54?::m2a`<722wi85950;794?6|,8ln6<>m;I553>N0=:1/=<k51:k6e?6=3`?m6=44i7694?=n>10;66a>ed83>>{e<081<7;50;2x 4`b28:i7E997:J416=#98o1=6g:a;29?l3a2900e;:50;9j2=<722e:ih4?::\7fa020=83?1<7>t$0df>46e3A==;6F8529'54c=92c>m7>5;h7e>5<<a?>1<75f6983>>i6ml0;66sm49a94?3=83:p(<hj:02a?M11?2B<9>5+10g95>o2i3:17d;i:188m32=831b:54?::m2a`<722wi8:h50;794?6|,8ln6<>m;I553>N0=:1/=<k51:k6e?6=3`?m6=44i7694?=n>10;66a>ed83>>{e<1l1<7;50;2x 4`b28:i7E997:J416=#98o1=6g:a;29?l3a2900e;:50;9j2=<722e:ih4?::\7fa6c1=83?1<7>t$0df>46e3A==;6F8529'54c=92c>m7>5;h7e>5<<a?>1<75f6983>>i6ml0;66sm31794?3=83:p(<hj:02a?M11?2B<9>5+10g95>o2i3:17d;i:188m32=831b:54?::m2a`<722wi?=<50;794?6|,8ln6<>m;I553>N0=:1/=<k51:k6e?6=3`?m6=44i7694?=n>10;66a>ed83>>{e:oi1<7;50;2x 4`b28:i7E997:J416=#98o1=6g:a;29?l3a2900e;:50;9j2=<722e:ih4?::\7fa02g=83?1<7>t$0df>46e3A==;6F8529'54c=92c>m7>5;h7e>5<<a?>1<75f6983>>i6ml0;66sm28294?5=83:p(<hj:04b?M11?2B<9>5+10g95<=n9881<75f10194?=h9l=1<75rb22a>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn>>7:186>5<7s-;mi7??b:J422=O?<90(<?j:09j1d<722c>j7>5;h47>5<<a?21<75`1dg94?=zj:;:6=4::183\7f!7am3;;n6F8669K305<,8;n6<5f5`83>>o2n3:17d8;:188m3>=831d=hk50;9~f673290>6=4?{%3ea?77j2B<::5G7418 47b281b9l4?::k6b?6=3`<?6=44i7:94?=h9lo1<75rb22f>5<2290;w)?ie;33f>N0>>1C;8=4$03f>4=n=h0;66g:f;29?l032900e;650;9l5`c=831vn>kj:187>5<7s-;mi7?81:J422=O?<90e<?=:188m4742900e<h9:188k4c02900qo=jf;291?6=8r.:jh4>6d9K331<@>?87)?>e;12?l76:3:17d?>3;29?l76<3:17d?>5;29?j7b?3:17pl<f183>0<729q/=kk517g8L2003A=>?6*>1d82g>o69;0;66g>1283>>o69=0;66g>1483>>i6m>0;66sm72`94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72c94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72:94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72594?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72494?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72794?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72694?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72194?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72094?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72394?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72294?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73d94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73f94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73a94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73`94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73c94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73;94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73:94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73594?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73494?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73794?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73694?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm75094?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm75394?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm75294?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72d94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72g94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72f94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72a94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm72;94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73g94?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm73194?e5290;w)?ie;3fb>N0>>1C;8=4Z4;9g~702821=i4>e;06>70=:>0947?i:3296<<5i3weh54?;odb>4=#9<l1>6*>6181?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+1g5955><,8lj6?5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!751390(<<n:29'57d=;2.:>n4<;%31`?5<,88n6>5+13d97>"6;9087)?<1;18 4552:1/=>=53:&271<43-;897=4$015>6=#9:=1?6*>3980?!741390(<=n:29'56d=;2.:?n4<;%30`?5<,89n6>5+12d97>"6<9087)?;1;18 4252:1/=9=53:&201<43-;?97=4$065>6=#9==1?6*>4980?!731390(<:n:29'51d=;2.:8n4<;%37`?5<,8>n6>5+15d97>"6=9087)?:1;18 4352:1/=8=53:&211<43-;>97=4$075>6=#9<=1?6*>5980?!721390(<;n:29'50d=;2.:9n4<;%36`?5<,8?n6>5+17395`0<,8<96<>7;%357?7702.:j=4>e79'5c7=9l<0b<h=:89m5c5=12.:j54>e79'54e=;2.:=i4<;%555?1182.<:?48619m335=12d<:946;%3ef?4<a<h1<75f5b83>>o1:3:17d8<:188m4612900e<h;:188m4`22900e<>8:188m4`d2900e<hk:188k0b=831d9h4?::k0g?6=,8oh6>l4n0ga>5=<a:k1<7*>eb80f>h6mk0:76g<9;29 4cd2:h0b<km:398m6>=83.:in4<b:l2ag<432c8;7>5$0g`>6d<f8oi6954i5794?"6mj08n6`>ec86?>o3<3:1(<kl:2`8j4ce2?10e9=50;&2af<4j2d:io48;:k76?6=,8oh6>l4n0ga>==<a=;1<7*>eb80f>h6mk0276g;0;29 4cd2:h0b<km:`98m6`=83.:in4<b:l2ag<e32c8i7>5$0g`>6d<f8oi6n54i2f94?"6mj08n6`>ec8g?>o4>3:1(<kl:2`8j4ce2l10e9m50;&2af<3j2d:io4?;:k7e?6=,8oh69l4n0ga>4=<a=31<7*>eb87f>h6mk0976g;8;29 4cd2=h0b<km:298m11=83.:in4;b:l2ag<332c>97>5$0g`>1d<f8oi6854i4694?"6mj0?n6`>ec85?>o2;3:1(<kl:5`8j4ce2>10e8<50;&2af<3j2d:io47;:k65?6=,8oh69l4n0ga><=<a<:1<7*>eb87f>h6mk0j76g;f;29 4cd2=h0b<km:c98m1c=83.:in4;b:l2ag<d32c?h7>5$0g`>1d<f8oi6i54i5494?"6mj0?n6`>ec8f?>o?<3:1(<kl:918j4ce2910e5<50;&2af<?;2d:io4>;:k;4?6=,8oh65=4n0ga>7=<a>l1<7*>eb8;7>h6mk0876g8e;29 4cd2190b<km:598m2b=83.:in473:l2ag<232c<o7>5$0g`>=5<f8oi6;54i6`94?"6mj03?6`>ec84?>o0i3:1(<kl:918j4ce2110e:750;&2af<?;2d:io46;:k4<?6=,8oh65=4n0ga>d=<a>=1<7*>eb8;7>h6mk0i76g85;29 4cd2190b<km:b98m22=83.:in473:l2ag<c32c<?7>5$0g`>=5<f8oi6h54i6094?"6mj03?6`>ec8e?>o093:1(<kl:918j4ce28:07d9?:18'5`e=0:1e=hl51098m3`=83.:in473:l2ag<6:21b:h4?:%3fg?>43g;nn7?<;:k5`?6=,8oh65=4n0ga>42<3`<h6=4+1da9<6=i9lh1=854i9`94?"6mj03?6`>ec822>=n0h0;6)?jc;:0?k7bj3;<76g79;29 4cd2190b<km:0:8?l>?290/=hm5829m5`d=9010e5950;&2af<?;2d:io4>a:9j<3<72-;no76<;o3ff?7e32c397>5$0g`>=5<f8oi6<m4;h:2>5<#9li14>5a1d`95a=<a><1<7*>eb8;7>h6mk0:i65f6c83>!7bk3287c?jb;3e?>of=3:1(<kl:`68j4ce2910el=50;&2af<f<2d:io4>;:kb5?6=,8oh6l:4n0ga>7=<ah:1<7*>eb8b0>h6mk0876g6f;29 4cd2h>0b<km:598m<c=83.:in4n4:l2ag<232c2h7>5$0g`>d2<f8oi6;54i8a94?"6mj0j86`>ec84?>o>j3:1(<kl:`68j4ce2110e4o50;&2af<f<2d:io46;:k:=?6=,8oh6l:4n0ga>d=<a021<7*>eb8b0>h6mk0i76g66;29 4cd2h>0b<km:b98m<3=83.:in4n4:l2ag<c32c287>5$0g`>d2<f8oi6h54i8194?"6mj0j86`>ec8e?>o>:3:1(<kl:`68j4ce28:07d7>:18'5`e=i=1e=hl51098m<6=83.:in4n4:l2ag<6:21b4k4?:%3fg?g33g;nn7?<;:k;a?6=,8oh6l:4n0ga>42<3`2o6=4+1da9e1=i9lh1=854i`a94?"6mj0j86`>ec822>=nik0;6)?jc;c7?k7bj3;<76gna;29 4cd2h>0b<km:0:8?lg>290/=hm5a59m5`d=9010el650;&2af<f<2d:io4>a:9je2<72-;no7o;;o3ff?7e32cj:7>5$0g`>d2<f8oi6<m4;hc1>5<#9li1m95a1d`95a=<a0=1<7*>eb8b0>h6mk0:i65f8b83>!7bk3k?7c?jb;3e?>oe93:1(<kl:c28j4ce2910elh50;&2af<e82d:io4>;:kba?6=,8oh6o>4n0ga>7=<ahn1<7*>eb8a4>h6mk0876gm6;29 4cd2k?0b<km:198mg2=83.:in4m5:l2ag<632ci?7>5$0g`>g3<f8oi6?54ic094?"6mj0i96`>ec80?>o0=h0;6)?jc;56=>h6mk0;76g85983>!7bk3=>56`>ec82?>o0=>0;6)?jc;56=>h6mk0976g85783>!7bk3=>56`>ec80?>o0=o0;6)?jc;56a>h6mk0;76g85e83>!7bk3=>i6`>ec82?>o0=j0;6)?jc;56a>h6mk0976g85c83>!7bk3=>i6`>ec80?>ic83:1(<kl:bd8j4ce2910cnk50;&2af<dn2d:io4>;:m`g?6=,8oh6nh4n0ga>7=<gjh1<7*>eb8`b>h6mk0876ala;29 4cd2jl0b<km:598kf?=83.:in4lf:l2ag<232eh47>5$0g`>f`<f8oi6;54ob594?"6mj0hj6`>ec84?>id>3:1(<kl:bd8j4ce2110cn;50;&2af<dn2d:io46;:m`0?6=,8oh6nh4n0ga>d=<gj91<7*>eb8`b>h6mk0i76al1;29 4cd2jl0b<km:b98kf6=83.:in4lf:l2ag<c32eij7>5$0g`>f`<f8oi6h54ocg94?"6mj0hj6`>ec8e?>iel3:1(<kl:bd8j4ce28:07bll:18'5`e=ko1e=hl51098kgd=83.:in4lf:l2ag<6:21dnl4?:%3fg?ea3g;nn7?<;:ma=?6=,8oh6nh4n0ga>42<3fh36=4+1da9gc=i9lh1=854oe594?"6mj0hj6`>ec822>=hl?0;6)?jc;ae?k7bj3;<76ak5;29 4cd2jl0b<km:0:8?jb3290/=hm5cg9m5`d=9010ci=50;&2af<dn2d:io4>a:9l`7<72-;no7mi;o3ff?7e32eo=7>5$0g`>f`<f8oi6<m4;nag>5<#9li1ok5a1d`95a=<gj81<7*>eb8`b>h6mk0:i65`b683>!7bk3im7c?jb;3e?>ia:3:1(<kl:g38j4ce2910ck>50;&2af<a92d:io4>;:mfa?6=,8oh6k?4n0ga>7=<gln1<7*>eb8e5>h6mk0876ajc;29 4cd2o;0b<km:598k`d=83.:in4i1:l2ag<232enm7>5$0g`>c7<f8oi6;54od;94?"6mj0m=6`>ec84?>ib03:1(<kl:g38j4ce2110ch950;&2af<a92d:io46;:mf2?6=,8oh6k?4n0ga>d=<gl?1<7*>eb8e5>h6mk0i76aj3;29 4cd2o;0b<km:b98k`4=83.:in4i1:l2ag<c32en=7>5$0g`>c7<f8oi6h54od294?"6mj0m=6`>ec8e?>icn3:1(<kl:g38j4ce28:07bjj:18'5`e=n81e=hl51098kab=83.:in4i1:l2ag<6:21dhn4?:%3fg?`63g;nn7?<;:mgf?6=,8oh6k?4n0ga>42<3fnj6=4+1da9b4=i9lh1=854og;94?"6mj0m=6`>ec822>=hn10;6)?jc;d2?k7bj3;<76ai7;29 4cd2o;0b<km:0:8?j`1290/=hm5f09m5`d=9010ck;50;&2af<a92d:io4>a:9lb1<72-;no7h>;o3ff?7e32em?7>5$0g`>c7<f8oi6<m4;nge>5<#9li1j<5a1d`95a=<gl>1<7*>eb8e5>h6mk0:i65`d883>!7bk3l:7c?jb;3e?>ian3:1(<kl:gg8j4ce2910ckj50;&2af<am2d:io4>;:meg?6=,8oh6kk4n0ga>7=<goh1<7*>eb8ea>h6mk0876a>0583>!7bk3;;?6`>ec83?>i68;0;6)?jc;337>h6mk0:76a>0083>!7bk3;;?6`>ec81?>i6890;6)?jc;337>h6mk0876sm29;94?5=83:p(<hj:04`?M11?2B<9>5+10g96`=n9881<75f10194?=h9?<1<75rb3:`>5<3290;w)?ie;35f>N0>>1C;8=4$03f>4?<a8;96=44i030>5<<g8<=6=44o0g4>5<<uk83h7>54;294~"6nl0::o5G7758L2343-;:i7?6;h326?6=3`;:?7>5;n352?6=3f;n;7>5;|`1<`<72:0;6=u+1gg953e<@><<7E9:3:&25`<612c:=?4?::k256<722e::;4?::\7fa6=`=8391<7>t$0df>40d3A==;6F8529'54c=901b=<<50;9j545=831d=;850;9~w214290n?vP8729>310==h16;9j55`9>313==h16;9l55`9>31e==h16;9:55`9>31g==h16;8?55`9>6=0==h16>5o55`9>661==h16>>655`9>66b==h16>>k55`9>66`==h16>9>55`9>617==h16>9<55`9>615==h16>9:55`9>66?==h16>>o55`9>66d==h16>>m55`9>7`?==h16?k?55`9>7`2==h16?k<55`9>7c5==h16>io55`9>6a?==h16>il55`9>6a>==h16>h=55`9>6`4==h16>h?55`9>6a`==h16>ik55`9>6`6==h16>ij55`9>6ae==h16>i955`9>6a0==h16>ko55`9>6c?==h16>k655`9>6c0==h16?<<55`9>75`==h16?=m55`9>75?==h16?=855`9>755==h16?=>55`9>6cb==h16>k;55`9>6c4==h16?:m55`9>72d==h16?:o55`9>72?==h16?:655`9>7=2==h16?5=55`9>7=4==h16?5?55`9>7=6==h16?:h55`9>72c==h16?:j55`9>721==h16?4=55`9>7<?==h16?4655`9>016==h168>h55`9>017==h168>k55`9>01?==h1689655`9>011==h1689;55`9>012==h1689855`9>015==h1689<55`9>06b==h168>m55`9>00>==h1688755`9>00c==h1688h55`9>034==h168;>55`9>037==h168;=55`9>032==h168;;55`9>00g==h1688j55`9>00d==h1688m55`9>02b==h168:m55`9>02d==h168:655`9>0<5==h1684>55`9>0=b==h1685o55`9>0=>==h1685;55`9>0=5==h1685>55`9>021==h168::55`9>1cg==h169kl55`9>1ce==h16:=>55`9>257==h16:=<55`9>6c5==h16>kk55`9>752==h168:;55`9>0=7==h168:k55`9>0=0==h1685:55`9>0=d==h1685755`9>0<7==h16>kl55`9>75g==h16?=j55`9>6c2==h16?=?55`9>751==h16?<>55`9>745==h16>kh55`9>0=c==h1684:55`9>02?==h1685<55`9>0=1==h1684<55`9>020==h1685m55`9>02`==h1685h55`9>6c1==h16?=;55`9>754==h16>km55`9>02g==h16?=l55`9>75>==h16?<?55`9>742==h16?=k55`9>36d=>;16;>l5629>36g=>;16;>o5629>36>=>;16;>65629>361=>;16;>95629>360=>;16;>85629>363=>;16;>;5629>362=>;16;>:5629>365=>;16;>=5629>364=>;16;><5629>367=>;16;>?5629>366=>;16;>>5629>37`=>;16;?h5629>37b=>;16;?j5629>37e=>;16;?m5629>37d=>;16;?l5629>37g=>;16;?o5629>37?=>;16;?75629>37>=>;16;?65629>371=>;16;?95629>370=>;16;?85629>373=>;16;?;5629>372=>;16;?:5629>314=>;16;9<5629>317=>;16;9?5629>316=>;16;9>5629>36`=>;16;>h5629>36c=>;16;>k5629>36b=>;16;>j5629>36e=>;16;>m5629>36?=>;16;>75629>37c=>;16;?k5629>375=>;16;?=5629~w=gf290iwS6na:?1<g<69;16?4m51008972128;>70<60;326>;4mo0:=85229;9544<5;2h6<?=;<0;`?76:2794h4>139>6=`=9880q~6n8;29e06|V>3:7S6m2:\;f5=Y??l0R5ok;_:1a>X?j81U4?h4^9`:?[>e02T3n:5Q8c48Z=e63W2h<6P7bg9]<gc<V1ho7S6mc:\;fg=Y0kk0R5l:;_:a0>X?1o1U44k4^9;g?[>>k2T3m:5Q8`48Z=g23W2j86P7a29]<d4<V1k:7S6n0:\;=g=Y00k01:87:033?813j3<3709;4;4;?813i3<3709:1;4;?846n3;:963=5285e>;5=;0=m63=5085e>;5=90=m63=4g85e>;5<l0=m63=4e85e>;5?<0=m63=7585e>;5?:0=m63=7385e>;5?80=m63=7185e>;5>o0=m63<a285e>;4i=0=m63<a485e>;4i?0=m63<a685e>;4i10=m63<a885e>;4j90=m63<b085e>;4j;0=m63<b285e>;4j=0=m63<b485e>;4j?0=m63<cd85e>;4ko0=m63<d185e>;4l80=m63<d385e>;4l:0=m63<d585e>;4n:0=463=a485e>;5i:0=m63=a085e>;5j>0=m63=b485e>;5j:0=m63=b085e>;5io0=m63=ae85e>;5ik0=m63=a885e>;5i>0=m63=9g85e>;49<0:j;5235792d=:;=>1:l5235192d=:;=81:l5235392d=:;=:1:l5232d92d=:<9h1:l5241;92d=:<9=1:l5240f92d=:<8h1:l5240;92d=:<8=1:l5240792d=:<891:l5240392d=:<9l1:l5241f92d=:<9?1:l5272`91g=:?:h19n5272`95ce<5>9i6<hk;<50f?5d34=8n7=j;<50f?5c34=8n7=9;<50f?2d34=8n7:j;<50f?2c34=8n7:9;<50f?>334=8n76=;<50f?>734=8n79i;<50f?1b34=8n79k;<50f?1d34=8n79m;<50f?1f34=8n796;<50f?1?34=8n798;<50f?1234=8n79;;<50f?1434=8n79=;<50f?1634=8n79?;<50f?0a34=8n78j;<50f?0c34=8n78l;<50f?>e34=8n76n;<50f?g234=8n7o<;<50f?g634=8n7o?;<50f??a34=8n77j;<50f??c34=8n77l;<50f??e34=8n77n;<50f??>34=8n777;<50f??134=8n77:;<50f??334=8n77<;<50f??534=8n77>;<50f??734=8n76i;<50f?>b34=8n76k;<50f?gd34=8n7om;<50f?gf34=8n7o6;<50f?g?34=8n7o8;<50f?g134=8n7o=;<50f??034=8n76l;<50f?d634=8n7oi;<50f?gb34=8n7l9;<50f?d334=8n7l<;<50f?d534=8n79:f:?47g<0=m16;>l574a8925e2>?i709<a;7a?814i3?h709<a;3eg>;0;h0:ji5272c97f=:?:k1?h5272c97a=:?:k1?;5272c90f=:?:k18h5272c90a=:?:k18;5272c9<1=:?:k14?5272c9<5=:?:k1;k5272c93`=:?:k1;i5272c93f=:?:k1;o5272c93d=:?:k1;45272c93==:?:k1;:5272c930=:?:k1;95272c936=:?:k1;?5272c934=:?:k1;=5272c92c=:?:k1:h5272c92a=:?:k1:n5272c9<g=:?:k14l5272c9e0=:?:k1m>5272c9e4=:?:k1m=5272c9=c=:?:k15h5272c9=a=:?:k15n5272c9=g=:?:k15l5272c9=<=:?:k1555272c9=3=:?:k1585272c9=1=:?:k15>5272c9=7=:?:k15<5272c9=5=:?:k14k5272c9<`=:?:k14i5272c9ef=:?:k1mo5272c9ed=:?:k1m45272c9e==:?:k1m:5272c9e3=:?:k1m?5272c9=2=:?:k14n5272c9f4=:?:k1mk5272c9e`=:?:k1n;5272c9f1=:?:k1n>5272c9f7=:?:k1;8h4=61b>23c34=8m79:c:?47d<0=k16;>655c9>36>==j16;>651ga8925?28lo709<8;1`?814039n709<8;1g?814039=709<8;6`?81403>n709<8;6g?81403>=709<8;:7?8140329709<8;:3?81403=m709<8;5f?81403=o709<8;5`?81403=i709<8;5b?81403=2709<8;5;?81403=<709<8;56?81403=?709<8;50?81403=9709<8;52?81403=;709<8;4e?81403<n709<8;4g?81403<h709<8;:a?814032j709<8;c6?81403k8709<8;c2?81403k;709<8;;e?814033n709<8;;g?814033h709<8;;a?814033j709<8;;:?8140333709<8;;5?814033>709<8;;7?8140338709<8;;1?814033:709<8;;3?814032m709<8;:f?814032o709<8;c`?81403ki709<8;cb?81403k2709<8;c;?81403k<709<8;c5?81403k9709<8;;4?814032h709<8;`2?81403km709<8;cf?81403h=709<8;`7?81403h8709<8;`1?81403=>j63839841a=:?:21;8m4=61;>23e34=8;7;m;<503?3d34=8;7?ic:?472<6nm16;>953b9>361=;l16;>953e9>361=;?16;>954b9>361=<l16;>954e9>361=<?16;>95859>361=0;16;>95819>361=?o16;>957d9>361=?m16;>957b9>361=?k16;>957`9>361=?016;>95799>361=?>16;>95749>361=?=16;>95729>361=?;16;>95709>361=?916;>956g9>361=>l16;>956e9>361=>j16;>958c9>361=0h16;>95a49>361=i:16;>95a09>361=i916;>959g9>361=1l16;>959e9>361=1j16;>959c9>361=1h16;>95989>361=1116;>95979>361=1<16;>95959>361=1:16;>95939>361=1816;>95919>361=0o16;>958d9>361=0m16;>95ab9>361=ik16;>95a`9>361=i016;>95a99>361=i>16;>95a79>361=i;16;>95969>361=0j16;>95b09>361=io16;>95ad9>361=j?16;>95b59>361=j:16;>95b39>361=?<l01:=8:67g?814?3=>o63836841g=:?:<19o5272491f=:?:<1=km4=615>4`c34=8:7=l;<502?5b34=8:7=k;<502?5134=8:7:l;<502?2b34=8:7:k;<502?2134=8:76;;<502?>534=8:76?;<502?1a34=8:79j;<502?1c34=8:79l;<502?1e34=8:79n;<502?1>34=8:797;<502?1034=8:79:;<502?1334=8:79<;<502?1534=8:79>;<502?1734=8:78i;<502?0b34=8:78k;<502?0d34=8:76m;<502?>f34=8:7o:;<502?g434=8:7o>;<502?g734=8:77i;<502??b34=8:77k;<502??d34=8:77m;<502??f34=8:776;<502???34=8:779;<502??234=8:77;;<502??434=8:77=;<502??634=8:77?;<502?>a34=8:76j;<502?>c34=8:7ol;<502?ge34=8:7on;<502?g>34=8:7o7;<502?g034=8:7o9;<502?g534=8:778;<502?>d34=8:7l>;<502?ga34=8:7oj;<502?d134=8:7l;;<502?d434=8:7l=;<502?12n27<?;485e9>360=?<i01:=9:67a?814=3?i709<5;7`?814=3;mo6383482ba=:?:?1?n5272797`=:?:?1?i52727973=:?:?18n5272790`=:?:?18i52727903=:?:?149527279<7=:?:?14=5272793c=:?:?1;h5272793a=:?:?1;n5272793g=:?:?1;l5272793<=:?:?1;552727932=:?:?1;852727931=:?:?1;>52727937=:?:?1;<52727935=:?:?1:k5272792`=:?:?1:i5272792f=:?:?14o527279<d=:?:?1m8527279e6=:?:?1m<527279e5=:?:?15k527279=`=:?:?15i527279=f=:?:?15o527279=d=:?:?154527279===:?:?15;527279=0=:?:?159527279=6=:?:?15?527279=4=:?:?15=527279<c=:?:?14h527279<a=:?:?1mn527279eg=:?:?1ml527279e<=:?:?1m5527279e2=:?:?1m;527279e7=:?:?15:527279<f=:?:?1n<527279ec=:?:?1mh527279f3=:?:?1n9527279f6=:?:?1n?52727930`<5>9>6:;k;<501?12k27<?8485c9>362==k16;>:55b9>362=9oi01:=;:0dg?814<39h709<4;1f?814<39o709<4;15?814<3>h709<4;6f?814<3>o709<4;65?814<32?709<4;:1?814<32;709<4;5e?814<3=n709<4;5g?814<3=h709<4;5a?814<3=j709<4;5:?814<3=3709<4;54?814<3=>709<4;57?814<3=8709<4;51?814<3=:709<4;53?814<3<m709<4;4f?814<3<o709<4;4`?814<32i709<4;:b?814<3k>709<4;c0?814<3k:709<4;c3?814<33m709<4;;f?814<33o709<4;;`?814<33i709<4;;b?814<332709<4;;;?814<33=709<4;;6?814<33?709<4;;0?814<339709<4;;2?814<33;709<4;:e?814<32n709<4;:g?814<3kh709<4;ca?814<3kj709<4;c:?814<3k3709<4;c4?814<3k=709<4;c1?814<33<709<4;:`?814<3h:709<4;ce?814<3kn709<4;`5?814<3h?709<4;`0?814<3h9709<4;56b>;0;=0<9i52726930e<5>9?6:;m;<507?3e34=8?7;l;<507?7ak27<?>4>fe9>365=;j16;>=53d9>365=;m16;>=5379>365=<j16;>=54d9>365=<m16;>=5479>365=0=16;>=5839>365=0916;>=57g9>365=?l16;>=57e9>365=?j16;>=57c9>365=?h16;>=5789>365=?116;>=5769>365=?<16;>=5759>365=?:16;>=5739>365=?816;>=5719>365=>o16;>=56d9>365=>m16;>=56b9>365=0k16;>=58`9>365=i<16;>=5a29>365=i816;>=5a19>365=1o16;>=59d9>365=1m16;>=59b9>365=1k16;>=59`9>365=1016;>=5999>365=1?16;>=5949>365=1=16;>=5929>365=1;16;>=5909>365=1916;>=58g9>365=0l16;>=58e9>365=ij16;>=5ac9>365=ih16;>=5a89>365=i116;>=5a69>365=i?16;>=5a39>365=1>16;>=58b9>365=j816;>=5ag9>365=il16;>=5b79>365=j=16;>=5b29>365=j;16;>=574d892542>?o709<3;56g>;0;:0<9o5272091g=:?:819n5272095ce<5>996<hk;<506?5d34=8>7=j;<506?5c34=8>7=9;<506?2d34=8>7:j;<506?2c34=8>7:9;<506?>334=8>76=;<506?>734=8>79i;<506?1b34=8>79k;<506?1d34=8>79m;<506?1f34=8>796;<506?1?34=8>798;<506?1234=8>79;;<506?1434=8>79=;<506?1634=8>79?;<506?0a34=8>78j;<506?0c34=8>78l;<506?>e34=8>76n;<506?g234=8>7o<;<506?g634=8>7o?;<506??a34=8>77j;<506??c34=8>77l;<506??e34=8>77n;<506??>34=8>777;<506??134=8>77:;<506??334=8>77<;<506??534=8>77>;<506??734=8>76i;<506?>b34=8>76k;<506?gd34=8>7om;<506?gf34=8>7o6;<506?g?34=8>7o8;<506?g134=8>7o=;<506??034=8>76l;<506?d634=8>7oi;<506?gb34=8>7l9;<506?d334=8>7l<;<506?d534=8>79:f:?477<0=m16;><574a892552>?i709<1;7a?81493?h709<1;3eg>;0;80:ji5272397f=:?:;1?h5272397a=:?:;1?;5272390f=:?:;18h5272390a=:?:;18;527239<1=:?:;14?527239<5=:?:;1;k5272393`=:?:;1;i5272393f=:?:;1;o5272393d=:?:;1;45272393==:?:;1;:52723930=:?:;1;952723936=:?:;1;?52723934=:?:;1;=5272392c=:?:;1:h5272392a=:?:;1:n527239<g=:?:;14l527239e0=:?:;1m>527239e4=:?:;1m=527239=c=:?:;15h527239=a=:?:;15n527239=g=:?:;15l527239=<=:?:;155527239=3=:?:;158527239=1=:?:;15>527239=7=:?:;15<527239=5=:?:;14k527239<`=:?:;14i527239ef=:?:;1mo527239ed=:?:;1m4527239e==:?:;1m:527239e3=:?:;1m?527239=2=:?:;14n527239f4=:?:;1mk527239e`=:?:;1n;527239f1=:?:;1n>527239f7=:?:;1;8h4=612>23c34=8=79:c:?474<0=k16;>>55c9>366==j16;>>51ga8925728lo709<0;1`?814839n709<0;1g?814839=709<0;6`?81483>n709<0;6g?81483>=709<0;:7?8148329709<0;:3?81483=m709<0;5f?81483=o709<0;5`?81483=i709<0;5b?81483=2709<0;5;?81483=<709<0;56?81483=?709<0;50?81483=9709<0;52?81483=;709<0;4e?81483<n709<0;4g?81483<h709<0;:a?814832j709<0;c6?81483k8709<0;c2?81483k;709<0;;e?814833n709<0;;g?814833h709<0;;a?814833j709<0;;:?8148333709<0;;5?814833>709<0;;7?8148338709<0;;1?814833:709<0;;3?814832m709<0;:f?814832o709<0;c`?81483ki709<0;cb?81483k2709<0;c;?81483k<709<0;c5?81483k9709<0;;4?814832h709<0;`2?81483km709<0;cf?81483h=709<0;`7?81483h8709<0;`1?81483=>j63831841a=:?::1;8m4=613>23e34=9j7;m;<51b?3d34=9j7?ic:?46c<6nm16;?h53b9>37`=;l16;?h53e9>37`=;?16;?h54b9>37`=<l16;?h54e9>37`=<?16;?h5859>37`=0;16;?h5819>37`=?o16;?h57d9>37`=?m16;?h57b9>37`=?k16;?h57`9>37`=?016;?h5799>37`=?>16;?h5749>37`=?=16;?h5729>37`=?;16;?h5709>37`=?916;?h56g9>37`=>l16;?h56e9>37`=>j16;?h58c9>37`=0h16;?h5a49>37`=i:16;?h5a09>37`=i916;?h59g9>37`=1l16;?h59e9>37`=1j16;?h59c9>37`=1h16;?h5989>37`=1116;?h5979>37`=1<16;?h5959>37`=1:16;?h5939>37`=1816;?h5919>37`=0o16;?h58d9>37`=0m16;?h5ab9>37`=ik16;?h5a`9>37`=i016;?h5a99>37`=i>16;?h5a79>37`=i;16;?h5969>37`=0j16;?h5b09>37`=io16;?h5ad9>37`=j?16;?h5b59>37`=j:16;?h5b39>37`=?<l01:<i:67g?815n3=>o6382g841g=:?;n19o5273f91f=:?;n1=km4=60g>4`c34=9h7=l;<51`?5b34=9h7=k;<51`?5134=9h7:l;<51`?2b34=9h7:k;<51`?2134=9h76;;<51`?>534=9h76?;<51`?1a34=9h79j;<51`?1c34=9h79l;<51`?1e34=9h79n;<51`?1>34=9h797;<51`?1034=9h79:;<51`?1334=9h79<;<51`?1534=9h79>;<51`?1734=9h78i;<51`?0b34=9h78k;<51`?0d34=9h76m;<51`?>f34=9h7o:;<51`?g434=9h7o>;<51`?g734=9h77i;<51`??b34=9h77k;<51`??d34=9h77m;<51`??f34=9h776;<51`???34=9h779;<51`??234=9h77;;<51`??434=9h77=;<51`??634=9h77?;<51`?>a34=9h76j;<51`?>c34=9h7ol;<51`?ge34=9h7on;<51`?g>34=9h7o7;<51`?g034=9h7o9;<51`?g534=9h778;<51`?>d34=9h7l>;<51`?ga34=9h7oj;<51`?d134=9h7l;;<51`?d434=9h7l=;<51`?12n27<>i485e9>37b=?<i01:<k:67a?815k3?i709=c;7`?815k3;mo6382b82ba=:?;i1?n5273a97`=:?;i1?i5273a973=:?;i18n5273a90`=:?;i18i5273a903=:?;i1495273a9<7=:?;i14=5273a93c=:?;i1;h5273a93a=:?;i1;n5273a93g=:?;i1;l5273a93<=:?;i1;55273a932=:?;i1;85273a931=:?;i1;>5273a937=:?;i1;<5273a935=:?;i1:k5273a92`=:?;i1:i5273a92f=:?;i14o5273a9<d=:?;i1m85273a9e6=:?;i1m<5273a9e5=:?;i15k5273a9=`=:?;i15i5273a9=f=:?;i15o5273a9=d=:?;i1545273a9===:?;i15;5273a9=0=:?;i1595273a9=6=:?;i15?5273a9=4=:?;i15=5273a9<c=:?;i14h5273a9<a=:?;i1mn5273a9eg=:?;i1ml5273a9e<=:?;i1m55273a9e2=:?;i1m;5273a9e7=:?;i15:5273a9<f=:?;i1n<5273a9ec=:?;i1mh5273a9f3=:?;i1n95273a9f6=:?;i1n?5273a930`<5>8h6:;k;<51g?12k27<>n485c9>37d==k16;?l55b9>37d=9oi01:<m:0dg?815j39h709=b;1f?815j39o709=b;15?815j3>h709=b;6f?815j3>o709=b;65?815j32?709=b;:1?815j32;709=b;5e?815j3=n709=b;5g?815j3=h709=b;5a?815j3=j709=b;5:?815j3=3709=b;54?815j3=>709=b;57?815j3=8709=b;51?815j3=:709=b;53?815j3<m709=b;4f?815j3<o709=b;4`?815j32i709=b;:b?815j3k>709=b;c0?815j3k:709=b;c3?815j33m709=b;;f?815j33o709=b;;`?815j33i709=b;;b?815j332709=b;;;?815j33=709=b;;6?815j33?709=b;;0?815j339709=b;;2?815j33;709=b;:e?815j32n709=b;:g?815j3kh709=b;ca?815j3kj709=b;c:?815j3k3709=b;c4?815j3k=709=b;c1?815j33<709=b;:`?815j3h:709=b;ce?815j3kn709=b;`5?815j3h?709=b;`0?815j3h9709=b;56b>;0:k0<9i5273`930e<5>8i6:;m;<51e?3e34=9m7;l;<51e?7ak27<>l4>fe9>37g=;j16;?o53d9>37g=;m16;?o5379>37g=<j16;?o54d9>37g=<m16;?o5479>37g=0=16;?o5839>37g=0916;?o57g9>37g=?l16;?o57e9>37g=?j16;?o57c9>37g=?h16;?o5789>37g=?116;?o5769>37g=?<16;?o5759>37g=?:16;?o5739>37g=?816;?o5719>37g=>o16;?o56d9>37g=>m16;?o56b9>37g=0k16;?o58`9>37g=i<16;?o5a29>37g=i816;?o5a19>37g=1o16;?o59d9>37g=1m16;?o59b9>37g=1k16;?o59`9>37g=1016;?o5999>37g=1?16;?o5949>37g=1=16;?o5929>37g=1;16;?o5909>37g=1916;?o58g9>37g=0l16;?o58e9>37g=ij16;?o5ac9>37g=ih16;?o5a89>37g=i116;?o5a69>37g=i?16;?o5a39>37g=1>16;?o58b9>37g=j816;?o5ag9>37g=il16;?o5b79>37g=j=16;?o5b29>37g=j;16;?o574d8924f2>?o709=a;56g>;0:h0<9o5273;91g=:?;319n5273;95ce<5>826<hk;<51=?5d34=957=j;<51=?5c34=957=9;<51=?2d34=957:j;<51=?2c34=957:9;<51=?>334=9576=;<51=?>734=9579i;<51=?1b34=9579k;<51=?1d34=9579m;<51=?1f34=95796;<51=?1?34=95798;<51=?1234=9579;;<51=?1434=9579=;<51=?1634=9579?;<51=?0a34=9578j;<51=?0c34=9578l;<51=?>e34=9576n;<51=?g234=957o<;<51=?g634=957o?;<51=??a34=9577j;<51=??c34=9577l;<51=??e34=9577n;<51=??>34=95777;<51=??134=9577:;<51=??334=9577<;<51=??534=9577>;<51=??734=9576i;<51=?>b34=9576k;<51=?gd34=957om;<51=?gf34=957o6;<51=?g?34=957o8;<51=?g134=957o=;<51=??034=9576l;<51=?d634=957oi;<51=?gb34=957l9;<51=?d334=957l<;<51=?d534=9579:f:?46<<0=m16;?7574a8924>2>?i709=8;7a?81503?h709=8;3eg>;0:10:ji5273:97f=:?;21?h5273:97a=:?;21?;5273:90f=:?;218h5273:90a=:?;218;5273:9<1=:?;214?5273:9<5=:?;21;k5273:93`=:?;21;i5273:93f=:?;21;o5273:93d=:?;21;45273:93==:?;21;:5273:930=:?;21;95273:936=:?;21;?5273:934=:?;21;=5273:92c=:?;21:h5273:92a=:?;21:n5273:9<g=:?;214l5273:9e0=:?;21m>5273:9e4=:?;21m=5273:9=c=:?;215h5273:9=a=:?;215n5273:9=g=:?;215l5273:9=<=:?;21555273:9=3=:?;21585273:9=1=:?;215>5273:9=7=:?;215<5273:9=5=:?;214k5273:9<`=:?;214i5273:9ef=:?;21mo5273:9ed=:?;21m45273:9e==:?;21m:5273:9e3=:?;21m?5273:9=2=:?;214n5273:9f4=:?;21mk5273:9e`=:?;21n;5273:9f1=:?;21n>5273:9f7=:?;21;8h4=60;>23c34=9479:c:?46=<0=k16;?955c9>371==j16;?951ga8924028lo709=7;1`?815?39n709=7;1g?815?39=709=7;6`?815?3>n709=7;6g?815?3>=709=7;:7?815?329709=7;:3?815?3=m709=7;5f?815?3=o709=7;5`?815?3=i709=7;5b?815?3=2709=7;5;?815?3=<709=7;56?815?3=?709=7;50?815?3=9709=7;52?815?3=;709=7;4e?815?3<n709=7;4g?815?3<h709=7;:a?815?32j709=7;c6?815?3k8709=7;c2?815?3k;709=7;;e?815?33n709=7;;g?815?33h709=7;;a?815?33j709=7;;:?815?333709=7;;5?815?33>709=7;;7?815?338709=7;;1?815?33:709=7;;3?815?32m709=7;:f?815?32o709=7;c`?815?3ki709=7;cb?815?3k2709=7;c;?815?3k<709=7;c5?815?3k9709=7;;4?815?32h709=7;`2?815?3km709=7;cf?815?3h=709=7;`7?815?3h8709=7;`1?815?3=>j63826841a=:?;=1;8m4=604>23e34=9:7;m;<512?3d34=9:7?ic:?463<6nm16;?853b9>370=;l16;?853e9>370=;?16;?854b9>370=<l16;?854e9>370=<?16;?85859>370=0;16;?85819>370=?o16;?857d9>370=?m16;?857b9>370=?k16;?857`9>370=?016;?85799>370=?>16;?85749>370=?=16;?85729>370=?;16;?85709>370=?916;?856g9>370=>l16;?856e9>370=>j16;?858c9>370=0h16;?85a49>370=i:16;?85a09>370=i916;?859g9>370=1l16;?859e9>370=1j16;?859c9>370=1h16;?85989>370=1116;?85979>370=1<16;?85959>370=1:16;?85939>370=1816;?85919>370=0o16;?858d9>370=0m16;?85ab9>370=ik16;?85a`9>370=i016;?85a99>370=i>16;?85a79>370=i;16;?85969>370=0j16;?85b09>370=io16;?85ad9>370=j?16;?85b59>370=j:16;?85b39>370=?<l01:<9:67g?815>3=>o63827841g=:?;?19o5273791f=:?;?1=km4=606>4`c34=997=l;<511?5b34=997=k;<511?5134=997:l;<511?2b34=997:k;<511?2134=9976;;<511?>534=9976?;<511?1a34=9979j;<511?1c34=9979l;<511?1e34=9979n;<511?1>34=99797;<511?1034=9979:;<511?1334=9979<;<511?1534=9979>;<511?1734=9978i;<511?0b34=9978k;<511?0d34=9976m;<511?>f34=997o:;<511?g434=997o>;<511?g734=9977i;<511??b34=9977k;<511??d34=9977m;<511??f34=99776;<511???34=99779;<511??234=9977;;<511??434=9977=;<511??634=9977?;<511?>a34=9976j;<511?>c34=997ol;<511?ge34=997on;<511?g>34=997o7;<511?g034=997o9;<511?g534=99778;<511?>d34=997l>;<511?ga34=997oj;<511?d134=997l;;<511?d434=997l=;<511?12n27<>8485e9>373=?<i01:<::67a?815<3?i709=4;7`?815<3;mo6382582ba=:?;>1?n5273697`=:?;>1?i52736973=:?;>18n5273690`=:?;>18i52736903=:?;>149527369<7=:?;>14=5273693c=:?;>1;h5273693a=:?;>1;n5273693g=:?;>1;l5273693<=:?;>1;552736932=:?;>1;852736931=:?;>1;>52736937=:?;>1;<52736935=:?;>1:k5273692`=:?;>1:i5273692f=:?;>14o527369<d=:?;>1m8527369e6=:?;>1m<527369e5=:?;>15k527369=`=:?;>15i527369=f=:?;>15o527369=d=:?;>154527369===:?;>15;527369=0=:?;>159527369=6=:?;>15?527369=4=:?;>15=527369<c=:?;>14h527369<a=:?;>1mn527369eg=:?;>1ml527369e<=:?;>1m5527369e2=:?;>1m;527369e7=:?;>15:527369<f=:?;>1n<527369ec=:?;>1mh527369f3=:?;>1n9527369f6=:?;>1n?52736930`<5>8?6:;k;<510?12k27<>9485c9>314==k16;9<55b9>314=9oi01::=:0dg?813:39h709;2;1f?813:39o709;2;15?813:3>h709;2;6f?813:3>o709;2;65?813:32?709;2;:1?813:32;709;2;5e?813:3=n709;2;5g?813:3=h709;2;5a?813:3=j709;2;5:?813:3=3709;2;54?813:3=>709;2;57?813:3=8709;2;51?813:3=:709;2;53?813:3<m709;2;4f?813:3<o709;2;4`?813:32i709;2;:b?813:3k>709;2;c0?813:3k:709;2;c3?813:33m709;2;;f?813:33o709;2;;`?813:33i709;2;;b?813:332709;2;;;?813:33=709;2;;6?813:33?709;2;;0?813:339709;2;;2?813:33;709;2;:e?813:32n709;2;:g?813:3kh709;2;ca?813:3kj709;2;c:?813:3k3709;2;c4?813:3k=709;2;c1?813:33<709;2;:`?813:3h:709;2;ce?813:3kn709;2;`5?813:3h?709;2;`0?813:3h9709;2;56b>;0<;0<9i52750930e<5>>96:;m;<575?3e34=?=7;l;<575?7ak27<8<4>fe9>317=;j16;9?53d9>317=;m16;9?5379>317=<j16;9?54d9>317=<m16;9?5479>317=0=16;9?5839>317=0916;9?57g9>317=?l16;9?57e9>317=?j16;9?57c9>317=?h16;9?5789>317=?116;9?5769>317=?<16;9?5759>317=?:16;9?5739>317=?816;9?5719>317=>o16;9?56d9>317=>m16;9?56b9>317=0k16;9?58`9>317=i<16;9?5a29>317=i816;9?5a19>317=1o16;9?59d9>317=1m16;9?59b9>317=1k16;9?59`9>317=1016;9?5999>317=1?16;9?5949>317=1=16;9?5929>317=1;16;9?5909>317=1916;9?58g9>317=0l16;9?58e9>317=ij16;9?5ac9>317=ih16;9?5a89>317=i116;9?5a69>317=i?16;9?5a39>317=1>16;9?58b9>317=j816;9?5ag9>317=il16;9?5b79>317=j=16;9?5b29>317=j;16;9?574d892262>?o709;1;56g>;0<80<9o5275291g=:?=:19n5275295ce<5>>;6<hk;<574?5d34=?<7=j;<574?5c34=?<7=9;<574?2d34=?<7:j;<574?2c34=?<7:9;<574?>334=?<76=;<574?>734=?<79i;<574?1b34=?<79k;<574?1d34=?<79m;<574?1f34=?<796;<574?1?34=?<798;<574?1234=?<79;;<574?1434=?<79=;<574?1634=?<79?;<574?0a34=?<78j;<574?0c34=?<78l;<574?>e34=?<76n;<574?g234=?<7o<;<574?g634=?<7o?;<574??a34=?<77j;<574??c34=?<77l;<574??e34=?<77n;<574??>34=?<777;<574??134=?<77:;<574??334=?<77<;<574??534=?<77>;<574??734=?<76i;<574?>b34=?<76k;<574?gd34=?<7om;<574?gf34=?<7o6;<574?g?34=?<7o8;<574?g134=?<7o=;<574??034=?<76l;<574?d634=?<7oi;<574?gb34=?<7l9;<574?d334=?<7l<;<574?d534=?<79:f:?405<0=m16;9>574a892272>?i709<f;7a?814n3?h709<f;3eg>;0;o0:ji5272d97f=:?:l1?h5272d97a=:?:l1?;5272d90f=:?:l18h5272d90a=:?:l18;5272d9<1=:?:l14?5272d9<5=:?:l1;k5272d93`=:?:l1;i5272d93f=:?:l1;o5272d93d=:?:l1;45272d93==:?:l1;:5272d930=:?:l1;95272d936=:?:l1;?5272d934=:?:l1;=5272d92c=:?:l1:h5272d92a=:?:l1:n5272d9<g=:?:l14l5272d9e0=:?:l1m>5272d9e4=:?:l1m=5272d9=c=:?:l15h5272d9=a=:?:l15n5272d9=g=:?:l15l5272d9=<=:?:l1555272d9=3=:?:l1585272d9=1=:?:l15>5272d9=7=:?:l15<5272d9=5=:?:l14k5272d9<`=:?:l14i5272d9ef=:?:l1mo5272d9ed=:?:l1m45272d9e==:?:l1m:5272d9e3=:?:l1m?5272d9=2=:?:l14n5272d9f4=:?:l1mk5272d9e`=:?:l1n;5272d9f1=:?:l1n>5272d9f7=:?:l1;8h4=61e>23c34=8j79:c:?47c<0=k16;>k55c9>36c==j16;>k51ga8925b28lo709<e;1`?814m39n709<e;1g?814m39=709<e;6`?814m3>n709<e;6g?814m3>=709<e;:7?814m329709<e;:3?814m3=m709<e;5f?814m3=o709<e;5`?814m3=i709<e;5b?814m3=2709<e;5;?814m3=<709<e;56?814m3=?709<e;50?814m3=9709<e;52?814m3=;709<e;4e?814m3<n709<e;4g?814m3<h709<e;:a?814m32j709<e;c6?814m3k8709<e;c2?814m3k;709<e;;e?814m33n709<e;;g?814m33h709<e;;a?814m33j709<e;;:?814m333709<e;;5?814m33>709<e;;7?814m338709<e;;1?814m33:709<e;;3?814m32m709<e;:f?814m32o709<e;c`?814m3ki709<e;cb?814m3k2709<e;c;?814m3k<709<e;c5?814m3k9709<e;;4?814m32h709<e;`2?814m3km709<e;cf?814m3h=709<e;`7?814m3h8709<e;`1?814m3=>j6383d841a=:?:o1;8m4=61f>23e34=8h7;m;<50`?3d34=8h7?ic:?47a<6nm16;>j53b9>36b=;l16;>j53e9>36b=;?16;>j54b9>36b=<l16;>j54e9>36b=<?16;>j5859>36b=0;16;>j5819>36b=?o16;>j57d9>36b=?m16;>j57b9>36b=?k16;>j57`9>36b=?016;>j5799>36b=?>16;>j5749>36b=?=16;>j5729>36b=?;16;>j5709>36b=?916;>j56g9>36b=>l16;>j56e9>36b=>j16;>j58c9>36b=0h16;>j5a49>36b=i:16;>j5a09>36b=i916;>j59g9>36b=1l16;>j59e9>36b=1j16;>j59c9>36b=1h16;>j5989>36b=1116;>j5979>36b=1<16;>j5959>36b=1:16;>j5939>36b=1816;>j5919>36b=0o16;>j58d9>36b=0m16;>j5ab9>36b=ik16;>j5a`9>36b=i016;>j5a99>36b=i>16;>j5a79>36b=i;16;>j5969>36b=0j16;>j5b09>36b=io16;>j5ad9>36b=j?16;>j5b59>36b=j:16;>j5b39>36b=?<l01:=k:67g?814l3=>o6383e841g=:?:i19o5272a91f=:?:i1=km4=61`>4`c34=8o7=l;<50g?5b34=8o7=k;<50g?5134=8o7:l;<50g?2b34=8o7:k;<50g?2134=8o76;;<50g?>534=8o76?;<50g?1a34=8o79j;<50g?1c34=8o79l;<50g?1e34=8o79n;<50g?1>34=8o797;<50g?1034=8o79:;<50g?1334=8o79<;<50g?1534=8o79>;<50g?1734=8o78i;<50g?0b34=8o78k;<50g?0d34=8o76m;<50g?>f34=8o7o:;<50g?g434=8o7o>;<50g?g734=8o77i;<50g??b34=8o77k;<50g??d34=8o77m;<50g??f34=8o776;<50g???34=8o779;<50g??234=8o77;;<50g??434=8o77=;<50g??634=8o77?;<50g?>a34=8o76j;<50g?>c34=8o7ol;<50g?ge34=8o7on;<50g?g>34=8o7o7;<50g?g034=8o7o9;<50g?g534=8o778;<50g?>d34=8o7l>;<50g?ga34=8o7oj;<50g?d134=8o7l;;<50g?d434=8o7l=;<50g?12n27<?n485e9>36e=?<i01:=l:67a?81413?i709<9;7`?81413;mo6383882ba=:?:31?n5272;97`=:?:31?i5272;973=:?:318n5272;90`=:?:318i5272;903=:?:31495272;9<7=:?:314=5272;93c=:?:31;h5272;93a=:?:31;n5272;93g=:?:31;l5272;93<=:?:31;55272;932=:?:31;85272;931=:?:31;>5272;937=:?:31;<5272;935=:?:31:k5272;92`=:?:31:i5272;92f=:?:314o5272;9<d=:?:31m85272;9e6=:?:31m<5272;9e5=:?:315k5272;9=`=:?:315i5272;9=f=:?:315o5272;9=d=:?:31545272;9===:?:315;5272;9=0=:?:31595272;9=6=:?:315?5272;9=4=:?:315=5272;9<c=:?:314h5272;9<a=:?:31mn5272;9eg=:?:31ml5272;9e<=:?:31m55272;9e2=:?:31m;5272;9e7=:?:315:5272;9<f=:?:31n<5272;9ec=:?:31mh5272;9f3=:?:31n95272;9f6=:?:31n?5272;930`<5>926:;k;<50=?12k27<?4485c9>37c==k16;?k55b9>37c=9oi01:<j:0dg?815m39h709=e;1f?815m39o709=e;15?815m3>h709=e;6f?815m3>o709=e;65?815m32?709=e;:1?815m32;709=e;5e?815m3=n709=e;5g?815m3=h709=e;5a?815m3=j709=e;5:?815m3=3709=e;54?815m3=>709=e;57?815m3=8709=e;51?815m3=:709=e;53?815m3<m709=e;4f?815m3<o709=e;4`?815m32i709=e;:b?815m3k>709=e;c0?815m3k:709=e;c3?815m33m709=e;;f?815m33o709=e;;`?815m33i709=e;;b?815m332709=e;;;?815m33=709=e;;6?815m33?709=e;;0?815m339709=e;;2?815m33;709=e;:e?815m32n709=e;:g?815m3kh709=e;ca?815m3kj709=e;c:?815m3k3709=e;c4?815m3k=709=e;c1?815m33<709=e;:`?815m3h:709=e;ce?815m3kn709=e;`5?815m3h?709=e;`0?815m3h9709=e;56b>;0:l0<9i5273g930e<5>8n6:;m;<517?3e34=9?7;l;<517?7ak27<>>4>fe9>375=;j16;?=53d9>375=;m16;?=5379>375=<j16;?=54d9>375=<m16;?=5479>375=0=16;?=5839>375=0916;?=57g9>375=?l16;?=57e9>375=?j16;?=57c9>375=?h16;?=5789>375=?116;?=5769>375=?<16;?=5759>375=?:16;?=5739>375=?816;?=5719>375=>o16;?=56d9>375=>m16;?=56b9>375=0k16;?=58`9>375=i<16;?=5a29>375=i816;?=5a19>375=1o16;?=59d9>375=1m16;?=59b9>375=1k16;?=59`9>375=1016;?=5999>375=1?16;?=5949>375=1=16;?=5929>375=1;16;?=5909>375=1916;?=58g9>375=0l16;?=58e9>375=ij16;?=5ac9>375=ih16;?=5a89>375=i116;?=5a69>375=i?16;?=5a39>375=1>16;?=58b9>375=j816;?=5ag9>375=il16;?=5b79>375=j=16;?=5b29>375=j;16;?=574d892442>?o709=3;56g>;0::0<9o5rs653>5<3sW=<<63<e882a`=:;li1=<<4=2gg>4753ty3mn4?:2y]<de<5>>i6<kk;<570?7bl2wx4?;50;0xZ=423483m7?je:\7fp<f5=83<pR5m<;<1e0?76:2798;4>159>7c3=98801>h9:031?85bn3;:86s|83`94?4|V18i70=i2;3fa>{t0<n1<7<t^97g?85>;3;ni6s|79594?5|V>=270<>f;327>;5;j0:ih5rs6:6>5<3sW=<463=1085e>;5;k0:ih5220g9544<uz=387>54z\432=::8:1:l5222c95`c<5;;o6<?=;|q4<6<72=qU;:84=32e>3g<5;926<kj;<02g?76:2wx;4>50;6xZ2>6348:m78n;<070?7bm279>54>139~w2>a290?wS970:?15<<1i2798>4>ed9>671=9880q~97e;290~X0?o16><656`9>614=9lo01?<9:031?xu00m0;69uQ76g897702?k01?:>:0gf?845=3;:>6s|79a94?2|V>=o70<>6;4b?84383;ni63=258257=z{>2i6=4;{_54g>;59<0=m63=3g82a`=::;91=<<4}r5;e?6=<rT<;o5220692d=:::o1=hk4=301>4753ty<444?:5y]32g<5;;86;o4=31g>4cb3489=7?>2:\7fp3=>=83>pR:9:;<026?0f348847?je:?165<69;1v\7f:6=:187\7f[10<279<h49a:?172<6ml16><l51008yv>6k3:1>vP8f99>0fg=9l=0q~6>a;296~X0n>168n751d58yv>613:1>vP8f79>0f>=9l=0q~6>8;296~X0n<168n951d58yv>6?3:1>vP8f59>0f0=9l=0q~6>6;296~X0n:168n;51d58yv>6<3:1>vP8f09>0f5=9l=0q~6>3;296~X0n9168n?51d58yv>6:3:1>vP8eg9>0f6=9l=0q~6>1;296~X0ml168oh51d58yv>683:1>vP8ee9>0gc=9l=0q~6?f;296~X0mj168oj51d58yv>7m3:1>vP8ec9>0ge=9l=0q~6?d;296~X0mh168ol51d58yv>7k3:1>vP8e89>0gg=9l=0q~6?b;296~X0m1168o751d58yv>713:1>vP8e79>0a>=9l=0q~6?8;296~X0m<168i951d58yv>7?3:1>vP8e59>0a0=9l=0q~6?6;296~X0m:168i;51d58yv>7=3:1>vP8e39>0a2=9l=0q~6?4;296~X0m8168i=51d58yv>7;3:1>vP8e19>0fb=9l=0q~6?2;296~X0lo168n<51d58yv>793:1>vP8dd9>0g1=9l=0q~6?0;296~X0lm168o851d58yv>5;3:1>vP8fd9>0a4=9l=0q~6=2;296~X0nm168i?51d58yv>593:1>vP8fb9>0a6=9l=0q~6=0;296~X0nk168nh51d58yv>6n3:1>vP8f`9>0fc=9l=0q~6>e;296~X0n0168nm51d58yv>6l3:1>vP8f39>0fd=9l=0q~6>5;296~X0m>168n:51d58yv>7i3:1>vP8db9>0g>=9l=0q~9if;296~X0lk168o;51d58yv1c;3:15vP8ag9>36d=im16;>o5ae9>36>=im16;>95ae9>360=im16;>;5ae9>362=im16;>=5ae9~w2b62902wS9ne:?47g<?127<?l479:?47=<?127<?:479:?473<?127<?8479:?471<?127<?>479:\7fp3a6=833pR:ok;<50f?>?34=8m767;<50<?>?34=8;767;<502?>?34=89767;<500?>?34=8?767;|q4gc<720qU;lm4=61a>=1<5>9j6594=61;>=1<5>9<6594=615>=1<5>9>6594=617>=1<5>986594}r5`a?6=1rT<mo5272`9<3=:?:k14;5272:9<3=:?:=14;527249<3=:?:?14;527269<3=:?:914;5rs6ag>5<>sW=jm6383c8;1>;0;h039638398;1>;0;>039638378;1>;0;<039638358;1>;0;:0396s|7b`94??|V>k3709<b;:2?814i32:709<8;:2?814?32:709<6;:2?814=32:709<4;:2?814;32:7p}8c`83><}Y?h=01:=m:648925f2><01:=7:64892502><01:=9:64892522><01:=;:64892542><0q~9l9;29=~X0i?16;>l56c9>36g=>k16;>656c9>361=>k16;>856c9>363=>k16;>:56c9>365=>k1v\7f:m7:18:\7f[1f=27<??4nd:?474<fl27<?=4nd:?46c<fl27<>i4nd:?46f<fl27<>o4nd:?46d<fl2wx;n950;;xZ2g334=8>766;<505?>>34=8<766;<51b?>>34=9h766;<51g?>>34=9n766;<51e?>>3ty<o;4?:8y]3d5<5>996564=612>=><5>9;6564=60e>=><5>8o6564=60`>=><5>8i6564=60b>=><uz=h97>59z\4e7=:?:814:527239<2=:?::14:5273d9<2=:?;n14:5273a9<2=:?;h14:5273c9<2=z{>i?6=46{_5b5>;0;;03:638308;2>;0;903:6382g8;2>;0:m03:6382b8;2>;0:k03:6382`8;2>{t?j91<77t^6c3?814:32>709<1;:6?814832>709=f;:6?815l32>709=c;:6?815j32>709=a;:6?xu0k;0;64uQ78d8925521;01:=>:938925721;01:<i:938924c21;01:<l:938924e21;01:<n:938yv1d83:15vP89e9>364=??16;>?5779>366=??16;?h5779>37b=??16;?m5779>37d=??16;?o5779~w2da2902wS96c:?477<1j27<?<49b:?475<1j27<>k49b:?46a<1j27<>n49b:?46g<1j27<>l49b:\7fp3gc=833pR:7m;<51=?gc34=947ok;<513?gc34=9:7ok;<511?gc34=987ok;<576?gc34=?=7ok;|q4fa<720qU;4o4=60:>=?<5>836574=604>=?<5>8=6574=606>=?<5>8?6574=661>=?<5>>:6574}r5ag?6=1rT<545273;9<==:?;2145527359<==:?;<145527379<==:?;>145527509<==:?=;1455rs6`a>5<>sW=24638288;3>;0:103;638268;3>;0:?03;638248;3>;0:=03;638438;3>;0<803;6s|7cc94??|V>3<709=9;:5?815032=709=7;:5?815>32=709=5;:5?815<32=709;2;:5?813932=7p}8b883><}Y?0<01:<6:978924?21?01:<8:978924121?01:<::978924321?01::=:978922621?0q~9m8;29=~X01<16;?75809>37>=0816;?95809>370=0816;?;5809>372=0816;9<5809>317=081v\7f:l8:18:\7f[1><27<>4486:?46=<0>27<>:486:?463<0>27<>8486:?461<0>27<8?486:?404<0>2wx;io50;;xZ2d234=9578m;<51<?0e34=9;78m;<512?0e34=9978m;<510?0e34=?>78m;<575?0e3ty<h44?:8y]3g2<5>>;6lj4=61e>db<5>9n6lj4=61g>db<5>9h6lj4=61:>db<5>8n6lj4=600>db<uz=o47>59z\4f6=:?=:1445272d9<<=:?:o1445272f9<<=:?:i1445272;9<<=:?;o144527319<<=z{>n<6=46{_5a6>;0<90346383g8;<>;0;l0346383e8;<>;0;j034638388;<>;0:l034638228;<>{t?m<1<77t^6`2?813832<709<f;:4?814m32<709<d;:4?814k32<709<9;:4?815m32<709=3;:4?xu0l<0;64uQ7c28922721<01:=i:948925b21<01:=k:948925d21<01:=6:948924b21<01:<<:948yv1c<3:15vP8a89>316=0<16;>h5849>36c=0<16;>j5849>36e=0<16;>75849>37c=0<16;?=5849~w2ed2902wS96e:?405<?927<?k471:?47`<?927<?i471:?47f<?927<?4471:?46`<?927<>>471:\7fp3f7=833pR:7<;<574?1134=8j799;<50a?1134=8h799;<50g?1134=85799;<51a?1134=9?799;|q4f3<720qU;4<4=663>3d<5>9m6;l4=61f>3d<5>9o6;l4=61`>3d<5>926;l4=60f>3d<5>886;l4}r:45?6=<rT3:>5234392d=:;<l1=<<4=26`>4723ty3:k4?:5y]<34<5:?;6;o4=27f>475349?o7?>3:\7fp<3c=83>pR58>;<17b?0f349>h7?>2:?00g<69<1v\7f58k:187\7f[>182788h49a:?01f<69;16?9l51018yv>0i3:18vP76c9>70g=>h16?;651008962f28;>7p}77883>1}Y0?k01>;6:7c8960028;970=;a;327>{t0>21<7:t^94:?85203<j70=96;326>;4<00:=85rs954>5<3sW2=463<5685e>;4><0:=?5235;9545<uz2<:7>54z\;22=:;<<1:l523769544<5:>36<?:;|q;30<72=qU4;84=276>3g<5:<86<?=;<17<?76;2wx4::50;6xZ=02349>878n;<156?76:2788:4>149~w=14290?wS694:?016<1i278:<4>139>711=9890q~682;290~X?=o16?8<56`9>736=98801>:9:031?xu?>j0;69uQ84g8962c2?k01>;m:031?853>3;:?6s|16494?36s4==57?j9:?15c<69;16>9j5609>63`=>816?l=5609>7g6=>816?nk5609>6g?=>816>4h5609>71b=>816?<;5609>76`=>8168<h5609>053=>816:=>55g9>257==o16:=<55g9>36d=9o>01:=m:0d6?814i3;m86383`82b0=:?:21=k:4=61;>4`234=8;7?i4:?472<6n<16;>851g68925128l>709<5;3e0>;0;<0:j85272695c2<5>9?6<h:;<507?7a<27<?>4>f49>364=9o>01:==:0d6?81493;m86383082b0=:?::1=k:4=613>4`234=9j7?i4:?46c<6n<16;?j51g68924c28l>709=c;3e0>;0:j0:j85273`95c2<5>8i6<h:;<51e?7a<27<>l4>f49>37?=9o>01:<6:0d6?81503;m86382982b0=:?;=1=k:4=604>4`234=9:7?i4:?463<6n<16;?;51g68924228l>709=4;3e0>;0:=0:j85275095c2<5>>96<h:;<575?7a<27<8<4>f49>316=9o>01::?:0d6?814n3;m86383g82b0=:?:o1=k:4=61f>4`234=8h7?i4:?47a<6n<16;>m51g68925d28l>709<9;3e0>;0;00:j85273g95c2<5>8n6<h:;<517?7a<27<>>4>f49~w2222908w09;6;4;?813=3;ni6384586b>{t?=<1<7<t=665>4cb34=?47?>2:\7fp31e=839p1::k:7:8922e2<l01::l:0gf?xu0<m0;6?u275f95`c<5>>m6<?=;|q401<72:q6;9;5699>312=9lo01::7:030?xu0<k0;6>u275`95`c<5>>h6;64=66e>4743ty<8:4?:3y>31g=9ln01::7:0g4?xu0<00;69<t=66b>4cb3483:7?jd:?1<d<6mm16>>95659>66>=>=16>>j5659>66c=>=16>>h5659>616=>=16>9?5659>614=>=16>9=5659>612=>=16>>75659>66g=>=16>>l5659>66e=>=16>io5659>6a?=>=16>il5659>6a>=>=16>h=5659>6`4=>=16>h?5659>6a`=>=16>ik5659>6`6=>=16>ij5659>6ae=>=16>i95659>6a0=9ln01?hn:76897`>2?>01?h7:76897`12?>01>?=:768966a2?>01>>l:768966>2?>01>>9:76896642?>01>>?:76897`c2?>01?h::76897`52?>01?h<:76897`b2?>01>>;:76897`e2?>01>>n:768966c2?>01?h;:76896662?>01>>8:76896772?>01>?<:76897`a2?>01?h8:76896622?>01>>=:76897`d2?>01>>m:768966?2?>01>?>:76896732?>01>>j:768yv13m3:1>v385082aa=:?=l1=h94}r564?6==?q6;8?51dg896c>28oo70=i1;3f`>;4m=0:ii523g095`b<5:l86<kk;<14g?03349<n78;;<14e?03349<578;;<14<?033493878;;<1;7?033493>78;;<1;5?033493<78;;<14b?03349<i78;;<14`?03349<;78;;<1:7?7bl27854494:?0==<1<27?8=494:?77c<1<27?8<494:?77`<1<27?84494:?70=<1<27?8:494:?700<1<27?89494:?703<1<27?8>494:?707<1<27??i4>ee9>06e=>=1688651df8913>2?>019;j:768913a2?>0198=:76891072?>0198>:76891042?>0198;:76891022?>019;n:768913c2?>019;m:768913d2?>0199k:768911d2?>0199m:768911?2?>0197<:76891?72?>0196k:76891>f2?>01967:76891>22?>0196<:76891>72?>01998:76891132?>01>k<:030?820=3<?70:71;47?820m3<?70:76;47?82?<3<?70:7b;47?82?13<?70:61;47?82?m3<?70:64;47?82013<?70:72;47?82??3<?70:62;47?820>3<?70:7c;47?820n3<?70:7f;47?820i3<?70=i0;326>{t:1=1<7=t=3:5>3><5;2j6;64=3:;>4c03ty94;4?:cy>6=0=9lo01?67:031?84?j3;:?63<9b8256=::=<1=<<4=3;3>474349nj7?>3:?1<f<69:16>5j5101897>b28;870<7f;327>{t:1l1<7=8{<03a?063489578>;<0ge?3a348o57;i;<0gf?3a348o47;i;<0f7?3a348n>7;i;<0f5?3a348oj7;i;<0ga?3a348n<7;i;<0g`?3a348oo7;i;<0g3?3a348o:7;i;<0ee?3a348m57;i;<0e<?3a348m:7;i;<126?3a349;j7;i;<13g?3a349;57;i;<132?3a349;?7;i;<134?3a348mh7;i;<0e1?3a348m>7;i;<7ee?3a34?mn7;i;<7eg?3a348m?7;i;<0ea?3a349;87;i;<0ef?3a349;m7;i;<13`?3a348m87;i;<135?3a349;;7;i;<124?3a349:?7;i;<0eb?3a348m;7;i;<131?3a349;>7;i;<0eg?3a3482<7?j7:?04g<2n278<54:f:?054<2n278=94:f:?04`<2n2wx><l50;1x976b28l=70<=9;351>;59k0:i:5rs32f>5<4s48;i7?j7:?157<19279>h491:\7fp656=838p1?<6:0g4?844?3<37p}=2183>6}::881=k84=30f>4023489<7?j7:\7fp644=839p1??=:0g4?846;3<:70<=f;42?xu58?0;6?u223g95`1<5;936;64}r015?6=;r79=>4>f79>67`=9??01?<>:0g4?xu59:0;6>u220195`1<5;;?6;?4=313>37<uz8;;7>52z?16c<6m>16>>j5699~w7452908w0<>4;3e2>;5;90::85223095`1<uz8:87>53z?151<6m>16><;5609>667=>81v\7f?>7:181\7f84483;n;63=3d85<>{t:;91<7=t=336>4`13488=7?95:?166<6m>1v\7f??::180\7f846=3;n;63=17855>;5;;0==6s|21;94?4|5;9:6<k8;<00b?0?3ty9>94?:2y>640=9o<01?==:046?845<3;n;6s|20494?5|5;;=6<k8;<023?063488?78>;|q14d<72;q6>><51d5897272?20q~<=5;297~;59>0:j;522219533<5;8>6<k8;|q152<72:q6><951d58977?2?;01?=;:738yv47j3:1>v3=3282a2=::=;1:55rs305>5<4s48:47?i6:?171<6><16>?851d58yv4603:1?v3=1982a2=::831:<52227924=z{;:h6=4={<000?7b?2798?498:\7fp671=839p1??6:0d5?844=3;=963=2682a2=z{;;26=4<{<02=?7b?279=l491:?173<192wx>=j50;0x975228o<70<;3;4;?xu5:10;6>u220c95c0<5;9=6<8:;<01<?7b?2wx><o50;1x977f28o<70<?f;42?845i3<:7p}=0083>7}:::<1=h94=367>3><uz8:o7>53z?14c<6n?16>?o51778977d28o<7p}=0g83>6}::9l1=h94=333>37<5;8i6;?4}r036?6=:r79>l4>e69>66?=>11v\7f??k:180\7f84683;m:63=2c8220=::8n1=h94}r024?6=;r79==4>e69>647=>816>?m5609~w7642909w0<=b;3f3>;5;h0=46s|20g94?5|5;;:6<h9;<01g?71=279=h4>e69~w7762909w0<>1;3f3>;5:m0==6s|21694?4|5;8h6<k8;<00f?0?3ty85l4?:02x977a28;?70=6a;327>;41j0:i:5220`9545<5;8;6<?<;<015?76;279>?4>129>675=98901?<;:030?845=3;:?63=278256=::;=1=<=4=30;>474348:o7?>3:?15a<69:16><k51018yv46n3:1>v3=1g82a2=::;n1=;;4}r031?6=:r79>i4>e69>66e=>11v\7f?:::18e\7f844?3?m70<<8;7e?844l3?m70<<e;7e?844n3?m70<;0;7e?84393?m70<;2;7e?843;3?m70<;4;7e?84413?m70<<a;7e?844j3?m70<<c;7e?843>3;n;6s|25a94?4|5;?86;?4=371>4c03ty9:;4?:3y>605=9o<01?88:0g4?xu50=0;6?u224195`1<5;236<?;;|q10g<72;q6>8<5609>607=9l=0q~<93;296~;5=;0:j;5227695`1<uz8?m7>52z?114<192799=4>e69~w7072909w0<:1;3e2>;5>80:i:5rs36:>5<5s48><78>;<07b?7b?2wx>8j50;0x973728l=70<:e;3f3>{t:=21<7<t=36e>37<5;>n6<k8;|q11d<72;q6>9h51g48973e28o<7p}=4683>7}::=o1:<5225f95`1<uz8>;7>52z?10`<6n?16>8651d58yv42<3:1>v3=4e82b3=::<?1=h94}r05a?6=:r79;8491:?131<6m>1v\7f?9l:181\7f840=3;m:63=8282a2=z{;2>6=4={<041?7b?279444>129~w70c2909w0<84;42?840;3;n;6s|26`94?4|5;=?6<h9;<0;6?7b?2wx>;m50;0x97142?;01?9=:0g4?xu5?h0;6?u226195c0<5;2:6<k8;|q12g<72;q6>:<5609>627=9l=0q~<89;296~;5?;0:j;5229295`1<uz8=m7>52z?134<19279;=4>e69~w71?2909w0<81;3e2>;5?o0:i:5rs34:>5<5s48<<78>;<05b?7b?2wx>:950;0x971728l=70<8e;3f3>{t:><1<7<t=34e>4`1348<h7?j7:\7fp600=838p1>o<:0d5?842>3;n;6s|38f94?4|5:k86<k8;<1b0?063ty9944?:3y>7d2=9o<01?;6:0g4?xu41l0;6?u23`695`1<5:k>6;?4}r06g?6=:r78m84>f79>60e=9l=0q~=6f;296~;4i<0:i:523`4924=z{;?m6=4={<1b2?7a>2799k4>e69~w6g72909w0=n6;3f3>;4i>0==6s|27094?4|5:k<6<h9;<056?7b?2wx?l?50;0x96g028o<70=n8;42?xu5><0;6?u23`:95c0<5;<>6<k8;|q0e7<72;q6?l651d5896g>2?;0q~<98;296~;4i00:j;5227:95`1<uz9h97>52z?0e<<6m>16?k>51078yv5e?3:1>v3<b182b3=:;ko1=h94}r1be?6=:r78n=4>e69>7g7=>81v\7f>l7:181\7f85e93;m:63<bg82a2=z{:ki6=4={<1a5?7b?278n?491:\7fp7g?=838p1>l=:0d5?85d83;n;6s|3`a94?4|5:h96<k8;<1a7?063ty8nl4?:3y>7g5=9o<01>m>:0g4?xu4im0;6?u23c195`1<5:h?6;?4}r1af?6=:r78n94>f79>7f4=9l=0q~=ne;296~;4j=0:i:523c7924=z{:hh6=4={<1a1?7a>278o>4>e69~w6ga2909w0=m5;3f3>;4j?0==6s|3cf94?4|5:h=6<h9;<1`0?7b?2wx?n850;6x96d128o<70=jc;321>;4m>0:=8523dd9544<uz9o97>52z?0g`<6n?16?im51d58yv5d03:1>v3<cd82a2=:;jl1:<5rs2f5>5<5s49hj7?i6:?0`a<6m>1v\7f>m6:181\7f85dn3;n;63<d1855>{t;m=1<7<t=2f3>4`1349oi7?j7:\7fp7fg=838p1>j?:0g4?85c93<:7p}<d983>7}:;m;1=k84=2fe>4c03ty8oo4?:3y>7a7=9l=01>j=:738yv5c13:1>v3<d382b3=:;l:1=h94}r1`g?6=:r78h?4>e69>7a5=>81v\7f>jn:181\7f85c;3;m:63<e082a2=z{:io6=4={<1g7?7b?278h9491:\7fp7ad=838p1>j;:0d5?85b:3;n;6s|3b594?5|5:n?6<k8;<1f`?76=278i54>149~w6cf2909w0=j9;4;?85bj3;n;6s|3dg94?5|5:l:6;64=2d1>3><5:on6<k8;|q0b4<72?q6?k?51dg896`328;870<;6;327>;4n<0:=>523g49545<5:on6<h9;|q0a0<72;q6?h:5699>7`0=9l=0q~=j4;290~;4m=0:ih523859544<5:o<6<?=;<1f<?76:2wx?k=50;7x96`428on70=67;327>;4m:0:=?523869544<5:l;6<?;;|q1=0<72;q6>io5699>6ge=9l=0q~<lb;290~;5lh0:ih522g;92==::191=<<4=3c5>4753ty9594?:3y>6a?=>116>ol51d58yv4di3:18v3=d882a`=::o21:5522909542<5;k?6<?=;|q1=3<72;q6>il5699>6gb=9l=0q~<lc;290~;5lk0:ih522gc92==::191=<=4=3`f>4753ty95>4?:3y>6a>=>116>oo51d58yv4d13:19v3=d982a`=::o<1:5522909545<5;k96<?=;<0e3?0?3ty95h4?:3y>6`5=>116>n951d58yv4c=3:1:v3=e282a`=:;881:5522939542<5;h36<?=;<127?0?349:8787;|q1=a<72;q6>h<5699>6f0=9l=0q~<k4;292~;5m;0:ih5231d92==::1;1=<=4=3`5>475349:<787;<125?0?3ty95n4?:3y>6`7=>116>n;51d58yv4c;3:1:v3=e082a`=:;9i1:5522929542<5;h?6<?=;<13`?0?349;i787;|q1=d<72;q6>ih5699>6f5=9l=0q~<k1;292~;5lo0:ih5231492==::>l1=<:4=3`3>475349;;787;<13<?0?3ty9544?:3y>6ac=>116>n<51d58yv4c83:1:v3=dd82a`=:;991:55226d9545<5;kn6<?=;<130?0?349;9787;|q1=g<72;q6>h>5699>6f2=9l=0q~<k2;292~;5m90:ih5231;92==::1:1=<=4=3`1>475349;m787;<13f?0?3ty9554?:3y>6ab=>116>n?51d58yv4dn3:1:v3=de82a`=:;9:1:55226g9542<5;kh6<?=;<135?0?349;>787;|q1=2<72;q6>im5699>6f6=9l=0q~<le;292~;5lj0:ih522gf92==::>o1=<=4=3cb>475348mi787;<0eb?0?3ty95?4?:3y>6a1=>116>oh51d58yv4dl3:1:v3=d682a`=::o?1:55226f9542<5;k36<?=;<0ef?0?348mo787;|q1=4<72;q6>i85699>6g?=9l=0q~<l8;292~;5l?0:ih522g092==::>n1=<=4=3c3>475348m?787;<0e0?0?3ty9m84?:3y>6gb=>816>l;51d58yv4em3:1>v3=be8220=::ko1=h94}r0b7?6=;r79nn491:?1e0<19279m>4>e69~w7g12908w0<mc;351>;5i<0:j;522`495`1<uz8j=7>53z?1fg<19279m>491:?1e4<6m>1v\7f?o;:180\7f84ej3;=963=a282b3=::h>1=h94}r0a3?6=;r79nl491:?1e4<19279n:4>e69~w7g52908w0<ma;351>;5i80:j;522`095`1<uz8i97>53z?1g2<19279n:491:?1f0<6m>1v\7f?l7:180\7f84d?3;=963=b682b3=::k21=h94}r0a7?6=;r79o;491:?1f0<19279n>4>e69~w7d12908w0<l6;351>;5j<0:j;522c495`1<uz8i=7>53z?1g0<19279n>491:?1f4<6m>1v\7f?l;:180\7f84d=3;=963=b282b3=::k>1=h94}r0bb?6=;r79o9491:?1f4<19279mk4>e69~w7d52908w0<l4;351>;5j80:j;522c095`1<uz8jh7>53z?1g6<19279mk491:?1ea<6m>1v\7f?l?:180\7f84d;3;=963=ag82b3=::k:1=h94}r0bf?6=;r79o?491:?1ea<19279mo4>e69~w7gb2908w0<l2;351>;5im0:j;522`g95`1<uz8j57>53z?1g4<19279mo491:?1e<<6m>1v\7f?ol:180\7f84d93;=963=ac82b3=::hi1=h94}r0b3?6=;r79o=491:?1e<<19279m:4>e69~w7gf2908w0<l0;351>;5i00:j;522`c95`1<uz82j7>53z?1fc<19279m:491:?1=c<6m>1v\7f?o7:180\7f84en3;=963=a682b3=::h21=h94}r0b4?6=;r79n44>649>6<`=9o<01?o?:0g4?xu5m10;6ku22gc95`c<5<lh6;64=2g1>47234>jo7?>3:?7eg<69:168lo5106891g>28;870:n8;327>;3i>0:=?524`49545<5=k>6<?<;<053?76=278o94>149>63>=98?01><8:030?xu5m>0;6ku22g;95`c<5<li6;64=2g1>47334>jo7?>2:?7eg<69;168lo5101891g>28;970:n8;326>;3i>0:=9524`49544<5=k>6<?=;<053?76<278o94>159>63>=98>01><9:030?xu5m?0;6ku22g:95`c<5<lj6;64=2g2>47434>jo7?>4:?7eg<69=168lo5100891g>28;?70:n8;320>;3i>0:=>524`49542<5=k>6<?;;<050?76;278o>4>149>633=98901><::030?xu5m<0;6?;t=3d5>4cb349n=7?>5:?121<69<16>;;51078964328;8709<b;6b?814i3>j709<8;6b?814?3>j709<6;6b?814=3>j709<4;6b?814;3>j709<2;6b?81493>j709<0;6b?815n3>j709=d;6b?815k3>j709=b;6b?815i3>j709=9;6b?81503>j709=7;6b?815>3>j709=5;6b?815<3>j709;2;6b?81393>j709;0;6b?814n3>j709<e;6b?814l3>j709<c;6b?81413>j709=e;6b?815;3>j7p}=f083>75|5:;96<kj;<055?76=278>>4>129>36d=<016;>o5489>36>=<016;>95489>360=<016;>;5489>362=<016;>=5489>364=<016;>?5489>366=<016;?h5489>37b=<016;?m5489>37d=<016;?o5489>37?=<016;?65489>371=<016;?85489>373=<016;?:5489>314=<016;9?5489>316=<016;>h5489>36c=<016;>j5489>36e=<016;>75489>37c=<016;?=5489~w7`72909?v3<0g82a`=::?;1=<:4=213>47434=8n7:7;<50e?2?34=847:7;<503?2?34=8:7:7;<501?2?34=887:7;<507?2?34=8>7:7;<505?2?34=8<7:7;<51b?2?34=9h7:7;<51g?2?34=9n7:7;<51e?2?34=957:7;<51<?2?34=9;7:7;<512?2?34=997:7;<510?2?34=?>7:7;<575?2?34=?<7:7;<50b?2?34=8i7:7;<50`?2?34=8o7:7;<50=?2?34=9i7:7;<517?2?3ty9ik4?:31x966d28on70<:e;320>;4:o0:=>5272`902=:?:k18:5272:902=:?:=18:52724902=:?:?18:52726902=:?:918:52720902=:?:;18:52722902=:?;l18:5273f902=:?;i18:5273`902=:?;k18:5273;902=:?;218:52735902=:?;<18:52737902=:?;>18:52750902=:?=;18:52752902=:?:l18:5272g902=:?:n18:5272a902=:?:318:5273g902=:?;918:5rs3gf>5<5;r78<44>ed9>60c=98?01><j:030?814j3?>709<a;76?81403?>709<7;76?814>3?>709<5;76?814<3?>709<3;76?814:3?>709<1;76?81483?>709=f;76?815l3?>709=c;76?815j3?>709=a;76?81513?>709=8;76?815?3?>709=6;76?815=3?>709=4;76?813:3?>709;1;76?81383?>709<f;76?814m3?>709<d;76?814k3?>709<9;76?815m3?>709=3;76?xu5mm0;6?=t=225>4cb348>n7?>5:?06a<69:16;>l5559>36g===16;>65559>361===16;>85559>363===16;>:5559>365===16;><5559>367===16;>>5559>37`===16;?j5559>37e===16;?l5559>37g===16;?75559>37>===16;?95559>370===16;?;5559>372===16;9<5559>317===16;9>5559>36`===16;>k5559>36b===16;>m5559>36?===16;?k5559>375===1v\7f?kl:1817~;48:0:ih5224`9542<5:8h6<?<;<50f?3434=8m7;<;<50<?3434=8;7;<;<502?3434=897;<;<500?3434=8?7;<;<506?3434=8=7;<;<504?3434=9j7;<;<51`?3434=9o7;<;<51f?3434=9m7;<;<51=?3434=947;<;<513?3434=9:7;<;<511?3434=987;<;<576?3434=?=7;<;<574?3434=8j7;<;<50a?3434=8h7;<;<50g?3434=857;<;<51a?3434=9?7;<;|q1ag<72;9p1>>?:0gf?84203;:963<2c8256=:?:h19?5272c917=:?:219?52725917=:?:<19?52727917=:?:>19?52721917=:?:819?52723917=:?::19?5273d917=:?;n19?5273a917=:?;h19?5273c917=:?;319?5273:917=:?;=19?52734917=:?;?19?52736917=:?=819?52753917=:?=:19?5272d917=:?:o19?5272f917=:?:i19?5272;917=:?;o19?52731917=z{;oj6=4=3z?1ba<6ml16>8651068964f28;8709<b;72?814i3?:709<8;72?814?3?:709<6;72?814=3?:709<4;72?814;3?:709<2;72?81493?:709<0;72?815n3?:709=d;72?815k3?:709=b;72?815i3?:709=9;72?81503?:709=7;72?815>3?:709=5;72?815<3?:709;2;72?81393?:709;0;72?814n3?:709<e;72?814l3?:709<c;72?81413?:709=e;72?815;3?:7p}=e883>74|5;l>6<kj;<11=?76;27<?o4:0:?47d<2827<?54:0:?472<2827<?;4:0:?470<2827<?94:0:?476<2827<??4:0:?474<2827<?=4:0:?46c<2827<>i4:0:?46f<2827<>o4:0:?46d<2827<>44:0:?46=<2827<>:4:0:?463<2827<>84:0:?461<2827<8?4:0:?404<2827<8=4:0:?47c<2827<?h4:0:?47a<2827<?n4:0:?47<<2827<>h4:0:?466<282wx>h:50;00\7f84a:3;ni63=548250=:;;21=<=4=61a>1`<5>9j69h4=61;>1`<5>9<69h4=615>1`<5>9>69h4=617>1`<5>9869h4=611>1`<5>9:69h4=613>1`<5>8m69h4=60g>1`<5>8h69h4=60a>1`<5>8j69h4=60:>1`<5>8369h4=604>1`<5>8=69h4=606>1`<5>8?69h4=661>1`<5>>:69h4=663>1`<5>9m69h4=61f>1`<5>9o69h4=61`>1`<5>9269h4=60f>1`<5>8869h4}r164?6=:r789<491:?015<6m>1v\7f>;i:181\7f85293;m:63<5g82a2=z{:?:6=4={<165?7b?278594>149~w60c2908w0=:f;327>;4<j0:=95236a95`c<uz9?j7>52z?015<192788k4>e69~w63b2909w0=:0;3e2>;4=l0:i:5rs24`>5<4s49>i7?>3:?00f<69;16?:l51dg8yv53m3:1>v3<4g855>;4<l0:i:5rs27g>5<5s49?j7?i6:?01a<6m>1v\7f>8m:180\7f852l3;:?63<4c8251=:;>k1=hk4}r16e?6=:r788h491:?01d<6m>1v\7f>;l:181\7f853m3;m:63<5b82a2=z{:<j6=4<{<16g?76;2788o4>139>72?=9lo0q~=:9;296~;4=h0==63<5882a2=z{:<36=4={<16e?7a>278:54>e69~w60>2908w0=98;327>;4<h0:=95236:95`c<uz9>47>52z?01<<19278954>e69~w6002909w0=:9;3e2>;4>>0:i:5rs255>5<4s49=;7?>3:?00d<69;16?5:51dg8yv52?3:1>v3<59855>;4=>0:i:5rs245>5<5s49>47?i6:?023<6m>1v\7f>9::180\7f851>3;:?63<488251=:;191=hk4}r162?6=:r789:491:?013<6m>1v\7f>8::181\7f852?3;m:63<6482a2=z{:=?6=4<{<151?76;278844>139>7=4=9lo0q~=:5;296~;4=?0==63<5482a2=z{:<?6=4={<162?7a>278:94>e69~w6142908w0=94;327>;4<10:=95239395`c<uz9>87>52z?010<19278994>e69~w6042909w0=:5;3e2>;4>:0:i:5rs251>5<4s49=?7?>3:?00=<69;16?5>51dg8yv52;3:1>v3<55855>;4=:0:i:5rs241>5<5s49>87?i6:?027<6m>1v\7f>9>:180\7f851:3;:?63<468251=:;>l1=hk4}r166?6=:r789>491:?017<6m>1v\7f>8>:181\7f852;3;m:63<6082a2=z{:=;6=4<{<155?76;2788:4>139>72c=9lo0q~=;d;296~;4=;0==63<4e82a2=z{:<;6=4={<166?7a>278:=4>e69~w60a2908w0=90;327>;4<?0:=95236f95`c<uz9>n7>52z?00a<6n?16?8l51d58yv51m3:1?v3<5c8256=:;=<1=<;4=254>4cb3ty8=44?:3y>763=>816?<751d58yv55?3:1>v3<348220=:;;=1=h94}r1;=?6=:r78?84>e69>72e=>11v\7f>?7:180\7f854<3<:70=>9;42?85603;n;6s|33494?5|5:9?6<8:;<12=?7a>278>;4>e69~w6>?2909w0=<4;3f3>;4?k0=46s|45f94?3|5:;26;o4=57`>4cb34><o787;<1`0?76:278>;4>139~w6702908w0=<3;42?85603<:70=>7;3f3>{t;;?1<7=t=210>402349:47?i6:?060<6m>1v\7f>68:181\7f854;3;n;63<7`85<>{t<=i1<7;t=23;>3g<5=?i6<kj;<64f?0?349h?7?>4:?060<69;1v\7f>?9:180\7f854:3<:70=>7;42?856>3;n;6s|33694?5|5:996<8:;<123?7a>278>94>e69~w6>12909w0=<2;3f3>;4?00=46s|45`94?1|5:;<6;o4=57b>4cb34><4787;<1`7?76;278>94>139>02?=>1168:o5699~w6452908w0=<1;42?856>3<:70==2;3f3>{t;;91<7=t=212>402349::7?i6:?066<6m>1v\7f>6::181\7f85493;n;63<7985<>{t<<=1<78t=235>3g<5=<>6<kj;<6:7?0?349h>7?>4:?066<69;1684:5699~w6462908w0=<e;42?855:3<:70==1;3f3>{t;::1<7=t=21f>4023499>7?i6:?075<6m>1v\7f>7=:181\7f854m3;n;63<8585<>{t<<<1<79t=201>3g<5=<?6<kj;<6:4?0?349h>7?>5:?075<69;1684?5699>0<4=>11v\7f><?:180\7f854l3<:70==1;42?85583;n;6s|33d94?5|5:9o6<8:;<115?7a>278>k4>e69~w6?62909w0=<d;3f3>;40:0=46s|44794?1|5:8:6;o4=540>4cb34>3h787;<1`5?76<278>k4>139>0=c=>11685h5699~w67a2908w0=<c;42?85583<:70=>f;3f3>{t;;o1<7=t=21`>4023499<7?i6:?06`<6m>1v\7f>7?:181\7f854k3;n;63<8385<>{t<<>1<79t=203>3g<5=<96<kj;<6;e?0?349h=7?>3:?06`<69;1685l5699>0=e=>11v\7f>?j:180\7f854j3<:70=>f;42?856m3;n;6s|33f94?5|5:9i6<8:;<12b?7a>278>i4>e69~w6>a2909w0=<b;3f3>;4080=46s|44194?0|5:;m6;o4=542>4cb34>34787;<1`4?76<278>i4>139>0=?=>11v\7f>?k:180\7f854i3<:70=>e;42?856l3;n;6s|33a94?5|5:9j6<8:;<12a?7a>278>n4>e69~w6>b2909w0=<a;3f3>;4090=46s|44094?1|5:;n6;o4=543>4cb34>39787;<1`4?76=278>n4>139>0=0=>1168595699~w67d2908w0=<9;42?856l3<:70=>c;3f3>{t;;h1<7=t=21:>402349:h7?i6:?06g<6m>1v\7f>6k:181\7f85413;n;63<7g85<>{t<<;1<78t=23g>3g<5=?m6<kj;<6;7?0?349ij7?>4:?06g<69;1685:5699~w67e2908w0=<8;42?856k3<:70=>b;3f3>{t;;k1<7=t=21;>402349:o7?i6:?06d<6m>1v\7f>6l:181\7f85403;n;63<7d85<>{t<<:1<79t=23`>3g<5=?n6<kj;<6;4?0?349ij7?>5:?06d<69;1685?5699>0=4=>11v\7f>?n:180\7f854?3<:70=>b;42?856i3;n;6s|33;94?5|5:9<6<8:;<12f?7a>278>44>e69~w6>e2909w0=<7;3f3>;4?m0=46s|45d94?1|5:;i6;o4=57:>4cb34><;787;<1aa?76<278>44>139>02c=>1168:h5699~w6722908w0=<6;42?856i3<:70=>5;3f3>{t;;21<7=t=215>402349:m7?i6:?06=<6m>1v\7f>6n:181\7f854>3;n;63<7685<>{t<=k1<79t=23b>3g<5=?36<kj;<640?0?349ii7?>3:?06=<69;168:;5699>020=>11v\7f>7m:181\7f856=3<j70=6a;352>{t;o>1<7<t=2;b>475349m97?j7:\7fp712=838p1>:::738962328o<7p}<4b83>7}:;=?1=k84=26`>4c03ty8884?:3y>713=9l=01>7;:037?xu4<:0;6?u2356924=:;=91=h94}r17f?6=:r78894>f79>71d=9l=0q~=;2;296~;4<:0==63<4382a2=z{:>j6=4={<177?7a>2788l4>e69~w6262909w0=;2;42?85393;n;6s|35;94?4|5:>96<h9;<17=?7b?2wx?9>50;0x96262?;01>:?:0g4?xu4<10;6?u235395c0<5:>36<k8;|q07c<72;q6?9>5609>76`=9l=0q~=;7;296~;4<90:j;5235595`1<uz9?:7>52z?07c<6n?16?9851d58yv5>>3:1>v3<9286b>;41>0:i:5rs2;7>5<5s492?787;<1:0?7b?2wx;9=50;:6\7f85>13<370<78;327>;4n=0:i:523d`95c0<5:o=6<h9;<50f?12i27<?o48599>36d=?<=01:=m:675?814i3=>m6383`841==:?:k1;894=61b>23134=8479:a:?47=<0=116;>657458925?2>?=709<7;56e>;0;>0<95527259301<5>9<6:;9;<502?12i27<?;48599>360=?<=01:=9:675?814=3=>m63834841==:?:?1;894=616>23134=8879:a:?471<0=116;>:5745892532>?=709<3;56e>;0;:0<95527219301<5>986:;9;<506?12i27<??48599>364=?<=01:==:675?81493=>m63830841==:?:;1;894=612>23134=8<79:a:?475<0=116;>>5745892572>?=709=f;56e>;0:o0<955273d9301<5>8m6:;9;<51`?12i27<>i48599>37b=?<=01:<k:675?815k3=>m6382b841==:?;i1;894=60`>23134=9n79:a:?46g<0=116;?l57458924e2>?=709=a;56e>;0:h0<955273c9301<5>8j6:;9;<51=?12i27<>448599>37?=?<=01:<6:675?81503=>m63829841==:?;21;894=60;>23134=9;79:a:?462<0=116;?95745892402>?=709=6;56e>;0:?0<95527349301<5>8=6:;9;<511?12i27<>848599>373=?<=01:<::675?815<3=>m63825841==:?;>1;894=607>23134=?>79:a:?407<0=116;9<5745892252>?=709;1;56e>;0<80<95527539301<5>>:6:;9;<574?12i27<8=48599>316=?<=01::?:675?814n3=>m6383g841==:?:l1;894=61e>23134=8i79:a:?47`<0=116;>k57458925b2>?=709<d;56e>;0;m0<955272f9301<5>9o6:;9;<50g?12i27<?n48599>36e=?<=01:=l:675?81413=>m63838841==:?:31;894=61:>23134=9i79:a:?46`<0=116;?k57458924b2>?=709=3;56e>;0::0<95527319301<5>886:;9;|q0=<<72;q6?4751dg896?228;97p}>fg83>2}:;021:55229`95`1<5:oh6<?<;<1f`?76;278i:4>129>7`>=98901>h?:030?xu4110;6?u238:95`c<5:3>6<?<;|q0b0<72:op19:?:4d8915a2<l019:>:4d8915b2<l019:6:4d8912?2<l019:8:4d891222<l019:;:4d891212<l019:<:4d891252<l019=k:4d8915d2<l019;7:4d8913>2<l019;j:4d8913a2<l0198=:4d891072<l0198>:4d891042<l0198;:4d891022<l019;n:4d8913c2<l019;m:4d8913d2<l0199k:4d8911d2<l0199m:4d8911?2<l0197<:4d891?72<l0196k:4d891>f2<l01967:4d891>22<l0196<:4d891>72<l01998:4d891132<l0199::4d891>62<l0199j:4d891>12<l0196;:4d891>e2<l01966:4d891?62<l01>h9:0g4?82?m3?m70:64;7e?82013?m70:72;7e?82??3?m70:62;7e?820>3?m70:7c;7e?820n3?m70:7f;7e?820i3?m7p}<fc83>7}:<=:1:55243095`1<uz>8=7>54z?705<6ml1688m5699>7`4=988019>l:031?xu4nh0;6?u242d92==:<;;1=h94}r604?6=<r7??k4>ed9>00d=>116?h?51008916f28;97p}<fb83>7}:<=;1:55243195`1<uz>8>7>54z?704<6ml1688j5699>7`4=989019<;:031?xu4n00;6?u242g92==:<;:1=h94}r61b?6=<r7??h4>ed9>00g=>116?h?51068916?28;97p};0583>7}:<=31:55243f95`1<uz>8n7>54z?70<<6ml168;;5699>7`6=989019?j:031?xu38:0;6?u245:92==:<;i1=h94}r60e?6=<r7?854>ed9>032=>116?h>51008917d28;97p};0383>7}:<==1:55243`95`1<uz>857>54z?702<6ml168;=5699>7a`=98>019?n:031?xu3890;6?u245792==:<;31=h94}r603?6=<r7?884>ed9>037=>116?ik51018917128;97p}<fg83>7}:<=>1:55243:95`1<uz>8:7>54z?701<6ml168;>5699>7ac=988019?;:031?xu3880;6?u245492==:<;k1=h94}r60<?6=<r7?8;4>ed9>034=>116?ih51018917?28;97p}<fd83>7}:<=91:55243595`1<uz>897>54z?706<6ml1688h5699>7ab=989019?=:031?xu4nm0;6?u245092==:<;<1=h94}r600?6=<r7?8?4>ed9>00c=>116?ij51008917728;97p}<f983>7}:<:n1:55243795`1<uz>8?7>54z?77a<6ml168875699>7ae=98>019>j:031?xu4n>0;6?u242a92==:<8l1=h94}r61a?6=<r7??n4>ed9>00>=>116?im51018916128;97p};0c83>7}:<;91:<5241`95`1<uz>987>52z?766<6><168?:51d58yv2713:1?v3;23855>;38k0==63;0882a2=z{=:h6=4<{<616?71=27?<o4>f79>05e=9l=0q~:?7;297~;3:80==63;08855>;38>0:i:5rs52b>5<4s4>9=7?95:?74<<6n?168=o51d58yv26l3:1?v3;21855>;38>0==63;1e82a2=z{=:36=4<{<614?71=27?<:4>f79>05>=9l=0q~:>b;297~;3:m0==63;1e855>;39k0:i:5rs53f>5<4s4>9h7?95:?75a<6n?168<k51d58yv2613:1?v3;2b855>;39k0==63;1882a2=z{=;h6=4<{<61g?71=27?=o4>f79>04e=9l=0q~:>7;297~;3:k0==63;18855>;39>0:i:5rs53b>5<4s4>9n7?95:?75<<6n?168<o51d58yv26=3:1?v3;2`855>;39>0==63;1482a2=z{=;36=4<{<61e?71=27?=:4>f79>04>=9l=0q~:>3;297~;3:00==63;14855>;39:0:i:5rs535>5<4s4>957?95:?750<6n?168<851d58yv2693:1?v3;29855>;39:0==63;1082a2=z{=;?6=4<{<61<?71=27?=>4>f79>042=9l=0q~:?f;297~;3:>0==63;10855>;38o0:i:5rs531>5<4s4>9;7?95:?754<6n?168<<51d58yv27l3:1?v3;27855>;38o0==63;0e82a2=z{=;;6=4<{<612?71=27?<k4>f79>046=9l=0q~:?5;297~;3:<0==63;0e855>;38<0:i:5rs52f>5<4s4>997?95:?74a<6n?168=k51d58yv27>3:1?v3;1g8220=:<9?1=k84=525>4c03ty?8h4?:5y>00b=9lo0199k:7:896e328;870==7;326>{t<?k1<7mt=55g>4cb34>j87?>2:?7e7<69;168l>5101891?b28;970:6c;320>;31h0:=>5248:9545<5=3=6<?=;<0;7?76=279::4>129>63>=9890q~:99;29g~;3?j0:ih524`69545<5=k96<?<;<6b4?76<27?5h4>129>0<e=9890197n:031?82>03;:863;978256=::191=<:4=344>475348=47?>2:\7fp03>=83ip199m:0gf?82f<3;:863;a38251=:<h:1=<<4=5;f>47334>2o7?>2:?7=d<69=168465100891?128;?70<72;321>;5>=0:=?522779544<uz>=;7>523y>02>=9lo01?8;:037?814j39j709<a;1b?814039j709<7;1b?814>39j709<5;1b?814<39j709<3;1b?814:39j709<1;1b?814839j709=f;1b?815l39j709=c;1b?815j39j709=a;1b?815139j709=8;1b?815?39j709=6;1b?815=39j709=4;1b?813:39j709;1;1b?813839j709<f;1b?814m39j709<d;1b?814k39j709<9;1b?815m39j709=3;1b?xu3?:0;6?<t=5;0>4cb348==7?>3:?47g<4127<?l4<9:?47=<4127<?:4<9:?473<4127<?84<9:?471<4127<?>4<9:?477<4127<?<4<9:?475<4127<>k4<9:?46a<4127<>n4<9:?46g<4127<>l4<9:?46<<4127<>54<9:?462<4127<>;4<9:?460<4127<>94<9:?407<4127<8<4<9:?405<4127<?k4<9:?47`<4127<?i4<9:?47f<4127<?44<9:?46`<4127<>>4<9:\7fp024=838:w0:60;3fa>;0;k0846383`80<>;0;10846383680<>;0;?0846383480<>;0;=0846383280<>;0;;0846383080<>;0;90846382g80<>;0:m0846382b80<>;0:k0846382`80<>;0:00846382980<>;0:>0846382780<>;0:<0846382580<>;0<;0846384080<>;0<90846383g80<>;0;l0846383e80<>;0;j0846383880<>;0:l0846382280<>{t<>;1<7<>{<6;`?7bm27<?o4<7:?47d<4?27<?54<7:?472<4?27<?;4<7:?470<4?27<?94<7:?476<4?27<??4<7:?474<4?27<?=4<7:?46c<4?27<>i4<7:?46f<4?27<>o4<7:?46d<4?27<>44<7:?46=<4?27<>:4<7:?463<4?27<>84<7:?461<4?27<8?4<7:?404<4?27<8=4<7:?47c<4?27<?h4<7:?47a<4?27<?n4<7:?47<<4?27<>h4<7:?466<4?2wx8:>50;02\7f82?i3;ni6383c871>;0;h0?963839871>;0;>0?963837871>;0;<0?963835871>;0;:0?963833871>;0;80?963831871>;0:o0?96382e871>;0:j0?96382c871>;0:h0?963828871>;0:10?963826871>;0:?0?963824871>;0:=0?963843871>;0<80?963841871>;0;o0?96383d871>;0;m0?96383b871>;0;00?96382d871>;0::0?96s|47d94?45s4>347?je:?11g<69:16;>l5459>36g=<=16;>65459>361=<=16;>85459>363=<=16;>:5459>365=<=16;><5459>367=<=16;>>5459>37`=<=16;?j5459>37e=<=16;?l5459>37g=<=16;?75459>37>=<=16;?95459>370=<=16;?;5459>372=<=16;9<5459>317=<=16;9>5459>36`=<=16;>k5459>36b=<=16;>m5459>36?=<=16;?k5459>375=<=1v\7f98j:1815~;30<0:ih5272`906=:?:k18>5272:906=:?:=18>52724906=:?:?18>52726906=:?:918>52720906=:?:;18>52722906=:?;l18>5273f906=:?;i18>5273`906=:?;k18>5273;906=:?;218>52735906=:?;<18>52737906=:?;>18>52750906=:?=;18>52752906=:?:l18>5272g906=:?:n18>5272a906=:?:318>5273g906=:?;918>5rs54g>5<5:r7?4>4>ed9>60>=98901:=m:508925f2=801:=7:50892502=801:=9:50892522=801:=;:50892542=801:==:50892562=801:=?:508924a2=801:<k:508924d2=801:<m:508924f2=801:<6:508924?2=801:<8:50892412=801:<::50892432=801::=:50892262=801::?:508925a2=801:=j:508925c2=801:=l:508925>2=801:<j:50892442=80q~:9c;2964}:<1:1=hk4=61a>17<5>9j69?4=61;>17<5>9<69?4=615>17<5>9>69?4=617>17<5>9869?4=611>17<5>9:69?4=613>17<5>8m69?4=60g>17<5>8h69?4=60a>17<5>8j69?4=60:>17<5>8369?4=604>17<5>8=69?4=606>17<5>8?69?4=661>17<5>>:69?4=663>17<5>9m69?4=61f>17<5>9o69?4=61`>17<5>9269?4=60f>17<5>8869?4}r65f?6=:8q68:951dg8925e2=:01:=n:528925?2=:01:=8:52892512=:01:=::52892532=:01:=<:52892552=:01:=>:52892572=:01:<i:528924c2=:01:<l:528924e2=:01:<n:528924>2=:01:<7:52892402=:01:<9:52892422=:01:<;:52892252=:01::>:52892272=:01:=i:528925b2=:01:=k:528925d2=:01:=6:528924b2=:01:<<:528yv21>3:1><u246695`c<5>9i6>h4=61b>6`<5>936>h4=614>6`<5>9=6>h4=616>6`<5>9?6>h4=610>6`<5>996>h4=612>6`<5>9;6>h4=60e>6`<5>8o6>h4=60`>6`<5>8i6>h4=60b>6`<5>826>h4=60;>6`<5>8<6>h4=605>6`<5>8>6>h4=607>6`<5>>96>h4=662>6`<5>>;6>h4=61e>6`<5>9n6>h4=61g>6`<5>9h6>h4=61:>6`<5>8n6>h4=600>6`<uz?mh7>590y>176=9880186m:031?83?k3;:>63:d28257=:=;;1=<<4=4;4>47534?j>7?>2:?6`1<69;169?m5100890gc28;970;m8;326>;2lo0:=?525259544<5<i86<?=;<7`a?76:27>il4>139>16c=988018j>:031?83c:3;:>63:f08257=:=:l1=<<4=4:g>47534?3i7?>2:?6b7<69;1699>5100890>a28;970;60;326>;2n:0:=?525539544<5<3:6<?=;<7:6?76:27>j94>139>114=9880187<:031?83><3;:>63:f48257=:==91=<<4=4;6>47534?2:7?>2:?6b3<69;169?<5100890??28;970;69;326>;2l<0:=?525319544<5<3j6<?=;<7:f?76:27>h;4>139>172=9880187l:031?83>l3;:>63:d68257=:=;?1=<<4=4;f>47534?2j7?>2:?6`=<69;169?85100890g728;970;n1;326>;2l00:=?525359544<5<k86<?=;<7b0?76:27>hl4>139>17>=988018o::031?83f>3;:>63:dc8257=:=;31=<<4=4c4>47534?j47?>2:?6`f<69;169?o5100890g>28;970;na;326>;2lm0:=?5253`9544<5<ki6<?=;<7bg?76:27>hh4>139>17b=988018oj:031?83fn3;:>63:e18257=:=;o1=<<4=4`3>47534?i=7?>2:?6a4<69;169?h5100890d528;970;m3;326>;2m;0:=?525229544<5<h?6<?=;<7a1?76:27>i>4>139>167=988018l9:031?83e?3;:>63:e58257=:=:81=<<4=4`:>47534?im7?>2:?6a0<69;169>=5100890de28;970;mc;326>;2m?0:=?525269544<5<ho6<?=;<7aa?76:27>i:4>139>163=988018li:031?83d83;:>63:e98257=:=:<1=<<4=4a2>47534?h>7?>2:?6a<<69;169>65100890e328;970;l5;326>;2mk0:=?5252;9544<5<i=6<?=;<7`3?76:27>in4>139>16g=988018m7:031?83d13;:>63:ee8257=:=:h1=<<4=4ab>47534?hn7?>2:?6a`<69;169>m5100890ed28;970;ld;326>;2mo0:=?5252f9544<5<im6<?=;<7g4?76:27>j=4>139>256=9lo0q~8jd;296~;2:90:=>5272d9`<=z{?l=6=4={<714?76<27<8=4k9:\7fp176=838p18<?:0g4?82c13;:?6s|6e`94?4|5<2i6<?<;<50`?b>3ty=i94?:3y>1=d=98>01:=j:e;8yv3?j3:1>v3:8c82a2=:<m31=<<4}r7ea?6=<0q68i751g48902328l=70:id;3e2>;2>10:j;524gg95c0<5<<26<h9;<73=?7a>27>;94>f79>142=9o<0189i:0d5?836i3;m:63:8482b3=:=8h1=k84=4:5>4`134?:o7?i6:?6<2<6n?169<j51g4890>?28l=70;>e;3e2>;2000:j;5250d95c0<5<2j6<h9;<6eb?7a>27>:l4>f79>156=9o<0188m:0d5?83793;m:63:6b82b3=:=981=k84=44g>4`134?;?7?i6:?62`<6n?169=:51g48900a28l=70;?5;3e2>;2?90:j;5251495c0<5<=:6<h9;<733?7a>27>;?4>f79>15>=9o<0189<:0d5?837i3;m:63:7482b3=:=9h1=k84=455>4`134?;o7?i6:?632<6n?169=j51g48901?28l=70;?e;3e2>;2?00:j;5251d95c0<5<=j6<h9;<724?7a>27>;o4>f79>147=9o<0189l:0d5?836:3;m:63:7e82b3=:=891=k84=45f>4`134?:97?i6:?6<5<6n?169<851g4890>628l=70;>7;3e2>;20;0:j;5250:95c0<5<286<h9;<72=?7a>27>494>f79>257=9lo0q~:k9;296~;3l00:i:524c79545<uz<=:7>52z?6<f<69:16;>75d89~w3e>2909w0;7c;320>;0;j0o56s|59a94?4|5<2h6<k8;<770?76;2wx;<o50;0x90b428;8709=3;f:?xu18:0;6?u25e19542<5>8n6i74}r7g7?6=:r7>h>4>e69>112=9880q~;;4;296~;2<=0:i:524c79544<uz?mj7>524y>0g3=9o<019l9:0d5?82e?3;m:63;c382b3=:<jn1=k84=5f0>4`134>o87?i6:?7`0<6n?168i851g4891b028l=70:k8;3e2>;3j10:j;524c;95c0<5=hj6<h9;<6af?7a>27?nn4>f79>0gb=9o<019lj:0d5?82en3;m:63;c182b3=:<j;1=k84=5a0>4`134>h87?i6:?7g0<6n?168n851g4891e028l=70:l8;3e2>;3k00:j;524bc95c0<5=ii6<h9;<6`g?7a>27?oh4>f79>0f`=9o<019j?:0d5?82c93;m:63;d382b3=:>981=hk4}r41<?6=:r7>><4>129>37>=m=1v\7f;=>:181\7f83593;:8638288f0>{t=;;1<7<t=402>4c034>mh7?>3:\7fp240=838p1878:030?815>3o?7p}91g83>7}:=0=1=<:4=604>`2<uz?2;7>52z?6=2<6m>168kj51008yv2ci3:1>v3;fe82a2=:<k<1=<=4}r526?6=:r7>m?4>129>372=m=1v\7f;>k:181\7f83f:3;:8638248f0>{t=h81<7<t=4c1>4c034?=47?>3:\7fp356=838p18j;:030?81393o?7p}80883>7}:=m>1=<:4=661>`2<uz?o87>52z?6`1<6m>169;651008yv33=3:1>v3:6982a2=:<k<1=<<4}r41=?6=:r7>>n4>129>37>=mo1v\7f;==:181\7f835k3;:8638288fb>{t=;i1<7<t=40`>4c034>mi7?>3:\7fp241=838p18ok:030?815>3om7p}92183>7}:=hn1=<:4=604>``<uz?jh7>52z?6ea<6m>168kk51008yv2b=3:1>v3;fd82a2=:<k=1=<=4}r527?6=:r7>n54>129>372=mo1v\7f;>j:181\7f83e03;:8638248fb>{t=k21<7<t=4`;>4c034?=57?>3:\7fp357=838p18ji:030?81393om7p}80`83>7}:=ml1=<:4=661>``<uz?oj7>52z?6`c<6m>169;751008yv3283:1>v3:6882a2=:<k=1=<<4}r41e?6=:r7>?:4>129>37>=n:1v\7f;=<:181\7f834?3;:8638288e7>{t=:=1<7<t=414>4c034?;57?>3:\7fp24>=838p18m<:030?815>3l87p}92083>7}:=j91=<:4=604>c5<uz?h?7>52z?6g6<6m>169=751008yv2a83:1>v3:0882a2=:<j81=<=4}r520?6=:r7>oh4>129>372=n:1v\7f;>i:181\7f83dm3;:8638248e7>{t=jo1<7<t=4af>4c034?<87?>3:\7fp354=838p18kn:030?81393l87p}80c83>7}:=lk1=<:4=661>c5<uz?nm7>52z?6ad<6m>169::51008yv32j3:1>v3:7582a2=:<j81=<<4}r41f?6=:r7>?h4>129>37>=n=1v\7f;=;:181\7f834m3;:8638288e0>{t=:o1<7<t=41f>4c034?:87?>3:\7fp24?=838p18j>:030?815>3l?7p}92383>7}:=m;1=<:4=604>c2<uz?o=7>52z?6`4<6m>169<:51008yv2a?3:1>v3:1582a2=:<jn1=<=4}r521?6=:r7>h?4>129>372=n=1v\7f;??:181\7f83c:3;:8638248e0>{t=m81<7<t=4f1>4c034?<j7?>3:\7fp355=838p18h>:030?81393l?7p}80b83>7}:=o;1=<:4=661>c2<uz?m=7>52z?6b4<6m>169:h51008yv31:3:1>v3:7g82a2=:<jn1=<<4}r41g?6=:r7>?k4>129>37>=n<1v\7f;=::181\7f834n3;:8638288e1>{t=:l1<7<t=41e>4c034?:m7?>3:\7fp24g=838p186k:030?815>3l>7p}92283>7}:=1n1=<:4=604>c3<uz?3h7>52z?6<a<6m>169<o51008yv2a03:1>v3:1`82a2=:<m91=<=4}r522?6=:r7>4h4>129>372=n<1v\7f;?>:181\7f83?m3;:8638248e1>{t=1o1<7<t=4:f>4c034?397?>3:\7fp352=838p18h=:030?81393l>7p}80e83>7}:=o81=<:4=661>c3<uz?m>7>52z?6b7<6m>1695;51008yv31;3:1>v3:8482a2=:<m91=<<4}r41`?6=:r7>8=4>129>37>=n?1v\7f;=9:181\7f83383;:8638288e2>{t==:1<7<t=463>4c034?:n7?>3:\7fp24d=838p186i:030?815>3l=7p}92583>7}:=1l1=<:4=604>c0<uz?3j7>52z?6<c<6m>169<l51008yv2a13:1>v3:1c82a2=:<m>1=<=4}r523?6=:r7>5=4>129>372=n?1v\7f;?=:181\7f83>83;:8638248e2>{t=0:1<7<t=4;3>4c034?3:7?>3:\7fp353=838p18h<:030?81393l=7p}80d83>7}:=o91=<:4=661>c0<uz?m?7>52z?6b6<6m>1695851008yv31<3:1>v3:8782a2=:<m>1=<<4}r41a?6=:r7>8<4>129>37>=n>1v\7f;=8:181\7f83393;:8638288e3>{t==;1<7<t=462>4c034?:o7?>3:\7fp24e=838p187>:030?815>3l<7p}92483>7}:=0;1=<:4=604>c1<uz?2=7>52z?6=4<6m>169<m51008yv2ai3:1>v3:1b82a2=:<m?1=<=4}r52<?6=:r7>5?4>129>372=n>1v\7f;?<:181\7f83>:3;:8638248e3>{t=081<7<t=4;1>4c034?3;7?>3:\7fp350=838p18h;:030?81393l<7p}80g83>7}:=o>1=<:4=661>c1<uz?m87>52z?6b1<6m>1695951008yv31=3:1>v3:8682a2=:<m?1=<<4}r41b?6=:r7>8?4>129>37>=99:0q~8<8;296~;2<;0:=95273;9556<uz??>7>52z?607<6m>169<j51018yv06l3:1>v3:928256=:?;<1==>4}r412?6=:r7>5>4>159>371=99:0q~;63;296~;21:0:i:5250f9544<uz>mn7>52z?65a<6m>168i851018yv1613:1>v3:958256=:?;>1==>4}r420?6=:r7>594>159>373=99:0q~;64;296~;21=0:i:5259:9545<uz=;;7>52z?6b0<69:16;9?51128yv1683:1>v3:f48251=:?=81==>4}r7e1?6=:r7>j84>e69>1=>=9880q~;96;296~;2010:i:524e49544<uz<<47>52z?606<69:16;>?5d89~w3>62909w0;;3;320>;0;;0o56s|55194?4|5<>86<k8;<72a?76;2wx:8j50;0x90?228;8709=f;f:?xu1>o0;6?u25879542<5>9;6i74}r7:1?6=:r7>584>e69>14c=9880q~:ic;296~;29l0:i:524e59545<uz<?n7>52z?6=3<69:16;?m5d89~w3332909w0;66;320>;0:m0o56s|58494?4|5<3=6<k8;<7;=?76;2wx:>750;0x90`128;8709=a;f:?xu1<;0;6?u25g49542<5>8i6i74}r7e2?6=:r7>j;4>e69>1=?=9880q~;97;296~;2000:i:524e59544<uz<<57>52z?667<69:16;>?5e59~w3>52909w0;=2;320>;0;;0n86s|53094?4|5<896<k8;<72b?76;2wx:8k50;0x90??28;8709=f;g7?xu1?90;6?u258:9542<5>9;6h:4}r7:<?6=:r7>554>e69>14`=9880q~:kb;296~;29o0:i:524e:9545<uz<?o7>52z?6=<<69:16;?m5e59~w3322909w0;69;320>;0:m0n86s|58;94?4|5<326<k8;<7;e?76;2wx:>o50;0x90b228;8709=a;g7?xu1<:0;6?u25e79542<5>8i6h:4}r7g1?6=:r7>h84>e69>1=g=9880q~;;6;296~;20h0:i:524e:9544<uz<ni7>52z?666<69:16;>h5e59~w3`02909w0;=3;320>;0<90n86s|53194?4|5<886<k8;<6eb?76;2wx:im50;0x90?f28;8709<d;g7?xu1m<0;6?u258c9542<5>9n6h:4}r7:e?6=:r7>5l4>e69>0c`=9880q~:kc;296~;3no0:i:524c:9545<uz<=;7>52z?6=g<69:16;>75e59~w3ef2909w0;6b;320>;0;j0n86s|58`94?4|5<3i6<k8;<75e?76;2wx;<l50;0x90b128;8709=3;g7?xu18=0;6?u25e49542<5>8n6h:4}r7g2?6=:r7>h;4>e69>13g=9880q~;;7;296~;2>h0:i:524c:9544<uz<<m7>52z?661<69:16;>?5eg9~w3>42909w0;=4;320>;0;;0nj6s|53694?4|5<8?6<k8;<734?76;2wx:8h50;0x90?d28;8709=f;ge?xu1?80;6?u258a9542<5>9;6hh4}r7:g?6=:r7>5n4>e69>156=9880q~:kd;296~;2890:i:524c;9545<uz<?h7>52z?6=a<69:16;?m5eg9~w3312909w0;6d;320>;0:m0nj6s|58f94?4|5<3o6<k8;<75f?76;2wx:>l50;0x90b028;8709=a;ge?xu1<=0;6?u25e59542<5>8i6hh4}r7g3?6=:r7>h:4>e69>13d=9880q~;;8;296~;2>k0:i:524c;9544<uz<<n7>52z?660<69:16;>?5f29~w3>32909w0;=5;320>;0;;0m?6s|53794?4|5<8>6<k8;<735?76;2wx:;>50;0x90?b28;8709=f;d0?xu1?;0;6?u258g9542<5>9;6k=4}r7:a?6=:r7>5h4>e69>157=9880q~:ke;296~;2880:i:524cc9545<uz<?i7>52z?6=c<69:16;?m5f29~w3302909w0;6f;320>;0:m0m?6s|58d94?4|5<3m6<k8;<75g?76;2wx:>m50;0x90b?28;8709=a;d0?xu1<<0;6?u25e:9542<5>8i6k=4}r7g<?6=:r7>h54>e69>13e=9880q~;;9;296~;2>j0:i:524cc9544<uz<<o7>52z?663<69:16;>?5f59~w3>22909w0;=6;320>;0;;0m86s|53494?4|5<8=6<k8;<736?76;2wx:;?50;0x90g728;8709=f;d7?xu1?:0;6?u25`29542<5>9;6k:4}r7b4?6=:r7>m=4>e69>154=9880q~:kf;296~;28;0:i:524c`9545<uz<?j7>52z?6e4<69:16;?m5f59~w33?2909w0;n1;320>;0:m0m86s|5`394?4|5<k:6<k8;<75`?76;2wx:>j50;0x90b>28;8709=a;d7?xu1<?0;6?u25e;9542<5>8i6k:4}r7g=?6=:r7>h44>e69>13b=9880q~;;a;296~;2>m0:i:524c`9544<uz<<h7>52z?662<69:16;>?5f49~w3>12909w0;=7;320>;0;;0m96s|53594?4|5<8<6<k8;<737?76;2wx:;<50;0x90g428;8709=f;d6?xu1?=0;6?u25`19542<5>9;6k;4}r7b7?6=:r7>m>4>e69>155=9880q~:j0;296~;28:0:i:524ca9545<uz<><7>52z?6e1<69:16;?m5f49~w33>2909w0;n4;320>;0:m0m96s|5`694?4|5<k?6<k8;<75a?76;2wx:>k50;0x90bf28;8709=a;d6?xu1<>0;6?u25ec9542<5>8i6k;4}r7ge?6=:r7>hl4>e69>13c=9880q~;;b;296~;2>l0:i:524ca9544<uz<<i7>52z?66=<69:16;>?5f79~w3>02909w0;=8;320>;0;;0m:6s|53:94?4|5<836<k8;<730?76;2wx:;=50;0x90g228;8709=f;d5?xu1?<0;6?u25`79542<5>9;6k84}r7b1?6=:r7>m84>e69>152=9880q~:j1;296~;28=0:i:524cf9545<uz<>=7>52z?6e3<69:16;?m5f79~w33f2909w0;n6;320>;0:m0m:6s|5`494?4|5<k=6<k8;<75b?76;2wx:>h50;0x90be28;8709=a;d5?xu1<10;6?u25e`9542<5>8i6k84}r7gf?6=:r7>ho4>e69>13`=9880q~;;c;296~;2>o0:i:524cf9544<uz<<j7>52z?66<<69:16;>?5f69~w3>?2909w0;=9;320>;0;;0m;6s|53;94?4|5<826<k8;<731?76;2wx:;:50;0x90g028;8709=f;d4?xu1??0;6?u25`59542<5>9;6k94}r7b3?6=:r7>m:4>e69>153=9880q~:j2;296~;28<0:i:524cg9545<uz<>>7>52z?6e=<69:16;?m5f69~w33e2909w0;n8;320>;0:m0m;6s|5`:94?4|5<k36<k8;<744?76;2wx:9>50;0x90bd28;8709=a;d4?xu1<00;6?u25ea9542<5>8i6k94}r7gg?6=:r7>hn4>e69>126=9880q~;;d;296~;2?90:i:524cg9544<uz<3<7>52z?66d<69:16;>?51128yv0?13:1>v3:2`8251=:?:81==>4}r71e?6=:r7>>l4>e69>150=9890q~895;296~;2i00:=>5273d9556<uz<<;7>52z?6e<<69=16;>>51128yv3f13:1>v3:a882a2=:=9<1=<<4}r6f7?6=:r7><;4>e69>0g`=9890q~8:3;296~;2ih0:=>5273a9556<uz<>o7>52z?6ed<69=16;?j51128yv3fi3:1>v3:a`82a2=:=>;1=<=4}r475?6=:r7>hi4>129>37g=99:0q~8;a;296~;2lm0:=95273`9556<uz?oh7>52z?6`a<6m>169:?51008yv33m3:1>v3:7082a2=:<kl1=<<4}r4`4?6=:r7>>o4>129>36g=l01v\7f;j=:181\7f835j3;:86383c8g=>{t=;h1<7<t=40a>4c034?;;7?>3:\7fp2dc=838p18om:030?814?3n27p}9b683>7}:=hh1=<:4=61;>a?<uz?jn7>52z?6eg<6m>169=951008yv2b<3:1>v3:0682a2=:<j:1=<=4}r4:g?6=:r7>mn4>129>363=l01v\7f;o::181\7f83fk3;:8638378g=>{t=hi1<7<t=4c`>4c034?<>7?>3:\7fp2=g=838p18jj:030?814;3n27p}99283>7}:=mo1=<:4=617>a?<uz?oi7>52z?6``<6m>169:<51008yv33n3:1>v3:7382a2=:<j:1=<<4}r4`5?6=:r7>>i4>129>36g=m=1v\7f;j<:181\7f835l3;:86383c8f0>{t=;n1<7<t=40g>4c034?;47?>3:\7fp2d`=838p18oj:030?814?3o?7p}9b983>7}:=ho1=<:4=61;>`2<uz?ji7>52z?6e`<6m>169=651008yv2b>3:1>v3:0982a2=:<j;1=<=4}r4:`?6=:r7>mk4>129>363=m=1v\7f;o9:181\7f83fn3;:8638378f0>{t=hl1<7<t=4ce>4c034?<?7?>3:\7fp2=d=838p18k?:030?814;3o?7p}99583>7}:=l:1=<:4=617>`2<uz?n<7>52z?6a5<6m>169:=51008yv3293:1>v3:7282a2=:<j;1=<<4}r4`6?6=:r7>>h4>129>36g=mo1v\7f;j;:181\7f835m3;:86383c8fb>{t=;o1<7<t=40f>4c034?;m7?>3:\7fp2g6=838p18l?:030?814?3om7p}9b883>7}:=k:1=<:4=61;>``<uz?i<7>52z?6f5<6m>169=o51008yv2b?3:1>v3:0`82a2=:<j91=<=4}r4:a?6=:r7>n<4>129>363=mo1v\7f;o8:181\7f83e93;:8638378fb>{t=k;1<7<t=4`2>4c034?<97?>3:\7fp2=e=838p18k>:030?814;3om7p}99483>7}:=l;1=<:4=617>``<uz?n=7>52z?6a4<6m>169:;51008yv32:3:1>v3:7482a2=:<j91=<<4}r4fb?6=:r7>>k4>129>36`=mo1v\7f;h7:181\7f835n3;:8638418fb>{t=;l1<7<t=40e>4c034?;n7?>3:\7fp2ab=838p18l=:030?814l3om7p}9e783>7}:=k81=<:4=61f>``<uz?i>7>52z?6f7<6m>169=l51008yv2b03:1>v3:0c82a2=:<j>1=<=4}r45<?6=:r7>n>4>129>36?=mo1v\7f;mm:181\7f83e;3;:86383b8fb>{t=k91<7<t=4`0>4c034?<:7?>3:\7fp34e=838p18k=:030?815;3om7p}90483>7}:=l81=<:4=60f>``<uz?n>7>52z?6a7<6m>169:851008yv32;3:1>v3:7782a2=:<j>1=<<4}r4`7?6=:r7>?=4>129>36g=n:1v\7f;j::181\7f83483;:86383c8e7>{t=::1<7<t=413>4c034?;o7?>3:\7fp2g7=838p18l;:030?814?3l87p}9b`83>7}:=k>1=<:4=61;>c5<uz?i87>52z?6f1<6m>169=m51008yv2b13:1>v3:0b82a2=:<j?1=<=4}r4:b?6=:r7>n84>129>363=n:1v\7f;o7:181\7f83e=3;:8638378e7>{t=k?1<7<t=4`6>4c034?<;7?>3:\7fp2=b=838p18k<:030?814;3l87p}99783>7}:=l91=<:4=617>c5<uz?n?7>52z?6a6<6m>169:951008yv32<3:1>v3:7682a2=:<j?1=<<4}r4`0?6=:r7>?<4>129>36g=n=1v\7f;j9:181\7f83493;:86383c8e0>{t=:;1<7<t=412>4c034?;h7?>3:\7fp2g4=838p18l9:030?814?3l?7p}9bc83>7}:=k<1=<:4=61;>c2<uz?i:7>52z?6f3<6m>169=j51008yv2bi3:1>v3:0e82a2=:<j<1=<=4}r4b4?6=:r7>n:4>129>363=n=1v\7f;o6:181\7f83e?3;:8638378e0>{t=k=1<7<t=4`4>4c034?<47?>3:\7fp2=c=838p18k;:030?814;3l?7p}99683>7}:=l>1=<:4=617>c2<uz?n87>52z?6a1<6m>169:651008yv32=3:1>v3:7982a2=:<j<1=<<4}r4`1?6=:r7>??4>129>36g=n<1v\7f;j8:181\7f834:3;:86383c8e1>{t=:81<7<t=411>4c034?;i7?>3:\7fp2g5=838p18l6:030?814?3l>7p}9bb83>7}:=k31=<:4=61;>c3<uz?i57>52z?6f<<6m>169=k51008yv2bj3:1>v3:0d82a2=:<j=1=<=4}r4b5?6=:r7>nl4>129>363=n<1v\7f;on:181\7f83ei3;:8638378e1>{t=kk1<7<t=4`b>4c034?<57?>3:\7fp2=`=838p18k::030?814;3l>7p}99983>7}:=l?1=<:4=617>c3<uz?n97>52z?6a0<6m>169:751008yv32>3:1>v3:7882a2=:<j=1=<<4}r4`2?6=:r7>?>4>129>36g=n?1v\7f;j7:181\7f834;3;:86383c8e2>{t=:91<7<t=410>4c034?;j7?>3:\7fp2g2=838p18lm:030?814?3l=7p}9be83>7}:=kh1=<:4=61;>c0<uz?in7>52z?6fg<6m>169=h51008yv2bk3:1>v3:0g82a2=:<j21=<=4}r4b6?6=:r7>nn4>129>363=n?1v\7f;om:181\7f83ek3;:8638378e2>{t=ki1<7<t=4``>4c034?<m7?>3:\7fp2<6=838p18k9:030?814;3l=7p}99883>7}:=l<1=<:4=617>c0<uz?n:7>52z?6a3<6m>169:o51008yv32?3:1>v3:7`82a2=:<j21=<<4}r4`3?6=:r7>?94>129>36g=n>1v\7f;j6:181\7f834<3;:86383c8e3>{t=:>1<7<t=417>4c034?:<7?>3:\7fp2g3=838p18lk:030?814?3l<7p}9bd83>7}:=kn1=<:4=61;>c1<uz?ih7>52z?6fa<6m>169<>51008yv2bl3:1>v3:1182a2=:<j31=<=4}r4b7?6=:r7>nh4>129>363=n>1v\7f;ol:181\7f83em3;:8638378e3>{t=ko1<7<t=4`f>4c034?<n7?>3:\7fp2<7=838p18k8:030?814;3l<7p}99`83>7}:=l=1=<:4=617>c1<uz?n;7>52z?6a2<6m>169:l51008yv3203:1>v3:7c82a2=:<j31=<<4}r4`<?6=:r7>?84>129>36g=99:0q~8ka;296~;2;<0:=95272`9556<uz?897>52z?670<6m>169<?51018yv0e>3:1>v3:bg8256=:?:=1==>4}r4ab?6=:r7>nk4>159>36>=99:0q~;mf;296~;2jo0:i:525039544<uz>ni7>52z?654<6m>168no51018yv0f<3:1>v3:c18256=:?:?1==>4}r4b`?6=:r7>o=4>159>360=99:0q~;l0;296~;2k90:i:5256a9545<uz<2>7>52z?6a=<69:16;>=51128yv0>j3:1>v3:e98251=:?:>1==>4}r7f<?6=:r7>i54>e69>12e=9880q~;:9;296~;2?j0:i:524bc9544<uz<m<7>52z?673<69:16;>h5f29~w3`>2909w0;<6;320>;0<90m?6s|52494?4|5<9=6<k8;<726?76;2wx:ik50;0x90e628;8709<d;d0?xu1m>0;6?u25b39542<5>9n6k=4}r7`5?6=:r7>o<4>e69>144=9880q~:jf;296~;29;0:i:524b`9545<uz<=57>52z?6g7<69:16;>75f29~w3ed2909w0;l2;320>;0;j0m?6s|5b094?4|5<i96<k8;<74`?76;2wx;<j50;0x90c>28;8709=3;d0?xu18?0;6?u25d;9542<5>8n6k=4}r7f=?6=:r7>i44>e69>12b=9880q~;:a;296~;2?m0:i:524b`9544<uz<m=7>52z?67=<69:16;>h5f59~w3`f2909w0;<8;320>;0<90m86s|52:94?4|5<936<k8;<727?76;2wx:ih50;0x90e328;8709<d;d7?xu1m10;6?u25b69542<5>9n6k:4}r7`0?6=:r7>o94>e69>145=9880q~:i1;296~;29:0:i:524ba9545<uz<=m7>52z?6g0<69:16;>75f59~w3ec2909w0;l5;320>;0;j0m86s|5b794?4|5<i>6<k8;<74a?76;2wx;<k50;0x90ce28;8709=3;d7?xu18>0;6?u25d`9542<5>8n6k:4}r7ff?6=:r7>io4>e69>12c=9880q~;:c;296~;2?l0:i:524ba9544<uz<m>7>52z?67<<69:16;>h5f49~w3`e2909w0;<9;320>;0<90m96s|52;94?4|5<926<k8;<721?76;2wx:h>50;0x90e128;8709<d;d6?xu1m00;6?u25b49542<5>9n6k;4}r7`2?6=:r7>o;4>e69>143=9880q~:i2;296~;29<0:i:524bg9545<uz<=n7>52z?6g2<69:16;>75f49~w3eb2909w0;l7;320>;0;j0m96s|5b594?4|5<i<6<k8;<7;4?76;2wx;<h50;0x90cd28;8709=3;d6?xu1810;6?u25da9542<5>8n6k;4}r7fg?6=:r7>in4>e69>1=6=9880q~;:d;296~;2090:i:524bg9544<uz<m?7>52z?67d<69:16;>h5f79~w3`d2909w0;<a;320>;0<90m:6s|52c94?4|5<9j6<k8;<722?76;2wx:h?50;0x90e?28;8709<d;d5?xu1mh0;6?u25b:9542<5>9n6k84}r7`<?6=:r7>o54>e69>140=9880q~:i3;296~;29?0:i:524bd9545<uz<=o7>52z?6g<<69:16;>75f79~w3ea2909w0;l9;320>;0;j0m:6s|5b;94?4|5<i26<k8;<7;5?76;2wx;?>50;0x90cc28;8709=3;d5?xu1800;6?u25df9542<5>8n6k84}r7f`?6=:r7>ii4>e69>1=7=9880q~;:e;296~;2080:i:524bd9544<uz<m87>52z?67g<69:16;>h5f69~w3`c2909w0;<b;320>;0<90m;6s|52`94?4|5<9i6<k8;<723?76;2wx:h<50;0x90ef28;8709<d;d4?xu1mk0;6?u25bc9542<5>9n6k94}r7`e?6=:r7>ol4>e69>141=9880q~:i4;296~;29>0:i:524e29545<uz<=h7>52z?6gg<69:16;>75f69~w3b72909w0;lb;320>;0;j0m;6s|5b`94?4|5<ii6<k8;<7;6?76;2wx;??50;0x90cb28;8709=3;d4?xu18h0;6?u25dg9542<5>8n6k94}r7fa?6=:r7>ih4>e69>1=4=9880q~;:f;296~;20;0:i:524e29544<uz<m97>52z?67f<69:16;>h51128yv0am3:1>v3:3b8251=:?=:1==>4}r70g?6=:r7>?n4>e69>14>=9890q~8j3;296~;2kj0:=>5272f9556<uz<no7>52z?6gf<69=16;>k51128yv3dk3:1>v3:cb82a2=:=821=<<4}r6e1?6=:r7>=54>e69>0a7=9890q~89e;296~;2km0:=>5272;9556<uz<o=7>52z?6ga<69=16;>m51128yv3dl3:1>v3:ce82a2=:=191=<=4}r516?6=:r7>ik4>129>375=99:0q~8?b;296~;2mo0:=95273g9556<uz?nj7>52z?6ac<6m>1695=51008yv3183:1>v3:8282a2=:<m;1=<<4}r413?6=:r7>?i4>129>37>=l01v\7f;=?:181\7f834l3;:8638288g=>{t=:n1<7<t=41g>4c034?:57?>3:\7fp243=838p18mi:030?815>3n27p}91d83>7}:=jl1=<:4=604>a?<uz?hj7>52z?6gc<6m>169<751008yv2a>3:1>v3:1882a2=:<m81=<=4}r525?6=:r7>h=4>129>372=l01v\7f;>l:181\7f83c83;:8638248g=>{t=m:1<7<t=4f3>4c034?387?>3:\7fp2c`=838p18h?:030?81393n27p}80983>7}:=o:1=<:4=661>a?<uz?m<7>52z?6b5<6m>1695:51008yv3193:1>v3:8582a2=:<m81=<<4}r7e3?6=:r7>jl4>ed9>256=>11v\7f8h7:181\7f83aj3;ni6390085<>{t=o31<7<t=4d`>4cb34<;>787;|q7e6<72<q68l:51d58925e28:=709<2;332>;0:00:<;527529550<uz>j=7>55z?7e7<6m>16;>o51148925628:=709=8;332>;0;o0:<;5rs5;e>5<2s4>j<7?j7:?47=<68?16;>>51148924028:=709<e;332>{t<0n1<7;t=5;f>4c034=8;7??6:?46c<68?16;?851148925c28:=7p};9c83>0}:<0i1=h94=615>46134=9h7??6:?460<68?16;>m51148yv2>13:19v3;9`82a2=:?:?1==84=60`>46134=987??6:?47<<68?1v\7f978:186\7f82>03;n;638358243=:?;h1==84=661>46134=9i7??6:\7fp0<3=83?p1979:0g4?814;3;;:6382`8243=:?=;1==84=600>4613ty?;44?:3y>6=4=98801996:0gf?xu4980;6>u23d29542<5;<96<?;;<125?7bm2wx?<:50;1x96c728;>70<92;321>;49=0:ih5rs5;2>5<5s483=7?>2:?7=4<6ml1v\7f97;:180\7f84?93;:963=638256=:<0>1=hk4}r13a?6=:r78hk4>139>75c=9lo0q~=?b;297~;4lo0:=85224d9543<5::i6<kj;|q7<g<72;q6>5>5100891>e28on7p};8d83>6}::1:1=<;4=37e>47434>3i7?je:\7fp6=d=83>p19ol:036?82fi3;:963;a88250=::1i1=h94}r6a0?6==r7?mn4>e69>36d=99=01:==:024?81513;;;638418242=z{8286=4={<6bf?76=2794n4>679~w1d4290>w0:nb;3f3>;0;h0:<:527239551<5>836<>8;<50b?77?2wx8o<50;7x91gf28o<709<8;333>;0;90:<:527359551<5>9n6<>8;|q7f4<72<q68l751d58925028:<709=f;333>;0:?0:<:5272f9551<uz83h7>52z?7e=<69<16>5k51748yv2e83:19v3;a982a2=:?:<1==94=60g>46034=997??7:?47f<68>1v\7f?6j:181\7f82f?3;:963=8g8223=z{=km6=4:{<6b3?7b?27<?84>069>37e=99=01:<;:024?81413;;;6s|19g94?4|5=k=6<?:;<0;`?71>2wx8lk50;7x91g128o<709<4;333>;0:k0:<:527509551<5>8n6<>8;|q1<f<72;q68l;5107897>c28o<7p};ae83>0}:<h?1=h94=610>46034=9m7??7:?404<68>16;?=51158yv57=3:1?v3<dd8251=::<i1=<:4=226>4cb3ty8<54?:2y>7ac=98?01?;l:036?85703;ni6s|49494?4|5;=m6<?=;<6;2?7bm2wx85750;1x971a28;>70<:c;326>;3000:ih5rs3de>5<4s49oh7?>4:?11<<69=16>kh51dg8yv57:3:1?v3<de8250=::<31=<;4=221>4cb3ty?4<4?:3y>62c=9880196>:0gf?xu30=0;6>u226g9543<5;?26<?=;<6;0?7bm2wx>km50;0x96bd28;970<ic;3fa>{t:o>1<7=t=2f`>472348>:7?>4:?1b1<6ml1v\7f99::181\7f840l3;:>63;7482a`=z{==n6=4<{<04`?76=2799;4>129>02c=9lo0q~:62;297~;5>80:=?522709544<5=396<kj;|q7<c<72;q6>8k5100891>a28on7p};8b83>6}::<o1=<=4=37e>47534>3o7?je:\7fp0=1=839p1?;m:031?842k3;:?63;8682a`=z{=296=4<{<06<?76:279944>129>0=4=9lo0q~:8f;296~;5=<0:=?5246d95`c<uz8mn7>54z?110<69:16?ok51078973128;970<ib;3fa>{t<><1<7=t=376>473348>:7?>5:?733<6ml1v\7f<9::181\7f84?03;:963=888223=z{;l<6=4={<1`7?76:279j:4>ed9~w6772909w0=l2;326>;4990:ih5rs230>5<5s49h>7?>3:?056<6ml1v\7f>>n:181\7f85d93;:>63<0`82a`=z{::o6=4<{<1`5?76=2799k4>159>75b=9lo0q~?lf;296~;41<0:i:523869545<uz9;87>52z?0g5<69;16?=:51dg8yv57?3:1>v3<c18256=:;9=1=hk4}r0ea?6=:r78nk4>139>6cc=9lo0q~=?1;296~;4jo0:=>5231395`c<uz8m?7>52z?0f`<69;16>k=51dg8yv7c:3:19v3<e282a2=:;li1=<:4=2gg>473349n;7?>4:?0a=<69=1v\7f99n:181\7f841=3;:863;7`82a`=z{8n?6=4={<1ff?76:278in4>e69~w4be2909w0=jb;327>;4mm0:i:5rs054>5<5s49n:7?>2:?0a2<6m>1v\7f<97:181\7f85b>3;:?63<e982a2=z{8=26=4={<1fa?76:278ik4>e69~w41d2909w0=je;327>;4n90:i:5r}r547?6=:rT<;>52598436=#?<81=oo4}r5g7?6=:rT<mk525984ec=#?<81=i<4}r5g5?6=:rT<mh525984e`=#?<81=i=4}r5g4?6=:rT<mi525984ea=#?<81=i:4}r5`b?6=:rT<mn525984ef=#?<81=il4}r5`a?6=:rT<mo525984eg=#?<81=:94}r5``?6=:rT<ml525984ed=#?<81=:64}r5`f?6=:rT<m5525984e==#?<81=:74}r5`e?6=:rT<m:525984e2=#?<81=:m4}r5`=?6=:rT<m;525984e3=#?<81=5=4}r5`<?6=:rT<m8525984e0=#?<81=5k4}r5`3?6=:rT<m9525984e1=#?<81=474}r5`2?6=:rT<m>525984e6=#?<81=l:4}r5`1?6=:rT<m?525984e7=#?<81=lh4}r5`0?6=:rT<m<525984e4=#?<81=o64}r5`7?6=:rT<m=525984e5=#?<81=ol4}r5`6?6=:rT<5k525984=c=#?<81=om4}r5`4?6=:rT<5i525984=a=#?<81=oj4}r5ab?6=:rT<5n525984=f=#?<81=ok4}r5aa?6=:rT<5o525984=g=#?<81=oh4}r5a`?6=:rT<5l525984=d=#?<81=n>4}r5ag?6=:rT<54525984=<=#?<81=n?4}r5af?6=:rT<55525984===#?<81=n<4}r5ae?6=:rT<5:525984=2=#?<81=n=4}r5a=?6=:rT<5;525984=3=#?<81=n:4}r5a<?6=:rT<58525984=0=#?<81=n;4}r5a3?6=:rT<59525984=1=#?<81=n84}r5ge?6=:rT<n8525984f0=#?<81=n94}r5g=?6=:rT<n9525984f1=#?<81=n64}r5g<?6=:rT<n>525984f6=#?<81=n74}r5g3?6=:rT<n?525984f7=#?<81=no4}r5g2?6=:rT<n<525984f4=#?<81=nl4}r5g1?6=:rT<n=525984f5=#?<81=nm4}r5g0?6=:rT<m4525984e<=#?<81=nj4}r5`g?6=:rT<5h525984=`=#?<81=nk4}r5`5?6=:rT<5>525984=6=#?<81=i>4}r5a2?6=:rT<5?525984=7=#?<81=i?4}r:45?6=:rT3:>52598;26=#?<81=i;4}r:5b?6=:rT3:?52598;27=#?<81=i84}r:5a?6=:rT3:<52598;24=#?<81=i94}r:5`?6=:rT3:=52598;25=#?<81=i64}r:4e?6=:rT3:o52598;2g=#?<81=i74}r:4=?6=:rT3:l52598;2d=#?<81=io4}r:4<?6=:rT3:452598;2<=#?<81=im4}r:43?6=:rT3:552598;2==#?<81=ij4}r:42?6=:rT3::52598;22=#?<81=ik4}r:41?6=:rT3:;52598;23=#?<81=ih4}r:40?6=:rT3:852598;20=#?<81=h>4}r:47?6=:rT3:952598;21=#?<81=h?4}r:46?6=:rT39k52598;1c=#?<81=h<4}r:5g?6=:rT39h52598;1`=#?<81=h=4}r:be?6=:rT3ml52598;ed=#?<81=:o4}r:bg?6=:rT3mn52598;ef=#?<81=:l4}r:`7?6=:rT3o>52598;g6=#?<81=:j4}r544?6=:rT<;=52598435=#?<81=:k4}r5;3?6=:rT<;45259843<=#?<81=:h4}r5;1?6=:rT<;55259843==#?<81=5>4}r5;0?6=:rT<;:52598432=#?<81=5?4}r5;7?6=:rT<;;52598433=#?<81=5<4}r5:4?6=:rT<4<525984<4=#?<81=5:4}r5;b?6=:rT<4=525984<5=#?<81=5;4}r5;a?6=:rT<;k5259843c=#?<81=584}r5;`?6=:rT<;h5259843`=#?<81=594}r5;g?6=:rT<;i5259843a=#?<81=564}r5;f?6=:rT<;n5259843f=#?<81=574}r5;e?6=:rT<;o5259843g=#?<81=5o4}r5;=?6=:rT<;l5259843d=#?<81=5l4}r5;<?6=:rT<;852598430=#?<81=5m4}r5;6?6=:rT<;952598431=#?<81=5j4}r:2g?6=:rT<j5525984b==#?<81=5h4}r:2e?6=:rT<j:525984b2=#?<81=4>4}r:2=?6=:rT<j;525984b3=#?<81=4?4}r:2<?6=:rT<j8525984b0=#?<81=4<4}r:23?6=:rT<j9525984b1=#?<81=4=4}r:22?6=:rT<j>525984b6=#?<81=4:4}r:20?6=:rT<j<525984b4=#?<81=4;4}r:27?6=:rT<j=525984b5=#?<81=484}r:26?6=:rT<ik525984ac=#?<81=494}r:25?6=:rT<ih525984a`=#?<81=464}r:24?6=:rT<ii525984aa=#?<81=4o4}r:3b?6=:rT<in525984af=#?<81=4l4}r:3a?6=:rT<io525984ag=#?<81=4m4}r:3`?6=:rT<il525984ad=#?<81=4j4}r:3g?6=:rT<i4525984a<=#?<81=4k4}r:3f?6=:rT<i5525984a==#?<81=4h4}r:3=?6=:rT<i;525984a3=#?<81=l>4}r:3<?6=:rT<i8525984a0=#?<81=l?4}r:33?6=:rT<i9525984a1=#?<81=l<4}r:32?6=:rT<i>525984a6=#?<81=l=4}r:31?6=:rT<i?525984a7=#?<81=l;4}r:30?6=:rT<i<525984a4=#?<81=l84}r:37?6=:rT<i=525984a5=#?<81=l94}r:36?6=:rT<hk525984`c=#?<81=l64}r:35?6=:rT<hh525984``=#?<81=l74}r:34?6=:rT<hi525984`a=#?<81=lo4}r:17?6=:rT<jh525984b`=#?<81=ll4}r:16?6=:rT<ji525984ba=#?<81=lm4}r:15?6=:rT<jn525984bf=#?<81=lj4}r:14?6=:rT<jo525984bg=#?<81=lk4}r:2b?6=:rT<jl525984bd=#?<81=o>4}r:2a?6=:rT<j4525984b<=#?<81=o?4}r:2`?6=:rT<j?525984b7=#?<81=o<4}r:21?6=:rT<i:525984a2=#?<81=o=4}r:3e?6=:rT<hn525984`f=#?<81=o:4}r5eb?6=:rT<ho525984`g=#?<81=o;4}r:11?6=:rT3>852598;60=#?<81=o84}r:1f?6=:rT3>o52598;6g=#?<81=o94}r:6`?6=:rT39i52598;1a=#?<81=o74}|l1g31=838pD:;<;|l1g3>=838pD:;<;|l1g3?=838pD:;<;|l1g3g=838pD:;<;|l1g3d=838pD:;<;|l1g3e=838pD:;<;|l1g3b=838pD:;<;|l1g3c=838pD:;<;|l1g3`=838pD:;<;|l1g26=838pD:;<;|l1g27=838pD:;<;|l1g24=838pD:;<;|l1g25=838pD:;<;|l1g22=838pD:;<;|l1g23=838pD:;<;|l1g20=838pD:;<;|l1g21=838pD:;<;|l1g2>=838pD:;<;|l1g2?=838pD:;<;|l1g2g=838pD:;<;|l1g2d=838pD:;<;|l1g2e=838pD:;<;|l1g2b=838pD:;<;|l1g2c=838pD:;<;|l1g2`=838pD:;<;|l1g=6=838pD:;<;|l1g=7=838pD:;<;|l1g=4=838pD:;<;|l1g=5=838pD:;<;|l1g=2=838pD:;<;|l1g=3=838pD:;<;|l1g=0=838pD:;<;|l1g=1=838pD:;<;|l1g=>=838pD:;<;|l1g=?=838pD:;<;|l1g=g=838pD:;<;|l1g=d=838pD:;<;|l1g=e=838pD:;<;|l1g=b=838pD:;<;|l1g=c=838pD:;<;|l1g=`=838pD:;<;|l1g<6=838pD:;<;|l1g<7=838pD:;<;|l1g<4=838pD:;<;|l1g<5=838pD:;<;|l1g<2=838pD:;<;|l1g<3=838pD:;<;|l1g<0=838pD:;<;|l1g<1=838pD:;<;|l1g<>=838pD:;<;|l1g<?=838pD:;<;|l1g<g=838pD:;<;|l1g<d=838pD:;<;|l1g<e=838pD:;<;|l1g<b=838pD:;<;|l1g<c=838pD:;<;|l1g<`=838pD:;<;|l1gd6=838pD:;<;|l1gd7=838pD:;<;|l1gd4=838pD:;<;|l1gd5=838pD:;<;|l1gd2=838pD:;<;|l1gd3=838pD:;<;|l1gd0=838pD:;<;|l1gd1=838pD:;<;|l1gd>=838pD:;<;|l1gd?=838pD:;<;|l1gdg=838pD:;<;|l1gdd=838pD:;<;|l1gde=838pD:;<;|l1gdb=838pD:;<;|l1gdc=838pD:;<;|l1gd`=838pD:;<;|l1gg6=838pD:;<;|l1gg7=838pD:;<;|l1gg4=838pD:;<;|l1gg5=838pD:;<;|l1gg2=838pD:;<;|l1gg3=838pD:;<;|l1gg0=838pD:;<;|l1gg1=838pD:;<;|l1gg>=838pD:;<;|l1gg?=838pD:;<;|l1ggg=838pD:;<;|l1ggd=838pD:;<;|l1gge=838pD:;<;|l1ggb=838pD:;<;|l1ggc=838pD:;<;|l1gg`=838pD:;<;|l1gf6=838pD:;<;|l1gf7=838pD:;<;|l1gf4=838pD:;<;|l1gf5=838pD:;<;|l1gf2=838pD:;<;|l1gf3=838pD:;<;|l1gf0=838pD:;<;|l1gf1=838pD:;<;|l1gf>=838pD:;<;|l1gf?=838pD:;<;|l1gfg=838pD:;<;|l1gfd=838pD:;<;|l1gfe=838pD:;<;|l1gfb=838pD:;<;|l1gfc=838pD:;<;|l1gf`=838pD:;<;|l1ga6=838pD:;<;|l1ga7=838pD:;<;|l1ga4=838pD:;<;|l1<7`=83;pD:;<;|l1<<g=83;pD:;<;|l1<<d=83;pD:;<;|l1<<e=83;pD:;<;|l1<<b=83;pD:;<;|l1<<c=83;pD:;<;|l1<<`=83;pD:;<;|l1<d6=83;pD:;<;|l1<d7=83;pD:;<;|l1<d4=83;pD:;<;|l1<d5=83;pD:;<;|l1<d2=83;pD:;<;|l1<d3=83;pD:;<;|l1<d0=83;pD:;<;|l1<d1=83;pD:;<;|l1<d>=83;pD:;<;|l1<d?=83;pD:;<;|l1<dg=83;pD:;<;|l1<dd=83;pD:;<;|l1<de=83;pD:;<;|l1<db=83;pD:;<;|l1<dc=83;pD:;<;|l1<d`=83;pD:;<;|l1<g6=83;pD:;<;|l1<g7=83;pD:;<;|l1<g4=83;pD:;<;|l1<g5=83;pD:;<;|l1<g2=83;pD:;<;|l1<g3=83;pD:;<;|l1<g0=83;pD:;<;|l1<g1=83;pD:;<;|l1<g>=83;pD:;<;|l1<g?=83;pD:;<;|l1<gg=83;pD:;<;|l1<gd=83;pD:;<;|l1<ge=83;pD:;<;|l1<gb=83;pD:;<;|l1<gc=83;pD:;<;|l1<g`=83;pD:;<;|l1<f6=83;pD:;<;|l1<f7=83;pD:;<;|l1<f4=83;pD:;<;|l1<f5=83;pD:;<;|l1<f2=83;pD:;<;|l1<f3=83;pD:;<;|l1<f0=83;pD:;<;|l1<f1=83;pD:;<;|l1<f>=83;pD:;<;|l1<f?=83;pD:;<;|l1<fg=83;pD:;<;|l1<fd=83;pD:;<;|l1<fe=83;pD:;<;|l1<fb=83;pD:;<;|l1<fc=83;pD:;<;|l1<f`=83;pD:;<;|l1<a6=83;pD:;<;|l1<a7=83;pD:;<;|l1<a4=83;pD:;<;|l1<a5=83;pD:;<;|l1<a2=83;pD:;<;|l1<a3=83;pD:;<;|l1<a0=83;pD:;<;|l1<a1=83;pD:;<;|l1<a>=83;pD:;<;|l1<a?=83;pD:;<;|l1<ag=83;pD:;<;|l1<ad=83;pD:;<;|l1<ae=83;pD:;<;|l1<ab=83;pD:;<;|l1<ac=83;pD:;<;|l1<a`=83;pD:;<;|l1<`6=83;pD:;<;|l1<`7=83;pD:;<;|l1<`4=83;pD:;<;|l1<`5=83;pD:;<;|l1<`2=83;pD:;<;|l1<`3=83;pD:;<;|l1<`0=83;pD:;<;|l1<`1=83;pD:;<;|l1<`>=83;pD:;<;|l1<`?=83;pD:;<;|l1<`g=83;pD:;<;|l1<`d=83;pD:;<;|l1<`e=83;pD:;<;|l1<`b=83;pD:;<;|l1<`c=83;pD:;<;|l1<``=83;pD:;<;|l1<c6=83;pD:;<;|l1<c7=83;pD:;<;|l1<c4=83;pD:;<;|l1<c5=83;pD:;<;|l1<c2=83;pD:;<;|l1<c3=83;pD:;<;|l1<c0=83;pD:;<;|l1<c1=83;pD:;<;|l1<c>=83;pD:;<;|l1<c?=83;pD:;<;|l1<cg=83;pD:;<;|l1<cd=83;pD:;<;|l1<ce=83;pD:;<;|l1<cb=83;pD:;<;|l1<cc=83;pD:;<;|l1<c`=83;pD:;<;|l1=56=83;pD:;<;|l1=57=83;pD:;<;|l1=54=83;pD:;<;|l1=55=83;pD:;<;|l1=52=83;pD:;<;|l1=53=83;pD:;<;|l1=50=83;pD:;<;|l1=51=83;pD:;<;|l1=5>=83;pD:;<;|l1=5?=83;pD:;<;|l1=5g=83;pD:;<;|l1=5d=83;pD:;<;|l1=5e=83;pD:;<;|l1=5b=83;pD:;<;|l1=5c=83;pD:;<;|l1=5`=83;pD:;<;|l1=46=83;pD:;<;|l1=47=83;pD:;<;|l1=44=83;pD:;<;|l1=45=83;pD:;<;|l1=42=83;pD:;<;|l1=43=83;pD:;<;|l1=40=83;pD:;<;|l1=41=83;pD:;<;|l1=4>=83;pD:;<;|l1=4?=83;pD:;<;|l1=4g=83;pD:;<;|l1=4d=83;pD:;<;|l1=4e=83;pD:;<;|l1=4b=83;pD:;<;|l1=4c=83;pD:;<;|l1=4`=83;pD:;<;|l1=76=83;pD:;<;|l1=77=83;pD:;<;|l1=74=83;pD:;<;|l1=75=83;pD:;<;|l1=72=83;pD:;<;|l1=73=83;pD:;<;|l1=70=83;pD:;<;|l1=71=83;pD:;<;|l1=7>=83;pD:;<;|l1=7?=83;pD:;<;|l1=7g=83;pD:;<;|l1=7d=83;pD:;<;|l1=7e=83;pD:;<;|l1=7b=83;pD:;<;|l1=7c=83;pD:;<;|l1=7`=83;pD:;<;|l1=66=83;pD:;<;|l1=67=83;pD:;<;|l1=64=83;pD:;<;|l1=65=83;pD:;<;|l1=62=83;pD:;<;|l1=63=83;pD:;<;|l1=60=83;pD:;<;|l1=61=83;pD:;<;|l1=6>=83;pD:;<;|l1=6?=83;pD:;<;|l1=6g=83;pD:;<;|l1=6d=83;pD:;<;|l1=6e=83;pD:;<;|l1=6b=83;pD:;<;|l1=6c=83;pD:;<;|l1=6`=83;pD:;<;|l1=16=83;pD:;<;|l1=17=83;pD:;<;|l1=14=83;pD:;<;|l1=15=83;pD:;<;|l1=12=83;pD:;<;|l1=13=83;pD:;<;|l1=10=83;pD:;<;|l1=11=83;pD:;<;|l1=1>=83;pD:;<;|l1=1?=83;pD:;<;|l1=1g=83;pD:;<;|l1=1d=83;pD:;<;|l1=1e=83;pD:;<;|l1=1b=83;pD:;<;|l1=1c=83;pD:;<;|l1=1`=83;pD:;<;|l1=06=83;pD:;<;|l1=07=83;pD:;<;|l1=04=83;pD:;<;|l1=05=83;pD:;<;|l1=02=83;pD:;<;|l1=03=83;pD:;<;|l1=00=83;pD:;<;|l1=01=83;pD:;<;|l1=0>=83;pD:;<;|l1=0?=83;pD:;<;|l1=0g=83;pD:;<;|l1=0d=83;pD:;<;|l1=0e=83;pD:;<;|l1=0b=83;pD:;<;|l1=0c=83;pD:;<;|l1=0`=83;pD:;<;|l1=36=83;pD:;<;|l1=37=83;pD:;<;|l1=34=83;pD:;<;|l1=35=83;pD:;<;|l1=32=83;pD:;<;|l1=33=83;pD:;<;|l1=30=83;pD:;<;|l1=31=83;pD:;<;|l1=3>=83;pD:;<;|l1=3?=83;pD:;<;|l1=3g=83;pD:;<;|l1=3d=83;pD:;<;|l1=3e=83;pD:;<;|l1=3b=83;pD:;<;|l1=3c=83;pD:;<;|l1=3`=83;pD:;<;|l1=26=83;pD:;<;|l1=27=83;pD:;<;|l1=24=83;pD:;<;|l1=25=83;pD:;<;|l1=22=83;pD:;<;|l1=23=83;pD:;<;|l1=20=83;pD:;<;|l1=21=83;pD:;<;|l1=2>=83;pD:;<;|l1=2?=83;pD:;<;|l1=2g=83;pD:;<;|l1=2d=83;pD:;<;|l1=2e=83;pD:;<;|l1=2b=83;pD:;<;|l1=2c=83;pD:;<;|l1=2`=83;pD:;<;|l1==6=83;pD:;<;|l1==7=83;pD:;<;|l1==4=83;pD:;<;|l1==5=83;pD:;<;|l1==2=83;pD:;<;|l1==3=83;pD:;<;|l1==0=83;pD:;<;|l1==1=83;pD:;<;|l1==>=83;pD:;<;|l1==?=83;pD:;<;|l1==g=83;pD:;<;|l1==d=83;pD:;<;|l1==e=83;pD:;<;|l1==b=83;pD:;<;|l1==c=83;pD:;<;|l1==`=83;pD:;<;|l1=<6=83;pD:;<;|l1=<7=83;pD:;<;|l1=<4=83;pD:;<;|l1=<5=83;pD:;<;|l1=<2=83;pD:;<;|l1=<3=83;pD:;<;|l1=<0=83;pD:;<;|l1=<1=83;pD:;<;|l1=<>=83;pD:;<;|l1=<?=83;pD:;<;|l1=<g=83;pD:;<;|l1=<d=83;pD:;<;|l1=<e=83;pD:;<;|l1=<b=83;pD:;<;|l1=<c=83;pD:;<;|l1=<`=83;pD:;<;|l1=d6=83;pD:;<;|l1=d7=83;pD:;<;|l1=d4=83;pD:;<;|l1=d5=83;pD:;<;|l1=d2=83;pD:;<;|l1=d3=83;pD:;<;|l1=d0=83;pD:;<;|l1=d1=83;pD:;<;|l1=d>=83;pD:;<;|l1=d?=83;pD:;<;|l1=dg=83;pD:;<;|l1=dd=83;pD:;<;|l1=de=83;pD:;<;|l1=db=83;pD:;<;|l1=dc=83;pD:;<;|l1=d`=83;pD:;<;|l1=g6=83;pD:;<;|l1=g7=83;pD:;<;|l1=g4=83;pD:;<;|l1=g5=83;pD:;<;|l1=g2=83;pD:;<;|l1=g3=83;pD:;<;|l1=g0=83;pD:;<;|l1=g1=83;pD:;<;|l1=g>=83;pD:;<;|l1=g?=83;pD:;<;|l1=gg=83;pD:;<;|l1=gd=83;pD:;<;|l1=ge=83;pD:;<;|l1=gb=83;pD:;<;|l1=gc=83;pD:;<;|l1=g`=83;pD:;<;|l1=f6=83;pD:;<;|l1=f7=83;pD:;<;|l1=f4=83;pD:;<;|l1=f5=83;pD:;<;|l1=f2=83;pD:;<;|l1=f3=83;pD:;<;|l1=f0=83;pD:;<;|l1=f1=83;pD:;<;|l1=f>=83;pD:;<;|l1=f?=83;pD:;<;|l1=fg=83;pD:;<;|l1=fd=83;pD:;<;|l1=fe=83;pD:;<;|l1=fb=83;pD:;<;|l1=fc=83;pD:;<;|l1=f`=83;pD:;<;|l1=a6=83;pD:;<;|l1=a7=83;pD:;<;|l1=a4=83;pD:;<;|l1=a5=83;pD:;<;|l1=a2=83;pD:;<;|l1=a3=83;pD:;<;|l1=a0=83;pD:;<;|l1=a1=83;pD:;<;|l1=a>=83;pD:;<;|l1=a?=83;pD:;<;|l1=ag=83;pD:;<;|l1=ad=83;pD:;<;|l1=ae=83;pD:;<;|l1=ab=83;pD:;<;|l1=ac=83;pD:;<;|l1=a`=83;pD:;<;|l1=`6=83;pD:;<;|l1=`7=83;pD:;<;|l1=`4=83;pD:;<;|l1=`5=83;pD:;<;|l1=`2=83;pD:;<;|l1=`3=83;pD:;<;|l1=`0=83;pD:;<;|l1=`1=83;pD:;<;|l1=`>=83;pD:;<;|l1=`?=83;pD:;<;|l1=`g=83;pD:;<;|l1=`d=83;pD:;<;|l1=`e=83;pD:;<;|l1=`b=83;pD:;<;|l1=`c=83;pD:;<;|l1=``=83;pD:;<;|l1=c6=83;pD:;<;|l1=c7=83;pD:;<;|l1=c4=83;pD:;<;|l1=c5=83;pD:;<;|l1=c2=83;pD:;<;|l1=c3=83;pD:;<;|l1=c0=83;pD:;<;|l1=c1=83;pD:;<;|l1=c>=83;pD:;<;|l1=c?=83;pD:;<;|l1=cg=83;pD:;<;|l1=cd=83;pD:;<;|l1=ce=83;pD:;<;|l1=cb=83;pD:;<;|l1=cc=83;pD:;<;|l1=c`=83;pD:;<;|l1e56=83;pD:;<;|l1e57=83;pD:;<;|l1e54=83;pD:;<;|l1e55=83;pD:;<;|l1e52=83;pD:;<;|l1e53=83;pD:;<;|l1e50=83;pD:;<;|l1e51=83;pD:;<;|l1e5>=83;pD:;<;|l1e5?=83;pD:;<;|l1e5g=83;pD:;<;|l1e5d=83;pD:;<;|l1e5e=83;pD:;<;|l1e5b=83;pD:;<;|l1e5c=83;pD:;<;|l1e5`=83;pD:;<;|l1e46=83;pD:;<;|l1e47=83;pD:;<;|l1e44=83;pD:;<;|l1e45=83;pD:;<;|l1e42=83;pD:;<;|l1e43=83;pD:;<;|l1e40=83;pD:;<;|l1e41=83;pD:;<;|l1e4>=83;pD:;<;|l1e4?=83;pD:;<;|l1e4g=83;pD:;<;|l1e4d=83;pD:;<;|l1e4e=83;pD:;<;|l1e4b=83;pD:;<;|l1e4c=83;pD:;<;|l1e4`=83;pD:;<;|l1e76=83;pD:;<;|l1e77=83;pD:;<;|l1e74=83;pD:;<;|l1e75=83;pD:;<;|l1e72=83;pD:;<;|l1e73=83;pD:;<;|l1e70=83;pD:;<;|l1e71=83;pD:;<;|l1e7>=83;pD:;<;|l1e7?=83;pD:;<;|l1e7g=83;pD:;<;|l1e7d=83;pD:;<;|l1e7e=83;pD:;<;|l1e7b=83;pD:;<;|l1e7c=83;pD:;<;|l1e7`=83;pD:;<;|l1e66=83;pD:;<;|l1e67=83;pD:;<;|l1e64=83;pD:;<;|l1e65=83;pD:;<;|l1e62=83;pD:;<;|l1e63=83;pD:;<;|l1e60=83;pD:;<;|l1e61=83;pD:;<;|l1e6>=83;pD:;<;|l1e6?=83;pD:;<;|l1e6g=83;pD:;<;|l1e6d=83;pD:;<;|l1e6e=83;pD:;<;|l1e6b=83;pD:;<;|l1e6c=83;pD:;<;|l1e6`=83;pD:;<;|l1e16=83;pD:;<;|l1e17=83;pD:;<;|l1e14=83;pD:;<;|l1e15=83;pD:;<;|l1e12=83;pD:;<;|l1e13=83;pD:;<;|l1e10=83;pD:;<;|l1e11=83;pD:;<;|l1e1>=83;pD:;<;|l1e1?=83;pD:;<;|l1e1g=83;pD:;<;|l1e1d=83;pD:;<;|l1e1e=83;pD:;<;|l1e1b=83;pD:;<;|l1e1c=83;pD:;<;|l1e1`=83;pD:;<;|l1e06=83;pD:;<;|l1e07=83;pD:;<;|l1e04=83;pD:;<;|l1e05=83;pD:;<;|l1e02=83;pD:;<;|l1e03=83;pD:;<;|l1e00=83;pD:;<;|l1e01=83;pD:;<;|l1e0>=83;pD:;<;|l1e0?=83;pD:;<;|l1e0g=83;pD:;<;|l1e0d=83;pD:;<;|l1e0e=83;pD:;<;|l1e0b=83;pD:;<;|l1e0c=83;pD:;<;|l1e0`=83;pD:;<;|l1e36=83;pD:;<;|l1e37=83;pD:;<;|l1e34=83;pD:;<;|l1e35=83;pD:;<;|l1e32=83;pD:;<;|l1e33=83;pD:;<;|l1e30=83;pD:;<;|l1e31=83;pD:;<;|l1e3>=83;pD:;<;|l1e3?=83;pD:;<;|l1e3g=83;pD:;<;|l1e3d=83;pD:;<;|l1e3e=83;pD:;<;|l1e3b=83;pD:;<;|l1e3c=83;pD:;<;|l1e3`=83;pD:;<;|l1e26=83;pD:;<;|l1e27=83;pD:;<;|l1e24=83;pD:;<;|l1e25=83;pD:;<;|l1e22=83;pD:;<;|l1e23=83;pD:;<;|l1e20=83;pD:;<;|l1e21=83;pD:;<;|l1e2>=83;pD:;<;|l1e2?=83;pD:;<;|l1e2g=83;pD:;<;|l1e2d=83;pD:;<;|l1e2e=83;pD:;<;|l1e2b=83;pD:;<;|l1e2c=83;pD:;<;|l1e2`=83;pD:;<;|l1e=6=83;pD:;<;|l1e=7=83;pD:;<;|l1e=4=83;pD:;<;|l1e=5=83;pD:;<;|l1e=2=83;pD:;<;|l1e=3=83;pD:;<;|l1e=0=83;pD:;<;|l1e=1=83;pD:;<;|l1e=>=83;pD:;<;|l1e=?=83;pD:;<;|l1e=g=83;pD:;<;|l1e=d=83;pD:;<;|l1e=e=83;pD:;<;|l1e=b=83;pD:;<;|l1e=c=83;pD:;<;|l1e=`=83;pD:;<;|l1e<6=83;pD:;<;|l1e<7=83;pD:;<;|l1e<4=83;pD:;<;|l1e<5=83;pD:;<;|l1e<2=83;pD:;<;|l1e<3=83;pD:;<;|l1e<0=83;pD:;<;|l1e<1=83;pD:;<;|l1e<>=83;pD:;<;|l1e<?=83;pD:;<;|l1e<g=83;pD:;<;|l1e<d=83;pD:;<;|l1e<e=83;pD:;<;|l1e<b=83;pD:;<;|l1e<c=83;pD:;<;|l1e<`=83;pD:;<;|l1ed6=83;pD:;<;|l1ed7=83;pD:;<;|l1ed4=83;pD:;<;|l1ed5=83;pD:;<;|l1ed2=83;pD:;<;|l1ed3=83;pD:;<;|l1ed0=83;pD:;<;|l1ed1=83;pD:;<;|l1ed>=83;pD:;<;|l1ed?=83;pD:;<;|l1edg=83;pD:;<;|l1edd=83;pD:;<;|l1ede=83;pD:;<;|l1edb=83;pD:;<;|l1edc=83;pD:;<;|l1ed`=83;pD:;<;|l1eg6=83;pD:;<;|l1eg7=83;pD:;<;|l1eg4=83;pD:;<;|l1eg5=83;pD:;<;|l1eg2=83;pD:;<;|l1eg3=83;pD:;<;|l1eg0=83;pD:;<;|l1eg1=83;pD:;<;|l1eg>=83;pD:;<;|l1eg?=83;pD:;<;|l1egg=83;pD:;<;|l1egd=83;pD:;<;|l1ege=83;pD:;<;|l1egb=83;pD:;<;|l1egc=83;pD:;<;|l1eg`=83;pD:;<;|l1ef6=83;pD:;<;|l1ef7=83;pD:;<;|l1ef4=83;pD:;<;|l1ef5=83;pD:;<;|l1ef2=83;pD:;<;|l1ef3=83;pD:;<;|l1ef0=83;pD:;<;|l1ef1=83;pD:;<;|l1ef>=83;pD:;<;|l1ef?=83;pD:;<;|l1efg=83;pD:;<;|l1efd=83;pD:;<;|l1efe=83;pD:;<;|l1efb=83;pD:;<;|l1efc=83;pD:;<;|l1ef`=83;pD:;<;|l1ea6=83;pD:;<;|l1ea7=83;pD:;<;|l1ea4=83;pD:;<;|l1ea5=83;pD:;<;|l1ea2=83;pD:;<;|l1ea3=83;pD:;<;|l1ea0=83;pD:;<;|l1ea1=83;pD:;<;|l1ea>=83;pD:;<;|l1ea?=83;pD:;<;|l1eag=83;pD:;<;|l1ead=83;pD:;<;|l1eae=83;pD:;<;|l1eab=83;pD:;<;|l1eac=83;pD:;<;|l1ea`=83;pD:;<;|l1e`6=83;pD:;<;|l1e`7=83;pD:;<;|l1e`4=83;pD:;<;|l1e`5=83;pD:;<;|l1e`2=83;pD:;<;|l1e`3=83;pD:;<;|l1e`0=83;pD:;<;|l1e`1=83;pD:;<;|l1e`>=83;pD:;<;|l1e`?=83;pD:;<;|l1e`g=83;pD:;<;|l1e`d=83;pD:;<;|l1e`e=83;pD:;<;|l1e`b=83;pD:;<;|l1e`c=83;pD:;<;|l1e``=83;pD:;<;|l1ec6=83;pD:;<;|l1ec7=83;pD:;<;|l1ec4=83;pD:;<;|l1ec5=83;pD:;<;|l1ec2=83;pD:;<;|l1ec3=83;pD:;<;|l1ec0=83;pD:;<;|l1ec1=83;pD:;<;|l1ec>=83;pD:;<;|l1ec?=83;pD:;<;|l1ecg=83;pD:;<;|l1ecd=83;pD:;<;|l1ece=83;pD:;<;|l1ecb=83;pD:;<;|l1ecc=83;pD:;<;|l1ec`=83;pD:;<;|l1f56=83;pD:;<;|l1f57=83;pD:;<;|l1f54=83;pD:;<;|l1f55=83;pD:;<;|l1f52=83;pD:;<;|l1f53=83;pD:;<;|l1f50=83;pD:;<;|l1f51=83;pD:;<;|l1f5>=83;pD:;<;|l1f5?=83;pD:;<;|l1f5g=83;pD:;<;|l1f5d=83;pD:;<;|l1f5e=83;pD:;<;|l1f5b=83;pD:;<;|l1f5c=83;pD:;<;|l1f5`=83;pD:;<;|l1f46=83;pD:;<;|l1f47=83;pD:;<;|l1f44=83;pD:;<;|l1f45=83;pD:;<;|l1f42=83;pD:;<;|l1f43=83;pD:;<;|l1f40=83;pD:;<;|l1f41=83;pD:;<;|l1f4>=83;pD:;<;|l1f4?=83;pD:;<;|l1f4g=83;pD:;<;|l1f4d=83;pD:;<;|l1f4e=83;pD:;<;|l1f4b=83;pD:;<;|l1f4c=83;pD:;<;|l1f4`=83;pD:;<;|l1f76=83;pD:;<;|l1f77=83;pD:;<;|l1f74=83;pD:;<;|l1f75=83;pD:;<;|l1f72=83;pD:;<;|l1f73=83;pD:;<;|l1f70=83;pD:;<;|l1f71=83;pD:;<;|l1f7>=83;pD:;<;|l1f7?=83;pD:;<;|l1f7g=83;pD:;<;|l1f7d=83;pD:;<;|l1f7e=83;pD:;<;|l1f7b=83;pD:;<;|l1f7c=83;pD:;<;|l1f7`=83;pD:;<;|l1f66=83;pD:;<;|l1f67=83;pD:;<;|l1f64=83;pD:;<;|l1f65=83;pD:;<;|l1f62=83;pD:;<;|l1f63=83;pD:;<;|l1f60=83;pD:;<;|l1f61=83;pD:;<;|l1f6>=83;pD:;<;|l1f6?=83;pD:;<;|l1f6g=83;pD:;<;|l1f6d=83;pD:;<;|l1f6e=83;pD:;<;|l1f6b=83;pD:;<;|l1f6c=83;pD:;<;|l1f6`=83;pD:;<;|l1f16=83;pD:;<;|l1f17=83;pD:;<;|l1f14=83;pD:;<;|l1f15=83;pD:;<;|l1f12=83;pD:;<;|l1f13=83;pD:;<;|l1f10=83;pD:;<;|l1f11=83;pD:;<;|l1f1>=83;pD:;<;|l1f1?=83;pD:;<;|l1f1g=83;pD:;<;|l1f1d=83;pD:;<;|l1f1e=83;pD:;<;|l1f1b=83;pD:;<;|l1f1c=83;pD:;<;|l1f1`=83;pD:;<;|l1f06=83;pD:;<;|l1f07=83;pD:;<;|l1f04=83;pD:;<;|l1f05=83;pD:;<;|l1f02=83;pD:;<;|l1f03=83;pD:;<;|l1f00=83;pD:;<;|l1f01=83;pD:;<;|l1f0>=83;pD:;<;|l1f0?=83;pD:;<;|l1f0g=83;pD:;<;|l1f0d=83;pD:;<;|l1f0e=83;pD:;<;|l1f0b=83;pD:;<;|l1f0c=83;pD:;<;|l1f0`=83;pD:;<;|l1f36=83;pD:;<;|l1f37=83;pD:;<;|l1f34=83;pD:;<;|l1f35=83;pD:;<;|l1f32=83;pD:;<;|l1f33=83;pD:;<;|l1f30=83;pD:;<;|l1f31=83;pD:;<;|l1f3>=83;pD:;<;|l1f3?=83;pD:;<;|l1f3g=83;pD:;<;|l1f3d=83;pD:;<;|l1f3e=83;pD:;<;|l1f3b=83;pD:;<;|l1f3c=83;pD:;<;|l1f3`=83;pD:;<;|l1f26=83;pD:;<;|l1f27=83;pD:;<;|l1f24=83;pD:;<;|l1f25=83;pD:;<;|l1f22=83;pD:;<;|l1f23=83;pD:;<;|l1f20=83;pD:;<;|l1f21=83;pD:;<;|l1f2>=83;pD:;<;|l1f2?=83;pD:;<;|l1f2g=83;pD:;<;|l1f2d=83;pD:;<;|l1f2e=83;pD:;<;|l1f2b=83;pD:;<;|l1f2c=83;pD:;<;|l1f2`=83;pD:;<;|l1f=6=83;pD:;<;|l1f=7=83;pD:;<;|l1f=4=83;pD:;<;|l1f=5=83;pD:;<;|l1f=2=83;pD:;<;|l1f=3=83;pD:;<;|l1f=0=83;pD:;<;|l1f=1=83;pD:;<;|l1f=>=83;pD:;<;|l1f=?=83;pD:;<;|l1f=g=83;pD:;<;|l1f=d=83;pD:;<;|l1f=e=83;pD:;<;|l1f=b=83;pD:;<;|l1f=c=83;pD:;<;|l1f=`=83;pD:;<;|l1f<6=83;pD:;<;|l1f<7=83;pD:;<;|l1f<4=83;pD:;<;|l1f<5=83;pD:;<;|l1f<2=83;pD:;<;|l1f<3=83;pD:;<;|l1f<0=83;pD:;<;|l1f<1=83;pD:;<;|l1f<>=83;pD:;<;|l1f<?=83;pD:;<;|l1f<g=83;pD:;<;|l1f<d=83;pD:;<;|l1f<e=83;pD:;<;|l1f<b=83;pD:;<;|l1f<c=83;pD:;<;|l1f<`=83;pD:;<;|l1fd6=83;pD:;<;|l1fd7=83;pD:;<;|l1fd4=83;pD:;<;|l1fd5=83;pD:;<;|l1fd2=83;pD:;<;|l1fd3=83;pD:;<;|l1fd0=83;pD:;<;|l1fd1=83;pD:;<;|l1fd>=83;pD:;<;|l1fd?=83;pD:;<;|l1fdg=83;pD:;<;|l1fdd=83;pD:;<;|l1fde=83;pD:;<;|l1fdb=83;pD:;<;|l1fdc=83;pD:;<;|l1fd`=83;pD:;<;|l1fg6=83;pD:;<;|l1fg7=83;pD:;<;|l1fg4=83;pD:;<;|l1fg5=83;pD:;<;|l1fg2=83;pD:;<;|l1fg3=83;pD:;<;|l1fg0=83;pD:;<;|l1fg1=83;pD:;<;|l1fg>=83;pD:;<;|l1fg?=83;pD:;<;|l1fgg=83;pD:;<;|l1fgd=83;pD:;<;|l1fge=83;pD:;<;|l1fgb=83;pD:;<;|l1fgc=83;pD:;<;|l1fg`=83;pD:;<;|l1ff6=83;pD:;<;|l1ff7=83;pD:;<;|l1ff4=83;pD:;<;|l1ff5=83;pD:;<;|l1ff2=83;pD:;<;|l1ff3=83;pD:;<;|l1ff0=83;pD:;<;|l1ff1=83;pD:;<;|l1ff>=83;pD:;<;|l1ff?=83;pD:;<;|l1ffg=83;pD:;<;|l1ffd=83;pD:;<;|l1ffe=83;pD:;<;|l1ffb=83;pD:;<;|l1ffc=83;pD:;<;|l1ff`=83;pD:;<;|l1fa6=83;pD:;<;|l1fa7=83;pD:;<;|l1fa4=83;pD:;<;|l1fa5=83;pD:;<;|l1fa2=83;pD:;<;|l1fa3=83;pD:;<;|l1fa0=83;pD:;<;|l1fa1=83;pD:;<;|l1fa>=83;pD:;<;|l1fa?=83;pD:;<;|l1fag=83;pD:;<;|l1fad=83;pD:;<;|l1fae=83;pD:;<;|l1fab=83;pD:;<;|l1fac=83;pD:;<;|l1fa`=83;pD:;<;|l1f`6=83;pD:;<;|l1f`7=83;pD:;<;|l1f`4=83;pD:;<;|l1f`5=83;pD:;<;|l1f`2=83;pD:;<;|l1f`3=83;pD:;<;|l1f`0=83;pD:;<;|l1f`1=83;pD:;<;|l1f`>=83;pD:;<;|l1f`?=83;pD:;<;|l1f`g=83;pD:;<;|l1f`d=83;pD:;<;|l1f`e=83;pD:;<;|l1f`b=83;pD:;<;|l1f`c=83;pD:;<;|l1f``=83;pD:;<;|l1fc6=83;pD:;<;|l1fc7=83;pD:;<;|l1fc4=83;pD:;<;|l1fc5=83;pD:;<;|l1fc2=83;pD:;<;|l1fc3=83;pD:;<;|l1fc0=83;pD:;<;|l1fc1=83;pD:;<;|l1fc>=83;pD:;<;|l1fc?=83;pD:;<;|l1fcg=83;pD:;<;|l1fcd=83;pD:;<;|l1fce=83;pD:;<;|l1fcb=83;pD:;<;|l1fcc=83;pD:;<;|l1fc`=83;pD:;<;|l1g56=83;pD:;<;|l1g57=83;pD:;<;|l1g54=83;pD:;<;|l1g55=83;pD:;<;|l1g52=83;pD:;<;|l1g53=83;pD:;<;|l1g50=83;pD:;<;|l1g51=83;pD:;<;|l1g5>=83;pD:;<;|l1g5?=83;pD:;<;|l1g5g=83;pD:;<;|l1g5d=83;pD:;<;|l1g5e=83;pD:;<;|l1g5b=83;pD:;<;|l1g5c=83;pD:;<;|l1g5`=83;pD:;<;|l1g46=83;pD:;<;|l1g47=83;pD:;<;|l1g44=83;pD:;<;|l1g45=83;pD:;<;|l1g42=83;pD:;<;|l1g43=83;pD:;<;|l1g40=83;pD:;<;|l1g41=83;pD:;<;|l1g4>=83;pD:;<;|l1g4?=83;pD:;<;|l1g4g=83;pD:;<;|l1g4d=83;pD:;<;|l1g4e=83;pD:;<;|l1g4b=83;pD:;<;|l1g4c=83;pD:;<;|l1g4`=83;pD:;<;|l1g76=83;pD:;<;|l1g77=83;pD:;<;|l1g74=83;pD:;<;|l1g75=83;pD:;<;|l1g72=83;pD:;<;|l1g73=83;pD:;<;|l1g70=83;pD:;<;|l1g71=83;pD:;<;|l1g7>=83;pD:;<;|l1g7?=83;pD:;<;|l1g7g=83;pD:;<;|l1g7d=83;pD:;<;|l1g7e=83;pD:;<;|l1g7b=83;pD:;<;|l1g7c=83;pD:;<;|l1g7`=83;pD:;<;|l1g66=83;pD:;<;|l1g67=83;pD:;<;|l1g64=83;pD:;<;|l1g65=83;pD:;<;|l1g62=83;pD:;<;|l1g63=83;pD:;<;|l1g60=83;pD:;<;|l1g61=83;pD:;<;|l1g6>=83;pD:;<;|l1g6?=83;pD:;<;|l1g6g=83;pD:;<;|l1g6d=83;pD:;<;|l1g6e=83;pD:;<;|l1g6b=83;pD:;<;|l1g6c=83;pD:;<;|l1g6`=83;pD:;<;|l1g16=83;pD:;<;|l1g17=83;pD:;<;|l1g14=83;pD:;<;|l1g15=83;pD:;<;|l1g12=83;pD:;<;|l1g13=83;pD:;<;|l1g10=83;pD:;<;|l1g11=83;pD:;<;|l1g1>=83;pD:;<;|l1g1?=83;pD:;<;|l1g1g=83;pD:;<;|l1g1d=83;pD:;<;|l1g1e=83;pD:;<;|l1g1b=83;pD:;<;|l1g1c=83;pD:;<;|l1g1`=83;pD:;<;|l1g06=83;pD:;<;|l1g07=83;pD:;<;|l1g04=83;pD:;<;|l1g05=83;pD:;<;|l1g02=83;pD:;<;|l1g03=83;pD:;<;|l1g00=83;pD:;<;|l1g01=83;pD:;<;|l1g0>=83;pD:;<;|l1g0?=83;pD:;<;|l1g0g=83;pD:;<;|l1g0d=83;pD:;<;|l1g0e=83;pD:;<;|l1g0b=83;pD:;<;|l1g0c=83;pD:;<;|l1g0`=83;pD:;<;|l1g36=83;pD:;<;|l1g37=83;pD:;<;|l1g34=83;pD:;<;|l1g35=83;pD:;<;|l1g32=83;pD:;<;|l1g33=83;pD:;<;|l1g30=83;pD:;<;|\7f~yEFDs:;;h7=8d7ge5<{GHKq;qMN_{|BC
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$0d6\7f41<,[o}e~g`n;"2*447&;:%>-*=d:-v+mgcix%hc\7fkheo-wiu)Xkn%mekaP37z06nYhzlm%bja<;0,365=6=3CE\XZ5psmd[`kw|pU\7fd\7fk|h=37>585?2;>6D@_UU8svjaXmdz\7fuRzgrdqk[dutm{~7=94?>35850<NFY__6}|`g^gntq\7fX|axn\7feQaefcwa973294:j6?::HLSQQ<wzfmTjxbc_ujqavn;9=0;2?84148JJUSS2yxdkRhzlm]wlwct`Vkx\7fh|{<0694;4138?1EC^ZT;rqkbYa}efTxe|jsi]mabgsm5;?6=0>a:36>JSSX\^1hlzn_bmvjq:6<3:5=i5>5;MVPUSS2{nThlzn_bmvjq:6<3:5=i5>5;MVPUSS2~xThlzn_bmvjq:6<3:5=?5<6;KMTPR=l`d7?84?>06873<H]]Z^X7j`uu>01?69m2>}`u:?fg45<(76;2H^>55MUR]JJCI>3JEFADZ[EE58GWCF\LN:7J=4GOF5?IIFLLN<7AAHIBCOg>Kfbfx]i\7f}fooa8Ilhhz_oy\7fdaa3:L241=I99:?7C??129M545<F8887C?<4:L2762<F89>86@>3918J4233G;?>95A1517?K73<=1E=9;;;O3721=I9==?7C?;859M51?43G;>86@>5168J436<2D:9?:4N0700>H6==>0B<;:4:L2132<F8?<86@>5968J43>;2D::95A1727?K719=1E=;<;;O3571=I9?>?7C?9559M53033G;=;95A17:7?K711:1E=::4N0530>H6?8>0B<9=4:L2362<F8=?86@>7468J411<2D:;::4N05;0>H6?090B<6;;O3;41=I91;?7C?7259M5=533G;3895A1977?K7?>=1E=59;;O3;<1=I91387C?64:L2=52<F83:86@>9368J4?4<2D:59:4N0;46>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92?6@;029M044<F?80B:<4N918J=143G23?6@7939M=6=I1990B4?<;O;17>H>;:1E59=4N870?K?1;2D2;>5A9918J<?03GO_[B\D1:R7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD48WJSUKL>0XT^Ja:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@h0TRM@RD]JJCI682RTOB\J_HLEKZJN[]<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohfj1j``a|t^gntq\7fe3hffc~zPftno2>dfkb\7f{h6lncjws[hguclx87nbdd:fbpdYdg|d\7f$='k;ecweZeh}g~#=$k4d`vb[firf}":<$k4d`vb[firf}":=$k4d`vb[firf}":>$k4d`vb[firf}":?$k4d`vb[firf}":8$j4d`vb[firf}"9%i5kauc\gjsi|!9"h6jnt`]`kphs =#o7io{a^alqkr/= n0hlzn_bmvjq.1!m1omyoPcnwmp-1.l2njxlQlotlw,=/c3mk\7fmRm`uov+=,b<lh~jSnaznu>3:`=ci}kTob{at=33:`=ci}kTob{at=32:`=ci}kTob{at=31:`=ci}kTob{at=30:46<lh~jSnaznu>20?69m2njxlQlotlw8429l2njxlQlotlw848c3mk\7fmRm`uov?6;b<lh~jSnaznu>0:a=ci}kTob{at=6=`>bf|hUhcx`{<4<g?agsiVidycz36?f8`drfWje~by28>e9geqgXkf\7fex161d:fbpdYdg|d\7f0408;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$94dhl+77/03mce$>=&7:fjj-53!>1oec&<5(48`lh/< <0hd`'5(48`lh/> <0hd`'7(48`lh/0 <0hd`'9(48`lh;87=0hd`311<4?aoi48;5;6jfn=31:2=cag6:?394dhl?51803mce0<;17:fjj9716>1oec2>7?58`lh;914<7iga<0;=2>bnf5;5;6jfn=03:2=cag69=394dhl?67803mce0?=17:fjj9436>1oec2=5?58`lh;:?4<7iga<35=3>bnf5832:5kio>1=;0<l`d7>394dhl?75803mce0>?17:fjj9556>1oec2<3?58`lh;;=427iga<2794;1<l`d7?809;ekm86813mce0909;ekm80813mce0;09;ekm82813mce0509;ekm8<803me~x%>&7:flqq.6!11ocxz'11+;?air|!;:%55kotv+57/?3me~x%?<)99gkpr/9=#37iazt)36-==cg|~#=;'7;emvp-70!11ocxz'19+;?air|!;2%:5kotv+6,><lf\7f\7f$?>&8:flqq.59 20hb{{(30*<>bh}}"9?$64dnww,72.02ndyy&=5(:8`jss ;<"46j`uu*13,><lf\7f\7f$?6&8:flqq.51 =0hb{{(2+;?air|!9;%55kotv+74/?3me~x%==)99gkpr/;:#37iazt)17-==cg|~#?8'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf\7f\7f0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?:8`jss4:;546j`uu>06;><lf\7f\7f0>=18:flqq:4<7k0hb{{<2794;><lf\7f\7f0>;17:flqq:46>1ocxz34?58`jss4<4<7iazt=4=3>bh}}6<2:5kotv?<;1<lf\7f\7f0407;dfjb7h`l<1na}zv119emciX;?r8>fQ`rde1e>`nnfU8:u==k^mqabYaaoeTkh`jr`vlvZp3W;Us\7fyQ>299emciXoldn~lz`r^t7[7*'Kfxnkh`/9/0-41oXay8?7k{cl69jjqYddb20bjmmuhng<>iqm{lgczo4psmd[`kw|p;?7}|`g^gntq\7fX|axn\7fe&?)068twi`Wlg{xtQ{hsgpl-7.9<1{~biPelrw}Zrozlyc$<>&149svjaXmdz\7fuRzgrdqk,47.9<1{~biPelrw}Zrozlyc$<<&149svjaXmdz\7fuRzgrdqk,45.9<1{~biPelrw}Zrozlyc$<:&159svjaXmdz\7fuRzgrdqk,7/6<2zycjQjmqvz[qnumzb#?$?;;qplcZcjx}sTxe|jsi*7-42<x{elShc\7ftx]wlwct`!?"=95\7frne\ahvsqV~c~h}g(7+20>vugnUna}zv_ujqavn/? ;?7}|`g^gntq\7fX|axn\7fe&7)068twi`Wlg{xtQ{hsgpl-?.9>1{~biPelrw}Zrozlyc0<:50?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdz\7fuRzgrdqk[dutm{~#=$?l;qplcZcjx}sTxe|jsi]bwvcu|!;;%<m4psmd[`kw|pU\7fd\7fk|h^cpw`ts 8;"=n5\7frne\ahvsqV~c~h}g_`qpawr/9;#:o6~}of]fiur~W}byi~fParqfvq.6; ;h7}|`g^gntq\7fX|axn\7feQnsrgqp-73!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,7/6j2zycjQjmqvz[qnumzbTm~}jru*0-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(5+2f>vugnUna}zv_ujqavnXizyn~y&:)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$;'>b:rqkbYbey~rSyf}erj\evubz}"<%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 1#:n6~}of]fiur~W}byi~fParqfvq.>!8o0|\7fah_dosp|Ys`{oxdRo|sdpw842=87;i7}|`g^gntq\7fX|axn\7feQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7d3yxdkRkbpu{\pmtb{aUeijo{e)33-4e<x{elShc\7ftx]wlwct`Vdnklzj(03*5f=wzfmTi`~{y^vkv`uoWgolmyk'13+2g>vugnUna}zv_ujqavnXflmjxh&>3(3`?uthoVof|ywPtipfwmYimnk\7fi%?;)0`8twi`Wlg{xtQ{hsgplZhboh~n$?'>b:rqkbYbey~rSyf}erj\j`af|l"8%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb =#:n6~}of]fiur~W}byi~fPndebp`.2!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,3/6j2zycjQjmqvz[qnumzbTbhintd*4-4d<x{elShc\7ftx]wlwct`Vdnklzj(9+2f>vugnUna}zv_ujqavnXflmjxh&6)0g8twi`Wlg{xtQ{hsgplZhboh~n0<:50?;8twi`Wo\7fg`<=4psmd[cskdV~c~h}g(1+27>vugnUmyabPtipfwm.6!8>0|\7fah_gwohZrozlyc$<>&159svjaXn|fgSyf}erj+54/6<2zycjQiumn\pmtb{a":>$?;;qplcZ`rdeU\7fd\7fk|h)30-42<x{elSk{cl^vkv`uo 8>"=>5\7frne\bpjkW}byi~f'2(30?uthoVl~`aQ{hsgpl-5.9:1{~biPftno[qnumzb#8$?<;qplcZ`rdeU\7fd\7fk|h)7*56=wzfmTjxbc_ujqavn/> ;87}|`g^dvhiYs`{oxd%9&129svjaXn|fgSyf}erj+<,743yxdkRhzlm]wlwct`!3"=>5\7frne\bpjkW}byi~f30?37?uthoVl~`aQ{hsgpl97768>0|\7fah_gwohZrozlyc0<?1159svjaXn|fgSyf}erj?5786<2zycjQiumn\pmtb{a6:?3?9;qplcZ`rdeU\7fd\7fk|h=37>586<2zycjQiumn\pmtb{a6:83?<;qplcZ`rdeU\7fd\7fk|h=3=56=wzfmTjxbc_ujqavn;:7;87}|`g^dvhiYs`{oxd1=1129svjaXn|fgSyf}erj?0;743yxdkRhzlm]wlwct`5?5=>5\7frne\bpjkW}byi~f36?30?uthoVl~`aQ{hsgpl9199:1{~biPftno[qnumzb743?<;qplcZ`rdeU\7fd\7fk|h=;=5d=wzfmTjxbc_ujqavnXizyn~y&?)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#=$?m;qplcZ`rdeU\7fd\7fk|h^cpw`ts 8:"=o5\7frne\bpjkW}byi~fParqfvq.69 ;i7}|`g^dvhiYs`{oxdRo|sdpw,44.9k1{~biPftno[qnumzbTm~}jru*27,7e3yxdkRhzlm]wlwct`Vkx\7fh|{(06*5d=wzfmTjxbc_ujqavnXizyn~y&=)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#?$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts =#:m6~}of]eqijX|axn\7feQnsrgqp-3.9h1{~biPftno[qnumzbTm~}jru*5-4g<x{elSk{cl^vkv`uoWhyxi\7fz'7(3b?uthoVl~`aQ{hsgplZgt{lx\7f$5'>a:rqkbYa}efTxe|jsi]bwvcu|!3"=i5\7frne\bpjkW}byi~fParqfvq:6<3:5=l5\7frne\bpjkW}byi~fPndebp`.7!8k0|\7fah_gwohZrozlycSckhaug+5,7e3yxdkRhzlm]wlwct`Vdnklzj(02*5g=wzfmTjxbc_ujqavnXflmjxh&>1(3a?uthoVl~`aQ{hsgplZhboh~n$<<&1c9svjaXn|fgSyf}erj\j`af|l":?$?m;qplcZ`rdeU\7fd\7fk|h^lfcdrb 8>"=l5\7frne\bpjkW}byi~fPndebp`.5!8k0|\7fah_gwohZrozlycSckhaug+7,7f3yxdkRhzlm]wlwct`Vdnklzj(5+2e>vugnUmyabPtipfwmYimnk\7fi%;&1`9svjaXn|fgSyf}erj\j`af|l"=%<o4psmd[cskdV~c~h}g_ogdeqc/? ;j7}|`g^dvhiYs`{oxdR`jg`vf,=/6i2zycjQiumn\pmtb{aUeijo{e);*5a=wzfmTjxbc_ujqavnXflmjxh2>4;2=2>tcWjf`==5}d^fbpdYdg|d\7f$='>0:pg[agsiVidycz'1(32?wbXlh~jSnaznu*24,763{nThlzn_bmvjq.69 ;:7\7fjPd`vb[firf}":>$?>;sf\`drfWje~by&>3(32?wbXlh~jSnaznu*20,773{nThlzn_bmvjq.5!8:0~iQkauc\gjsi|!9"==5}d^fbpdYdg|d\7f$9'>0:pg[agsiVidycz'5(33?wbXlh~jSnaznu*5-46<zmUomyoPcnwmp-1.991yhRjnt`]`kphs 1#:<6|k_ecweZeh}g~#5$?<;sf\`drfWje~by2>4;2=1>tcWld=7\7fjPrrv0?wus?2yieyk}r59pvvr>3|doi\7fhcov78rdjnl?1|~Rolk79tvZekc8:0{\7fQkauc\gjsi|!:"==5xr^fbpdYdg|d\7f$<'>1:uq[agsiVidycz'11+25>quWmk\7fmRm`uov+54/692}ySio{a^alqkr/9;#:=6y}_ecweZeh}g~#=>'>1:uq[agsiVidycz'15+24>quWmk\7fmRm`uov+6,773~xThlzn_bmvjq.4!8:0{\7fQkauc\gjsi|!>"==5xr^fbpdYdg|d\7f$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<\7f{UomyoPcnwmp->.991|~Rjnt`]`kphs 0#:?6y}_ecweZeh}g~7=94?>49tvZci>2}yS\7f}{}ABs66c<HIr:6K4;:0yP57<6<o096<==b461>7c08jqe5;4>;o;4>1=#1=02=6s\10820c<52899n8:=:3g44f=T9<0:9;4?:011f0252;o<<h5\108213<72899n8:=:3g44c=c9<;1<7?51zQ26?73n381=><m55096`17k2.2>7?:3:tW<g<7280:6;jtS00951`=:3;8>o;;2;0f35e<,0:1=;5Y9481\7fp70281~=54?;|&;<?c<j8?:6=49d;192a}O0l1Q==4;{78g>f<>2t.3o7?:1:&:6?72;2P2?7?t1419yj7?13:17d?ka;29?l7a;3:17b?:4;29?j7283:17d?60;29 =g=90o0b5750:9j5=`=83.3m7?6e:l;=?7<3`;3i7>5$9c95<c<f131>65f19f94?"?i3;2i6`79;18?l7?k3:1(5o518g8j=?=<21b=4650;&;e?7>m2d357;4;h3:3?6=,1k1=4k4n9;92>=n90<1<7*7a;3:a>h?13=07d?65;29 =g=90o0b5758:9j5<2=83.3m7?6e:l;=??<3`;2?7>5$9c95<c<f131m65f18094?"?i3;2i6`79;`8?l7>93:1(5o518g8j=?=k21b=5l50;&;e?7>m2d357j4;h3;e?6=,1k1=4k4n9;9a>=n1j0;66g>db83>>i6::0;6)6n:065?k>>2910c<<=:18'<d<6<?1e444>;:m264<72-2j6<:9;o::>7=<g88;6=4+8`8203=i000876a>1g83>!>f28>=7c66:598k47b290/4l4>479m<<<232e:=n4?:%:b>4213g226;54o03a>5<#0h0:8;5a8884?>i69h0;6)6n:065?k>>2110c<?6:18'<d<6<?1e4446;:m25=<72-2j6<:9;o::>d=<g8;<6=4+8`8203=i000i76a>1783>!>f28>=7c66:b98k472290/4l4>479m<<<c32e:=94?:%:b>4213g226h54o030>5<#0h0:8;5a888e?>i6980;6)6n:065?k>>28:07b?>0;29 =g=9=<0b5751098k46a290/4l4>479m<<<6:21d==k50;&;e?73>2d357?<;:m24a<72-2j6<:9;o::>42<3f;;o7>5$9c9510<f131=854o02a>5<#0h0:8;5a88822>=h99k1<7*7a;372>h?13;<76a>0883>!>f28>=7c66:0:8?j7703:1(5o51548j=?=9010c<<6:18'<d<6<?1e444>a:9l57>=83.3m7?;6:l;=?7e32e:>:4?:%:b>4213g226<m4;n312?6=,1k1=984n9;95a=<g88>6=4+8`8203=i000:i65`13694?"?i3;?:6`79;3e?>i69m0;6)6n:065?k>>2;:07b?>2;29 =g=9=<0b5752098k460290/4l4>479m<<<5:21d==850;&;e?73>2d357<<;:kge?6=,1k1ji5a8883?>oc13:1(5o5fe9m<<<632co47>5$9c9ba=i000976gk7;29 =g=nm1e444<;:kg2?6=,1k1ji5a8887?>oc=3:1(5o5fe9m<<<232co?7>5$9c9ba=i000=76gk2;29 =g=nm1e4448;:kg5?6=,1k1ji5a888;?>oc83:1(5o5fe9m<<<>32chj7>5$9c9ba=i000j76gle;29 =g=nm1e444m;:k``?6=,1k1ji5a888`?>odk3:1(5o5fe9m<<<c32chn7>5$9c9ba=i000n76gla;29 =g=nm1e444i;:k`<?6=,1k1ji5a88824>=nk>0;6)6n:gf8j=?=9810en850;&;e?`c3g226<<4;ha6>5<#0h0mh6`79;30?>od<3:1(5o5fe9m<<<6<21bo>4?:%:b>cb<f131=854ib094?"?i3lo7c66:048?le6290/4l4id:l;=?7032ch<7>5$9c9ba=i000:465fbg83>!>f2on0b5751898m`6=83.3m7hk;o::>4g<3`nm6=4+8`8e`>h?13;i76gke;29 =g=nm1e444>c:9j`a<72-2j6kj4n9;95a=<ami1<7*7a;dg?k>>28o07djm:18'<d<al2d357?i;:kg0?6=,1k1ji5a88814>=nk00;6)6n:gf8j=?=:810eok50;&;e?`c3g226?<4;h`g>5<#0h0mh6`79;00?>if;3:1(5o5b09m<<<732ej>7>5$9c9f4=i000:76an1;29 =g=j81e444=;:mb4?6=,1k1n<5a8880?>i>n3:1(5o5b09m<<<332ejn7>5$9c9f4=i000>76ana;29 =g=j81e4449;:mb=?6=,1k1n<5a8884?>if03:1(5o5b09m<<<?32ej;7>5$9c9f4=i000276an6;29 =g=j81e444n;:mb1?6=,1k1n<5a888a?>if<3:1(5o5b09m<<<d32e2i7>5$9c9f4=i000o76a6d;29 =g=j81e444j;:`;b?6=93:1<vF7e:&;g?>a3f2<6=44}c37>5<6290;wE6j;%:`>42<g891<75rbg83>`1=83:pD5k4Z029f~5=?3k187l56;f9g?>=13?1q)6l:071?j??2900e<jm:188m43a290/4l4>699m<<<732c:9h4?:%:b>40?3g226<54i07g>5<#0h0::55a8881?>o6=j0;6)6n:04;?k>>2:10e<;m:18'<d<6>11e444;;:k222<72-2j6<87;o::>0=<a8<=6=4+8`822==i000=76g>6483>!>f28<37c66:698m403290/4l4>699m<<<?32c::>4?:%:b>40?3g226454i041>5<#0h0::55a888b?>o6>80;6)6n:04;?k>>2k10e<8?:18'<d<6>11e444l;:k21d<72-2j6<87;o::>a=<a8?26=4+8`822==i000n76a>8883>>o6ll0;66a>e183>>o6io0;6)6n:0`;?k>>2910e<oj:18'<d<6j11e444>;:k2ea<72-2j6<l7;o::>7=<a8kh6=4+8`82f==i000876g>ac83>!>f28h37c66:598m4d0290/4l4>b99m<<<232c:n;4?:%:b>4d?3g226;54i0`6>5<#0h0:n55a8884?>o6j=0;6)6n:0`;?k>>2110e<l<:18'<d<6j11e4446;:k2f7<72-2j6<l7;o::>d=<a8h:6=4+8`82f==i000i76g>b183>!>f28h37c66:b98m4gf290/4l4>b99m<<<c32c:m44?:%:b>4d?3g226h54i0fb>5<<g8?36=44o074>5<<a0h1<75`1e294?"?i3;o56`79;28?j7dn3:1(5o51e;8j=?=921d=nk50;&;e?7c12d357<4;n3``?6=,1k1=i74n9;97>=h9ji1<7*7a;3g=>h?13>07b?k8;29 =g=9m30b5755:9l5a1=83.3m7?k9:l;=?0<3f;o:7>5$9c95a?<f131;65`1e794?"?i3;o56`79;:8?j7c<3:1(5o51e;8j=?=121d=i=50;&;e?7c12d357o4;n3g6?6=,1k1=i74n9;9f>=h9m;1<7*7a;3g=>h?13i07b?lb;29 =g=9m30b575d:9l5fg=83.3m7?k9:l;=?c<3`;=j7>5$9c952><f131<65f17g94?"?i3;<46`79;38?l71l3:1(5o516:8j=?=:21b=;m50;&;e?7002d357=4;h35f?6=,1k1=:64n9;90>=n9>=1<7*7a;34<>h?13?07d?86;29 =g=9>20b5756:9j523=83.3m7?88:l;=?1<3`;<87>5$9c952><f131465f16194?"?i3;<46`79;;8?l70:3:1(5o516:8j=?=i21b=:?50;&;e?7002d357l4;h344?6=,1k1=:64n9;9g>=n9?k1<7*7a;34<>h?13n07d?99;29 =g=9>20b575e:9j5c5=831d=h750;&;e?7a:2d357>4;n3f<?6=,1k1=k<4n9;95>=h9l=1<7*7a;3e6>h?13807b?j6;29 =g=9o80b5753:9l5`3=83.3m7?i2:l;=?2<3f;m=7>5$9c95c4<f131965`1g294?"?i3;m>6`79;48?j7bn3:1(5o51g08j=?=?21d=hk50;&;e?7a:2d35764;n3f`?6=,1k1=k<4n9;9=>=h9li1<7*7a;3e6>h?13k07b?jb;29 =g=9o80b575b:9l5`g=83.3m7?i2:l;=?e<3f;n87>5$9c95c4<f131h65`1d194?"?i3;m>6`79;g8?j72<3:17b?:0;29?l7b:3:17d?60;29 =g=90o0b5750:9j5=`=83.3m7?6e:l;=?7<3`;3i7>5$9c95<c<f131>65f19f94?"?i3;2i6`79;18?l7?k3:1(5o518g8j=?=<21b=4650;&;e?7>m2d357;4;h3:3?6=,1k1=4k4n9;92>=n90<1<7*7a;3:a>h?13=07d?65;29 =g=90o0b5758:9j5<2=83.3m7?6e:l;=??<3`;2?7>5$9c95<c<f131m65f18094?"?i3;2i6`79;`8?l7>93:1(5o518g8j=?=k21b=5l50;&;e?7>m2d357j4;h3;e?6=,1k1=4k4n9;9a>=n9<?1<75f9b83>>o6n=0;66a>de83>>i6m80;66a>dg83>>o6lj0;66a>2283>!>f28>=7c66:198k445290/4l4>479m<<<632e:><4?:%:b>4213g226?54o003>5<#0h0:8;5a8880?>i69o0;6)6n:065?k>>2=10c<?j:18'<d<6<?1e444:;:m25f<72-2j6<:9;o::>3=<g8;i6=4+8`8203=i000<76a>1`83>!>f28>=7c66:998k47>290/4l4>479m<<<>32e:=54?:%:b>4213g226l54o034>5<#0h0:8;5a888a?>i69?0;6)6n:065?k>>2j10c<?::18'<d<6<?1e444k;:m251<72-2j6<:9;o::>`=<g8;86=4+8`8203=i000m76a>1083>!>f28>=7c66:028?j7683:1(5o51548j=?=9810c<>i:18'<d<6<?1e444>2:9l55c=83.3m7?;6:l;=?7432e:<i4?:%:b>4213g226<:4;n33g?6=,1k1=984n9;950=<g8:i6=4+8`8203=i000::65`11c94?"?i3;?:6`79;34?>i6800;6)6n:065?k>>28207b??8;29 =g=9=<0b5751898k44>290/4l4>479m<<<6i21d=?650;&;e?73>2d357?m;:m262<72-2j6<:9;o::>4e<3f;9:7>5$9c9510<f131=i54o006>5<#0h0:8;5a8882a>=h9;>1<7*7a;372>h?13;m76a>1e83>!>f28>=7c66:328?j76:3:1(5o51548j=?=:810c<>8:18'<d<6<?1e444=2:9l550=83.3m7?;6:l;=?4432com7>5$9c9ba=i000;76gk9;29 =g=nm1e444>;:kg<?6=,1k1ji5a8881?>oc?3:1(5o5fe9m<<<432co:7>5$9c9ba=i000?76gk5;29 =g=nm1e444:;:kg7?6=,1k1ji5a8885?>oc:3:1(5o5fe9m<<<032co=7>5$9c9ba=i000376gk0;29 =g=nm1e4446;:k`b?6=,1k1ji5a888b?>odm3:1(5o5fe9m<<<e32chh7>5$9c9ba=i000h76glc;29 =g=nm1e444k;:k`f?6=,1k1ji5a888f?>odi3:1(5o5fe9m<<<a32ch47>5$9c9ba=i000:<65fc683>!>f2on0b5751098mf0=83.3m7hk;o::>44<3`i>6=4+8`8e`>h?13;876gl4;29 =g=nm1e444>4:9jg6<72-2j6kj4n9;950=<aj81<7*7a;dg?k>>28<07dm>:18'<d<al2d357?8;:k`4?6=,1k1ji5a8882<>=njo0;6)6n:gf8j=?=9010eh>50;&;e?`c3g226<o4;hfe>5<#0h0mh6`79;3a?>ocm3:1(5o5fe9m<<<6k21bhi4?:%:b>cb<f131=i54iea94?"?i3lo7c66:0g8?lbe290/4l4id:l;=?7a32co87>5$9c9ba=i0009<65fc883>!>f2on0b5752098mgc=83.3m7hk;o::>74<3`ho6=4+8`8e`>h?138876a69;29?l?f2900e<li:18'<d<6k11e444?;:k2f`<72-2j6<m7;o::>4=<a8ho6=4+8`82g==i000976g>bb83>!>f28i37c66:298m4de290/4l4>c99m<<<332c:o:4?:%:b>4e?3g226854i0a5>5<#0h0:o55a8885?>o6k<0;6)6n:0a;?k>>2>10e<m;:18'<d<6k11e4447;:k2g6<72-2j6<m7;o::><=<a8i96=4+8`82g==i000j76g>c083>!>f28i37c66:c98m4e7290/4l4>c99m<<<d32c:nl4?:%:b>4e?3g226i54i0`:>5<#0h0:o55a888f?>if;3:1(5o5b09m<<<732ej>7>5$9c9f4=i000:76an1;29 =g=j81e444=;:mb4?6=,1k1n<5a8880?>i>n3:1(5o5b09m<<<332ejn7>5$9c9f4=i000>76ana;29 =g=j81e4449;:mb=?6=,1k1n<5a8884?>if03:1(5o5b09m<<<?32ej;7>5$9c9f4=i000276an6;29 =g=j81e444n;:mb1?6=,1k1n<5a888a?>if<3:1(5o5b09m<<<d32e2i7>5$9c9f4=i000o76a6d;29 =g=j81e444j;:mag?6=3`;<j7>5$9c95=><f131<65f16g94?"?i3;346`79;38?l70l3:1(5o519:8j=?=:21b=:m50;&;e?7?02d357=4;h34f?6=,1k1=564n9;90>=n91=1<7*7a;3;<>h?13?07d?76;29 =g=9120b5756:9j5=3=83.3m7?78:l;=?1<3`;387>5$9c95=><f131465f19194?"?i3;346`79;;8?l7?:3:1(5o519:8j=?=i21b=5?50;&;e?7?02d357l4;h3;4?6=,1k1=564n9;9g>=n9>k1<7*7a;3;<>h?13n07d?89;29 =g=9120b575e:9j5f?=831v\7f4m50;0xZ<e<5o02o6*7d;7f?xuam3:1>vPka:?e>ag<,1n1;85rsga94?4|Vm301k4k9:&;`?113tymn7>52z\g<>;a2m20(5j5769~wcg=838pRi94=g8g3>"?l3=i7p}i9;296~Xc>27m6i84$9f95<=z{o21<7<t^e789c<c=2.3h7?l;|qe2?6=:rTo?63i:e18 =b=9m1v\7fk;50;0xZa4<5o0o>6*7d;3f?xua<3:1>vPk1:?e>a7<,1n1>?5rsg194?4|Vm:01k4k0:&;`?4e3tym>7>52z\`b>;a2jl0(5j5379~wc7=838pRnk4=g8`a>"?l3>:7p}i0;296~Xdl27m6nj4$9f90f=z{ll1<7<t^ba89c<dk2.3h7;8;|qfa?6=:rThn63i:b`8 =b==o1v\7fhj50;0xZfg<5o0hm6*7d;43?xubj3:1>vPl8:?e>f><,1n1:<5rsdc94?4|Vj=01k4l7:&;`?053tyn57>52z\`2>;a2j<0(5j5629~w`>=838pRn;4=g8`1>"?l3<?7p}j7;296~Xd<27m6n:4$9f920=z{l<1<7<t^b189c<d;2.3h789;|qf1?6=:rTh>63i:b08 =b=>>1v\7fh:50;0xZf7<5o0h=6*7d;4;?xub;3:1>vPl0:?e>f6<,1n1:45rsd094?4|Vkl01k4mf:&;`?0f3ty:<84?:3y]a5=:n3o;7)6k:7`8yv77<3:1>vPkf:?e>a`<,1n1:n5rs020>5<5sWnn70h5dd9'<a<1l2wx==<50;0xZab<5o0oh6*7d;4f?xu6880;6?uQdb9>b?bd3-2o6;h4}r334?6=:rTon63i:e`8 =b=?91v\7fkh50;0xZa2<5o0o86*7d;52?xua?3:1>vPl9:?e>f?<,1n1;?5rsda94?4|Vko01k4me:&;`?143tyn=7>52z\a`>;a2kn0(5j5759~w4?a2909wS?60:?e>4?73-2o6:64}r3:`?6=:rT:4k52f;3;b>"?l3=27p}>9b83>7}Y91o01k4>8d9'<a<0i2wx=4l50;0xZ4>c34l1=5j4$9f93f=z{83j6=4={_3;g>;a282h7)6k:6f8yv7f03:1>vP>999>b?7>02.3h79j;|q2e2<72;qU=494=g82=2=#0m0<j6s|1`494?4|V83=70h51848 =b=091v\7f<o::181\7f[7>=27m6<7:;%:g>=7<uz;j87>52z\2=1=:n3;286*7d;:1?xu6i:0;6?uQ18189c<61:1/4i473:\7fp5d4=838pR<7=;<d95<4<,1n1495rs0c2>5<5sW;2=63i:0;2?!>c21?0q~?n0;296~X60k16j7?7b:&;`?7f3ty:544?:3y]5=g<5o0:4l5+8e82f>{t9mk1<7<t^0fb?8`=9mk0(5j51g9~w4bd2909wS?kc:?e>4bd3-2o6?>4}r3e7?6=:rT:j>52f;3e7>"?l38:7p}m2;296~Xf;27m6l=4$9f966=z{k:1<7<t^`089c<f:2.3h7<;;|qbb?6=:rTj=63i:`38 =b=:<1v\7flk50;0xZd6<5o0j<6*7d;05?xufl3:1>vP6f:?e><`<,1n1>:5rsc`94?4|Vhh01k4nb:&;`?4?3tyim7>52z\be>;a2hk0(5j5289~wg?=838pRl74=g8b=>"?l38j7p}m8;296~Xf027m6l64$9f96f=z{k=1<7<t^`589c<f?2.3h7<k;|qa2?6=:rTj:63i:`48 =b=:l1v\7fo;50;0xZd3<5o0j96*7d;0e?xue<3:1>vPn4:?e>d2<,1n1?=5rsc194?4|V0o01k46e:&;`?563tyjo7>52z\:`>;a20n0(5j5339~w4202909wS?=3:?e>4443-2o6>=4}r371?6=:rT:>?52f;316>"?l39?7p}>4583>7}Y9;;01k4>209'<a<4=2wx=9=50;0xZ44734l1=?>4$9f972=z{8>96=4={_32b>;a28;m7)6k:2:8yv7393:1>vP>1d9>b?76m2.3h7=6;|q27c<72;qU=<m4=g825f=#0m08m6s|12g94?4|V8;i70h510`8 =b=;k1v\7f<=k:181\7f[76i27m6<?n;%:g>6e<uz;8o7>52z\25<=:n3;:56*7d;1g?xu6;k0;6?uQ10:89c<6911/4i4<e:\7fp56g=838pR<?8;<d9541<,1n1?k5rs01:>5<5sW;::63i:035?!>c2=:0q~?<8;296~X69<16j7?>5:&;`?253ty:?:4?:3y]542<5o0:=95+8e877>{t9:<1<7<t^030?8`=9890(5j5459~w4532909wS?>1:?e>4763-2o69;4}r307?6=:rT:==52f;324>"?l3>=7p}>3383>7}Y99l01k4>0g9'<a<3?2wx=>?50;0xZ46b34l1==k4$9f90==z{89;6=4={_33`>;a28:o7)6k:5;8yv75n3:1>vP>0b9>b?77k2.3h7:n;|q26`<72;qU==l4=g824g=#0m0?n6s|13f94?4|V8:j70h511c8 =b=<m1v\7f<<l:181\7f[77127m6<>6;%:g>1c<uz;9n7>52z\24==:n3;;46*7d;6e?xu6<l0;6?uQ13;89c<6:01/4i4:0:\7fp51b=838pR<<7;<d957><,1n19<5rs06`>5<5sW;9;63i:004?!>c2<80q~?;b;296~X6:?16j7?=6:&;`?343ty:8l4?:3y]573<5o0:>85+8e860>{t9=31<7<t^007?8`=9;>0(5j5549~w42?2909wS?>d:?e>47c3-2o6884}r374?6=:rT:=?52f;326>"?l3?37p}>3483>7}Y99=01k4>069'<a<212wx=?o50;0xZ46134l1==84$9f91d=z{8?;6=4={_364>;a28?;7)6k:4`8yv72<3:1>vP>559>b?72<2.3h7;l;|q2<<<72;qU=574=g82<<=#0m0>h6srn047>5<6sA2n7p`>6483>4}O0l1vb<89:182\7fM>b3td:::4?:0yK<`=zf8<36=4>{I:f?xh6>00;6<uG8d9~j40f290:wE6j;|l22g<728qC4h5rn04`>5<6sA2n7p`>6e83>4}O0l1vb<8j:182\7fM>b3td::k4?:0yK<`=zf8=;6=4>{I:f?xh6?80;6<uG8d9~j415290:wE6j;|l236<728qC4h5rn057>5<6sA2n7p`>7483>4}O0l1vb<99:182\7fM>b3td:;:4?:0yK<`=zf8=36=4>{I:f?xh6?00;6<uG8d9~j41f290:wE6j;|l23g<728qC4h5rn05`>5<6sA2n7p`>7e83>4}O0l1vb<9j:182\7fM>b3td:;k4?:0yK<`=zf82;6=4>{I:f?xh6080;6<uG8d9~j4>5290:wE6j;|l2<6<728qC4h5rn0:7>5<6sA2n7p`>8483>4}O0l1vb<69:182\7fM>b3td:4:4?:0yK<`=zf8236=4>{I:f?xh6000;6<uG8d9~j4>f290:wE6j;|l2<g<728qC4h5rn0:`>5<6sA2n7p`>8e83>4}O0l1vb<6j:182\7fM>b3td:4k4?:0yK<`=zf83;6=4>{I:f?xh6180;6<uG8d9~j4?5290:wE6j;|l2=6<728qC4h5rn0;7>5<6sA2n7p`>9483>4}O0l1vb<79:182\7fM>b3td:5:4?:0yK<`=zf8336=4>{I:f?xh6100;6<uG8d9~j4?f290:wE6j;|l2=g<728qC4h5rn0;`>5<6sA2n7p`>9e83>4}O0l1vb<7j:182\7fM>b3td:5k4?:0yK<`=zf8k;6=4>{I:f?xh6i80;6<uG8d9~j4g5290:wE6j;|l2e6<728qC4h5rn0c7>5<6sA2n7p`>a483>4}O0l1vb<o9:182\7fM>b3td:m:4?:0yK<`=zf8k36=4>{I:f?xh6i00;6<uG8d9~j4gf290:wE6j;|l2eg<728qC4h5rn0c`>5<6sA2n7p`>ae83>4}O0l1vb<oj:182\7fM>b3td:mk4?:0yK<`=zf8h;6=4>{I:f?xh6j80;6<uG8d9~j4d5290:wE6j;|l2f6<728qC4h5rn0`7>5<6sA2n7p`>b483>4}O0l1vb<l9:182\7fM>b3td:n:4?:0yK<`=zf8h36=4>{I:f?xh6j00;6<uG8d9~j4df290:wE6j;|l2fg<728qC4h5rn0``>5<6sA2n7p`>be83>4}O0l1vb<lj:182\7fM>b3td:nk4?:0yK<`=zf8i;6=4>{I:f?xh6k80;6<uG8d9~j4e5290:wE6j;|l2g6<728qC4h5rn0a7>5<6sA2n7p`>c483>4}O0l1vb<m9:182\7fM>b3td:o:4?:0yK<`=zf8i36=4>{I:f?xh6k00;6<uG8d9~j4ef290:wE6j;|l2gg<728qC4h5rn0a`>5<6sA2n7p`>ce83>4}O0l1vb<mj:182\7fM>b3td:ok4?:0yK<`=zf8n;6=4>{I:f?x{zuIJHw?=j:`125`g?mtJKNv>r@ARxyEF
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$5f6\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0122?45<9':?7<;?506850<NFY__6LJKR@>20?699=1:97GAPTV9EABUJ5;?6=0>;36865579;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?30?64=G\^[YY4KO@>05?699:18>7AZTQWW>AIE4:;1<3?=;249MKVR\3nbb1=::1<20>512F__\XZ5dnww863=87;08<?44;KMTPR=L@ZJ0>4?>0380?OIX\^1HD^M<283:46<<3CE\XZ5WDC?7?69991?6D@_UU8TAG:4294:>6:5OTVSQQ<CGYK7?7>11397>JSSX\^1HB^M<283:1=3N=Im79xcx52eb4730$;;=68;;77041=0=OL:75:485025>?330:;5<k49;KMTPR=x{elShc\7ftx]wlwct`521<3<:;88JJUSS2yxdkRkbpu{\pmtb{aUj\7f~k}t=:94;42300BB][[:qplcZcjx}sTxe|jsi]mabgsm521<3?k;88JJUSS2yxdkRhzlm]wlwct`521<3<;;88JJUSS2yxdkRhzlm]wlwct`Vkx\7fh|{<983:72<13CE\XZ5psmd[cskdV~c~h}g_ogdeqc;03:5=556:NWWTPR=lh~jSnaznu>;>586j231CXZ_UU8q`Zbf|hUhcx`{<983:4d<13E^X][[:vp\`drfWje~by27:1<1?D4?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?;8EABUI5;82o5NDEPB842=8730MIJ]A=37:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02==>GCL[H7=<06;@FGVG:6:730MIJ]B=30:g=FLMXI0<:50?;8EABUJ5;?255NDEPA848?3HNO^O2=>99B@ATE4:437LJKRC>7:==FLMXI0807;@FGVG:1611JHI\M<6<;?DBCZK63255NDEPA8<8>3HYRBNQ]EF18FP4?3K_XSD@IO09@e>EF[JKOID@Na:ABWFGCM@DIn6MNSBCGAJSSIk1HM^MNDDMVPG4<KL;97NFJCJ]OMFCI[LU_U]K=;BK7?FJLI=1H@FL<;BNQ=>EHEDC_XHJ>4:ALV@Y@MGOYMYG@N^KMBJ1<K[OJXHJ>;E68@2>5:2NM>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>69GKDYUMN<0HBL30?58@JD;994<7IAM<03=3>BHJ5;92:5KOC>27;1<LFH7=908;EMA8439?2NDN1?9>69GKG:6?7=0HBL319<4?AIE4835:6J@B=3=3>BHJ58;2:5KOC>15;1<LFH7>?08;EMA8759?2NDN1<;>69GKG:5=7=0HBL327<4?AIE4;=5;6J@B=0;:2=CGK695384DN@?6;1<LFH7?=06;EMA867=87=0HBL330<5?AIE4:4=7IAM<5<5?AIE4<4=7IAM<7<5?AIE4>4=7IAM<9<5?AIE404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?68AFG7;2OEM>5JNC78BDJTM;1MH>5IDB68BAEB;2LO\95IDQG6?Cgk{l;0K>5HNE08M54<A880E?<4I2:8MKOSXV:;46GAIUR\44><AGC_\R>=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451<AGC_S=?8;HLJPZ65?2CEEYQ?369JJLRX8==0ECG[_174?LHN\V:=;6GAIU]332=NF@^T<594IOKW[5?03@DBXR>N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551<AGC_S<?8;HLJPZ75?2CEEYQ>369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651<AGC_S??8;HLJPZ45?2CEEYQ=369JJLRX:==0ECG[_374?LHN\V8=;6GAIU]132=NF@^T>594IOKW[7?03@DBXR<N7:KMMQY5J>1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751<AGC_S>?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN45FNHV\BLJB9;1BB[K]T^AOOZBHIVXNK<<4IOTFVQYDDBUOCOQ]EF18MJD53EC97AA9;MMB@@B03EELENOC4:NVP42<D\^9:6BZT3]O0>JR\:<0@XZ<_M68HPR3>2F^X9QC5:OPCJH?3D_GXROAD49NQ]E^k2Gjfb|Yesqjkke<E`dd~[k}shmm7>H79?1E<Rgav29M552<F8:986@>0768J460<2D:<5:4N02:7>H69=1E=<>;;O3251=I988?7C?>359M54233G;:995A1047?K76?=1E=<6;;O32=6=I9;>0B<<?4:L2642<F88986@>2268J443<2D:>8:4N0050>H6:>>0B<<74:L26<5<F89?7C?<059M56733G;8>95A1217?K74<=1E=>;;;O3021=I9:=?7C?<859M56?43G;?86@>4168J426<2D:8?:4N0600>H6<=>0B<::4:L2032<F8><86@>4968J42>;2D:995A1427?K729=1E=8<;;O3616=I9?90B<9<;O3;7>H61;1E>>5A2118J7743G89?6@=329M615<F;?87C<93:L136=I:190B?7<;O137>H49:1E??=4N210?K53;2D89>5A3718J6143G93?6@<929M055<F=;97C8<;O4:6>H0;2D<<>5A7018J2443G=8?6@8429M305<F><87C982:L;6>H>;2D2;>5A98d8JGYE]ZZBBR^]OQQ4?KCS_FX@86@@ND38K7=HC81[86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO87]\J1:S5?WGJJ8<m7_OB_DZWAKUNGGUJj6\NM^G[P@HTAFDTNn5]E@F\SLBS@VKh7_KND^UJ@QNXJ?1YIJMJA79QABEBJ81X46]NVDFBPD743ZCFSNACLHQJKKYD@LI@:6]@USAF3>UU^HFTM:5\RWCO[G2<[ZXJ86]\RC68P\VB:j1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkr5l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq75l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq45l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq55l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq25l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq35l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq05l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq15l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq>5l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq?4:2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq5:5??5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Tot2>>208Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_b{?6;553\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZe~4:48>6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1339V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>6:64<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[f\7f;>7997X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vir0:0<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>3:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[iss4848?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Ugyy2=>218Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_mww8684;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6?2>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSa{{<4<07>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]oqq:16:90Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWe\7f\7f0:0<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>;:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[}iu4948?6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Usc\7f2>>218Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_ymq8784;2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqY\7fg{682>=4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSua}<5<07>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]{kw:26:90Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWqey0;0<3:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>4:65<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[}iu4149;6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\471<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR?=7:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX:;=0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^113>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*eh}g~T8?94U1-dvc(un&mg<#|k/fppw+ack'nh#im cnwmpZ35?2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&idyczP6358Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,gjsi|V=9;6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\<7b<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR``t12357c<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR``t123544>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)di{xrbhz30?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-`ewt~fl~7=3<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!laspzj`r;:7827X> gsd-vc)`d9$yh"i}sr,qwqu(k8%hm\7f|vndv?7;4a3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!hmtz-ch]3U'mf\7f#c|229V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/w3?4;433\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)q95:5=?84U1-dvc(un&mg<#|k/fppw+tt|z%h="x><1<2[4443\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)q95;5>95Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#{?31?312>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:668U:>>5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#{?32?07?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u59499;<0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:0?0>_000?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u5959:=1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7?3?=6:W3+bta&{l$ka>!re-dvvu)zz~x#n? v0>0:4Y6::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;783<;;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=6=570<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*p64=4:S<<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!laspzj`r;87827X> gsd-vc)`d9$yh"i}sr,qwqu(k;%hm\7f|vndv?5;4>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)di{xrbhz32?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-`ewt~fl~7?3<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'\7f;7<3<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!y1=3=66=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7;:7887X> gsd-vc)`d9$yh"i}sr,qwqu(k;%}=1=1229V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/w3?0;4e3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)q9V:Tmcj?01226g=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m=/w3\7Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!y1^6\jjr789::>=5Z0.eqb+ta'nf;"\7fj gsqp*wus{&ida}>=0:W3+bta&{l$ka>!re-dvvu)zz~x#nabp00:?P6(o{l%~k!hl1,q`*au{z$y\7fy} r`o\ahvsqVliSd=>;T2,cw`)zo%l`= }d.eqwv(u{}y$~lcPelrw}Z`eW`Ufyu>?0113?P6(o{l%~k!hl1,q`*au{z$y\7fy} r`o\ahvsqVliSdQ`r123462<]9%l~k }f.eo4+tc'nxx\7f#||tr-qehYbey~rSklPi^mq4567WZ];>;5Z0.eqb+ta'nf;"\7fj gsqp*wus{&xjaRkbpu{\m4b<]9%l~k }f.eo4+tc'{kfS\7fjPeo]j575<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at007?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz<259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq25<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex8<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw272<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~<>95Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu:1=>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0=0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~494T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;978h7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?5;Yu|;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>1:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2=>^pw6<=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1=12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq595S\7fz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4=49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az818Xz}827X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?1;4d3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw35?]qp7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot29>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6=2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5=5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}919W{~9m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv969:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>2:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|32?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0>0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5>5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:26;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?2;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<6<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1612e9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{632R|{1g9V4*aun'xm#jb?.sf,vuhsz&idycz30?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=3=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?6;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9599o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;<7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5?5=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7:3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1911g9V4*aun'xm#jb?.sf,vuhsz&idycz38?3f?P6(o{l%~k!hl1,q`*twf}x$ob{at^22a>S7'nxm"\7fh gm2-va)uxg~y#naznu]25`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\64c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[67b3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ26m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY29l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX>8o0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsW>;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V29>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1>1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>2:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;:7897X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:0>0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=6=67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:26;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;7:3<=;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><6<16>S7'nxm"\7fh gm2-va)uxg~y#naznu]g59>9:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U;><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q>209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]164=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y4:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U?><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q:209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]564=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y0:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U38?5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fgwpd789:Tot2?>508Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgclz\7fi<=>?_b{?5;253\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi}zb1234Ze~4;4?>6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaefpqg6789Uhu1=1439V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.Ob`aYcaolT|cz}_qcg[dbc{|h;<=>Pcx>7:14<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh~{m0123[f\7f;=7>97X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQndeqvf5678Vir0;0;2:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eabt}k:;<=Qly=5=07=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno\7fxl?012\g|:?6=90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokdrwa4567We\7f\7f0<0;3:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eabt}k:;<=Qcuu>1:15<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh~{m0123[iss4:4??6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaefpqg6789Ugyy2;>518Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgclz\7fi<=>?_mww8083;2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij|uc2345Yk}}6=29=4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd\7f~R~nd^cg`vse89:;Sa{{<6<77>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimnxyo>?01]oqq:?6=90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokdrwa4567We\7f\7f040;3:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eabt}k:;<=Qwos>2:15<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh~{m0123[}iu4;4??6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaefpqg6789Usc\7f2<>518Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgclz\7fi<=>?_ymq8183;2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij|uc2345Y\7fg{6>29=4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd\7f~R~nd^cg`vse89:;Sua}<7<77>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HgclVnbjkQ\7fnup\tdbXimnxyo>?01]{kw:06=90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokdrwa4567Wqey050;3:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/Lcg`ZbnnoU{by|Pp`f\eabt}k:;<=Qwos>::15<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)Jkfg{m\7fQ\7frne\bpjkW`Uha}Qjq1234Ze~494??6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@m`mqcq[uthoVl~`aQf_bos[`w789:Tot2>>518Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-Ngjkwi{U{~biPftno[lYdeyUn}=>?0^az8783;2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'Dida}o}_qplcZ`rdeUbSnc\7f_ds3456Xkp6829=4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuYby9:;<Rmv<5<70>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HeheykyS}|`g^dvhiYnWjg{Sh\7f?012\hpr;87>?7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Anabp`p\twi`Wo\7fg`RgPclr\at6789Ugyy2>>568Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-Ngjkwi{U{~biPftno[lYdeyUn}=>?0^nvp949<=1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^gr4567We\7f\7f0>0;4:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWl{;<=>Pltv?0;243\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Ydq5:58>5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"ClolrbvZvugnUmyabPi^antZas89:;Snw31?60?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IfijxhxT|\7fah_gwohZoXkdzTky>?01]`}949<:1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^ew4567Wjs7?3:<;T2,cw`)zo%l`= xr.etwv(`xo$|~}h MbmntdtXx{elSk{cl^k\ghvXo}:;<=Qly=6=06=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Ri{0123[f\7f;=7>87X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Anabp`p\twi`Wo\7fg`RgPclr\cq6789Uhu181429V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.O`khvfzVzycjQiumn\mZejxVm\7f<=>?_b{?3;243\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Ydq525895Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"ClolrbvZvugnUmyabPi^antZas89:;Sa{{<1<70>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+HeheykyS}|`g^dvhiYnWjg{Sjz?012\hpr;97>?7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Anabp`p\twi`Wo\7fg`RgPclr\cq6789Ugyy2=>568Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-Ngjkwi{U{~biPftno[lYdeyUlx=>?0^nvp959<=1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&Ghc`~nr^rqkbYa}efTeRmbp^ew4567We\7f\7f090;4:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/LaliuguWyxdkRhzlm]j[fkwWn~;<=>Pltv?1;233\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(Ejef|l|Ppsmd[cskdVcTo`~Pgu2345Yk}}6=29:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!BcnosewYwzfmTjxbc_h]`iuY`|9:;<Rbzt=5=01=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*Kdgdzj~R~}of]eqijXaVif|Ri{0123[iss4149j6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwim6:2?h4U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWyko0?0=f:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{mi2<>3d8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<5<1b>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae>6:7`<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg8385n2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]sea:06;l0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugc4149j6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#igif^rmpwYwim622?k4U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWykoS<<j;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjhR<=e:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/ekebZvi|{U{miQ<2d9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|ljP43g8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_40f?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,`l`aWyd\7f~R~nd^41a>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]46`=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\<7c<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg[<5f3\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(l`lmS}`{r^rb`Zgclz\7fi<=>?<0<0e>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]b`aurj9:;<1<13`9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|ljPaefpqg6789682>o4U1-dvc(un&mg<#y}/fupw+awn'}y|k!kigd\tkruWykoSljkst`3456;<79j7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflVkoh~{m01238084i2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'mcmjR~ats]seaYflmy~n=>?0=4=7d=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\eabt}k:;<=28>2c8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_`fgwpd789:743=n;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjhRokdrwa45674049m6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#}|`g^dvhiYn;;1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&zycjQiumn\mZkrp9:;:>84U1-dvc(un&mg<#y}/fupw+awn'}y|k!\7frne\bpjkW`Ufyu>?07]PS5553\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(x{elSk{cl^k\jjr789;8?6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#}|`g^dvhiYnWge\7f<=>>13c8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-qehYulVoeSd<n;T2,cw`)zo%l`= xr.etwv(`xo$|~}h r`o\swYbfVc856[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#\7fjPpovq[rtXag|T|lj30?]nekb4i2_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'{nT|cz}_vp\mkpXxhn7<3Qbaof27f=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*quWyd\7f~R~cur3\tdbX8Vkeh=>?0031=>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,gdtuqgo\7f0=0=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> c`pq}kcs484956[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$ol|}yogw878512_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f6(khxyuck{<2<1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,chs\7f&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`4*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f6(od\7fs"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d8&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$k`{w.foX0X(`ez$f\7f?74U1-dvc(un&mg<#y}/fupw+qt|z%h="mnrs{maq:76;30Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&ij~\7fwaeu>2:7?<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*efz{seiy2=>3;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.abvw\7fim}682?h4U1-dvc(un&mg<#y}/fupw+qt|z%h="ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.enq}(`eR8V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,chs\7f&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~86;2?=4U1-dvc(un&mg<#y}/fupw+qt|z%h="x><0<17>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,r4:56;90Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:0>0=3:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0>7:7d<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p6W9Ujbi>?0131f>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0]0[dhc89:;=?l4U1-dvc(un&mg<#y}/fupw+qt|z%h="x>_5]mkq6789;9<6[?/fpe*w`(oe:%{\7f!hwrq-svrt'jef|=<?;T2,cw`)zo%l`= xr.etwv(p{}y$obc\7f1328Q5)`zo$yj"ic0/uq+bqt{'}xx~!lolr16a=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'jky~t`jt=2=6a=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'jky~t`jt=3=6a=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'jky~t`jt=0=6a=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'jky~t`jt=1=76=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'ng~t#ib[1_-ch7)e8987X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!hmtz-ch]6U'mf\7f#c|329V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+bkrp'mfW?S!glq-iv543\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%laxv!glY0Y+aj{'gx?>5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/fov|+ajS=W%k`}!mr04?P6(o{l%~k!hl1,tv*ap{z$|\7fy} g`d-g7)q95:5>:5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/w3?5;403\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%}=1<1269V4*aun'xm#jb?.vp,crut&~y\7f\7f"inf/a1+s7;;78<7X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!y1=6=6c=R8&myj#|i/fn3*rt(o~yx"z}{s.ebb+e5'\7f;T<Road123444a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,cd`)k;%}=R?Paof34566:o1^<"i}f/pe+bj7&~x$kz}|.vqww*afn'i9#{?P2^cm`567888m7X> gsd-vc)`d9$|~"ixsr,twqu(ohl%o?!y1^1\ekb789::>k5Z0.eqb+ta'nf;"z| gvqp*rus{&mjj#m=/w3\0Zhh|9:;<<<8;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZ`e:l1^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVkmyabPfc]lv5678::0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUjjxbc_g`\kw6789;8?=5Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRoiumn\bgYhz9:;<<7=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#\7fob_`dvhiYajVey<=>?2228Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]bbpjkWohTc\7f>?01136==R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l=a:W3+bta&{l$ka>!ws-dsvu)\7fz~x#\7fob_gwohZehek;>>45Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]`khd5:h1^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVl~`aQlol`107?<]9%l~k }f.eo4+qu'n}x\7f#y|tr-qehYa}efTobcm93:8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXnkUb>85Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]j61=R8&myj#|i/fn3*rt(o~yx"z}{s.uq[wusWm;:h6[?/fpe*w`(oe:%{\7f!}al]tvZciW`;:j6[?/fpe*w`(oe:%{\7f!}al]tvZciW`;T=<h4U1-dvc(un&mg<#y}/scn[rtXmgUb=R<=3:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp72<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~:>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu010>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{4368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr2:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by8=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp2433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f4?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:76;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>3:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=3=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1?1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0?0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4;4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;;78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?7;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>7:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2;>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1;12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5?5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4?49o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az838Xz}827X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?3;4d3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw37?]qp7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|30?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0<0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz585>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:46;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?0;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<4<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1812`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6<2?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;078o7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8=8Xz};m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5:5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7=3?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1<11g9V4*aun'xm#jb?.vp,suhsz&idycz33?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=6=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?1;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9099o1^<"i}f/pe+bj7&~x${}`{r.alqkr;?7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|525=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T<<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS<?j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR<>e:W3+bta&{l$ka>!ws-ttkru'je~byQ<1d9V4*aun'xm#jb?.vp,suhsz&idyczP40g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_43f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^42a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]45`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\<74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;87897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=0=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:46;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;783<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><4<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5909:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?38?02?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[5463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W88:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S?<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_202?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[1463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W<8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S;<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_602?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[=453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb54949>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>1?1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>1:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4;;7897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9090=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=7=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7:16;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87;3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<9<15>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6Z6592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:V;9=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R<=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^115>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6Z2592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:V?9=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R8=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^515>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6Z>0>2_;#j|i.sd,i`k(okg%koch.f`ncf(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^6Z&{kf"\7f!y4^llmkos&{kf#^J_.RKMCICXX[CF"^J_129V4*aun'xm#\7fob_vp\ak7c3\:$k\7fh!rg-qwqdkc&xoS\7f}{_`qqab473\:$k\7fh!rg-qwqdkc&xoS\7f}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtboVn9=n5Z0.eqb+ta'{y\7fnae re]qwqYdgdh:h6[?/fpe*w`(zz~i`f!}d^pppZehek;:i6[?/fpe*w`(zz~i`f!}d^pppZtbo585=i5Z0.eqb+ta'{y\7fnae re]qwqYumnU9=i5Z0.eqb+ta'{y\7fnae ws]qwqYf{{ol>=5Z0.eqb+ta'{y\7fnae ws]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*quW{y\7fSnabb0f8Q5)`zo$yj"||tcnh+rtXzz~Tobcm10g8Q5)`zo$yj"||tcnh+rtXzz~T~hi31?3g?P6(o{l%~k!}su`oo*quW{y\7fS\7fkh_0c8QVCUWHFBM^m4URGQ[SOTAKFN?6XLC89UM@QX\PZN:6YJA=2=2>QBI5;5:6YJA=0=<>QBI591<384WDC?7;0<_LH7<384WD@?5;0<_LH7>364WD@?7?69>2]NN1=1b:UQMQCXN@XXXn5XRHVF[HICMVKh7Z\FTD]NKACXJm1\^DZJ_VKGPMYFl2]YEYKPWHFWLZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK3=_lkUBhk5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmmg>gkefy\7fShc\7ftx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5<keao7io{a^alqkr/8 n0hlzn_bmvjq.6!m1omyoPcnwmp-4.l2njxlQlotlw,6/c3mk\7fmRm`uov+0,b<lh~jSnaznu*6-a=ci}kTob{at)4*`>bf|hUhcx`{(6+g?agsiVidycz'8(f8`drfWje~by2?>e9geqgXkf\7fex1?1d:fbpdYdg|d\7f0?0k;ecweZeh}g~7?3j4d`vb[firf}6?2i5kauc\gjsi|5?5h6jnt`]`kphs4?4o7io{a^alqkr;?7l0hlzn_bmvjq:?294o7io{a^alqkr;07=0hog{esp5?aoi 9#=7iga(0+4?aoi 8:";6jfn)32-2=cag":>$94dhl+56/03mce$<:&7:fjj-72!>1oec&>6(58`lh/9>#<7iga(0:*3>bnf!;2%;5kio*1-2=cag"9<$94dhl+64/03mce$?<&7:fjj-44!>1oec&=4(58`lh/:<#<7iga(34*3>bnf!8<%:5kio*1<,1<l`d#>4'9;ekm,6/03mce$>>&7:fjj-56!>1oec&<2(58`lh/;:#<7iga(26*3>bnf!9>%;5kio*7-3=cag">%;5kio*5-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;?<l`d7?84?>69gmk:4=7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf\7f\7f$<;&8:flqq.6> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,><lf\7f\7f$>:&8:flqq.4= =0hb{{(5+4?air|!?";6j`uu*5-2=cg|~#;$94dnww,=/03me~x%7&7:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf\7f\7f0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf\7f\7f0?618:flqq:517=0hb{{<3<;?air|59;255kotv?748?3me~x1==>99gkpr;;:437iazt=17:d=cg|~7?84?>99gkpr;;<4<7iazt=1=3>bh}}6?2:5kotv?1;1<lf\7f\7f0;08;emvp919?2ndyy27>69gkpr;1720iigi2oeg1>cjx}s:<6hffn]02}36:Veyij<j;gkekZ51p<;9Sb|jg-djbjY`mgoymya}_w6\0)HHFL&ECCK<b89emciX;?r>=?Q`rde/bl`hWnoei\7fo{os]u0Z2+sjUcm~Qfnu]`hn;7$jUcm~Q|rrv>4)eXzmUm~h\7f21-a\lduXzmUomyoPcnwmp86+kVnbbRyfduj>73*dWakxSz|Pd`vb[firf}7; nQiumn\big`{VxxxRxnl<3/gZojxeoficznthmm[q\7fwm4:'oR{|e^gnf`bcmmUyij3>,b]tvZ`umx7: nQzsd]gkprXzz~6<!mPuoffvcjh\7fVfd{0>#c^jbwZiqm{lgcz3?,b]sv`jhimUgmykacx?1(fYcg|~T{dj{h<15(fYj{neeS{oc=0.`[wbXllz\7fd0;>2-a\lduX}gnn~kb`w<2/gZnf{Vkgab}{_gwoh86+kVbj\7fR||t<3/gZbf|hUhcx`{_vkgpm;>$jUcm~Qxr^c`o86+kV\7fxiRklc<2/gZehedeeSnb`cj?2(fYpzVkhgRb`w<2/gZtcWyd\7f~Ryfduj>=)eX}zoTjzh{_ecweZeh}g~6<!mPh`q\eikh{}Una}zv=1.`[wbXlh~jSnaznu]tmaro50&hSbxjrgnlsZjh\7f4:'oRy}_qlwvZqnl}b65!mPpsmd[`kw|pU\7fu}k20-a\swYci}kTob{at^uj`qn:1%iT|\7fkco`f\v`at58&hSiazt^pppZpfd4:'oRfns^fbpdYdg|d\7f1<"l_qplcZ`rdeU\7fd\7fk|h^lfcdrbW\7fkg18>6,b]tvZbbx}b69<<#c^rqkbYbey~rSyf}erj\j`af|lU}ma3<,b]svjaXmdz\7fuRzgrdqk[dutm{~Tzlb22-a\lduX~hfbh0>#c^rqkbYa}efTxe|jsi]bwvcu|V|j`0;>0-aliuiimg~Tblcj=gkekZ51p<;9Sb|jg-a\rdjnlVfd{0>#c^rqmhYaaoeTxt~j=431|60+kVzycjQiumn\p|vb5:&hS`kbos{\p|vb58&v>l5iigm\73~29;Ud~hiPfhdl[bcim{k\7fc\7fQy4^6\|vrX9:<0jdh`_fgmawgsg{U}8R:# Ykomk~'KFXN,Jkaescwkw&68';%<>Qfp268bpjk?2cexRmck99mcfdraen37bxjrgnlsd=wzfmTi`~{y068twi`Wlg{xtQ{hsgpl-6.9=1{~biPelrw}Zrozlyc$<'>4:rqkbYbey~rSyf}erj+6,733yxdkRkbpu{\pmtb{a"8%<:4psmd[`kw|pU\7fd\7fk|h)6*51=wzfmTi`~{y^vkv`uo <#:86~}of]fiur~W}byi~f'6(37?uthoVof|ywPtipfwm.0!8>0|\7fah_dosp|Ys`{oxd%6&179svjaXmdz\7fuRzgrdqk8=<768h0|\7fah_dosp|Ys`{oxdRo|sdpw,5/6j2zycjQjmqvz[qnumzbTm~}jru*2-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(3+2f>vugnUna}zv_ujqavnXizyn~y&<)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$9'>b:rqkbYbey~rSyf}erj\evubz}">%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts ?#:n6~}of]fiur~W}byi~fParqfvq.0!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,=/6l2zycjQjmqvz[qnumzbTm~}jru>;>586j2zycjQjmqvz[qnumzbTbhintd*3-4d<x{elShc\7ftx]wlwct`Vdnklzj(0+2f>vugnUna}zv_ujqavnXflmjxh&=)0`8twi`Wlg{xtQ{hsgplZhboh~n$>'>b:rqkbYbey~rSyf}erj\j`af|l"?%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb <#:n6~}of]fiur~W}byi~fPndebp`.1!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,2/6j2zycjQjmqvz[qnumzbTbhintd*;-4b<x{elShc\7ftx]wlwct`Vdnklzj<983:<=wzfmTjxbc129svjaXn|fgSyf}erj+4,743yxdkRhzlm]wlwct`!;"=>5\7frne\bpjkW}byi~f'2(30?uthoVl~`aQ{hsgpl-5.9:1{~biPftno[qnumzb#8$?<;qplcZ`rdeU\7fd\7fk|h)7*56=wzfmTjxbc_ujqavn/> ;87}|`g^dvhiYs`{oxd%9&129svjaXn|fgSyf}erj+<,743yxdkRhzlm]wlwct`5:5=>5\7frne\bpjkW}byi~f31?30?uthoVl~`aQ{hsgpl9499:1{~biPftno[qnumzb7?3?<;qplcZ`rdeU\7fd\7fk|h=6=56=wzfmTjxbc_ujqavn;=7;87}|`g^dvhiYs`{oxd181129svjaXn|fgSyf}erj?3;723yxdkRhzlm]wlwct`521<3?<;qplcZ`rdeU\7fd\7fk|h=:=5d=wzfmTjxbc_ujqavnXizyn~y&?)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#=$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts ;#:m6~}of]eqijX|axn\7feQnsrgqp-5.9h1{~biPftno[qnumzbTm~}jru*7-4g<x{elSk{cl^vkv`uoWhyxi\7fz'5(3b?uthoVl~`aQ{hsgplZgt{lx\7f$;'>a:rqkbYa}efTxe|jsi]bwvcu|!="=l5\7frne\bpjkW}byi~fParqfvq.?!8i0|\7fah_gwohZrozlycSl}|esv?<?699h1{~biPftno[qnumzbTbhintd*3-4g<x{elSk{cl^vkv`uoWgolmyk'1(3b?uthoVl~`aQ{hsgplZhboh~n$?'>a:rqkbYa}efTxe|jsi]mabgsm!9"=l5\7frne\bpjkW}byi~fPndebp`.3!8k0|\7fah_gwohZrozlycSckhaug+1,7f3yxdkRhzlm]wlwct`Vdnklzj(7+2e>vugnUmyabPtipfwmYimnk\7fi%9&1`9svjaXn|fgSyf}erj\j`af|l"3%<m4psmd[cskdV~c~h}g_ogdeqc;03:5:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7\7fjPd`vb[firf}"9%<>4re]geqgXkf\7fex%=&119q`Zbf|hUhcx`{(5+24>tcWmk\7fmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d\7f$5'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>;>5823{nTic84re]qwq5<zz~<7~lftdpq0>uu{};h7yc\7f/^ad+coagV9=t8?=_npfc+h`g=1\7f~xk6;tlgaw`kg~?0zlbfd79tvZgdc?1|~Rmck028swYci}kTob{at)2*55=pzVnjxlQlotlw,4/682}ySio{a^alqkr/: ;;7z|Pd`vb[firf}"8%<>4ws]geqgXkf\7fex%:&119tvZbf|hUhcx`{(4+24>quWmk\7fmRm`uov+2,773~xThlzn_bmvjq.0!8:0{\7fQkauc\gjsi|!2"=?5xr^fbpdYdg|d\7f054?>49tvZci>2}yS\7f}{}ABse<c<HIr?o>4I:582\7fV3e2=<;684>33`606<5;9>2wc=m3;38j6d32=1/?o?53``8yV3>2=<;684>33`606<5;9>27^?:b;65=?6=9:8i99=52227e>U213>=57>5120a115=:::?o6j;6383>4<6sZ?i698?:48277d2<:09?=:6;wV2a4<7280:6<9<{R7a>1072<0:??l:4281752>3-92n7?:c:T0f7<5s|;=;7?4u04;>5=z,8n96<74b541>5<19391:<uG38;8^1b=<r8?6<>5f;04>x"6m?0?:?5+3c29030<g=2j6=44i5`f>5<<a=n86=44o544>5<<g=<:6=44i5;0>5<#9m>184m4n0f0>5=<a=396=4+1e690<e<f8n86<54i5;2>5<#9m>184m4n0f0>7=<a=3;6=4+1e690<e<f8n86>54i5:e>5<#9m>184m4n0f0>1=<a=2n6=4+1e690<e<f8n86854i5:g>5<#9m>184m4n0f0>3=<a=2h6=4+1e690<e<f8n86:54i5:a>5<#9m>184m4n0f0>==<a:h26=44i5a3>5<<g=9?6=4+1e69001<f8n86=54o510>5<#9m>18894n0f0>4=<g=996=4+1e69001<f8n86?54o512>5<#9m>18894n0f0>6=<g=9;6=4+1e69001<f8n86954o50e>5<#9m>18894n0f0>0=<g=8o6=4+1e69001<f8n86;54o50`>5<#9m>18894n0f0>2=<g=8i6=4+1e69001<f8n86554o50b>5<#9m>18894n0f0><=<g=826=4+1e69001<f8n86l54o50;>5<#9m>18894n0f0>g=<g=8<6=4+1e69001<f8n86n54o505>5<#9m>18894n0f0>a=<g=8>6=4+1e69001<f8n86h54o507>5<#9m>18894n0f0>c=<g=896=4+1e69001<f8n86<>4;n615?6=,8n?69;8;o3g7?7632e?>=4?:%3g0?22?2d:h>4>2:9l04`=83.:h94;569m5a5=9:10c9?j:18'5a2=<<=0b<j<:068?j26l3:1(<j;:574?k7c;3;>76a;1b83>!7c<3>>;6`>d2822>=h<8h1<7*>d58712=i9m91=:54o53b>5<#9m>18894n0f0>4><3f>:57>5$0f7>1303g;o?7?6;:m77d<72-;o87::7:l2`6<6i21d8>750;&2`1<3=>1e=i=51c98k15?290/=i:54458j4b428i07b:<7;29 4b32=?<7c?k3;3g?>i3;?0;6)?k4;663>h6l:0:i65`42794?"6l=0?9:5a1e195c=<g=8n6=4+1e69001<f8n86?>4;n617?6=,8n?69;8;o3g7?4632e?=54?:%3g0?22?2d:h>4=2:9l041=83.:h94;569m5a5=::10e>km:18'5a2=<9o0b<j<:198m6cf290/=i:541g8j4b42810e>k6:18'5a2=<9o0b<j<:398m6c?290/=i:541g8j4b42:10e>k8:18'5a2=<9o0b<j<:598m6c1290/=i:541g8j4b42<10e>k;:18'5a2=<9o0b<j<:798m6c4290/=i:541g8j4b42>10e>k=:18'5a2=<9o0b<j<:998m6c6290/=i:541g8j4b42010e>k?:18'5a2=<9o0b<j<:`98m6ba290/=i:541g8j4b42k10e>jj:18'5a2=<9o0b<j<:b98m6bc290/=i:541g8j4b42m10e>jl:18'5a2=<9o0b<j<:d98m6be290/=i:541g8j4b42o10e>j6:18'5a2=<9o0b<j<:028?l5c03:1(<j;:52f?k7c;3;:76g<d683>!7c<3>;i6`>d2826>=n;m<1<7*>d5874`=i9m91=>54i2f6>5<#9m>18=k4n0f0>42<3`9o87>5$0f7>16b3g;o?7?:;:k0`6<72-;o87:?e:l2`6<6>21b?i<50;&2`1<38l1e=i=51698m6b6290/=i:541g8j4b428207d=k0;29 4b32=:n7c?k3;3:?>o4n80;6)?k4;63a>h6l:0:m65f3g294?"6l=0?<h5a1e195g=<a:om6=4+1e6905c<f8n86<m4;h1fa?6=,8n?69>j;o3g7?7c32c8ii4?:%3g0?27m2d:h>4>e:9j7`e=83.:h94;0d9m5a5=9o10e>k::18'5a2=<9o0b<j<:328?l5ci3:1(<j;:52f?k7c;38:76g<cg83>!7c<3>;i6`>d2816>=n;jo1<7*>d5874`=i9m91>>54o2a1>5<#9m>1?nl4n0f0>5=<g:i:6=4+1e697fd<f8n86<54o2a3>5<#9m>1?nl4n0f0>7=<g:hm6=4+1e697fd<f8n86>54o2`f>5<#9m>1?nl4n0f0>1=<g:ho6=4+1e697fd<f8n86854o2``>5<#9m>1?nl4n0f0>3=<g:hi6=4+1e697fd<f8n86:54o2`b>5<#9m>1?nl4n0f0>==<j:3j6=4>:183\7fM5>12.:i;4<9`9l5a7=831vn<>::182>5<7sA9256*>e78240=h99>1<75rb5a94?d628;m6<9<{I1:=>\3l3;=w??52481<?452;31>94>0;d963<5?3886?9528824?4?2;81j7<9:37961<5;38:6p*>e78720=#9<21===4$469035<,<k18;:4$0a`>4b73f9i97>5;h6ab?6=3`><87>5$0f7>1123g;o?7>4;h647?6=,8n?699:;o3g7?7<3`><>7>5$0f7>1123g;o?7<4;h645?6=,8n?699:;o3g7?5<3`><<7>5$0f7>1123g;o?7:4;h65b?6=,8n?699:;o3g7?3<3`>=i7>5$0f7>1123g;o?784;h65`?6=,8n?699:;o3g7?1<3`>=o7>5$0f7>1123g;o?764;n6;e?6=3`>h>7>5;n6`2?6=3`>j:7>5$0f7>1g03g;o?7>4;h6b1?6=,8n?69o8;o3g7?7<3`>j87>5$0f7>1g03g;o?7<4;h6b7?6=,8n?69o8;o3g7?5<3`>j>7>5$0f7>1g03g;o?7:4;h6b5?6=,8n?69o8;o3g7?3<3`>j<7>5$0f7>1g03g;o?784;h6:b?6=,8n?69o8;o3g7?1<3`>2i7>5$0f7>1g03g;o?764;h6aa?6=3f>=m7>5;n65f?6=3`9i47>5;n6af?6=,8n?69lk;o3g7?6<3f>im7>5$0f7>1dc3g;o?7?4;n6a=?6=,8n?69lk;o3g7?4<3f>i47>5$0f7>1dc3g;o?7=4;n6a3?6=,8n?69lk;o3g7?2<3f>i:7>5$0f7>1dc3g;o?7;4;n6a1?6=,8n?69lk;o3g7?0<3f>i87>5$0f7>1dc3g;o?794;n6a7?6=,8n?69lk;o3g7?><3`><i7>5$0f7>11a3g;o?7>4;h64`?6=,8n?699i;o3g7?7<3`><o7>5$0f7>11a3g;o?7<4;h64f?6=,8n?699i;o3g7?5<3`><m7>5$0f7>11a3g;o?7:4;h64=?6=,8n?699i;o3g7?3<3`><47>5$0f7>11a3g;o?784;h643?6=,8n?699i;o3g7?1<3`><:7>5$0f7>11a3g;o?764;h6g7?6=3f>o=7>5$0f7>1b53g;o?7>4;n6g4?6=,8n?69j=;o3g7?7<3f>hj7>5$0f7>1b53g;o?7<4;n6`a?6=,8n?69j=;o3g7?5<3f>hh7>5$0f7>1b53g;o?7:4;n6`g?6=,8n?69j=;o3g7?3<3f>hn7>5$0f7>1b53g;o?784;n6`e?6=,8n?69j=;o3g7?1<3f>h57>5$0f7>1b53g;o?764;n653?6=3f>==7>5;h6:7?6=,8n?697l;o3g7?6<3`>2>7>5$0f7>1?d3g;o?7?4;h6:5?6=,8n?697l;o3g7?4<3`>2<7>5$0f7>1?d3g;o?7=4;h6;b?6=,8n?697l;o3g7?2<3`>3i7>5$0f7>1?d3g;o?7;4;h6;`?6=,8n?697l;o3g7?0<3`>3o7>5$0f7>1?d3g;o?794;h6;f?6=,8n?697l;o3g7?><3`>h47>5;h65<?6=3`9i57>5;h6g0?6=3f>h=7>5;n6`3?6=3f>h97>5;h6`4?6=3f>887>5$0f7>1303g;o?7>4;n607?6=,8n?69;8;o3g7?7<3f>8>7>5$0f7>1303g;o?7<4;n605?6=,8n?69;8;o3g7?5<3f>8<7>5$0f7>1303g;o?7:4;n61b?6=,8n?69;8;o3g7?3<3f>9h7>5$0f7>1303g;o?784;n61g?6=,8n?69;8;o3g7?1<3f>9n7>5$0f7>1303g;o?764;n61e?6=,8n?69;8;o3g7??<3f>957>5$0f7>1303g;o?7o4;n61<?6=,8n?69;8;o3g7?d<3f>9;7>5$0f7>1303g;o?7m4;n612?6=,8n?69;8;o3g7?b<3f>997>5$0f7>1303g;o?7k4;n610?6=,8n?69;8;o3g7?`<3f>9>7>5$0f7>1303g;o?7??;:m764<72-;o87::7:l2`6<6921d8?>50;&2`1<3=>1e=i=51398k17a290/=i:54458j4b428907b:>e;29 4b32=?<7c?k3;37?>i39m0;6)?k4;663>h6l:0:965`40a94?"6l=0?9:5a1e1953=<g=;i6=4+1e69001<f8n86<94;n62e?6=,8n?69;8;o3g7?7?32e?=44?:%3g0?22?2d:h>4>9:9l06g=83.:h94;569m5a5=9h10c9=6:18'5a2=<<=0b<j<:0`8?j2403:1(<j;:574?k7c;3;h76a;3683>!7c<3>>;6`>d282`>=h<:<1<7*>d58712=i9m91=h54o516>5<#9m>18894n0f0>4`<3f>9i7>5$0f7>1303g;o?7<?;:m766<72-;o87::7:l2`6<5921d8<650;&2`1<3=>1e=i=52398k170290/=i:54458j4b42;907d=jb;29 4b32=:n7c?k3;28?l5bi3:1(<j;:52f?k7c;3;07d=j9;29 4b32=:n7c?k3;08?l5b03:1(<j;:52f?k7c;3907d=j7;29 4b32=:n7c?k3;68?l5b>3:1(<j;:52f?k7c;3?07d=j4;29 4b32=:n7c?k3;48?l5b;3:1(<j;:52f?k7c;3=07d=j2;29 4b32=:n7c?k3;:8?l5b93:1(<j;:52f?k7c;3307d=j0;29 4b32=:n7c?k3;c8?l5cn3:1(<j;:52f?k7c;3h07d=ke;29 4b32=:n7c?k3;a8?l5cl3:1(<j;:52f?k7c;3n07d=kc;29 4b32=:n7c?k3;g8?l5cj3:1(<j;:52f?k7c;3l07d=k9;29 4b32=:n7c?k3;33?>o4l10;6)?k4;63a>h6l:0:=65f3e594?"6l=0?<h5a1e1957=<a:n=6=4+1e6905c<f8n86<=4;h1g1?6=,8n?69>j;o3g7?7332c8h94?:%3g0?27m2d:h>4>5:9j7a5=83.:h94;0d9m5a5=9?10e>j=:18'5a2=<9o0b<j<:058?l5c93:1(<j;:52f?k7c;3;376g<d183>!7c<3>;i6`>d282=>=n;o;1<7*>d5874`=i9m91=l54i2d3>5<#9m>18=k4n0f0>4d<3`9nj7>5$0f7>16b3g;o?7?l;:k0a`<72-;o87:?e:l2`6<6l21b?hj50;&2`1<38l1e=i=51d98m6cd290/=i:541g8j4b428l07d=j5;29 4b32=:n7c?k3;03?>o4lh0;6)?k4;63a>h6l:09=65f3bd94?"6l=0?<h5a1e1967=<a:in6=4+1e6905c<f8n86?=4;n1a2?6=3`9i;7>5;h6a4?6=,8n?69l>;o3g7?6<3`>jj7>5$0f7>1d63g;o?7?4;h6ba?6=,8n?69l>;o3g7?4<3`>jh7>5$0f7>1d63g;o?7=4;h6bg?6=,8n?69l>;o3g7?2<3`>jn7>5$0f7>1d63g;o?7;4;h6be?6=,8n?69l>;o3g7?0<3`>j57>5$0f7>1d63g;o?794;h6b<?6=,8n?69l>;o3g7?><3f9h>7>5$0f7>6ee3g;o?7>4;n1`5?6=,8n?6>mm;o3g7?7<3f9h<7>5$0f7>6ee3g;o?7<4;n1ab?6=,8n?6>mm;o3g7?5<3f9ii7>5$0f7>6ee3g;o?7:4;n1a`?6=,8n?6>mm;o3g7?3<3f9io7>5$0f7>6ee3g;o?784;n1af?6=,8n?6>mm;o3g7?1<3f9im7>5$0f7>6ee3g;o?764;h6;<?6=,8n?6966;o3g7?6<3`>3;7>5$0f7>1>>3g;o?7?4;h6;2?6=,8n?6966;o3g7?4<3`>397>5$0f7>1>>3g;o?7=4;h6;0?6=,8n?6966;o3g7?2<3`>3?7>5$0f7>1>>3g;o?7;4;h6;6?6=,8n?6966;o3g7?0<3`>3=7>5$0f7>1>>3g;o?794;h6;4?6=,8n?6966;o3g7?><3f9hh7>5;h6a6?6=3k9ji7>51;294~"6m?0:<85G3`f8L6?>3f;;87>5;|`0ec<7280;6=u+1d497<g<@:ko7E=69:m2`4<722wi?5m50;194?6|,8o=6kk4H2cg?M5>12B?n6*>6587g1=#9881=6g;e;29?l3d2900c<j9:188yg5>;3:1?7>50z&2a3<am2B8mi5G38;8L1d<,8<?69m;;%326?7<a=o1<75f5b83>>i6l?0;66sm39`94?5=83:p(<k9:gg8L6gc3A9256F;b:&221<3k=1/=<<51:k7a?6=3`?h6=44o0f5>5<<uk92=7>55;294~"6m?0:<?5G3`f8L6?>3A>i7)?94;6`0>"69;0:7d:j:188m05=831b9n4?::k2`0<722e:h;4?::\7fa7<4=8391<7>t$0g5>cc<@:ko7E=69:J7f>"6>=0?o95+10095>o3m3:17d;l:188k4b12900qo=7a;291?6=8r.:i;4>039K7db<@:327E:m;%350?2d<2.:=?4>;h6f>5<<a<91<75f5b83>>o6l<0;66a>d783>>{e;0:1<7:50;2x 4c128::7E=nd:J0=<=#9881=6g;e;29?l3d2900e<j::188k4b12900qo=67;290?6=8r.:i;4>009K7db<@:327)?>2;38m1c=831b9n4?::k2`0<722e:h;4?::\7fa615=83>1<7>t$0g5>4663A9jh6F<989'544=<2c?i7>5;h7`>5<<a8n>6=44o0f5>5<<uk8?;7>54;294~"6m?0:<<5G3`f8L6?>3-;:>7:4i5g94?=n=j0;66g>d483>>i6l?0;66sm1g394?2=83:p(<k9:045?M5fl2B8545f5483>>o2n3:17d?ke;29?j7dn3:17pl=0383>6<729q/=h853`a8L6gc3A9256g:5;29?l7213:17b?lf;29?xd6n;0;694?:1y'5`0=9?<0D>ok;I1:=>o2=3:17d;i:188m4bb2900c<mi:188yg47;3:1?7>50z&2a3<4ij1C?lj4H2;:?l322900e<;6:188k4ea2900qo?i3;290?6=8r.:i;4>679K7db<@:327d;::188m0`=831b=ik50;9l5f`=831vn?>;:180>5<7s-;n:7=nc:J0ea=O;030e8;50;9j50?=831d=nh50;9~f4`3290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa653=8391<7>t$0g5>6gd3A9jh6F<989j10<722c:944?::m2gc<722wi=k;50;694?6|,8o=6<89;I1b`>N4101b984?::k6b?6=3`;oi7>5;n3`b?6=3th9<;4?:283>5}#9l<1?lm4H2cg?M5>12c>97>5;h36=?6=3f;hj7>5;|`2b3<72=0;6=u+1d49530<@:ko7E=69:k61?6=3`?m6=44i0ff>5<<g8im6=44}c033?6=;3:1<v*>e780ef=O;hn0D>76;h76>5<<a8?26=44o0ae>5<<uk;m;7>54;294~"6m?0::;5G3`f8L6?>3`?>6=44i4d94?=n9mo1<75`1bd94?=zj;:36=4<:183\7f!7b>39jo6F<ae9K7<?<a<?1<75f14;94?=h9jl1<75rb0d;>5<3290;w)?j6;352>N4im1C?474i4794?=n=o0;66g>dd83>>i6ko0;66sm21;94?5=83:p(<k9:2c`?M5fl2B8545f5483>>o6=00;66a>cg83>>{e:9;1<7;50;2x 4c128<97E=nd:J0=<=#98819i5f11494?=n99=1<75f11:94?=n9931<75`1bd94?=zj;:j6=4<:183\7f!7b>39jo6F<ae9K7<?<a<?1<75f14;94?=h9jl1<75rb32a>5<2290;w)?j6;334>N4im1C?474$031>4=n<l0;66g:3;29?l3?2900e8m50;9l5a0=831vn?>l:186>5<7s-;n:7??0:J0ea=O;030(<?=:09j0`<722c>?7>5;h7;>5<<a<i1<75`1e494?=zj;:o6=4::183\7f!7b>3;;<6F<ae9K7<?<,8;96<5f4d83>>o2;3:17d;7:188m0e=831d=i850;9~f76b290>6=4?{%3f2?7782B8mi5G38;8 475281b8h4?::k67?6=3`?36=44i4a94?=h9m<1<75rb32e>5<2290;w)?j6;334>N4im1C?474$031>4=n<l0;66g:3;29?l3?2900e8m50;9l5a0=831vn???:186>5<7s-;n:7??0:J0ea=O;030(<?=:09j0`<722c>?7>5;h7;>5<<a<i1<75`1e494?=zj;;:6=4::183\7f!7b>3;;<6F<ae9K7<?<,8;96<5f4d83>>o2;3:17d;7:188m0e=831d=i850;9~f775290>6=4?{%3f2?7782B8mi5G38;8 475281b8h4?::k67?6=3`?36=44i4a94?=h9m<1<75rb330>5<2290;w)?j6;334>N4im1C?474$031>4=n<l0;66g:3;29?l3?2900e8m50;9l5a0=831vn??j:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi><j50;694?6|,8o=6<89;I1b`>N4101b984?::k6b?6=3`;oi7>5;n3`b?6=3th9=n4?:583>5}#9l<1=;84H2cg?M5>12c>97>5;h7e>5<<a8nn6=44o0ae>5<<uk8:n7>54;294~"6m?0::;5G3`f8L6?>3`?>6=44i4d94?=n9mo1<75`1bd94?=zj;;j6=4;:183\7f!7b>3;=:6F<ae9K7<?<a<?1<75f5g83>>o6ll0;66a>cg83>>{e::<1<7:50;2x 4c128<=7E=nd:J0=<=n=<0;66g:f;29?l7cm3:17b?lf;29?xd5;<0;694?:1y'5`0=9?<0D>ok;I1:=>o2=3:17d;i:188m4bb2900c<mi:188yg44<3:187>50z&2a3<6>?1C?lj4H2;:?l322900e8h50;9j5ac=831d=nh50;9~f754290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa664=83>1<7>t$0g5>4013A9jh6F<989j10<722c>j7>5;h3ga?6=3f;hj7>5;|`1b5<72=0;6=u+1d49530<@:ko7E=69:k61?6=3`?m6=44i0ff>5<<g8im6=44}c0e5?6=<3:1<v*>e78223=O;hn0D>76;h76>5<<a<l1<75f1eg94?=h9jl1<75rb3d1>5<3290;w)?j6;352>N4im1C?474i4794?=n=o0;66g>dd83>>i6ko0;66sm2g194?2=83:p(<k9:045?M5fl2B8545f5483>>o2n3:17d?ke;29?j7dn3:17pl=f583>1<729q/=h851748L6gc3A9256g:5;29?l3a2900e<jj:188k4ea2900qo<i9;290?6=8r.:i;4>679K7db<@:327d;::188m0`=831b=ik50;9l5f`=831vn?hn:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi>kl50;694?6|,8o=6<89;I1b`>N4101b984?::k6b?6=3`;oi7>5;n3`b?6=3th9jn4?:583>5}#9l<1=;84H2cg?M5>12c>97>5;h7e>5<<a8nn6=44o0ae>5<<uk8mh7>54;294~"6m?0::;5G3`f8L6?>3`?>6=44i4d94?=n9mo1<75`1bd94?=zj::m6=4;:183\7f!7b>3;=:6F<ae9K7<?<a<?1<75f5g83>>o6ll0;66a>cg83>>{e;8:1<7:50;2x 4c128<=7E=nd:J0=<=n=<0;66g:f;29?l7cm3:17b?lf;29?xd4980;694?:1y'5`0=9?<0D>ok;I1:=>o2=3:17d;i:188m4bb2900c<mi:188yg56:3:187>50z&2a3<6>?1C?lj4H2;:?l322900e8h50;9j5ac=831d=nh50;9~f674290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa74c=83>1<7>t$0g5>4663A9jh6F<989'544=92c?i7>5;h7`>5<<a8n>6=44o0f5>5<<uk99m7>54;294~"6m?0:<<5G3`f8L6?>3-;:>7?4i5g94?=n=j0;66g>d483>>i6l?0;66sm33;94?2=83:p(<k9:022?M5fl2B8545+10095>o3m3:17d;l:188m4b22900c<j9:188yg55j3:187>50z&2a3<6881C?lj4H2;:?!76:3>0e9k50;9j1f<722c:h84?::m2`3<722wi>:j50;794?6|,8o=6<>?;I1b`>N4101/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>d783>>{e:>i1<7;50;2x 4c128:;7E=nd:J0=<=#9881=6g;e;29?l342900e8650;9j1f<722e:h;4?::\7fa62d=83?1<7>t$0g5>4673A9jh6F<989'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6l?0;66sm26c94?3=83:p(<k9:023?M5fl2B8545+10095>o3m3:17d;<:188m0>=831b9n4?::m2`3<722wi>:750;794?6|,8o=6<>?;I1b`>N4101/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>d783>>{e:>21<7;50;2x 4c128:;7E=nd:J0=<=#9881=6g;e;29?l342900e8650;9j1f<722e:h;4?::\7fa620=83?1<7>t$0g5>4673A9jh6F<989'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6l?0;66sm26794?3=83:p(<k9:021?M5fl2B8545+10090>o3m3:17d;<:188m0e=831b=i;50;9l5a0=831vn?98:186>5<7s-;n:7??0:J0ea=O;030(<?=:09j0`<722c>?7>5;h7;>5<<a<i1<75`1e494?=zj;<j6=4<:183\7f!7b>39jo6F<ae9K7<?<a<?1<75f14;94?=h9jl1<75rb34:>5<4290;w)?j6;1bg>N4im1C?474i4794?=n9<31<75`1bd94?=zj;<;6=4;:183\7f!7b>3;=:6F<ae9K7<?<a<?1<75f5g83>>o6ll0;66a>cg83>>{e:?21<7=50;2x 4c12:kh7E=nd:J0=<=n=<0;66g>5883>>i6ko0;66sm24g94?2=83:p(<k9:045?M5fl2B8545f5483>>o2n3:17d?ke;29?j7dn3:17pl=6683>6<729q/=h853`a8L6gc3A9256g:5;29?l7213:17b?lf;29?xd5=j0;694?:1y'5`0=9?<0D>ok;I1:=>o2=3:17d;i:188m4bb2900c<mi:188yg41>3:1?7>50z&2a3<4ij1C?lj4H2;:?l322900e<;6:188k4ea2900qo<:a;290?6=8r.:i;4>679K7db<@:327d;::188m0`=831b=ik50;9l5f`=831vn?8::180>5<7s-;n:7=nc:J0ea=O;030e8;50;9j50?=831d=nh50;9~f73?290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa632=8391<7>t$0g5>6gd3A9jh6F<989j10<722c:944?::m2gc<722wi>8850;694?6|,8o=6<89;I1b`>N4101b984?::k6b?6=3`;oi7>5;n3`b?6=3th9:>4?:283>5}#9l<1?lm4H2cg?M5>12c>97>5;h36=?6=3f;hj7>5;|`111<72=0;6=u+1d49530<@:ko7E=69:k61?6=3`?m6=44i0ff>5<<g8im6=44}c056?6=;3:1<v*>e780ef=O;hn0D>76;h76>5<<a8?26=44o0ae>5<<uk8>>7>54;294~"6m?0::;5G3`f8L6?>3`?>6=44i4d94?=n9mo1<75`1bd94?=zj;2m6=4::183\7f!7b>3;;<6F<ae9K7<?<,8;96<5f4d83>>o2;3:17d;7:188m0e=831d=i850;9~f7>b290>6=4?{%3f2?7782B8mi5G38;8 475281b8h4?::k67?6=3`?36=44i4a94?=h9m<1<75rb3:g>5<2290;w)?j6;334>N4im1C?474$031>4=n<l0;66g:3;29?l3?2900e8m50;9l5a0=831vn?6l:186>5<7s-;n:7??0:J0ea=O;030(<?=:09j0`<722c>?7>5;h7;>5<<a<i1<75`1e494?=zj;2i6=4::183\7f!7b>3;;<6F<ae9K7<?<,8;96<5f4d83>>o2;3:17d;7:188m0e=831d=i850;9~f7>f290>6=4?{%3f2?7782B8mi5G38;8 475281b8h4?::k67?6=3`?36=44i4a94?=h9m<1<75rb3::>5<2290;w)?j6;334>N4im1C?474$031>4=n<l0;66g:3;29?l3?2900e8m50;9l5a0=831vn?67:186>5<7s-;n:7??0:J0ea=O;030(<?=:09j0`<722c>?7>5;h7;>5<<a<i1<75`1e494?=zj;2<6=4::183\7f!7b>3;;<6F<ae9K7<?<,8;96<5f4d83>>o2;3:17d;7:188m0e=831d=i850;9~f7dc290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f0=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7dd290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f3=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7de290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f2=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7df290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f5=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7d>290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f4=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7d?290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f7=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7d0290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6f6=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7d1290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6g`=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7d2290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6gc=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7gf29086=4?{%3f2?5fk2B8mi5G38;8m03=831b=8750;9l5f`=831vn?o6:180>5<7s-;n:7=nc:J0ea=O;030e8;50;9j50?=831d=nh50;9~f7??290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6d>=8391<7>t$0g5>6gd3A9jh6F<989j10<722c:944?::m2gc<722wi>4950;694?6|,8o=6<89;I1b`>N4101b984?::k6b?6=3`;oi7>5;n3`b?6=3th9m:4?:283>5}#9l<1?lm4H2cg?M5>12c>97>5;h36=?6=3f;hj7>5;|`1=3<72=0;6=u+1d49530<@:ko7E=69:k61?6=3`?m6=44i0ff>5<<g8im6=44}c0b2?6=;3:1<v*>e780ef=O;hn0D>76;h76>5<<a8?26=44o0ae>5<<uk8297>54;294~"6m?0::;5G3`f8L6?>3`?>6=44i4d94?=n9mo1<75`1bd94?=zj;k>6=4<:183\7f!7b>39jo6F<ae9K7<?<a<?1<75f14;94?=h9jl1<75rb3;7>5<3290;w)?j6;352>N4im1C?474i4794?=n=o0;66g>dd83>>i6ko0;66sm2`694?5=83:p(<k9:2c`?M5fl2B8545f5483>>o6=00;66a>cg83>>{e:091<7:50;2x 4c128<=7E=nd:J0=<=n=<0;66g:f;29?l7cm3:17b?lf;29?xd5i:0;6>4?:1y'5`0=;hi0D>ok;I1:=>o2=3:17d?:9;29?j7dn3:17pl=9383>1<729q/=h851748L6gc3A9256g:5;29?l3a2900e<jj:188k4ea2900qo<n2;297?6=8r.:i;4<ab9K7db<@:327d;::188m43>2900c<mi:188yg4>93:187>50z&2a3<6>?1C?lj4H2;:?l322900e8h50;9j5ac=831d=nh50;9~f7?7290?6=4?{%3f2?71>2B8mi5G38;8m03=831b9k4?::k2``<722e:ok4?::\7fa6`?=8391<7>t$0g5>4023A9jh6F<989j550=831b==950;9l50g=831vn?oi:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi>o:50;194?6|,8o=6<;j;I1b`>N4101/=<<51g9j550=831b==950;9l5f`=831vn?oj:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi>o=50;794?6|,8o=6<8=;I1b`>N4101/=<<5219j550=831b==950;9j55>=831b==750;9l5f`=831vn?ok:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi>o<50;794?6|,8o=6<8=;I1b`>N4101/=<<5219j550=831b==950;9j55>=831b==750;9l5f`=831vn?ol:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi>o?50;794?6|,8o=6<8=;I1b`>N4101/=<<5219j550=831b==950;9j55>=831b==750;9l5f`=831vn?om:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi>o>50;794?6|,8o=6<8=;I1b`>N4101/=<<51d9j550=831b==950;9j55>=831b==750;9l5f`=831vn?k=:186>5<7s-;n:7??2:J0ea=O;030(<?=:09j0`<722c>?7>5;h7`>5<<a8n>6=44o0f5>5<<uk8n47>54;294~"6m?0mj6F<ae9K7<?<,8;96<5f4d83>>o203:17d;l:188k4b12900qo<k8;290?6=8r.:i;4if:J0ea=O;030(<?=:09j0`<722c>47>5;h7`>5<<g8n=6=44}c0g3?6=<3:1<v*>e78eb>N4im1C?474$031>4=n<l0;66g:8;29?l3d2900c<j9:188yg4c>3:187>50z&2a3<an2B8mi5G38;8 475281b8h4?::k6<?6=3`?h6=44o0f5>5<<uk8o97>54;294~"6m?0mj6F<ae9K7<?<,8;96<5f4d83>>o203:17d;l:188k4b12900qo<k4;290?6=8r.:i;4if:J0ea=O;030(<?=:09j0`<722c>47>5;h7`>5<<g8n=6=44}c0g7?6=<3:1<v*>e78eb>N4im1C?474$031>4=n<l0;66g:8;29?l3d2900c<j9:188yg4c:3:187>50z&2a3<an2B8mi5G38;8 475281b8h4?::k6<?6=3`?h6=44o0f5>5<<uk8o=7>54;294~"6m?0mj6F<ae9K7<?<,8;96<5f4d83>>o203:17d;l:188k4b12900qo<k0;290?6=8r.:i;4if:J0ea=O;030(<?=:09j0`<722c>47>5;h7`>5<<g8n=6=44}c0f3?6=<3:1<v*>e78eb>N4im1C?474$031>4=n<l0;66g:8;29?l3d2900c<j9:188yg51;3:197>50z&2a3<6891C?lj4H2;:?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8n=6=44}c156?6==3:1<v*>e78245=O;hn0D>76;%326?7<a=o1<75f5283>>o203:17d;l:188k4b12900qo=91;291?6=8r.:i;4>019K7db<@:327)?>2;38m1c=831b9>4?::k6<?6=3`?h6=44o0f5>5<<uk9=<7>55;294~"6m?0:<=5G3`f8L6?>3-;:>7?4i5g94?=n=:0;66g:8;29?l3d2900c<j9:188yg52n3:197>50z&2a3<6891C?lj4H2;:?!76:3;0e9k50;9j16<722c>47>5;h7`>5<<g8n=6=44}c16a?6==3:1<v*>e78245=O;hn0D>76;%326?7<a=o1<75f5283>>o203:17d;l:188k4b12900qo=:c;291?6=8r.:i;4>039K7db<@:327)?>2;68m1c=831b9>4?::k6g?6=3`;o97>5;n3g2?6=3th89o4?:483>5}#9l<1==>4H2cg?M5>12.:=?4>;h6f>5<<a<91<75f5983>>o2k3:17b?k6;29?xd4=m0;684?:1y'5`0=99:0D>ok;I1:=>"69;0:7d:j:188m05=831b954?::k6g?6=3f;o:7>5;|`015<72:0;6=u+1d497de<@:ko7E=69:k61?6=3`;>57>5;n3`b?6=3th88k4?:283>5}#9l<1?lm4H2cg?M5>12c>97>5;h36=?6=3f;hj7>5;|`003<72=0;6=u+1d49530<@:ko7E=69:k61?6=3`?m6=44i0ff>5<<g8im6=44}c17a?6=;3:1<v*>e780ef=O;hn0D>76;h76>5<<a8?26=44o0ae>5<<uk9?87>54;294~"6m?0::;5G3`f8L6?>3`?>6=44i4d94?=n9mo1<75`1bd94?=zj:>o6=4<:183\7f!7b>39jo6F<ae9K7<?<a<?1<75f14;94?=h9jl1<75rb261>5<3290;w)?j6;352>N4im1C?474i4794?=n=o0;66g>dd83>>i6ko0;66sm35a94?5=83:p(<k9:2c`?M5fl2B8545f5483>>o6=00;66a>cg83>>{e;=:1<7:50;2x 4c128<=7E=nd:J0=<=n=<0;66g:f;29?l7cm3:17b?lf;29?xd4<k0;6>4?:1y'5`0=;hi0D>ok;I1:=>o2=3:17d?:9;29?j7dn3:17pl<3d83>1<729q/=h851748L6gc3A9256g:5;29?l3a2900e<jj:188k4ea2900qo=;a;297?6=8r.:i;4<ab9K7db<@:327d;::188m43>2900c<mi:188yg54k3:187>50z&2a3<6>?1C?lj4H2;:?l322900e8h50;9j5ac=831d=nh50;9~f62>29086=4?{%3f2?5fk2B8mi5G38;8m03=831b=8750;9l5f`=831vn>=n:187>5<7s-;n:7?96:J0ea=O;030e8;50;9j1c<722c:hh4?::m2gc<722wi?9650;194?6|,8o=6>ol;I1b`>N4101b984?::k21<<722e:ok4?::\7fa76>=83>1<7>t$0g5>4013A9jh6F<989j10<722c>j7>5;h3ga?6=3f;hj7>5;|`02c<72<0;6=u+1d49556<@:ko7E=69:&257<63`>n6=44i4194?=n=10;66g:c;29?j7c>3:17pl<6e83>0<729q/=h851108L6gc3A9256*>1387?l2b2900e8=50;9j1f<722c:h84?::m2`3<722wi?;k50;794?6|,8o=6<>?;I1b`>N4101/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>d783>>{e;>:1<7;50;2x 4c128:;7E=nd:J0=<=#9881=6g;e;29?l342900e8650;9j1f<722e:h;4?::\7fa727=83?1<7>t$0g5>4673A9jh6F<989'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6l?0;66sm36094?3=83:p(<k9:023?M5fl2B8545+10095>o3m3:17d;<:188m0>=831b9n4?::m2`3<722wi?:=50;794?6|,8o=6<>?;I1b`>N4101/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>d783>>{e;>>1<7;50;2x 4c128:;7E=nd:J0=<=#9881=6g;e;29?l342900e8650;9j1f<722e:h;4?::\7fa723=83?1<7>t$0g5>4673A9jh6F<989'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6l?0;66sm39594?3=83:p(<k9:023?M5fl2B8545+10095>o3m3:17d;<:188m0>=831b9n4?::m2`3<722wi?5850;794?6|,8o=6<>?;I1b`>N4101/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>d783>>{e;1?1<7;50;2x 4c128:;7E=nd:J0=<=#9881=6g;e;29?l342900e8650;9j1f<722e:h;4?::\7fa7=2=83?1<7>t$0g5>4673A9jh6F<989'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6l?0;66sm39194?3=83:p(<k9:023?M5fl2B8545+10095>o3m3:17d;<:188m0>=831b9n4?::m2`3<722wi?5<50;794?6|,8o=6<>?;I1b`>N4101/=<<51:k7a?6=3`?86=44i4:94?=n=j0;66a>d783>>{e;1;1<7;50;2x 4c128:;7E=nd:J0=<=#9881=6g;e;29?l342900e8650;9j1f<722e:h;4?::\7fa7=6=83?1<7>t$0g5>4673A9jh6F<989'544=92c?i7>5;h70>5<<a<21<75f5b83>>i6l?0;66sm36d94?3=83:p(<k9:023?M5fl2B8545+10095>o3m3:17d;<:188m0>=831b9n4?::m2`3<722wi?4;50;194?6|,8o=6<;j;I1b`>N4101/=<<5109j550=831b==950;9l5f`=831vn>6j:180>5<7s-;n:7?:e:J0ea=O;030(<?=:038m4612900e<>8:188k4ea2900qo<j6;297?6=8r.:i;4>5d9K7db<@:327)?>2;7`?l77>3:17d??7;29?j7dn3:17pl<1e83>6<729q/=h8514g8L6gc3A9256*>1382b>o68?0;66g>0683>>i6ko0;66sm25294?5=83:p(<k9:07f?M5fl2B8545+10095c=n99<1<75f11594?=h9jl1<75rb23`>5<2290;w)?j6;356>N4im1C?474$031>76<a8:=6=44i024>5<<a8:36=44i02:>5<<g8im6=44}c00b?6==3:1<v*>e78227=O;hn0D>76;%326?473`;;:7>5;h333?6=3`;;47>5;h33=?6=3f;hj7>5;|`05g<72<0;6=u+1d49534<@:ko7E=69:&257<582c:<;4?::k242<722c:<54?::k24<<722e:ok4?::\7fa66c=83?1<7>t$0g5>4053A9jh6F<989'544=:91b==850;9j551=831b==650;9j55?=831d=nh50;9~f67f290>6=4?{%3f2?71:2B8mi5G38;8 4752;:0e<>9:188m4602900e<>7:188m46>2900c<mi:188yg44l3:197>50z&2a3<6>;1C?lj4H2;:?!76:38;7d??6;29?l77?3:17d??8;29?l7713:17b?lf;29?xd4900;684?:1y'5`0=9?80D>ok;I1:=>"69;09<6g>0783>>o68>0;66g>0983>>o6800;66a>cg83>>{e::i1<7;50;2x 4c128<97E=nd:J0=<=#9881>=5f11494?=n99=1<75f11:94?=n9931<75`1bd94?=zj:8h6=4<:183\7f!7b>3;>i6F<ae9K7<?<,8;9645f11494?=n99=1<75`1bd94?=zj::<6=4<:183\7f!7b>3;>i6F<ae9K7<?<,8;96<h4i025>5<<a8:<6=44o0ae>5<<uk89o7>53;294~"6m?0:9h5G3`f8L6?>3-;:>7?i;h332?6=3`;;;7>5;n3`b?6=3th8<;4?:483>5}#9l<1=;<4H2cg?M5>12.:=?4=0:k243<722c:<:4?::k24=<722c:<44?::m2gc<722wi>?750;794?6|,8o=6<8=;I1b`>N4101/=<<51d9j550=831b==950;9j55>=831b==750;9l5f`=831vn>>::186>5<7s-;n:7?92:J0ea=O;030(<?=:328m4612900e<>8:188m46?2900e<>6:188k4ea2900qo<=6;291?6=8r.:i;4>639K7db<@:327)?>2;3f?l77>3:17d??7;29?l7703:17d??9;29?j7dn3:17pl<0583>0<729q/=h851708L6gc3A9256*>13814>o68?0;66g>0683>>o6810;66g>0883>>i6ko0;66sm23194?3=83:p(<k9:041?M5fl2B8545+10095`=n99<1<75f11594?=n9921<75f11;94?=h9jl1<75rb220>5<2290;w)?j6;356>N4im1C?474$031>76<a8:=6=44i024>5<<a8:36=44i02:>5<<g8im6=44}c014?6==3:1<v*>e78227=O;hn0D>76;%326?7b3`;;:7>5;h333?6=3`;;47>5;h33=?6=3f;hj7>5;|`100<72<0;6=u+1d49534<@:ko7E=69:&257<602c:<;4?::k242<722c:<54?::k24<<722e:ok4?::\7fa773=83>1<7>t$0g5>4073A9jh6F<989'544=:h1b==850;9j551=831b==650;9l5f`=831vn><8:186>5<7s-;n:7?92:J0ea=O;030(<?=:gc8m4612900e<>8:188m46?2900e<>6:188k4ea2900qo==1;290?6=8r.:i;4>619K7db<@:327)?>2;0b?l77>3:17d??7;29?l7703:17b?lf;29?xd4::0;684?:1y'5`0=9?80D>ok;I1:=>"69;0mm6g>0783>>o68>0;66g>0983>>o6800;66a>cg83>>{e:=21<7=50;2x 4c128?n7E=nd:J0=<=#988156g>0783>>o68>0;66a>cg83>>{e:;n1<7=50;2x 4c128?n7E=nd:J0=<=#9881=k5f11494?=n99=1<75`1bd94?=zj;8j6=4::183\7f!7b>3;=>6F<ae9K7<?<,8;96<k4i025>5<<a8:<6=44i02;>5<<a8:26=44o0ae>5<<uk89;7>55;294~"6m?0::?5G3`f8L6?>3-;:>7?j;h332?6=3`;;;7>5;h33<?6=3`;;57>5;n3`b?6=3th9>94?:483>5}#9l<1=;<4H2cg?M5>12.:=?4>e:k243<722c:<:4?::k24=<722c:<44?::m2gc<722wi>??50;794?6|,8o=6<8=;I1b`>N4101/=<<51d9j550=831b==950;9j55>=831b==750;9l5f`=831vn?8>:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f73a29096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn?;k:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f73e29096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn?;6:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f73029096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn?;::181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f73429096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn>:8:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f62229096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn>:<:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f62629096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn>=i:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f65c29096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn>=m:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f65>29096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn?8m:181>5<7s-;n:7?:d:J0ea=O;030(<?=:89j550=831d=nh50;9~f63629096=4?{%3f2?72l2B8mi5G38;8 475201b==850;9l5f`=831vn?km:180>5<7s-;n:7?:e:J0ea=O;030(<?=:4a8m4612900e<>8:188k4ea2900qo?i9;297?6=8r.:i;4>5d9K7db<@:327)?>2;3b?l77>3:17d??7;29?j7dn3:17pl>f`83>6<729q/=h8514g8L6gc3A9256*>1382b>o68?0;66g>0683>>i6ko0;66sm1g`94?5=83:p(<k9:07f?M5fl2B8545+10095c=n99<1<75f11594?=h9jl1<75rb0d`>5<4290;w)?j6;36a>N4im1C?474$031>4`<a8:=6=44i024>5<<g8im6=44}c3e`?6=;3:1<v*>e7821`=O;hn0D>76;%326?7a3`;;:7>5;h333?6=3f;hj7>5;|`2b`<72:0;6=u+1d4950c<@:ko7E=69:&257<6n2c:<;4?::k242<722e:ok4?::\7fa5c`=8391<7>t$0g5>43b3A9jh6F<989'544=9o1b==850;9j551=831d=nh50;9~f7?>29086=4?{%3f2?72m2B8mi5G38;8 47528l0e<>9:188m4602900c<mi:188yg4b;3:197>50z&2a3<6>;1C?lj4H2;:?!76:3<0e<>9:188m4602900e<>7:188m46>2900c<mi:188yg4>i3:1?7>50z&2a3<6=l1C?lj4H2;:?!76:3;m7d??6;29?l77?3:17b?lf;29?xd51k0;6>4?:1y'5`0=9<o0D>ok;I1:=>"69;0:j6g>0783>>o68>0;66a>cg83>>{e:0i1<7=50;2x 4c128?n7E=nd:J0=<=#9881=k5f11494?=n99=1<75`1bd94?=zj;3o6=4<:183\7f!7b>3;>i6F<ae9K7<?<,8;96<h4i025>5<<a8:<6=44o0ae>5<<uk82i7>53;294~"6m?0:9h5G3`f8L6?>3-;:>7?i;h332?6=3`;;;7>5;n3`b?6=3th95k4?:283>5}#9l<1=8k4H2cg?M5>12.:=?4>f:k243<722c:<:4?::m2gc<722wi>l>50;194?6|,8o=6<;j;I1b`>N4101/=<<51g9j550=831b==950;9l5f`=831vn?>?:180>5<7s-;n:7?:e:J0ea=O;030(<?=:0d8m4612900e<>8:188k4ea2900qo<n1;297?6=8r.:i;4>5d9K7db<@:327)?>2;3e?l77>3:17d??7;29?j7dn3:17pl<2783>0<729q/=h851708L6gc3A9256*>138b?l77>3:17d??7;29?l7703:17d??9;29?j7dn3:17pl=1483>0<729q/=h851708L6gc3A9256*>1382f>o68?0;66g>0683>>o6810;66g>0883>>i6ko0;66sm33f94?5=83:p(<k9:07f?M5fl2B8545+1009=>o68?0;66g>0683>>i6ko0;66sm33g94?5=83:p(<k9:07f?M5fl2B8545+1009=>o68?0;66g>0683>>i6ko0;66sm39:94?e5290;w)?j6;3g3>N4im1C?474Z5f9g~1=03h1o7?=:01951<6=3n1i7?9:059ykec291eik4>;%367?4<,8??6?5+11c97>"68k087)??c;18 46c2:1/==k53:&24c<43-;oj7hk;%3f6?4<,8;86>5+10697>"69<087)?>6;18 4702:1/=<653:&25<<43-;:m7=4$03a>6=#98i1?6*>1e80?!76m390(<?i:29'576=;2.:><4<;%316?5<,8886>5+13697>"6:<087)?=6;18 4402:1/=?653:&26<<43-;9m7=4$00a>6=#9;i1?6*>2e80?!75m390(<<i:29'566=;2.:?<4<;%306?5<,8986>5+12697>"6;<087)?<6;18 4502:1/=>653:&27<<43-;8m7=4$01a>6=#9:i1?6*>3e80?!74m390(<=i:29'516=;2.:8<4<;%376?5<,8>86>5+15697>"6<<087)?;6;18 4202:1/=9653:&20<<43-;?m7=4$06a>6=#9=i1?6*>4e80?!73m390(<:i:29'506=;2.:9<4<;%366?5<,8?>6<mj;%362?`c3-;>;7hk;%3g<?7dm2.:h44>cd9m5ag=:=1e=il5259'5`6=9jo0(<??:29'547=;2.8m:4<a79'7d>=;h<0b>o6:368j6gf2;>0(<k<:39j0c<722c><7>5;h75>5<<a<=1<75ffc83>>o6lj0;66g>de83>>oak3:17d?j4;29?l7b=3:17b;>:188k04=831b?<4?:%3g0?573g;o?7>4;h0e>5<#9m>1?=5a1e195>=n:l0;6)?k4;13?k7c;3807d<k:18'5a2=;91e=i=53:9j6f<72-;o87=?;o3g7?2<3`9j6=4+1e6975=i9m91965f3883>!7c<39;7c?k3;48?l5?290/=i:5319m5a5=?21b?:4?:%3g0?573g;o?764;h15>5<#9m>1?=5a1e19=>=n;<0;6)?k4;13?k7c;3k07d=;:18'5a2=;91e=i=5b:9j76<72-;o87=?;o3g7?e<3`996=4+1e6975=i9m91h65f2c83>!7c<39;7c?k3;g8?l26290/=i:5419m5a5=821b?k4?:%3g0?273g;o?7?4;h1f>5<#9m>18=5a1e196>=n;m0;6)?k4;63?k7c;3907d=l:18'5a2=<91e=i=54:9j0d<72-;o87:?;o3g7?3<3`>26=4+1e6905=i9m91:65f4983>!7c<3>;7c?k3;58?l20290/=i:5419m5a5=021b8;4?:%3g0?273g;o?774;h66>5<#9m>18=5a1e19e>=n<=0;6)?k4;63?k7c;3h07d:<:18'5a2=<91e=i=5c:9j07<72-;o87:?;o3g7?b<3`9i6=4+1e6905=i9m91i65f7883>!7c<3=37c?k3;28?l10290/=i:5799m5a5=921b;84?:%3g0?1?3g;o?7<4;h57>5<#9m>1;55a1e197>=n?:0;6)?k4;5;?k7c;3>07d9=:18'5a2=?11e=i=55:9j34<72-;o8797;o3g7?0<3`=;6=4+1e693==i9m91;65f6g83>!7c<3=37c?k3;:8?l0b290/=i:5799m5a5=121b:i4?:%3g0?1?3g;o?7o4;h4`>5<#9m>1;55a1e19f>=n>h0;6)?k4;5;?k7c;3i07d86:18'5a2=?11e=i=5d:9j2=<72-;o8797;o3g7?c<3`<<6=4+1e693==i9m91j65f6783>!7c<3=37c?k3;33?>o1=3:1(<j;:6:8j4b428;07d8;:18'5a2=?11e=i=51398m35=83.:h9488:l2`6<6;21b:?4?:%3g0?1?3g;o?7?;;:k55?6=,8n?6:64n0f0>43<3`2;6=4+1e693==i9m91=;54i6d94?"6l=0<46`>d2823>=n?l0;6)?k4;5;?k7c;3;376g8d;29 4b32>20b<j<:0;8?l1d290/=i:5799m5a5=9h10e:l50;&2`1<002d:h>4>b:9j3d<72-;o8797;o3g7?7d32c<:7>5$0f7>2><f8n86<j4;h4a>5<#9m>1;55a1e195`=<a?:1<7*>d584<>h6l:0:j65f9`83>!7c<3327c?k3;28?l??290/=i:5989m5a5=921b5;4?:%3g0??>3g;o?7<4;h;6>5<#9m>1545a1e197>=n1=0;6)?k4;;:?k7c;3>07d7<:18'5a2=101e=i=55:9j=7<72-;o8776;o3g7?0<3`3:6=4+1e69=<=i9m91;65f9183>!7c<3327c?k3;:8?l>a290/=i:5989m5a5=121b4h4?:%3g0??>3g;o?7o4;h:g>5<#9m>1545a1e19f>=n0k0;6)?k4;;:?k7c;3i07d6n:18'5a2=101e=i=5d:9j<<<72-;o8776;o3g7?c<3`236=4+1e69=<=i9m91j65f8683>!7c<3327c?k3;33?>o?>3:1(<j;:8;8j4b428;07d6::18'5a2=101e=i=51398m=2=83.:h9469:l2`6<6;21b4>4?:%3g0??>3g;o?7?;;:k;6?6=,8n?6474n0f0>43<3`k:6=4+1e69=<=i9m91=;54i`294?"6l=0256`>d2823>=n1o0;6)?k4;;:?k7c;3;376g6e;29 4b32030b<j<:0;8?l?c290/=i:5989m5a5=9h10e4m50;&2`1<>12d:h>4>b:9j=g<72-;o8776;o3g7?7d32c2;7>5$0f7><?<f8n86<j4;h:`>5<#9m>1545a1e195`=<a1;1<7*>d58:=>h6l:0:j65fa783>!7c<3k>7c?k3;28?lg3290/=i:5a49m5a5=921bm>4?:%3g0?g23g;o?7<4;hc1>5<#9m>1m85a1e197>=nik0;6)?k4;cb?k7c;3:07do6:18'5a2=ih1e=i=51:9je=<72-;o87on;o3g7?4<3`k<6=4+1e69ed=i9m91?65f3`294?"6l=085k5a1e194>=n;0o1<7*>d580=c=i9m91=65f38f94?"6l=085k5a1e196>=n;0i1<7*>d580=c=i9m91?65f3`794?"6l=08m95a1e194>=n;h91<7*>d580e1=i9m91=65f3`094?"6l=08m95a1e196>=n;h;1<7*>d580e1=i9m91?65`c483>!7c<3i?7c?k3;28?je4290/=i:5c59m5a5=921do<4?:%3g0?e33g;o?7<4;na3>5<#9m>1o95a1e197>=hjo0;6)?k4;a7?k7c;3>07blj:18'5a2=k=1e=i=55:9lfa<72-;o87m;;o3g7?0<3fhh6=4+1e69g1=i9m91;65`bc83>!7c<3i?7c?k3;:8?jdf290/=i:5c59m5a5=121dn44?:%3g0?e33g;o?7o4;n`;>5<#9m>1o95a1e19f>=hj?0;6)?k4;a7?k7c;3i07bl::18'5a2=k=1e=i=5d:9lf1<72-;o87m;;o3g7?c<3fh86=4+1e69g1=i9m91j65`b383>!7c<3i?7c?k3;33?>ie93:1(<j;:b68j4b428;07bl?:18'5a2=k=1e=i=51398kd`=83.:h94l4:l2`6<6;21dmh4?:%3g0?e33g;o?7?;;:mb`?6=,8n?6n:4n0f0>43<3fih6=4+1e69g1=i9m91=;54ob`94?"6l=0h86`>d2823>=hkh0;6)?k4;a7?k7c;3;376al9;29 4b32j>0b<j<:0;8?je?290/=i:5c59m5a5=9h10cn950;&2`1<d<2d:h>4>b:9lg3<72-;o87m;;o3g7?7d32eh>7>5$0f7>f2<f8n86<j4;n`4>5<#9m>1o95a1e195`=<ghi1<7*>d58`0>h6l:0:j65`e683>!7c<3o=7c?k3;28?jc2290/=i:5e79m5a5=921di>4?:%3g0?c13g;o?7<4;ng1>5<#9m>1i;5a1e197>=hm80;6)?k4;g5?k7c;3>07bk?:18'5a2=m?1e=i=55:9l`c<72-;o87k9;o3g7?0<3fnn6=4+1e69a3=i9m91;65`de83>!7c<3o=7c?k3;:8?jbd290/=i:5e79m5a5=121dho4?:%3g0?c13g;o?7o4;nfb>5<#9m>1i;5a1e19f>=hl10;6)?k4;g5?k7c;3i07bj8:18'5a2=m?1e=i=5d:9l`3<72-;o87k9;o3g7?c<3fn>6=4+1e69a3=i9m91j65`d583>!7c<3o=7c?k3;33?>ic;3:1(<j;:d48j4b428;07bj=:18'5a2=m?1e=i=51398ka7=83.:h94j6:l2`6<6;21dh=4?:%3g0?c13g;o?7?;;:m`b?6=,8n?6h84n0f0>43<3fon6=4+1e69a3=i9m91=;54odf94?"6l=0n:6`>d2823>=hmj0;6)?k4;g5?k7c;3;376ajb;29 4b32l<0b<j<:0;8?jcf290/=i:5e79m5a5=9h10ch750;&2`1<b>2d:h>4>b:9la=<72-;o87k9;o3g7?7d32en87>5$0f7>`0<f8n86<j4;nf:>5<#9m>1i;5a1e195`=<gjo1<7*>d58f2>h6l:0:j65`f583>!7c<3l87c?k3;28?j`5290/=i:5f29m5a5=921dj<4?:%3g0?`43g;o?7<4;nd3>5<#9m>1j>5a1e197>=hn00;6)?k4;d;?k7c;3:07bh8:18'5a2=n11e=i=51:9lb3<72-;o87h7;o3g7?4<3fl>6=4+1e69b==i9m91?65rb365>5<4290;w)?j6;36b>N4im1C?474$031>4b<a8:=6=44i024>5<<g8?j6=44}c0f0?6=;3:1<v*>e7821c=O;hn0D>76;%326?763`;;:7>5;h333?6=3f;>m7>5;|`065<72<0;6=u+1d49535<@:ko7E=69:&257<6k2c:<;4?::k242<722c:<54?::k24<<722e:9l4?::\7fa77>=83>1<7>t$0g5>4063A9jh6F<989'544==l1b==850;9j551=831b==650;9l50g=831v\7f>l6:1867~X4j016?5m54d9>7<5=<l16?5l54d9>7<7=<l16?4<54d9>7=g=<l16?4>54d9>7<1=<l16>9=54d9>611=<l16>=l54d9>65e=<l16>=j54d9>65c=<l16>=h54d9>646=<l16><?54d9>644=<l16><=54d9>74c=<l16??o54d9>77?=<l16??l54d9>62b=<l16>:m54d9>62d=<l16>:o54d9>62?=<l16>:654d9>620=<l16>:;54d9>621=<l16>5h54d9>6=c=<l16>5j54d9>6=e=<l16>5l54d9>6=g=<l16>5754d9>6=>=<l16>5954d9>6`4=<l16>h654d9>6a>=<l16>i954d9>6a0=<l16>i;54d9>6a2=<l16>i=54d9>6a4=<l16>i?54d9>6a6=<l16>h954d9>735=<l16?;<54d9>737=<l16?;>54d9>70`=<l16?8k54d9>70e=<l16?8l54d9>70b=<l16?;h54d9>73b=<l16?;k54d9>726=<l16?:?54d9>724=<l16?:=54d9>722=<l16?:;54d9>7=1=<l16?5854d9>7=3=<l16?5:54d9>7=5=<l16?5<54d9>7=7=<l16?5>54d9>72`=<l16?565579>7=>==>1v\7f9lj:184\7f[2em279854>079>6`d=99<01><9:02:?846=3;;563=478243=:;;21==84}r6ag?6=0hqU?nj4^2`5?[2d?2T?o85Q3c78Z1e63W>=m6P;c79]03d<V=n:7S:k0:\7gc=Y<jo0R9mk;_6`g>X3kk1U8no4^5a:?[2ej2T?nl5Q4c;8Z1d?3W>i;6P;b79]0g3<V=h?7S:m3:?0e`<68=16?4?55b9>7=g==j16?4>55b9>7<1==j16>=?511;8977b2<l01??k:4d8977d2<l01??m:4d8977f2<l01?=9:4d897522<l01?=;:4d897542<l01?==:4d897`72<l01?h>:4d897`52<l01?h<:4d897`32<l01?h6:4d897`f2<l01?hm:4d897`d2<l01?hk:4d8966a2<l01>??:4d896762<l01>?=:4d896742<l01><m:4a897072<l01?;j:4d8973d2<l01?;n:4d8973?2<l01?;9:4d897332<l01?;=:4d897?728nn70<nf;7e?84fm3?m70<nd;7e?84fk3?m70<nb;7e?853>3?m70=;4;7e?853:3?m70=;0;7e?854m3?m70=<c;7e?854i3?m70=<8;7e?85?03>m70=78;73?85?03;oo63<8982a1=:;121=h;4=2:;>67<5:236>;4=2:;>62<5:236>=4=2:;>64<5:236?l4=2:;>17<5:2369;4=2:;>12<5:2369=4=2:;>14<5:236>l4=2:;><g<5:236464=2:;><0<5:2364;4=2:;><2<5:2364=4=2:;><4<5:2364?4=2:;><6<5:2365h4=2:;>=c<5:2365j4=2:;>=d<5:2365o4=2:;>=?<5:236564=2:;>=1<5:236584=2:;>=3<5:2365:4=2:;>=5<5:2365<4=2:;>d7<5:236l>4=2:;><`<5:2364k4=2:;><b<5:2364m4=2:;><d<5:236494=2:;>=e<5:2365?4=2:;>dd<5:236l74=2:;>d><5:236l94=2:;>6g2349347=n3:?0<=<4i;16?5653`38yv2d83:1?vP;c19>7<7=9m?01>6n:0f6?xu3>80;6?uQ4738972028n=7p};d283>2}Y<m901><l:025?855>3;;463=14824==:;;n1==84=20f>4613499<7??8:\7fp031=838pR988;<11e?7c>2wx85o50;0xZ1>f348n>7?k6:\7fp7fe=839pR>m=;<035?77?279=>4>d79~w6ef290?wS=l1:?2b=<2n279=?4>d79>656=99<0q~=l9;290~X4k916=k955g9>647=9m<01<hi:025?xu4k10;69uQ3cd894`12<l01???:0f5?87am3;;:6s|3b594?2|V:hn70?i5;7e?847n3;o:63>fe8243=z{:i=6=4;{_1a`>;6n=0>j63=0d82`3=:9oi1==84}r1`1?6=<rT8nn521g191c=::9n1=i84=0da>4613ty8o94?:5y]7gd<58l968h4=32`>4b134;mm7??6:\7fp7f5=83>pR>ln;<3e5?3a348;n7?k6:?2b<<68?1v\7f9;7:181\7f[24<278454i9:\7fp000=838pR9=<;<1;<?c03ty?984?:3y]064<5:236h;4}r660?6=:rT??<5239:9a6=z{=?86=4={_604>;4010n>6s|44094?4|V=8m70=78;g2?xu3=90;6?uQ43f896>?2l:0q~:;f;296~X3:j16?565dg9~w12b2909wS:=b:?0<=<cm2wx89j50;0xZ14f349347h8;|q70f<72;qU8?74=2:;>ab<uz>?n7>52z\76==:;121hn5rs56b>5<5sW>9;63<898gf>{t<=31<7<t^505?85?03nj7p};4983>7}Y<;?01>67:e:8yv23?3:1>vP;259>7=>=l>1v\7f9:::181\7f[25:278454k6:\7fp012=838pR9<>;<1;<?b23ty?8>4?:3y]076<5:236k84}r676?6=:rT?=k5239:9`1=z{=>:6=4={_62a>;4010o?6s|45294?4|V=;o70=78;f1?xu3;o0;6?uQ40a896>?2m;0q~:<e;296~X39k16?565d19~w15c2909wS:>a:?0<=<dn2wx8>m50;0xZ17>349347kj;|q71c<72;qU8>o4=2:;>`b<uz>>i7>52z\77<=:;121j85rs57g>5<5sW>8463<898fg>{t<<i1<7<t^514?85?03oi7p};5c83>7}Y<:<01>67:dc8yv22i3:1>vP;349>7=>=m01v\7f9;6:181\7f[25m278454j8:\7fp007=838pR9<<;<1;<?c33ty?8;4?:3y]04><5:236i74}r60f?6=:rT?=:5239:9g`=z{=:m6=4={_1ff>;4010j:6s|41f94?4|V:oj70=78;5:?xu38j0;6?uQ3d;896>?2>=0q~:?b;296~X4m116?565749~w16f2909wS=j7:?0<=<0<2wx8=750;0xZ6c13493479<;|q742<72;qU?h:4=2:;>24<uz>;:7>52z\0a6=:;121;<5rs526>5<5sW9n>63<89844>{t<9>1<7<t^2g2?85?03k?7p};0283>7}Y;l:01>67:7d8yv27:3:1>vP<dg9>7=>=>l1v\7f9>>:181\7f[5cm2784549d:\7fp056=838pR>jk;<1;<?0d3ty8jk4?:3y]7ae<5:236;o4}r1ea?6=:rT8ho5239:92<=z{:lh6=4={_1g=>;4010=46s|3g`94?4|V:n370=78;44?xu4nh0;6?uQ3e5896>?2h90q~=i9;296~X4l?16?565679~w6`?2909wS=k5:?0<=<1=2wx?k950;0xZ6b33493478;;|q0b3<72;qU?i=4=2:;>35<uz9m97>52z\0`7=:;121:?5rs2d7>5<5sW9o=63<89855>{t;o91<7<t^2f3?85?032;7p};1783>7}Y;o;01>67:6d8yv26=3:1>vP<f19>7=>=i;1v\7f9?;:181\7f[5bn2784548e:\7fp045=838pR>kj;<1;<?1c3ty?=?4?:3y]7`b<5:236:m4}r625?6=:rT8in5239:93g=z{=;;6=4={_1f1>;4010<m6s|41:94?4|V:nj70=78;55?xu4nm0;6?uQ3bd896>?2?h0q~=i2;296~X4kl16?565619~w1?c290?wS:63:?1fa<2n279o;4>079>6g2=99=0q~:6b;290~X31;16>om55g9>6f3=99<01?l<:02:?xu31h0;69uQ483897de2<l01?m;:025?84e;3;;;6s|48;94?2|V=3;70<ma;7e?84d;3;;:63=b3824<=z{=336=4;{_6;b>;5j00>j63=c38243=::k81==94}r6:3?6=<rT?4h522c:91c=::j;1==84=3`2>46>3ty?5;4?:5y]0=b<5;h<68h4=3a3>461348i=7??7:\7fp0<3=83>pR96l;<0a2?3a348ij7??6:?1f5<68?1v\7f97;:187\7f[2?j279n84:f:?1f`<68?16>o>51158yv71i3:1==u23`d95a7<5;::6<>9;<02e?323488>7;:;<0e4?32348m57;:;<13b?32348=>7;:;<066?32348i97;:;<0:4?32348jn7;:;<17<?32349847;:;<1;<?`e349347?kd:\7fp7=d=839p1>6l:4a896>e28n=70=7a;70?xu40j0;6?u239a95a0<5:2n6<>9;|q0=7<72:q6?4=55b9>7<7==:16?4<51e48yv5>;3:1>v3<9282`3=:;0?1==84}r1;e?6=;r784o4:c:?0<d<6l?16?5k51158yv5>93:1?v3<9082`3=:;0819n523879551<uz93h7>52z?0=5<6l<16?5k51bd8yv5?n3:1=hu238295a0<5;>86<j:;<073?7c=279<o4:8:?14f<20279<i4:8:?14`<20279<k4:8:?155<20279=<4:8:?157<20279=>4:8:?13a<20279;n4:8:?13g<20279;l4:8:?13<<20279;54:8:?133<20279;84>d49>621==116>5h5599>6=c==116>5j5599>6=e==116>5l5599>6=g==116>575599>6=>==116>595599~w6?32909w0=67;3g1>;41<0:ok5rs2;5>5<5mr785:4>d79>74c=9m?01><n:0f6?85513;o963<2c82`0=::l81=i;4=3g;>0><5;n36864=3f4>0><5;n=6864=3f6>0><5;n?6864=3f0>0><5;n96864=3f2>0><5;n;6864=3g4>0><5:<86864=241>0><5:<:6864=243>0><5:?m6864=27f>0><5:?h6<j:;<16f?3?349>h7;7;<15b?3?349=h7?k5:?02`<20278;=4:8:?034<20278;?4:8:?036<20278;94:8:?030<202784:4:8:?0<3<20278484:8:?0<1<202784>4:8:?0<7<202784<4:8:?0<5<20278;k4:8:?060<68116???511:8yv43<3:1?v3=4286g>;5<>0>o63=4482gc=z{;>86=48{<077?7c>279884>069>61>=99=01?km:024?855>3;;:63=148243=:;;21==94}r3f3?6=91q6=k?5549>654==<16>:j5529>62e==:16>:l5529>62g==:16>:75529>62>==:16>:85529>623==:16>:95529>6=`==:16>5k5529>6=b==:16>5m5529>6=d==:16>5o5529>6=?==:16>565529>6=1==:16>h955b9>61>=9jl01>67:ga8964728:=7p}>f883>6}:9o;1=ik4=321>43>34;m57?lf:\7fp5c7=839p1<h>:0ae?87a:3?>70<?3;76?xu6m10;6?u221095f`<5;:i68m4}r3ee?6=;r7:j?4>dd9>655=9<301<hn:0ae?xu6n;0;6>u21g095f`<58l868;4=327>03<uz;n57>52z?146<6ko16>=m55b9~w4`e2908w0?i3;3ga>;58=0:94521g`95f`<uz;m?7>53z?2b6<6ko16=k:5549>653==<1v\7f<kn:181\7f847<3;hj63=0e86g>{t9oi1<7=t=0d7>4bb348;97?:9:?2bf<6ko1v\7f<h;:180\7f87a<3;hj63>f4861>;58?0>96s|1d`94?4|5;:>6<mi;<03a?3d3ty:ji4?:2y>5c3=9mo01?>9:07:?87al3;hj6s|1g794?5|58l>6<mi;<3e2?32348;;7;:;|q2af<72;q6>=851bd8976a2<i0q~?ie;297~;6n?0:hh52215950?<58ln6<mi;|q2b3<72:q6=k851bd894`02<?01?>7:478yv7bl3:1>v3=0682gc=::8:19n5rs0de>5<4s4;m;7?ke:?14=<6=016=kh51bd8yv7a?3:1?v3>f682gc=:9o21985221;910=z{8on6=4={<03<?7dn279=<4:c:\7fp656=839p1<h7:0ff?84713;>563=0182gc=z{8l36=4={<3e<?7dn279<l4:5:\7fp5``=838p1?>6:0ae?846:3?h7p}=e883>g}::9;1==64=3g:>460348nn7?lf:?2b<<68>16=ko5115894`e28:<70?ic;333>;6nm0:<:521gg9551<58lm6<>8;<034?77?2wx>=?50;0x976628im70<?a;36=>{t9o:1<7<t=32b>4ea348:?7;l;|q151<72hq6>=l5529>65e==:16>=j5529>65c==:16>=h5529>646==:16><?5529>644==:16><=5529>643=9jl0q~<>9;296~;59l0>963=1e82gc=z{;8i6=4={<02a?7cm279>n4>cg9~w7262909w0<>e;3`b>;5<<0:<;5rs33;>5<5s48:h7;:;<02g?7dn2wx>?650;0x977c28nn70<=9;3`b>{t:8=1<7<t=33`>03<5;;i6<mi;|q160<72;q6><m51eg8974128im7p}=1783>7}::8h1985220c95f`<uz89>7>52z?15g<6ll16>?=51bd8yv46n3:1>v3=1`82``=::;:1=nh4}r005?6=:r79?;4:5:?170<6ko1v\7f?=m:181\7f844>3;oi63=4182gc=z{;>96=4={<002?7dn2798;4>069~w7572909w0<<5;76?844<3;hj6s|22c94?4|5;9>6<jj;<00b?7dn2wx>?h50;0x97532<?01?=<:0ae?xu5;00;6?u222695ac<5;9n6<mi;|q16`<72;q6>>=5549>664=9jl0q~<<8;296~;5;:0:hh5222f95f`<uz88;7>52z?177<6ll16>>m51bd8yv4593:1>v3=f182``=::;;1=nh4}r0fg?6=:r79j=4>cg9>6c7==<1v\7f?<;:181\7f84a93;oi63=2582gc=z{;oo6=4={<0e5?7dn279j?4:5:\7fp671=838p1?h=:0ff?845?3;hj6s|2dg94?4|5;l96<mi;<0e7?323ty9>l4?:3y>6c5=9mo01?<n:0ae?xu5mo0;6?u22g195f`<5;l?68;4}r01`?6=:r79j94>dd9>67b=9jl0q~=?8;296~;5n=0:ok5233:955><uz8mi7>52z?1b<<6ll16?==51bd8yv4a=3:1>v3=f882gc=::ok1985rs3de>5<5s48mm7?ke:?041<6ko1v\7f?h9:181\7f84ai3;hj63=fc861>{t;9:1<7<t=3da>4bb349;97?lf:\7fp6c1=838p1?hm:0ae?84ak3?>7p}<0083>7}::oi1=ik4=225>4ea3ty9j54?:3y>6ce=9jl01?hk:478yv57:3:1>v3=fe82``=:;9=1=nh4}r13=?6=;r79ji4>cg9>771=99201><?:02:?xu49=0;6?u231d95ac<5:;26<mi;|q04g<72;q6?=h51bd896772<?0q~=>5;296~;4990:hh5230c95f`<uz9;o7>52z?055<6ko16?<?5549~w6712909w0=>1;3ga>;49k0:ok5rs22g>5<5s49:=7?lf:?057<2=2wx?<950;0x967528nn70=>c;3`b>{t;9o1<7<t=231>4ea349:?7;:;|q05=<72;q6?<=51eg8967c28im7p}<0`83>7}:;891=nh4=200>46?3ty8=k4?:3y>74c==j16??=51bd8yv56m3:1?v3<1d82`3=::l<1==84=202>4613ty8>94?:2y>77g==j16??755b9>771=9jl0q~==9;29<~;4:00:h;5233a9551<5:8>6<>9;<112?77?279=84>069>77b=99=01><j:024?85583;;;6s|33`94?3|5:8i6<j9;<0f2?77?278>84>069>777=99=01?k<:025?xu5=80;6?u226f91f=::?k1=nh4}r040?6=<r79;i4>d79>6=`==j16>9>51158970e28:=7p}=5183>7}::>i19n5227;95f`<uz8<?7>54z?13f<6l?16>5k55b9>66`=99<01?8>:025?xu5<o0;6?u226`91f=::?21=nh4}r046?6=<r79;o4>d79>6=b==j16>>h511:8973a28:=7p}=4d83>7}::>k19n5227595f`<uz8<=7>54z?13d<6l?16>5m55b9>66c=99<01?;k:025?xu5<m0;6?u226;91f=::?<1=nh4}r044?6=<r79;44>d79>6=d==j16>>k511:8973e28:=7p}=4b83>7}::>219n5227795f`<uz8=j7>54z?13=<6l?16>5o55b9>66b=99<01?;6:025?xu5<h0;6?u226491f=::?91=nh4}r05`?6=<r79;;4>d79>6=>==j16>>m51148973228:=7p}=4883>7}::>?19n5227095f`<uz8=o7>54z?130<6l?16>5955b9>66e=99201?;<:025?xu5<k0;6?u226591f=::?>1=nh4}r05a?6=<r79;:4>d79>6=?==j16>>j511:8973028:=7p}=6183>7}::?k1985227295f`<uz8=n7>52z?12d<6=016>;l51bd8yv42m3:1?v3=68861>;5>90>963=5d82gc=z{;<:6=4<{<05=?721279:=4>dd9>637=9jl0q~<:c;297~;5>10>963=5d861>;5=j0:ok5rs37e>5<4s48=47?:9:?11`<6ll16>8h51bd8yv42i3:1?v3=66861>;5=j0>963=5`82gc=z{;?o6=4<{<053?7212799n4>dd9>60b=9jl0q~<:8;297~;5>?0>963=5`861>;5=10:ok5rs37a>5<4s48=:7?:9:?11d<6ll16>8l51bd8yv42>3:1?v3=64861>;5=10>963=5782gc=z{;?26=4<{<051?721279954>dd9>60?=9jl0q~<:4;297~;5>=0>963=57861>;5==0:ok5rs374>5<4s48=87?:9:?113<6ll16>8951bd8yv42:3:1?v3=62861>;5==0>963=5382gc=z{;?>6=4<{<057?721279994>dd9>603=9jl0q~<:3;297~;5>;0:945224095ac<5;?86<mi;|q1<3<72>q6>5h51e48967c28:<70=?7;333>;5:j0:<:5223f9551<5;k:6<>8;<1;<?5a3ty9484?:6y>6=c=9m<01>?l:024?857>3;;;63=28824<=::;k1==74=3c3>460349347=j;|q1<1<72>q6>5j51e48967d28:270=?6;33=>;5:00:<55223c955><5;3m6<>8;<1;<?5c3ty94>4?:6y>6=e=9m<01>?m:024?857=3;;;63=27824<=::;=1==74=3;f>460349347=l;|q1<7<72>q6>5l51e48967e28:270=?5;33=>;5:?0:<552235955><5;3o6<>8;<1;<?2f3ty94<4?:6y>6=g=9m<01>?n:024?857<3;;;63=22824<=::;>1==74=3;`>460349347:6;|q1<5<72>q6>5751e48967f28:270=?4;33=>;5::0:<552236955><5;3i6<>8;<1;<?2?3ty9;k4?:6y>6=>=9m<01>?6:024?857;3;;;63=21824<=::;;1==74=3;b>460349347:8;|q13`<72>q6>5951e48967>28:270=?3;33=>;5:90:<552233955><5;326<>8;<1;<?213ty9nn4?:3y>6gb==<16>om51bd8yv4d>3:1>v3=be82``=::j<1=nh4}r0a`?6=:r79ni4>cg9>6`5=9920q~<lf;297~;5k?0:<:522c69550<5;n36<j9;|q1fg<72;q6>om5549>6gd=9jl0q~<l5;296~;5jj0:hh522b795f`<uz8hi7>53z?1g0<68>16>o=511:897b028n=7p}=b`83>7}::kh198522cc95f`<uz8h87>52z?1fg<6ll16>n:51bd8yv4dl3:1?v3=c58242=::k91==84=3f5>4b13ty9n44?:3y>6gg==<16>o751bd8yv4d;3:1>v3=b`82``=::j91=nh4}r0`g?6=;r79o>4>069>6g4=99201?j::0f5?xu5j10;6?u22c;910=::k21=nh4}r0`6?6=:r79n44>dd9>6f4=9jl0q~<lb;297~;5k;0:<:522c09550<5;n?6<j9;|q1f2<72;q6>o65549>6g1=9jl0q~<l1;296~;5j10:hh522b395f`<uz8hm7>53z?1g4<68>16>o?511:897b428n=7p}=b783>7}::k=198522c495f`<uz8h<7>52z?1f2<6ll16>n>51bd8yv4d13:1?v3=c18242=::k;1==84=3f1>4b13ty9n84?:3y>6g0==<16>o;51bd8yv4en3:1>v3=b782``=::kl1=nh4}r0`<?6=;r79nk4>069>6g6=99201?j>:0f5?xu5jl0;6?u22c795ac<5;hn6<mi;|q1g2<72:q6>ok5115897d728:270<k0;3g2>{t:021<7<t=3cb>03<5;336<mi;|q1e4<72;q6>lo514;897g628im7p}=e083>7}::hk1=nh4=3f;>0e<uz82;7>53z?1e<<2=279554:5:?1=2<6ko1v\7f?o?:180\7f84f13;>563=9982``=::h:1=nh4}r0f4?6=:r79m44>cg9>6a1==j1v\7f>8m:186\7f84>03?m70=84;3g2>;40?0>o63<078243=::h:1==84}r0:2?6=;r79m54:5:?1=2<2=2795;4>cg9~w7?a2908w0<n8;36=>;51>0:hh5228d95f`<uz8oj7>52z?1e=<6ko16>i855b9~w60f290>w0<67;7e?850;3;o:63<8486g>;48?0:<55228d9550<uz8297>53z?1e2<2=2795;4:5:?1=0<6ko1v\7f?7j:180\7f84f?3;>563=9782``=::0o1=nh4}r0ga?6=:r79m:4>cg9>6a3==j1v\7f>86:186\7f84>>3?m70=82;3g2>;40=0>o63<048243=::0o1==84}r0:0?6=;r79m;4:5:?1=0<2=279594>cg9~w7?c2908w0<n6;36=>;51<0:hh5228f95f`<uz8oh7>52z?1e3<6ko16>i:55b9~w60?290>w0<65;7e?85093;o:63<8286g>;48<0:<55228f9550<uz82?7>53z?1e0<2=279594:5:?1=6<6ko1v\7f?7l:180\7f84f=3;>563=9582``=::0i1=nh4}r0gg?6=:r79m84>cg9>6a5==j1v\7f>88:186\7f84><3?m70=80;3g2>;40;0>o63<058243=::0i1==84}r0:6?6=;r79m94:5:?1=6<2=2795?4>cg9~w7?e2908w0<n4;36=>;51:0:hh5228`95f`<uz8on7>52z?1e1<6ko16>i<55b9~w601290>w0<63;7e?851n3;o:63<8086g>;48=0:<55228`9550<uz82=7>53z?1e6<2=2795?4:5:?1=4<6ko1v\7f?7n:180\7f84f;3;>563=9382``=::0k1=nh4}r0ge?6=:r79m>4>cg9>6a7==j1v\7f>8::186\7f84>:3?m70=9e;3g2>;4090>o63<028243=::0k1==84}r0:4?6=;r79m?4:5:?1=4<2=2795=4>cg9~w7?>2908w0<n2;36=>;5180:hh5228;95f`<uz8o57>52z?1e7<6ko16>i>55b9~w603290>w0<61;7e?851l3;o:63<7g86g>;48:0:<55228;9550<uz8nm7>52z?1=5<2n279i44>5`9~w64d2909w0<j9;332>;4:m0:ok5rs3cf>5<5s48jj7;:;<0ba?7dn2wx>o:50;0x97ga28nn70<m4;3`b>{t:hl1<7<t=3ce>4ea348n?7??9:\7fp6db=838p1?oj:47897gc28im7p}=b283>7}::ho1=ik4=3`0>4ea3ty9mn4?:3y>6db==<16>lm51bd8yv4e:3:1>v3=ae82``=::k81=nh4}r0bf?6=:r79mn4:5:?1eg<6ko1v\7f?l>:181\7f84fk3;oi63=b082gc=z{;h;6=4={<0bf?7cm279n=4>cg9~w7c22909w0<j2;70?84b>3;hj6s|2d194?4|5;o968m4=3g0>4ea3ty8444?:6y>6`>==j16??m51bd8972228:370=78;1b4>;401085h5239:97<b<5:236>7l;|q1a=<72;q6>h651e4897c328:=7p}=e683>7}::l=1=i84=3g7>4603ty8>i4?:0ax96042<901>8=:41896062<901>8?:418963a2<901>;j:418963d2<901>;m:418963c2<901>8i:418960c2<901>8j:41896172<901>9>:41896152<901>9<:41896132<901>9::41896>02<901>69:41896>22<901>6;:41896>42<901>6=:41896>62<901>6?:418961a2<901><j:0ae?xu4;>0;6?u237191f=:;<:1=nh4}r16e?6=<r78:>4>d79>723==j16?<j51148963628:=7p}<3783>7}:;?819n5235d95f`<uz9>57>54z?027<6l?16?::55b9>74e=99<01>:8:025?xu4;<0;6?u237391f=:;=o1=nh4}r16<?6=<r78:<4>d79>725==j16?<m511:8962228:=7p}<3583>7}:;?:19n5235f95f`<uz9>;7>54z?025<6l?16?:<55b9>74d=99<01>:<:025?xu4;:0;6?u234d91f=:;=i1=nh4}r162?6=<r789k4>d79>727==j16?<l511:8962628:=7p}<3383>7}:;<o19n5235`95f`<uz9>97>54z?01`<6l?16?:>55b9>74g=99<01>=i:025?xu4;90;6?u234a91f=:;=31=nh4}r167?6=<r789n4>d79>73c==j16?<751148965e28:=7p}<2g83>7}:;<h19n5235:95f`<uz9>>7>54z?01g<6l?16?;j55b9>74?=99201>=6:025?xu4;80;6?u234f91f=:;=k1=nh4}r160?6=<r789i4>d79>73`==j16?<o511:8965c28:=7p}<4783>7}:;<:1985235495f`<uz9>=7>52z?015<6=016?8?51bd8yv53<3:1?v3<4g861>;4<?0>963<4582gc=z{:><6=4<{<17b?7212788;4>dd9>711=9jl0q~=;2;297~;4<l0>963<45861>;4<;0:ok5rs266>5<4s49?i7?:9:?001<6ll16?9;51bd8yv5383:1?v3<4e861>;4<;0>963<4182gc=z{:>86=4<{<17`?7212788?4>dd9>715=9jl0q~=<e;297~;4<j0>963<41861>;4;l0:ok5rs262>5<4s49?o7?:9:?005<6ll16?9?51bd8yv54k3:1?v3<4c861>;4;l0>963<3b82gc=z{:9m6=4<{<17f?721278?h4>dd9>76`=9jl0q~=<a;297~;4<h0>963<3b861>;4;h0:ok5rs21g>5<4s49?m7?:9:?07f<6ll16?>j51bd8yv5403:1?v3<48861>;4;h0>963<3982gc=z{:9i6=4<{<17=?721278?l4>dd9>76d=9jl0q~=<9;297~;4<10:945232:95ac<5:926<mi;|q02f<72=q6?:;51e4896>02<i01>>8:025?84f93;;:6s|36g94?3|5:2<6<j9;<074?77>279>n4>079>67b=99<01>67:3d8yv50l3:19v3<8782`3=:::l1==94=30:>4603489m7??7:?0<=<5m2wx?:m50;7x96>228n=70<<f;33=>;5:00:<;5223c9550<5:236?j4}r14f?6==r78494>d79>66c=99=01?<9:024?845?3;;;63<8981g>{t;>k1<7;t=2:0>4b13488i7??9:?163<68?16>?95114896>?2:k0q~=89;291~;40;0:h;5222f9551<5;886<>8;<010?77?278454<9:\7fp72>=83?p1>6>:0f5?844l3;;563=228243=::;>1==84=2:;>6><uz9<;7>55z?0<5<6l?16>>m51158974728:<70<=1;333>;40108;6s|36494?3|5:=m6<j9;<00g?771279>=4>079>677=99<01>67:248yv7113:1>v3=44824<=::=<1=8o4}r111?6=:r78>84>cg9>771=99=0q~==2;297~;4:>0:<;523319550<5:8=6<mi;|q06=<72;q6??9511;8964?28?j7p}<2083>7}:;;;1=nh4=200>4603ty8>=4?:3y>775=99301><?:07b?xu6i?0;6?u22d19551<5;o?6<;n;|\7fp7g?=838pR>l6;<6`>6d>3-9247?n6:\7fp05`=838pR>km;<6`>6ce3-9247?md:\7fp05b=838pR>kn;<6`>6cf3-9247?mf:\7fp05e=838pR>k6;<6`>6c>3-9247?l8:\7fp05d=838pR>k7;<6`>6c?3-9247?l9:\7fp05g=838pR>k8;<6`>6c03-9247?9b:\7fp05?=838pR>k9;<6`>6c13-9247?81:\7fp051=838pR>k;;<6`>6c33-9247?8c:\7fp050=838pR>k<;<6`>6c43-9247?77:\7fp053=838pR>k=;<6`>6c53-9247?62:\7fp052=838pR>k>;<6`>6c63-9247?6d:\7fp055=838pR>k?;<6`>6c73-9247?n2:\7fp054=838pR>ji;<6`>6ba3-9247?n3:\7fp057=838pR>jj;<6`>6bb3-9247?n4:\7fp056=838pR>jk;<6`>6bc3-9247?n5:\7fp7c`=838pR>jl;<6`>6bd3-9247?n7:\7fp7cc=838pR>jm;<6`>6be3-9247?n8:\7fp7ce=838pR>j6;<6`>6b>3-9247?n9:\7fp7cd=838pR>j7;<6`>6b?3-9247?na:\7fp7cg=838pR>j8;<6`>6b03-9247?nb:\7fp7c?=838pR>j9;<6`>6b13-9247?nc:\7fp7c>=838pR>j:;<6`>6b23-9247?nd:\7fp7c1=838pR>j;;<6`>6b33-9247?ne:\7fp7c0=838pR>j<;<6`>6b43-9247?nf:\7fp7c3=838pR>j=;<6`>6b53-9247?m0:\7fp7c2=838pR>j>;<6`>6b63-9247?m1:\7fp7c5=838pR>j?;<6`>6b73-9247?m2:\7fp040=838pR>h>;<6`>6`63-9247?m3:\7fp043=838pR>h?;<6`>6`73-9247?m4:\7fp042=838pR>ki;<6`>6ca3-9247?m5:\7fp045=838pR>kj;<6`>6cb3-9247?m6:\7fp044=838pR>kk;<6`>6cc3-9247?m7:\7fp047=838pR>kl;<6`>6cd3-9247?m8:\7fp046=838pR>k:;<6`>6c23-9247?m9:\7fp05>=838pR>jn;<6`>6bf3-9247?ma:\7fp7cb=838pR>mi;<6`>6ea3-9247?mb:\7fp7c4=838pR>mj;<6`>6eb3-9247?mc:\7fp0<b=838pR97<;<6`>1?43-9247?me:\7fp0<d=838pR97=;<6`>1?53-9247?l0:\7fp0<g=838pR97>;<6`>1?63-9247?l1:\7fp0<?=838pR97?;<6`>1?73-9247?l2:\7fp0<>=838pR96i;<6`>1>a3-9247?l3:\7fp0<1=838pR96j;<6`>1>b3-9247?l4:\7fp0<0=838pR96k;<6`>1>c3-9247?l5:\7fp0<3=838pR96l;<6`>1>d3-9247?l6:\7fp0<2=838pR96m;<6`>1>e3-9247?l7:\7fp0gc=838pR9lj;<6`>1db3-9247?la:\7fp0f6=838pR9m?;<6`>1e73-9247?lb:\7fp0a5=838pR9j<;<6`>1b43-9247?9c:\7fp7fe=838pR>m=;<6`>6e53-9247?9d:\7fp7fg=838pR>m>;<6`>6e63-9247?9e:\7fp7f?=838pR>m?;<6`>6e73-9247?9f:\7fp7f>=838pR>li;<6`>6da3-9247?80:\7fp7f1=838pR>lj;<6`>6db3-9247?82:\7fp7f0=838pR>lk;<6`>6dc3-9247?83:\7fp7f3=838pR>ll;<6`>6dd3-9247?84:\7fp7f2=838pR>lm;<6`>6de3-9247?85:\7fp7f5=838pR>ln;<6`>6df3-9247?86:\7fp00>=838pR9=;;<6`>1533-9247?87:\7fp000=838pR9=<;<6`>1543-9247?88:\7fp003=838pR9==;<6`>1553-9247?89:\7fp002=838pR9=>;<6`>1563-9247?8a:\7fp005=838pR9=?;<6`>1573-9247?8b:\7fp004=838pR9<i;<6`>14a3-9247?8d:\7fp006=838pR9<k;<6`>14c3-9247?8e:\7fp01`=838pR9<l;<6`>14d3-9247?8f:\7fp01c=838pR9<m;<6`>14e3-9247?70:\7fp01b=838pR9<n;<6`>14f3-9247?71:\7fp01e=838pR9<6;<6`>14>3-9247?72:\7fp01d=838pR9<7;<6`>14?3-9247?73:\7fp01g=838pR9<8;<6`>1403-9247?74:\7fp01?=838pR9<9;<6`>1413-9247?75:\7fp01>=838pR9<:;<6`>1423-9247?76:\7fp011=838pR9<;;<6`>1433-9247?78:\7fp013=838pR9<=;<6`>1453-9247?79:\7fp012=838pR9<>;<6`>1463-9247?7a:\7fp015=838pR9<?;<6`>1473-9247?7b:\7fp014=838pR9?i;<6`>17a3-9247?7c:\7fp017=838pR9?j;<6`>17b3-9247?7d:\7fp016=838pR9?k;<6`>17c3-9247?7e:\7fp06`=838pR9?l;<6`>17d3-9247?7f:\7fp06c=838pR9?m;<6`>17e3-9247?60:\7fp06b=838pR9?n;<6`>17f3-9247?61:\7fp06e=838pR9?6;<6`>17>3-9247?63:\7fp00`=838pR9=n;<6`>15f3-9247?64:\7fp00c=838pR9=6;<6`>15>3-9247?65:\7fp00b=838pR9=7;<6`>15?3-9247?66:\7fp00e=838pR9=8;<6`>1503-9247?67:\7fp00d=838pR9=9;<6`>1513-9247?68:\7fp00g=838pR9=:;<6`>1523-9247?69:\7fp00?=838pR9<j;<6`>14b3-9247?6a:\7fp007=838pR9<<;<6`>1443-9247?6b:\7fp010=838pR9?7;<6`>17?3-9247?6c:\7fp06d=838pR9?8;<6`>1703-9247?6e:\7fp037=838pR98>;<6`>1063-9247?6f:\7fp031=838pR988;<6`>1003-9247?n0:\7fp0=g=838pR96n;<6`>1>f3-9247?n1:\7f~j=ef2909wE=69:\7fm<fd=838pD>76;|l;gf<72;qC?474}o:``?6=:rB8545rn9af>5<5sA9256sa8bd94?4|@:327p`7d183>7}O;030qc6k1;296~N4101vb5j=:181\7fM5>12we4i=50;0xL6?>3td3h94?:3yK7<?<ug2o97>52zJ0=<=zf1n=6=4={I1:=>{i0m=1<7<tH2;:?xh?l10;6?uG38;8yk>c13:1>vF<989~j=bf2909wE=69:\7fm<ad=838pD>76;|l;`f<72;qC?474}o:g`?6=:rB8545rn9ff>5<5sA9256sa8ed94?4|@:327p`7e183>7}O;030qc6j1;296~N4101vb5k=:181\7fM5>12we4h=50;0xL6?>3td3i94?:3yK7<?<ug2n97>52zJ0=<=zf1o=6=4={I1:=>{i0l=1<7<tH2;:?xh?m10;6?uG38;8yk>b13:1>vF<989~j=cf2909wE=69:\7fm<`d=838pD>76;|l;af<72;qC?474}o:f`?6=:rB8545rn9gf>5<5sA9256sa8dd94?4|@:327p`7f183>7}O;030qc6i1;296~N4101vb5h=:181\7fM5>12we4k=50;0xL6?>3td3j94?:3yK7<?<ug2m97>52zJ0=<=zf1l=6=4={I1:=>{i0o=1<7<tH2;:?xh?n10;6?uG38;8yk>a13:1>vF<989~j=`f2909wE=69:\7fm<cd=838pD>76;|l;bf<72;qC?474}o:e`?6=:rB8545rn9df>5<5sA9256sa8gd94?4|@:327p`60183>7}O;030qc7?1;296~N4101vb4>=:181\7fM5>12we5==50;0xL6?>3td2<94?:3yK7<?<ug3;97>52zJ0=<=zf0:=6=4={I1:=>{i19=1<7<tH2;:?xh>810;6?uG38;8yk?713:1>vF<989~j<6f2909wE=69:\7fm=5d=838pD>76;|l:4f<72;qC?474}o;3`?6=:rB8545rn82f>5<5sA9256sa91d94?4|@:327p`61183>7}O;030qc7>1;296~N4101vb4?=:181\7fM5>12we5<=50;0xL6?>3td2=94?:3yK7<?<ug3:97>52zJ0=<=zf0;=6=4={I1:=>{i18=1<7<tH2;:?xh>910;6?uG38;8yk?613:1>vF<989~j<7f2909wE=69:\7fm=4d=838pD>76;|l:5f<72;qC?474}o;2`?6=:rB8545rn83f>5<5sA9256sa90d94?4|@:327p`62183>7}O;030qc7=1;296~N4101vb4<=:181\7fM5>12we5?=50;0xL6?>3td2>94?:3yK7<?<ug3997>52zJ0=<=zf08=6=4={I1:=>{i1;=1<7<tH2;:?xh>:10;6?uG38;8yk?513:1>vF<989~j<4f2909wE=69:\7fm30?=83;pD>76;|l4f<<728qC?474}o5ae?6=9rB8545rn6`a>5<6sA9256sa7ca94?7|@:327p`8be83>4}O;030qc9me;295~N4101vb:li:182\7fM5>12we;n>50;3xL6?>3td<o<4?:0yK7<?<ug=h>7>51zJ0=<=zf>i86=4>{I1:=>{i?j>1<7?tH2;:?xh0k<0;6<uG38;8yk1d>3:1=vF<989~j2e0290:wE=69:\7fm3f>=83;pD>76;|l4g<<728qC?474}o5`e?6=9rB8545rn6aa>5<6sA9256sa7ba94?7|@:327p`8ce83>4}O;030qc9le;295~N4101vb:mi:182\7fM5>12we;i>50;3xL6?>3td<h<4?:0yK7<?<ug=o>7>51zJ0=<=zf>n86=4>{I1:=>{i?m>1<7?tH2;:?xh0l<0;6<uG38;8yk1c>3:1=vF<989~j2b0290:wE=69:\7fm3a>=83;pD>76;|l4`<<728qC?474}o5ge?6=9rB8545rn6fa>5<6sA9256sa7ea94?7|@:327p`8de83>4}O;030qc9ke;295~N4101vb:ji:182\7fM5>12we;h>50;3xL6?>3td<i<4?:0yK7<?<ug=n>7>51zJ0=<=zf>o86=4>{I1:=>{i?l>1<7?tH2;:?xh0m<0;6<uG38;8yk1b>3:1=vF<989~j2c0290:wE=69:\7fm3`>=83;pD>76;|l4a<<728qC?474}o5fe?6=9rB8545rn6ga>5<6sA9256sa7da94?7|@:327p`8ee83>4}O;030qc9je;295~N4101vb:ki:182\7fM5>12we;k>50;3xL6?>3td<j<4?:0yK7<?<ug=m>7>51zJ0=<=zf>l86=4>{I1:=>{i?o>1<7?tH2;:?xh0n<0;6<uG38;8yk1a>3:1=vF<989~j2`0290:wE=69:\7fm3c>=83;pD>76;|l4b<<728qC?474}o5ee?6=9rB8545rn6da>5<6sA9256sa7ga94?7|@:327p`8fe83>4}O;030qc9ie;295~N4101vb:hi:182\7fM5>12we4=>50;3xL6?>3td3<<4?:0yK7<?<ug2;>7>51zJ0=<=zf1:86=4>{I1:=>{i09>1<7?tH2;:?xh?8<0;6<uG38;8yk>7>3:1=vF<989~j=60290:wE=69:\7fm<5>=83;pD>76;|l;4<<728qC?474}o:3e?6=9rB8545rn92a>5<6sA9256sa81a94?7|@:327p`70e83>4}O;030qc6?e;295~N4101vb5>i:182\7fM5>12we4<>50;3xL6?>3td3=<4?:0yK7<?<ug2:>7>51zJ0=<=zf1;86=4>{I1:=>{i08>1<7?tH2;:?xh?9<0;6<uG38;8yk>6>3:1=vF<989~j=70290:wE=69:\7fm<4>=83;pD>76;|l;5<<728qC?474}o:2e?6=9rB8545rn93a>5<6sA9256sa80a94?7|@:327p`71e83>4}O;030qc6>e;295~N4101vb5?i:182\7fM5>12we4?>50;3xL6?>3td3><4?:0yK7<?<ug29>7>51zJ0=<=zf1886=4>{I1:=>{i0;>1<7?tH2;:?xh?:<0;6<uG38;8yk>5>3:1=vF<989~j=40290:wE=69:\7fm<7>=83;pD>76;|l;6<<728qC?474}o:1e?6=9rB8545rn90a>5<6sA9256sa83a94?7|@:327p`72e83>4}O;030qc6=e;295~N4101vb5<i:182\7fM5>12we4>>50;3xL6?>3td3?<4?:0yK7<?<ug28>7>51zJ0=<=zf1986=4>{I1:=>{i0:>1<7?tH2;:?xh?;<0;6<uG38;8yk>4>3:1=vF<989~j=50290:wE=69:\7fm<6>=83;pD>76;|l;7<<728qC?474}o:0e?6=9rB8545rn91a>5<6sA9256sa82a94?7|@:327p`73e83>4}O;030qc6<e;295~N4101vb5=i:182\7fM5>12we49>50;3xL6?>3td38<4?:0yK7<?<ug2?>7>51zJ0=<=zf1>86=4>{I1:=>{i0=>1<7?tH2;:?xh?<<0;6<uG38;8yk>3>3:1=vF<989~j=20290:wE=69:\7fm<1>=83;pD>76;|l;0<<728qC?474}o:7e?6=9rB8545rn96a>5<6sA9256sa85a94?7|@:327p`74e83>4}O;030qc6;e;295~N4101vb5:i:182\7fM5>12we48>50;3xL6?>3td39<4?:0yK7<?<ug2>>7>51zJ0=<=zf1?86=4>{I1:=>{i0<>1<7?tH2;:?xh?=<0;6<uG38;8yk>2>3:1=vF<989~j=30290:wE=69:\7fm<0>=83;pD>76;|l;1<<728qC?474}o:6e?6=9rB8545rn97a>5<6sA9256sa84a94?7|@:327p`75e83>4}O;030qc6:e;295~N4101vb5;i:182\7fM5>12we4;>50;3xL6?>3td3:<4?:0yK7<?<ug2=>7>51zJ0=<=zf1<86=4>{I1:=>{i0?>1<7?tH2;:?xh?><0;6<uG38;8yk>1>3:1=vF<989~j=00290:wE=69:\7fm<3>=83;pD>76;|l;2<<728qC?474}o:5e?6=9rB8545rn94a>5<6sA9256sa87a94?7|@:327p`76e83>4}O;030qc69e;295~N4101vb58i:182\7fM5>12we4:>50;3xL6?>3td3;<4?:0yK7<?<ug2<>7>51zJ0=<=zf1=86=4>{I1:=>{i0>>1<7?tH2;:?xh??<0;6<uG38;8yk>0>3:1=vF<989~j=10290:wE=69:\7fm<2>=83;pD>76;|l;3<<728qC?474}o:4e?6=9rB8545rn95a>5<6sA9256sa86a94?7|@:327p`77e83>4}O;030qc68e;295~N4101vb59i:182\7fM5>12we45>50;3xL6?>3td34<4?:0yK7<?<ug23>7>51zJ0=<=zf1286=4>{I1:=>{i01>1<7?tH2;:?xh?0<0;6<uG38;8yk>?>3:1=vF<989~j=>0290:wE=69:\7fm<=>=83;pD>76;|l;<<<728qC?474}o:;e?6=9rB8545rn9:a>5<6sA9256sa89a94?7|@:327p`78e83>4}O;030qc67e;295~N4101vb56i:182\7fM5>12we44>50;3xL6?>3td35<4?:0yK7<?<ug22>7>51zJ0=<=zf1386=4>{I1:=>{i00>1<7?tH2;:?xh?1<0;6<uG38;8yk>>>3:1=vF<989~j=?0290:wE=69:\7fm<<>=83;pD>76;|l;=<<728qC?474}o::e?6=9rB8545rn9;a>5<6sA9256sa88a94?7|@:327p`79e83>4}O;030qc66e;295~N4101vb57i:182\7fM5>12we4l>50;3xL6?>3td3m<4?:0yK7<?<ug2j>7>51zJ0=<=zf1k86=4>{I1:=>{i0h>1<7?tH2;:?xh?i<0;6<uG38;8yk>f>3:1=vF<989~j=g0290:wE=69:\7fm<d>=83;pD>76;|l;e<<728qC?474}o:be?6=9rB8545rn9ca>5<6sA9256sa8`a94?7|@:327p`7ae83>4}O;030qc6ne;295~N4101vb5oi:182\7fM5>12we4o>50;3xL6?>3td3n<4?:0yK7<?<ug2i>7>51zJ0=<=zf1h86=4>{I1:=>{i0k>1<7?tH2;:?xh?j<0;6<uG38;8yk>e>3:1=vF<989~j=d0290:wE=69:\7fm<g>=83;pD>76;|l;f<<728qC?474}o:ae?6=9rB8545rn9`a>5<6sA9256sa8ca94?7|@:327p`7be83>4}O;030qc6me;295~N4101vb5li:182\7fM5>12we4n>50;3xL6?>3td3o<4?:0yK7<?<ug2h>7>51zJ0=<=zf1i86=4>{I1:=>{i0j>1<7?tH2;:?xh?k<0;6<uG38;8yk>d>3:1=vF<989~j=e0290:wE=69:\7fm<f>=83;pD>76;|l;g<<728qC?474}|\7f~DEE|i0o1>:l961:3yEFEs9wKL]ur@A
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$7:7\7f4g<,[o}e~g`n;"2*413&;$>"9 > %11?*nhel%fm\7fkd/robhiYaaoe$x`~ _be,bl`hWoxdaR}kr`o-jbi63930<=>?0123416<89:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?01234567<2:;J??41292*5473881EC^ZT;rqkbYbey~rSyf}erj?54<76;=0=?4FNQWW>uthoVof|ywPtipfwmYf{zoyx1?>:1<13>752@D[YY4\7frne\ahvsqV~c~h}g_ogdeqc;980;2<h4138JJUSS2yxdkRhzlm]wlwct`5;:6=0=6:31>LHW]]0{~biPftno[qnumzbTm~}jru>25?69:?1:>7GAPTV9twi`Wo\7fg`Rzgrdqk[kc`i}o7=<4?>0c857<H]]Z^X7jnt`]`kphs48;1<3?k;009KPRW]]0yhRjnt`]`kphs48;1<3?k;009KPRW]]0|~Rjnt`]`kphs48;1<3?;;079MKVR\3KOH_O31583:42<9<0BB][[:@FGVG:6<3:5=6<>2:11>LHW]]0OEL2<1;2=57=4:3CE\XZ5DH@?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:44<;=0BB][[:ekm865=87;?7>:5OTVSQQ<cg|~7?>4?>09754=32@D[YY4KIQC?7?69981?6D@_UU8GMUD;;3:5==5;:HLSQQ<PMH686=0>0:69MKVR\3]NN1=50?31?1<H]]Z^X7J@P@>0>586:2>1CXZ_UU8GKUD;;3:5j6:yly63bc76<1':<<592:4:0>0>1?>04?:>4::7647<1=12<=7=;847?<011;1JO55NDEPB858>3HNO^L2>0?;8EABUI5;:245NDEPB844912KOH_O312<a?DBCZH6:87>19:CG@WG;9=437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF41437LJKR@>::==FLMXI0=06;@FGVG:68730MIJ]B=32:<=FLMXI0<<19:CG@WD;9:4i7LJKRC>20?6912KOH_L315<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK62245NSXL@[WC@;2H^>55MUR]JJCI63Jk0OL]LAEGJJDg<KHYHMIKFNC`8GDUDIMODYYOm;BCPGDBBG\^I>6MJ139@L@ELWECHIC]J_U[SA7=DA=1H@FO;;BNHF6=DD[30OBCBIUVF@42<KFXNSJKAESCWMJHXAGLD;6M]E@VF@4=C:2NB:6JFA=2=3>BNI5;;2:5KI@>25;1<L@K7=?08;EKB8459?2NBM1?;>69GMD:6=7=0HDO317<4?AOF48=5;6JFA=3;:2=CAH6:5384DHC?5;1<L@K7>=08;EKB8779?2NBM1<=>69GMD:5;7=0HDO325<4?AOF4;?5;6JFA=05:2=CAH69;394DHC?6=803MCJ0?716:FJE949?2NBM1=?>89GMD:493:5;6JFA=12:3=CAH682;5KI@>7:3=CAH6>2;5KI@>5:3=CAH6<2;5KI@>;:3=CAH622;5KIC>3:2=CAK6:<394DH@?54803MCI0<<17:FJF9746>1OEO2>4?58@LD;9<4<7IGM<04=3>BNJ5;<2:5KIC>2<;1<L@H7=409;EKA84803MCI0?>17:FJF9466>1OEO2=2?58@LD;::4<7IGM<36=3>BNJ58>2:5KIC>12;1<L@H7>:08;EKA87>9?2NBN1<6>79GMG:56>1OEO2<0?;8@LD;;80;2:5KIC>05;0<L@H7?384DH@?0;0<L@H79384DH@?2;0<L@H7;384DH@?<;0<L@H75394DHRB85803MC[M1?17:FJTD:5601OE]O33;2=3>BNXH682:5KIQ@?4;1<L@ZI0<08;EKSF94912NB\O2<:1<4?AOWJ595:6J@A=2=3>BHI5;;2:5KO@>25;1<LFK7=?08;EMB8459?2NDM1?;>69GKD:6=7=0HBO317<4?AIF48=5;6J@A=3;:2=CGH6:5384DNC?5;1<LFK7>=08;EMB8779?2NDM1<=>69GKD:5;7=0HBO325<4?AIF4;?5;6J@A=05:2=CGH69;394DNC?6=803MEJ0?716:FLE949?2NDM1=?>89GKD:493:5;6J@A=12:3=CGH682;5KO@>7:3=CGH6>2;5KO@>5:3=CGH6<2;5KO@>;:3=CGH622:5KO@]QAB0<LFH7<394DN@?55803MEI0<?17:FLF9756>1OCO2>3?58@JD;9=4<7IAM<07=3>BHJ5;=2:5KOC>23;1<LFH7=508;EMA84?9>2NDN1?17:FLF9476>1OCO2=1?58@JD;:;4<7IAM<31=3>BHJ58?2:5KOC>11;1<LFH7>;08;EMA8719?2NDN1<7>69GKG:517<0HBL32?58@JD;;9427IAM<2394;1<LFH7?<09;EMA86813MEI0909;EMA80813MEI0;09;EMA82813MEI0509;EMA8<803MEIS_KH7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;1<LFZJ0>08;EMSF969?2ND\O2>>69GKUD;:730HB^M<283:2=CGYH7?3<4E518AKG43LDI>6H=4:D1454<N1?0JLB\E39E@6=ALJ>0JIMJ3:DGT1=ALYO>7Kocsd38C6=@FM;0E?5F039J57=N:;1B?55FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37==NF@^[S=:7;HLJPUY7=11BBDZ__14;?LHN\YU;;45FNHVPPDRB?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1<AGC_S=K8;HLJPZ6A?2CEEYQ>069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1<AGC_S<K8;HLJPZ7A?2CEEYQ=069JJLRX:8=0ECG[_304?LHN\V88;6GAIU]102=NF@^T>894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A1<AGC_S?K8;HLJPZ4A?2CEEYQ<069JJLRX;8=0ECG[_204?LHN\V98;6GAIU]002=NF@^T?894IOKW[6003@DBXR=87:KMMQY40>1BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1<AGC_S>K8;HLJPZ5A>2CEEYQN6:KMMQYE12CEEYQIIMG0?LHQ9;1BB[K]T^AOOZBHIVXNK<<4IOTFVQYDDBUOCOQ]EF18MJD53EC97AA9;MMB@@B03EELENOC4:NVP42<D\^9:6BZT3]G2>JR\;UG86BZT248HPR4WE>0@XZ;6:NVP1YC>2F^X9QC5:OPCJH23D_SOTm4M`hlvScu{`eeo6CfnnpUawungg80B<=4N020?K76<2D:=?:4N0300>H69<>0B<?84:L25=5<F8887C?<4:L2712<F89>86@>3768J450<2D:?5:4N01:7>H6<=1E=9>;;O3751=I9=8?7C?;359M51233G;?995A1547?K73?=1E=96;;O37=6=I9<>0B<;?4:L2142<F8?986@>5268J433<2D:98:4N0750>H6=>>0B<;74:L21<5<F8<?7C?9059M53733G;=>95A1717?K71<=1E=;;;;O3521=I9?=?7C?9859M53?43G;<86@>7568J412<2D:;;:4N0540>H6?1>0B<963:L2<1=I91:?7C?7159M5=433G;3?95A1967?K7?==1E=56;;O3;=6=I90>0B<7?4:L2=42<F83986@>9268J4?3<2D:58:4N0;50>H61>>0B<774:L2=<5<F;:87C<>3:L166=I::90B?:<;O067>H5>:1E>:=4N3:0?K4>;2D8<>5A3018J6443G98?6@<429M705<F:<87C=83:L0<6=I;080B;<4N608J=4<F0l0BOQMURRJJZVUGYY<7CK[WNPH0>HHFL;0C?5@K09S0>VFZ]k0\D@PBTQJ@]d<X@DTNX]AALG0?UTB92[=7_OBB04e?WGJWLR_IC]FOO]Bb>TFEVOSXH@\INL\Ff=UMHNT[DJ[H^C`?WCFLV]BHYFPB79QABEBI?1YIJMJB09P<>UF^LNJXL?<;RKN[FIKD@YBCCQLHDAH2>UH][IN;6]]V@N\E2=TZ_KGSO:4SRPB0>UTZK>0XT^J339V4*aun'xm#jmw.bnh|*K\7fg{UyhR~ats]dgZ~hz9:;<R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc\7f>?00]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3454XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:8S_k|umv277=R8&myj#|i/fa{*fjlp&Gsc\7fQ}d^rmpwY`kVrd~=>?4^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2340YUmz\7fgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt789<T^h}zlu306>S7'nxm"\7fh gbz-gim\7f'Drd~R|k_qlwvZadWqey<=>8_Sgpqir6;;1^<"i}f/pe+be\7f&jf`t"Cwos]q`Zvi|{UloRv`r123<ZTb{|f\7f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw6780UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeyS\7fjPpovq[beXpfx;<<>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0123[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzex\7fQhc^zlv5679VXn\7fxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{\7fQ\7fnup\cfY\7fg{:;<?Q]erwop4553\:$k\7fh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?011\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd\7f~Ril_ymq4563W[oxyaz>339V4*aun'xm#jmw.bnh|*K\7fg{U|~R~ats]dgZ~hz9:;9R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc\7f>?07]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3451XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:3S_k|umv277=R8&myj#|i/fa{*fjlp&Gsc\7fQxr^rmpwY`kVrd~=>?9^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2355YUmz\7fgx<<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`30?01?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?5585:2_;#j|i.sd,cf~)keas#\7fjPpovq[goi48;5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1?1209V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn=0=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj959:81^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnf5>5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1;1209V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn=4=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj919:81^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnf525><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb171219V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^214>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[4463\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhX998:7X> gsd-vc)`kq$h`fv re]sjqtXj`dT=<<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P2328Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]065=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ2582_;#j|i.sd,cf~)keas#\7fjPpovq[goiW<8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^:14>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[<413\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhXpfx;<=>=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45679;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc\7f>?0004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123671<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<??=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4564:>1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?3305?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789>9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0127570<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<8<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34536:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?63a8Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678?;:S^Y?2b9V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^zlv567>8;T_Z?=c:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq456198UX[?<l;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp345069VY\??94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234345>2_;#j|i.sd,cf~)keas#\7fjPpovq[goiWqey<=>8269V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^zlv567?88=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01:1g>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[}iu89:3=<Q\W10`?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt7892:=R]X1358Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678189<6[?/fpe*w`(ojr%oaew/sf\tkruWni7<3<>;T2,cw`)zo%lou lljz,vaYwf}xTkn2>0?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>25;473\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cf:66;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k585>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0>0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm34?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>6:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg909:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZad4>49<6[?/fpe*w`(ojr%oaew/sf\tkruWni743<?;T2,cw`)zo%lou lljz,vaYwf}xTkn26>0d8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^22b>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\576<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ77:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZadW8;:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT><h4U1-dvc(un&mht#mcky-q`Zvi|{UloR=>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP40d8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^72b>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\24`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ16n2_;#j|i.sd,cf~)keas#\7fjPpovq[beX08l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV39:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=2=62=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6:<3<8;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8479:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh<2<12>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#\7fjPpovq[beXizxnk161279V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqab:>6;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP0378Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aX9;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP1105?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W8;996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^011>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboV9996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^611>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboV?996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^411>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboV=996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^:11>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboV3956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f28585i2_;#j|i.sd,cf~)keas#\7fjPpovq[beXizxnkRj><02=6d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1?>>3;8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl86:2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:56;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>0:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2;>3;8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl86>2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:16;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>4:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<27>3;8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl8622?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyijQk1^31=>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboVn:S<>=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W8;946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[74?3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?P33:8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl8U?>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z3502_;#j|i.sd,cf~)keas#\7fjPpovq[beXizxnkRj>_70;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T;?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y?:11^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyijQk1^;11>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt789:996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01311>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt7898996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01111>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt789>996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01711>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt789<996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01511>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt7892996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01;11>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt788:9;6[?/fpe*w`(ojr%oaew/uos[sgk}l:T<Rv`r12347?<]9%l~k }f.e`|+ekcq%\7fa}Qyamwf4Z6Xpfx;<=><13c8Q5)`zo$yj"ilx/aoo})seyU}ma{j0^2\|jt789:8=<<6;T2,cw`)zo%lou lljz,phvX~hf~i=Q?_ymq4567;=8o7X> gsd-vc)`kq$h`fv tlr\rdjrm9U;Sua}012371YT_9827X> gsd-vc)`kq$h`fv tlr\rdjrm9U;Sua}0123704?3\:$k\7fh!rg-dg}(ddbr$x`~Pv`nva5Y7Wqey<=>?73a8Q5)`zo$yj"ilx/aoo})seyU}ma{j0^2\|jt789:Tnij;269V4*aun'xm#jmw.bnh|*rjxV|j`xk?_0]{kw6789837X> gsd-vc)`kq$h`fv tlr\rdjrm9U:Sua}012367?<]9%l~k }f.e`|+ekcq%\7fa}Qyamwf4Z7Xpfx;<=>=53;8Q5)`zo$yj"ilx/aoo})seyU}ma{j0^3\|jt789:95?94U1-dvc(un&mht#mcky-wiuYqie\7fn>R>Pxnp3456502_;#j|i.sd,cf~)keas#yc\7f_wcoq`4X8Vrd~=>?0004?P6(o{l%~k!hcy,`hn~(|dzTzlbze3]2[}iu89:;>55Z0.eqb+ta'nis"nbdx.vntZpfd|o9S<Qwos23457592_;#j|i.sd,cf~)keas#z|Ppovq[goi4949>6[?/fpe*w`(ojr%oaew/vp\tkruWkce0<>1239V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn=32:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:66;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag692??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2<>338Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio>7:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:26;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6=2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec28>338Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio>;:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:>6;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU;>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR?=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga_0215>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[47582_;#j|i.sd,cf~)keas#z|Ppovq[goiW;8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT??>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ;219V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^714>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[3473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhX?;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU3>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR7=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4567:>1^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfVrd~=>?0005?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt789;9;6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0122570<]9%l~k }f.e`|+ekcq%|~R~ats]amkY\7fg{:;<><9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp3452502_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>;200a?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt789>Tnij=279V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv567=;k0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?04]PS4413\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhXpfx;<=8=c:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq456198UX[=<l;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp345069VY\>?94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234345>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>8279V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv5670;k0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?09]PS4413\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhXpfx;<=7=7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq456>9;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5:5><5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0<>1209V4*aun'xm#jmw.bnh|*quWyd\7f~Ril<03=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`848582_;#j|i.sd,cf~)keas#z|Ppovq[be;:78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj682?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1:1219V4*aun'xm#jmw.bnh|*quWyd\7f~Ril<4<14>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa?2;473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cf:06;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k525>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh040>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP00d8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^314>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\55473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfY698l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV8:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT?<h4U1-dvc(un&mht#mcky-tvZvi|{UloR:>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP50d8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^42b>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\34`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ>6n2_;#j|i.sd,cf~)keas#z|Ppovq[beX1;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi30?04?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`48:5>:5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>25;413\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{ol0<0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc949:?1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWhyyij2<>348Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`a;<78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh<4<12>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtbo5<5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>4:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm743<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8<85=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ75>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR??279V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqabY69;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP2378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aX;;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP4378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aX=;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP6378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aX?;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP8378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aX1;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>3:7g<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2>0?0b?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;7=<0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6484956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2878512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><2<1=>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboVn:090=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64<4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2838512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><6<1=>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboVn:050=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6404946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[54?3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?P13;8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aXl8U:<?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y69;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]16==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R==8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W=837X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_e3\17><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q9299V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqabYc9V=946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[=4?3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?P9378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^zlv5678;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?1378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^zlv567:;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?3378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^zlv567<;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?5378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^zlv567>;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?7378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^zlv5670;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?9378Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^zlv5668:k0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_b{?4;5e3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rmv<02=7d=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Tot2>>2c8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wjs7>3=n;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Ze~4:48m6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]`}929;h1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pcx>6:6g<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Snw36?1b?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vir0:0<a:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Ydq525?l5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\g|:>6:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_mww8584k2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qcuu>24;5d3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rbzt=32:6d<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Sa{{<0<0f>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*Kt}kUyhRjl_h]pqg6789Ugyy2=>2`8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567We\7f\7f0>0<b:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Yk}}6?2>l4U1-dvc(un&mg<#|k/fpbw+aulj;%~im Mrwa[wbXljUbS~{m0123[iss4<48n6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]oqq:16:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_mww8284j2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qcuu>;:6d<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Sa{{<8<0f>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*Kt}kUyhRjl_h]pqg6789Usc\7f2?>2a8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wqey0<>13b9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx7=<0<b:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Y\7fg{6:2>l4U1-dvc(un&mg<#|k/fpbw+aulj;%~im Mrwa[wbXljUbS~{m0123[}iu4;48n6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]{kw:46:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_ymq8184j2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qwos>6:6d<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Sua}<7<0f>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*Kt}kUyhRjl_h]pqg6789Usc\7f28>2`8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wqey050<b:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Y\7fg{622?74U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX8;30Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$~iQkc^k\57g<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(zmUooRgP110b?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS<?=9:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaV8956[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"|k_ea\mZ5512_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^61=>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*tcWmiTeR;=9:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaV<956[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"|k_ea\mZ1512_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^:1=>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*tcWmiTeR7<2:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaVy~n=>?0=2=76=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ|uc2345:687987X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#\7fjPdb]j[vse89:;0<?1339V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`Uxyo>?01>2:64<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(zmUooRgPst`3456;:7997X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#\7fjPdb]j[vse89:;0>0<2:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaVy~n=>?0=6=77=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ|uc2345:26:80Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$~iQkc^k\wpd789:7:3==;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/sf\`fYnWz\7fi<=>?<6<06>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*tcWmiTeR}zb12349>9;;1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]pqg6789622?74U1-dvc(un&mg<#|k/fpbw+tt|z%h<"mnrs{maq:76;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&ij~\7fwaeu>2:7?<]9%l~k }f.eo4+tc'nxj\7f#||tr-`4*efz{seiy2=>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.abvw\7fim}682?74U1-dvc(un&mg<#|k/fpbw+tt|z%h<"mnrs{maq:36;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX5X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.enq}(`eR>V"jc|.lq1b>S7'nxm"\7fh gm2-va)`zhy%~~z|/b2,chs\7f&ngP9P hmr,nw75<]9%l~k }f.eo4+tc'nxj\7f#||tr-`4*p64949?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2>>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28785;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8682?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><5<17>S7'nxm"\7fh gm2-va)`zhy%~~z|/b2,r4:26;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:S=Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8U:Sl`k012357d<]9%l~k }f.eo4+tc'nxj\7f#||tr-`4*p6W;Ujbi>?0131f>S7'nxm"\7fh gm2-va)`zhy%~~z|/b2,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t2[1Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0]6[dhc89:;=?74U1-dvc(un&mg<#|k/fpbw+tt|z%h="mnrs{maq:76;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&ij~\7fwaeu>2:7?<]9%l~k }f.eo4+tc'nxj\7f#||tr-`5*efz{seiy2=>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.abvw\7fim}682?74U1-dvc(un&mg<#|k/fpbw+tt|z%h="mnrs{maq:36;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX5X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR>V"jc|.lq1b>S7'nxm"\7fh gm2-va)`zhy%~~z|/b3,chs\7f&ngP9P hmr,nw75<]9%l~k }f.eo4+tc'nxj\7f#||tr-`5*p64949?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2>>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28785;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><5<17>S7'nxm"\7fh gm2-va)`zhy%~~z|/b3,r4:26;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:S=Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8U:Sl`k012357d<]9%l~k }f.eo4+tc'nxj\7f#||tr-`5*p6W;Ujbi>?0131f>S7'nxm"\7fh gm2-va)`zhy%~~z|/b3,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t2[1Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0]6[dhc89:;=?>4U1-dvc(un&mg<#|k/fpbw+tt|z%hc`~?219V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m`mq31=>S7'nxm"\7fh gm2-va)`zhy%~~z|/scn[`kw|pUmnRg<0:W3+bta&{l$ka>!re-dvdu)zz~x#\7fob_dosp|YajVcTc\7f>?0112?P6(o{l%~k!hl1,q`*auiz$y\7fy} r`o\ahvsqVliSdQ`r12344413\:$k\7fh!rg-dh5(ul&mym~ }suq,vdkXmdz\7fuRg>d:W3+bta&{l$ka>!re-qehYulVoeSd?=3:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp72<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~:>85Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu3360=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf};:>95Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu010>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{4368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr2:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by8=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp2433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f4?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov:6<=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1>12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:5S\7fz=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~48:5>i5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}9776Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}979:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<3<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;;7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:36;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;?78h7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?3;Yu|;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>;:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot27>^pw6<=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1712b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq535S\7fz=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5:5>o5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:6878i7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8479:l1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>25;Yu|;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?5;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<3<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1=12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{6?2?o4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;=78j7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8385i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRv`r=5=6d=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Usc\7f27>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx753?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1>1219V4*aun'xm#jb?.sf,vuhsz&idycz311<14>S7'nxm"\7fh gm2-va)uxg~y#naznu>25;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9799o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;:7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|595=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~783?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1;11g9V4*aun'xm#jb?.sf,vuhsz&idycz36?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=5=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?<;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9?99l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX88o0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsW8;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V;;=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T=<?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR<>e:W3+bta&{l$ka>!re-qtkru'je~byQ<1d9V4*aun'xm#jb?.sf,vuhsz&idyczP40g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_43f?P6(o{l%~k!hl1,q`*twf}x$ob{at^42a>S7'nxm"\7fh gm2-va)uxg~y#naznu]45`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\<4c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[<453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64949?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1??>318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?5485:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>5:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;?7897X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:050=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=;=64=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y7:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U:>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q>0308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\54463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W;8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S><>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_502?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[0463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W?8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S:<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_902?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[<253\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Ze~494??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Uhu1??>508Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_b{?5;253\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Ze~4;4?>6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Uhu1=1439V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX\7f{UomyoPcnwmpZoX{|h;<=>Pcx>7:14<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[f\7f;=7>97X> gsd-vc)`d9$|~"ixar,dsae7&~nh#@}zb^uq[agsiVidyczPi^qvf5678Vir0;0;2:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qly=5=07=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)J{|hT{\7fQkauc\gjsi|VcT\7fxl?012\g|:?6=80Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567Wjs753:<;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rbzt=2=01=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)J{|hT{\7fQkauc\gjsi|VcT\7fxl?012\hpr;994?86[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Ugyy2>1?60?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^nvp979<:1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%F\7fxlPws]geqgXkf\7fexRgPst`3456Xd|~7>3:<;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rbzt=1=06=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)J{|hT{\7fQkauc\gjsi|VcT\7fxl?012\hpr;<7>87X> gsd-vc)`d9$|~"ixar,dsae7&~nh#@}zb^uq[agsiVidyczPi^qvf5678Vf~x1;1429V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX\7f{UomyoPcnwmpZoX{|h;<=>Pltv?2;243\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Zjr|5=58>5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:T`xz38?60?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^nvp9?9<:1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%F\7fxlPws]geqgXkf\7fexRgPst`3456Xpfx7<3:;;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rv`r=33:12<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[}iu48;58>5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:Ttb|31?60?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^zlv949<:1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%F\7fxlPws]geqgXkf\7fexRgPst`3456Xpfx7?3:<;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/LqvfZquWmk\7fmRm`uov\mZurj9:;<Rv`r=6=06=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)J{|hT{\7fQkauc\gjsi|VcT\7fxl?012\|jt;=7>87X> gsd-vc)`d9$|~"ixar,dsae7&~nh#@}zb^uq[agsiVidyczPi^qvf5678Vrd~181429V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX\7f{UomyoPcnwmpZoX{|h;<=>Pxnp?3;243\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Z~hz5258>5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:Ttb|39?12?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[5563\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'~xThlzn_bmvjqYnW8997X> gsd-vc)`d9$|~"ixar,dsae7&~nh#z|Pd`vb[firf}UbS<><2:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^3274=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lY5;81^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%|~Rjnt`]`kphsW`U8?<5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!xr^fbpdYdg|d\7fSdQ;309V4*aun'xm#jb?.vp,crgt&n}oo= xdb-tvZbf|hUhcx`{_h]674=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lY1;81^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%|~Rjnt`]`kphsW`U<?<5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!xr^fbpdYdg|d\7fSdQ7309V4*aun'xm#jb?.vp,crgt&n}oo= xdb-tvZbf|hUhcx`{_h]:7d=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lYt}k:;<=2?>2`8Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,swYci}kTob{at^k\wpd789:7==0<b:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^qvf56785;:2>o4U1-dvc(un&mg<#y}/fubw+aplj:%{im ws]geqgXkf\7fexRgPst`3456;979j7X> gsd-vc)`d9$|~"ixar,dsae7&~nh#z|Pd`vb[firf}UbS~{m01238784i2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaVy~n=>?0=1=7d=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lYt}k:;<=2;>2c8Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,swYci}kTob{at^k\wpd789:793=n;T2,cw`)zo%l`= xr.etev(`\7fmi;"zjl/vp\`drfWje~byQf_rwa45674?48m6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR}zb1234919;h1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%|~Rjnt`]`kphsW`Uxyo>?01>;:6g<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(\7f{UomyoPcnwmpZoX{|h;<=>39?0:?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-`ewt~fl~7<3<6;T2,cw`)zo%l`= xr.etev(p{}y$o<!laspzj`r;97827X> gsd-vc)`d9$|~"ixar,twqu(k8%hm\7f|vndv?6;4>3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g4)di{xrbhz33?0:?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-`ewt~fl~783<i;T2,cw`)zo%l`= xr.etev(p{}y$o<!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m>/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g4)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#ib[4_-chu)ez887X> gsd-vc)`d9$|~"ixar,twqu(k8%}=1>1229V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m>/w3?5;443\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g4)q9585>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?00?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-u5929::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'\7f;793<m;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1^2\ekb789::>o5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?P1^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k8%}=R<Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'\7f;T?Road123444e3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g4)q9V>Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7X=Vkeh=>?000:?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-`ewt~fl~7<3<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;97827X> gsd-vc)`d9$|~"ixar,twqu(k;%hm\7f|vndv?6;4>3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz33?0:?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-`ewt~fl~783<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m=/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[4_-chu)ez887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>1229V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m=/w3?5;443\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g7)q9585>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?33?00?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-u5929::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'\7f;793<m;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1^2\ekb789::>o5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?P1^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=R<Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'\7f;T?Road123444e3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g7)q9V>Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7X=Vkeh=>?0003?P6(o{l%~k!hl1,tv*apiz$|\7fy} cnos576<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`khv5:11^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQib^k11>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/scn[cskdVc9o6[?/fpe*w`(oe:%{\7f!hw`q-svrt'{kfSk{cl^k\kw67898o7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h]lv56788;o7X> gsd-vc)`d9$|~"|nm^uq[`hXa8;m7X> gsd-vc)`d9$|~"|nm^uq[`hXa8U:=k5Z0.eqb+ta'nf;"z| r`o\swYbfVc:S??i;T2,cw`)zo%l`= xr.pbiZquWldTe<Q<1g9V4*aun'xm#jb?.vp,vdkX\7f{UnbRg>_53e?P6(o{l%~k!hl1,tv*tfeV}ySh`Pi0]65c=R8&myj#|i/fn3*rt(zhgT{\7fQjn^k2[3443\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu311>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|8:996[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at0310>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|;8?7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{3368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr3:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by;=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp3433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f;?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov;61=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}3956[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8585k2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<1<\vq4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw311<1`>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<>1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;:78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5>5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?2;4d3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw36?]qp7?<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq525>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}9>9W{~956[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8<85k2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<8<\vq4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<1<1f>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1??>3`8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7=<0=e:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5;:2R|{2`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6:2?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;:78j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8685i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=6=6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f2:>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7:3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4>49m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv9>9:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>::4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw858582_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:6878;7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5;:2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0<0>f:W3+bta&{l$ka>!ws-ttkru'je~by2=>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<2<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>7:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8086n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:168l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4>4:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}632<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f040>e:W3+bta&{l$ka>!ws-ttkru'je~byQ?1d9V4*aun'xm#jb?.vp,suhsz&idyczP10d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_022b>S7'nxm"\7fh gm2-sw)pxg~y#naznu]254c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[77b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ56m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY39l1^<"i}f/pe+bj7&~x${}`{r.alqkrX=8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW?;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V=:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U3=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T5?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?30?00?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28469::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86:=3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><0<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8682?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?34?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28085:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc95<5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<28>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?<;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb64049=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=R>=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^316>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5Z77:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U:=??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P2338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\777<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X<;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T9??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P6338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\377<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X0;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T5?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<30?00?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18469::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6:=3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<0<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;682?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<34?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18085:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:5<5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?28>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?<;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb54049=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R>=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^316>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6Z77:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U:=??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P2338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\777<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X<;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T9??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P6338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\377<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X0;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T5:?4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_1]nq}6789;TECXP07`8Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww[5Yj}q:;<=?9b:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu]2[hs\7f89:;=8>4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1>1519V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<1<64>S7'nxm"\7fh mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?5;313\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[dYFWfx;<=>:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6::3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6:;3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6:43?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6:53?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69<3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69=3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69>3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69?3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6983?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6993?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69:3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69;3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6943?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q6953?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q68<3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q68=3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q68>3?:d:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q68?3?:b:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxl6;28o4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L sdn\tlvbW9<:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksaZ6Xzz~{cy;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=30?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1?5;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5;:7?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k97?3;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=34?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1?1;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5;>7?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k97;3;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=38?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?4;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;97?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>7>3;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:33?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?0;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;=7?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>7:3;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:37?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?<;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;87?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?7=3;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;32?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?7;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;<7?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?793;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;36?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?3;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;07?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<7<3;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo831?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?6;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;;7?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<783;>;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo835?72?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?2;363\:$k\7fh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;?7?:7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<743:m;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWldi;;5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ;Q#|nm/p,r1Yig`dbx#|nm.QGT+UNFNFNS]\FM/QGT20<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX5X(uid$y#{:Pnnkmmq(uid%XH] \IOEOAZVUAD$XH]99;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?S!r`o-v*p3Wgebbdz!r`o,WAV)[@DL@HQ_RHO-WAV0>2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^5Z&{kf"\7f!y4^llmkos&{kf#^J_.RKMCICXX[CF"^J_779V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U;]/pbi+t(~=Uecd`ft/pbi*UCX'YBBJBJ_QPJI+UCX><0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\=T$ym` }/w6\jjoia}$ym`!\DQ,PMKAKMVZYE@ \DQ55?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[7_-vdk)z&|?Scafnhv-vdk([MZ%_D@HLD]SVLK)[MZ<:6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR=V"\7fob.s-u0Zhhagc\7f"\7fob/RFS*VOIOEOT\_GB.RFS5f=R8&myj#|i/lgn+qkwW{kfS\7fjPeo327>S7'nxm"\7fh r`o\vaYbf8n0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtbo;:0Y=!hrg,qb*tt|kf`#\7fjPrrv\evtboVn:>=5Z0.eqb+ta'{y\7fnae re]qwqYf{{olSi<>c:W3+bta&{l$~~zmlj-q`Ztt|Vidao?k;T2,cw`)zo%y\7fylck.pg[wusWjefn<?j;T2,cw`)zo%y\7fylck.pg[wusW{ol0=0>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1?11d9V4*aun'xm#\7f}{bmi,vaYu{}Uyij2=>0f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP00f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP10f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP20f8Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg328Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg^f265=R8&myj#|i/sqwfim(\7f{Uy\7fyQnssgd[a46k2_;#j|i.sd,vvredb%|~R||t^alig7c3\:$k\7fh!rg-qwqdkc&}yS\7f}{_bmnf47b3\:$k\7fh!rg-qwqdkc&}yS\7f}{_sgd8586m2_;#j|i.sd,vvredb%|~R||t^pfc9799m1^<"i}f/pe+wusjea${\7fQ}su]qabY79m1^<"i}f/pe+wusjea${\7fQ}su]qabY6i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD48S@G;87<0[HO31?48S@G;:720[HO33;2=2>QBI595:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;d<_[C_IRHFRRV`?RTN\LUFCIKPAb9TVLRBWDEOIRLk;VPJP@YPAM^CSLj4WSKWAZQNL]BTN<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE85WOSAZ2>^T\ECI;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE=7Ujm_Hfe?]boWYxba[k}shmm55=_ldUFmga}Vdppmjh682RoaRCfnnpUawunggi0mac`su]fiur~j2kgab}{_gwoh3=eija~|i5mabivtZkfzboy?6mcke9geqgXkf\7fex%>&d:fbpdYdg|d\7f$<'j;ecweZeh}g~#=='j;ecweZeh}g~#=<'k;ecweZeh}g~#>$j4d`vb[firf}"8%i5kauc\gjsi|!>"h6jnt`]`kphs <#o7io{a^alqkr/> n0hlzn_bmvjq.0!m1omyoPcnwmp->.l2njxlQlotlw,</c3mk\7fmRm`uov?4;773mk\7fmRm`uov?54<76>1ondzjrs48`lh/8 <0hd`'1(58`lh/99#<7iga(03*3>bnf!;9%:5kio*27,1<l`d#=9'8;ekm,43.?2nbb%?9)69gmk.6? =0hd`'19+4?aoi 83":6jfn)0*3>bnf!8;%:5kio*15,1<l`d#>?'8;ekm,75.?2nbb%<;)69gmk.5= =0hd`'27+4?aoi ;=";6jfn)0;-2=cag"95$84dhl+7,1<l`d#?='8;ekm,67.?2nbb%==)69gmk.4; <0hd`'4(48`lh/= <0hd`'6(48`lh/? <0hd`'8(48`lh/1 <0hd`30?58`lh;994<7iga<03=3>bnf5;92:5kio>27;1<l`d7=908;ekm8439?2nbb1?9>69gmk:6?7=0hd`319<4?aoi4835:6jfn=3=3>bnf58;2:5kio>15;1<l`d7>?08;ekm8759?2nbb1<;>69gmk:5=7=0hd`327<4?aoi4;=5;6jfn=0;:2=cag695384dhl?6;1<l`d7?=08;ekm8679?2nbb1==>89gmk:4;3:5;6jfn=10:3=cag682;5kio>7:3=cag6>2;5kio>5:3=cag6<2;5kio>;:3=cag622:5kotv+4,1<lf\7f\7f$<'7;emvp-77!11ocxz'10+;?air|!;9%55kotv+56/?3me~x%?;)99gkpr/9<#37iazt)35-==cg|~#=:'7;emvp-7?!11ocxz'18+4?air|!8"46j`uu*14,><lf\7f\7f$??&8:flqq.5: 20hb{{(31*<>bh}}"98$64dnww,73.02ndyy&=6(:8`jss ;="46j`uu*1<,><lf\7f\7f$?7&7:flqq.4!11ocxz'31+;?air|!9:%55kotv+77/?3me~x%=<)69gkpr/< =0hb{{(4+4?air|!<";6j`uu*4-2=cg|~#4$94dnww,</03me~x1>18:flqq:68720hb{{<03=<>bh}}6:>364dnww845902ndyy2>4?:8`jss48?546j`uu>22;><lf\7f\7f0<918:flqq:60720hb{{<0;=3>bh}}6:255kotv?658?3me~x1<>>99gkpr;:;437iazt=00:==cg|~7>907;emvp942611ocxz327<;?air|58<255kotv?6=8?3me~x1<6>69gkpr;:720hb{{<22=<>bh}}68=364dnww8649i2ndyy2<3;2=<>bh}}68?394dnww86803me~x1:17:flqq:26>1ocxz36?58`jss4>4<7iazt=:=3>bh}}62255jdhd1jbb23lg{xth4fhdl[ctheVyo~lc=d:djbjYazfgT\7fi|nm-djbjY`mgoymya}_w6\0)HHFL&ECCK<bd9emciXn{efS~j}al.emciXoldn~lz`r^t7[1*|kVbj\7fRgat^aoo86+kVbj\7fR}}su?3(fYulVlyi|3>,b]kevYulVnjxlQlotlw94*dWmceSzgkti?00)eX`hyT{\7fQkauc\gjsi|4;'oRhzlm]ehdatW{y\7fS{oc=0.`[lkwdlgnbyo{inl\p|vb5;&hSx}j_doaaabblVxnk0>#c^uq[ctby4;'oR{|e^flqqYu{}7: nQznegqbiipWee|1="l_icp[jpbzofd{0>#c^rqaiiflVfjxh`ly<3/gZbh}}U|eizg=26/gZktofdTzlb21-a\vaYcmy~c19>66-a\lduX}gnn~kb`w<2/gZnf{Vkgab}{_gwoh86+kVbj\7fR||t<3/gZbf|hUhcx`{_vkgpm;6:%iTdl}Pws]bgn;7$jU~\7fhQjcb?3(fYdgdgdbRmcobi>4)eX\7f{UjofQcov?3(fYulVzex\7fQxievk944+kV\7fxiRhxfu]geqgXkf\7fex0>#c^jbwZgkefy\7fShc\7ftx?3(fYulVnjxlQlotlw[roc|a7:>!mPowgqbiipWee|1="l_vp\tkruW~coxe3>2-a\twi`Wlg{xtQ{yqg>4)eX\7f{UomyoPcnwmpZqnl}b6=?"l_qpfhjgcW{ol\7f0>#c^flqqYu{}U}ma3?,b]kevYci}kTob{at<2/gZvugnUmyabPtipfwmYimnk\7fiRxnl<63=7*dW~xThh~{h<63=3*dWyxdkRkbpu{\pmtb{aUeijo{e^tbh85+kVzycjQjmqvz[qnumzbTm~}jru]uei;5$jUcm~Qyamkg95*dWyxdkRhzlm]wlwct`Vkx\7fh|{_wco916>;%ida}aaeov\jdkb5ocmcRh}ol]p`wgj$jU}magk_mmt95*dWyxbaRhffn]w}uc:<br2 nQ\7frne\bpjkW}s{i0>#c^ofijt~W}s{i0?#}3;8bl`hWoxdaR}kr`o\bl`hWnoei\7fo{os]u0Z2Xpz~T=>84fhdl[bcim{k\7fc\7fQy4^6/$]okagr+OB\J Fgmawgsg{*:<#?!02]jt62<n|fg;6gat^aoo==iojh~eaj7;ntfvcjh\7fh1{~biPelrw}42<x{elShc\7ftx]wlwct`!:"=95\7frne\ahvsqV~c~h}g(0+21>vugnUna}zv_ujqavn/99#:96~}of]fiur~W}byi~f'10+20>vugnUna}zv_ujqavn/: ;?7}|`g^gntq\7fX|axn\7fe&<)068twi`Wlg{xtQ{hsgpl-2.9=1{~biPelrw}Zrozlyc$8'>4:rqkbYbey~rSyf}erj+2,733yxdkRkbpu{\pmtb{a"<%<:4psmd[`kw|pU\7fd\7fk|h):*51=wzfmTi`~{y^vkv`uo 0#:;6~}of]fiur~W}byi~f31083:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(1+2f>vugnUna}zv_ujqavnXizyn~y&>)0a8twi`Wlg{xtQ{hsgplZgt{lx\7f$<>&1b9svjaXmdz\7fuRzgrdqk[dutm{~#=<'>b:rqkbYbey~rSyf}erj\evubz}"9%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts :#:n6~}of]fiur~W}byi~fParqfvq.3!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,0/6j2zycjQjmqvz[qnumzbTm~}jru*5-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(6+2f>vugnUna}zv_ujqavnXizyn~y&7)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$4'>e:rqkbYbey~rSyf}erj\evubz}6:=7>11c9svjaXmdz\7fuRzgrdqk[kc`i}o#<$?m;qplcZcjx}sTxe|jsi]mabgsm!;"=n5\7frne\ahvsqV~c~h}g_ogdeqc/99#:o6~}of]fiur~W}byi~fPndebp`.69 ;i7}|`g^gntq\7fX|axn\7feQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnk\7fi%8&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=o5\7frne\ahvsqV~c~h}g_ogdeqc/1 ;n7}|`g^gntq\7fX|axn\7feQaefcwa97629427}|`g^dvhi743yxdkRhzlm]wlwct`!:"=>5\7frne\bpjkW}byi~f'1(37?uthoVl~`aQ{hsgpl-77!8>0|\7fah_gwohZrozlyc$<?&129svjaXn|fgSyf}erj+6,743yxdkRhzlm]wlwct`!9"=>5\7frne\bpjkW}byi~f'4(30?uthoVl~`aQ{hsgpl-3.9:1{~biPftno[qnumzb#:$?<;qplcZ`rdeU\7fd\7fk|h)5*56=wzfmTjxbc_ujqavn/0 ;87}|`g^dvhiYs`{oxd%7&179svjaXn|fgSyf}erj?54<768k0|\7fah_gwohZrozlycSl}|esv+4,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(0+2f>vugnUmyabPtipfwmYf{zoyx%??)0`8twi`Wo\7fg`Rzgrdqk[dutm{~#=<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5\7frne\bpjkW}byi~fParqfvq.4!8k0|\7fah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw,</6l2zycjQiumn\pmtb{aUj\7f~k}t=32>586i2zycjQiumn\pmtb{aUeijo{e)2*5d=wzfmTjxbc_ujqavnXflmjxh&>)0`8twi`Wo\7fg`Rzgrdqk[kc`i}o#=='>b:rqkbYa}efTxe|jsi]mabgsm!;:%<o4psmd[cskdV~c~h}g_ogdeqc/: ;j7}|`g^dvhiYs`{oxdR`jg`vf,6/6i2zycjQiumn\pmtb{aUeijo{e)6*5d=wzfmTjxbc_ujqavnXflmjxh&:)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#:$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb >#:m6~}of]eqijX|axn\7feQaefcwa->.9h1{~biPftno[qnumzbTbhintd*:-4b<x{elSk{cl^vkv`uoWgolmyk31083:3=ulVigg<>4re]geqgXkf\7fex%>&119q`Zbf|hUhcx`{(0+25>tcWmk\7fmRm`uov+55/692xoSio{a^alqkr/98#:<6|k_ecweZeh}g~#>$??;sf\`drfWje~by&<)028vaYci}kTob{at)6*55=ulVnjxlQlotlw,0/682xoSio{a^alqkr/> ;;7\7fjPd`vb[firf}"<%<>4re]geqgXkf\7fex%6&119q`Zbf|hUhcx`{(8+24>tcWmk\7fmRm`uov?4;763{nThlzn_bmvjq:687;87\7fjPd`vb[firf}6:=7>1109q`Zbf|hUhcx`{<03=55=ulVnjxlQlotlw848682xoSio{a^alqkr;:7;;7\7fjPd`vb[firf}682<>4re]geqgXkf\7fex1:1119q`Zbf|hUhcx`{<4<24>tcWmk\7fmRm`uov?2;773{nThlzn_bmvjq:068:0~iQkauc\gjsi|525==5}d^fbpdYdg|d\7f040:;sf\ak0<zmUy\7fy=4rrv4?vdn|lxy86}}su68pwsb12\7fehh|ilnu6?sgkam<0{\7fQncj48swYddb;;7z|Pd`vb[firf}";%<>4ws]geqgXkf\7fex%?&109tvZbf|hUhcx`{(02*54=pzVnjxlQlotlw,47.991|~Rjnt`]`kphs ;#:<6y}_ecweZeh}g~#?$??;vp\`drfWje~by&;)028swYci}kTob{at)7*55=pzVnjxlQlotlw,3/682}ySio{a^alqkr/? ;;7z|Pd`vb[firf}"3%<>4ws]geqgXkf\7fex%7&119tvZbf|hUhcx`{<1<25>quWmk\7fmRm`uov?5586;2}ySio{a^alqkr;980;2<?4ws]geqgXkf\7fex1?>>028swYci}kTob{at=3=55=pzVnjxlQlotlw878682}ySio{a^alqkr;;7;;7z|Pd`vb[firf}6?2<>4ws]geqgXkf\7fex1;1119tvZbf|hUhcx`{<7<24>quWmk\7fmRm`uov?3;773~xThlzn_bmvjq:?68:0{\7fQkauc\gjsi|53596y}_dl5?rtXzz~vLM~>5768DE~62O0?6<u\5b86ad<028995<;>:37326}i==k1=6`:4c87?!3303??>6s\5`86ad<028995<;>:37326=T9<l19k=50;306<72938><;:4S4c91c5=83;8>4?:1;06430<l<oh6=4>:0yP1f<2mh0<6<==9072>737>:1}X<k8:182>4<5;oqX9n4:e`84>45518?:6?;?629'164=9?:0Z8:6:3yv53e=92\7f::i4?;|&2`=<592h>in4?:7197?04sA?8<6T;e;6xb?772831=54r$0g`>0cd3-??;7;i0:k51c<722e=844?:%3ge?02<2d:h44?;:m50=<72-;om78:4:l2`<<632e=9<4?:%3ge?02<2d:h44=;:m515<72-;om78:4:l2`<<432e=8k4?:%3ge?02<2d:h44;;:m50`<72-;om78:4:l2`<<232e=8i4?:%3ge?02<2d:h449;:m50f<72-;om78:4:l2`<<032e=8o4?:%3ge?02<2d:h447;:m50d<72-;om78:4:l2`<<>32e=8:4?:%3ge?02<2d:h44n;:m503<72-;om78:4:l2`<<e32c=4?4?::m52f<72-;om7887:l2`<<732e=:o4?:%3ge?00?2d:h44>;:m531<72-;om7887:l2`<<532e=;>4?:%3ge?00?2d:h44<;:m537<72-;om7887:l2`<<332e=;<4?:%3ge?00?2d:h44:;:m535<72-;om7887:l2`<<132e=:k4?:%3ge?00?2d:h448;:m52`<72-;om7887:l2`<<?32e=:i4?:%3ge?00?2d:h446;:m52d<72-;om7887:l2`<<f32e=:44?:%3ge?00?2d:h44m;:m6b4<722e>io4?::k52=<722c=:<4?::m6g5<72-;om7;j1:l2`<<732e>nk4?:%3ge?3b92d:h44>;:m6f`<72-;om7;j1:l2`<<532e>ni4?:%3ge?3b92d:h44<;:m6fg<72-;om7;j1:l2`<<332e>nl4?:%3ge?3b92d:h44:;:m6f<<72-;om7;j1:l2`<<132e>n54?:%3ge?3b92d:h448;:m6f2<72-;om7;j1:l2`<<?32e>n;4?:%3ge?3b92d:h446;:m6f0<72-;om7;j1:l2`<<f32e>n94?:%3ge?3b92d:h44m;:m6f6<72-;om7;j1:l2`<<d32e>n?4?:%3ge?3b92d:h44k;:m6f5<72-;om7;j1:l2`<<b32e>mk4?:%3ge?3b92d:h44i;:m6e`<72-;om7;j1:l2`<<6821d9lj50;&2`d<2m81e=i751098k0gd290/=io55d38j4b>28807b;nb;29 4bf2<o:7c?k9;30?>i2ih0;6)?ka;7f5>h6l00:865`5`;94?"6lh0>i<5a1e;950=<g<k36=4+1ec91`7<f8n26<84;n7b3?6=,8nj68k>;o3g=?7032e>o;4?:%3ge?3b92d:h44>8:9l1f3=83.:hl4:e09m5a?=9010c8m;:18'5ag==l;0b<j6:0c8?j3d;3:1(<jn:4g2?k7c13;i76a:c383>!7ci3?n=6`>d882g>=h=j;1<7*>d`86a4=i9m31=i54o4``>5<#9mk19h?4n0f:>4c<3f?i=7>5$0fb>0c63g;o57?i;:m6e3<72-;om7;j1:l2`<<5821d9l;50;&2`d<2m81e=i752098m01e290/=io558a8j4b>2910e89n:18'5ag==0i0b<j6:098m01>290/=io558a8j4b>2;10e897:18'5ag==0i0b<j6:298m011290/=io558a8j4b>2=10e89::18'5ag==0i0b<j6:498m013290/=io558a8j4b>2?10e89<:18'5ag==0i0b<j6:698m015290/=io558a8j4b>2110e89>:18'5ag==0i0b<j6:898m017290/=io558a8j4b>2h10e88i:18'5ag==0i0b<j6:c98m00b290/=io558a8j4b>2j10e88k:18'5ag==0i0b<j6:e98m00e290/=io558a8j4b>2l10e88n:18'5ag==0i0b<j6:g98m00>290/=io558a8j4b>28:07d;98;29 4bf2<3h7c?k9;32?>o2>>0;6)?ka;7:g>h6l00:>65f57494?"6lh0>5n5a1e;956=<a<<>6=4+1ec91<e<f8n26<:4;h750?6=,8nj687l;o3g=?7232c>:>4?:%3ge?3>k2d:h44>6:9j134=83.:hl4:9b9m5a?=9>10e86>:18'5ag==0i0b<j6:0:8?l3?83:1(<jn:4;`?k7c13;276g:7g83>!7ci3?2o6`>d882e>=n=>o1<7*>d`86=f=i9m31=o54i45g>5<#9mk194m4n0f:>4e<3`?<o7>5$0fb>0?d3g;o57?k;:k632<72-;om7;6c:l2`<<6m21b9;m50;&2`d<21j1e=i751g98m006290/=io558a8j4b>2;:07d;90;29 4bf2<3h7c?k9;02?>o1<<0;66l:3083>4<729qC9>>4$0g`>0563f;o;7>5;|`242<7280;6=uG5228 4cd28:<7b??6;29?xd3l3:1o54>e`817c}O=::0V9k517y;>f<a200:<7l51882<?b=m3k1i7??:0;9b??=910o6n4m:`8;>x"6mj0>ik5+14a9553<,<?19hj4$4`91`c<,8n96<j9;n77g?6=3`<=<7>5;h7e=?6=,8nj6;>=;o3g=?6<3`?m47>5$0fb>3653g;o57?4;h435?6=,8nj6;>=;o3g=?4<3`<;<7>5$0fb>3653g;o57=4;h7eb?6=,8nj6;>=;o3g=?2<3`?mi7>5$0fb>3653g;o57;4;h7e`?6=,8nj6;>=;o3g=?0<3`?mo7>5$0fb>3653g;o5794;h7ef?6=,8nj6;>=;o3g=?><3`?mm7>5$0fb>3653g;o5774;h7e3?6=,8nj6;>=;o3g=?g<3`?m:7>5$0fb>3653g;o57l4;n42`?6=3`<=?7>5;n452?6=3`<9i7>5$0fb>3503g;o57>4;h41`?6=,8nj6;=8;o3g=?7<3`<8:7>5$0fb>3503g;o57<4;h401?6=,8nj6;=8;o3g=?5<3`<887>5$0fb>3503g;o57:4;h407?6=,8nj6;=8;o3g=?3<3`<8>7>5$0fb>3503g;o5784;h405?6=,8nj6;=8;o3g=?1<3`<8<7>5$0fb>3503g;o5764;h41b?6=,8nj6;=8;o3g=??<3`<9o7>5$0fb>3503g;o57o4;h41f?6=,8nj6;=8;o3g=?d<3`<>j7>5;n7e0?6=3f?m97>5;h77b?6=3f<?57>5$0fb>3333g;o57>4;n47<?6=,8nj6;;;;o3g=?7<3f<>=7>5$0fb>3333g;o57<4;n464?6=,8nj6;;;;o3g=?5<3f<?j7>5$0fb>3333g;o57:4;n47a?6=,8nj6;;;;o3g=?3<3f<?h7>5$0fb>3333g;o5784;n47g?6=,8nj6;;;;o3g=?1<3f<?n7>5$0fb>3333g;o5764;n47e?6=,8nj6;;;;o3g=??<3f<?;7>5$0fb>3333g;o57o4;n472?6=,8nj6;;;;o3g=?d<3`<;:7>5$0fb>36a3g;o57>4;h431?6=,8nj6;>i;o3g=?7<3`<;i7>5$0fb>36a3g;o57<4;h43`?6=,8nj6;>i;o3g=?5<3`<;o7>5$0fb>36a3g;o57:4;h43f?6=,8nj6;>i;o3g=?3<3`<;m7>5$0fb>36a3g;o5784;h43=?6=,8nj6;>i;o3g=?1<3`<;47>5$0fb>36a3g;o5764;h433?6=,8nj6;>i;o3g=??<3`<;87>5$0fb>36a3g;o57o4;h437?6=,8nj6;>i;o3g=?d<3`<3>7>5;n45g?6=,8nj6;98;o3g=?6<3f<=n7>5$0fb>3103g;o57?4;n440?6=,8nj6;98;o3g=?4<3f<<?7>5$0fb>3103g;o57=4;n446?6=,8nj6;98;o3g=?2<3f<<=7>5$0fb>3103g;o57;4;n444?6=,8nj6;98;o3g=?0<3f<=j7>5$0fb>3103g;o5794;n45a?6=,8nj6;98;o3g=?><3f<=h7>5$0fb>3103g;o5774;n45e?6=,8nj6;98;o3g=?g<3f<=57>5$0fb>3103g;o57l4;n7e5?6=3f?nn7>5;h415?6=,8nj6;<n;o3g=?6<3`<9<7>5$0fb>34f3g;o57?4;h41=?6=,8nj6;<n;o3g=?4<3`<947>5$0fb>34f3g;o57=4;h413?6=,8nj6;<n;o3g=?2<3`<9:7>5$0fb>34f3g;o57;4;h411?6=,8nj6;<n;o3g=?0<3`<987>5$0fb>34f3g;o5794;h417?6=,8nj6;<n;o3g=?><3`<9>7>5$0fb>34f3g;o5774;h42b?6=,8nj6;<n;o3g=?g<3`<:i7>5$0fb>34f3g;o57l4;h45<?6=3`?m>7>5;h764?6=3`<3?7>5;n456?6=3f<=;7>5;n451?6=3`<==7>5;n7`4?6=,8nj68k>;o3g=?6<3f?ij7>5$0fb>0c63g;o57?4;n7aa?6=,8nj68k>;o3g=?4<3f?ih7>5$0fb>0c63g;o57=4;n7af?6=,8nj68k>;o3g=?2<3f?im7>5$0fb>0c63g;o57;4;n7a=?6=,8nj68k>;o3g=?0<3f?i47>5$0fb>0c63g;o5794;n7a3?6=,8nj68k>;o3g=?><3f?i:7>5$0fb>0c63g;o5774;n7a1?6=,8nj68k>;o3g=?g<3f?i87>5$0fb>0c63g;o57l4;n7a7?6=,8nj68k>;o3g=?e<3f?i>7>5$0fb>0c63g;o57j4;n7a4?6=,8nj68k>;o3g=?c<3f?jj7>5$0fb>0c63g;o57h4;n7ba?6=,8nj68k>;o3g=?7732e>mi4?:%3ge?3b92d:h44>1:9l1de=83.:hl4:e09m5a?=9;10c8om:18'5ag==l;0b<j6:018?j3fi3:1(<jn:4g2?k7c13;?76a:a883>!7ci3?n=6`>d8821>=h=h21<7*>d`86a4=i9m31=;54o4c4>5<#9mk19h?4n0f:>41<3f?h:7>5$0fb>0c63g;o57?7;:m6g0<72-;om7;j1:l2`<<6121d9n:50;&2`d<2m81e=i751`98k0e4290/=io55d38j4b>28h07b;l2;29 4bf2<o:7c?k9;3`?>i2k80;6)?ka;7f5>h6l00:h65`5ca94?"6lh0>i<5a1e;95`=<g<h:6=4+1ec91`7<f8n26<h4;n7b2?6=,8nj68k>;o3g=?4732e>m84?:%3ge?3b92d:h44=1:9j12d=83.:hl4:9b9m5a?=821b9:o50;&2`d<21j1e=i751:9j12?=83.:hl4:9b9m5a?=:21b9:650;&2`d<21j1e=i753:9j120=83.:hl4:9b9m5a?=<21b9:;50;&2`d<21j1e=i755:9j122=83.:hl4:9b9m5a?=>21b9:=50;&2`d<21j1e=i757:9j124=83.:hl4:9b9m5a?=021b9:?50;&2`d<21j1e=i759:9j126=83.:hl4:9b9m5a?=i21b9;h50;&2`d<21j1e=i75b:9j13c=83.:hl4:9b9m5a?=k21b9;j50;&2`d<21j1e=i75d:9j13d=83.:hl4:9b9m5a?=m21b9;o50;&2`d<21j1e=i75f:9j13?=83.:hl4:9b9m5a?=9910e887:18'5ag==0i0b<j6:038?l31?3:1(<jn:4;`?k7c13;976g:6783>!7ci3?2o6`>d8827>=n=??1<7*>d`86=f=i9m31=954i447>5<#9mk194m4n0f:>43<3`?=?7>5$0fb>0?d3g;o57?9;:k627<72-;om7;6c:l2`<<6?21b95?50;&2`d<21j1e=i751998m0>7290/=io558a8j4b>28307d;8f;29 4bf2<3h7c?k9;3b?>o2?l0;6)?ka;7:g>h6l00:n65f56f94?"6lh0>5n5a1e;95f=<a<=h6=4+1ec91<e<f8n26<j4;h743?6=,8nj687l;o3g=?7b32c>:n4?:%3ge?3>k2d:h44>f:9j137=83.:hl4:9b9m5a?=:910e88?:18'5ag==0i0b<j6:338?j33l3:17d;;e;29?l04j3:1(<jn:767?k7c13:07d8<a;29 4bf2?>?7c?k9;38?l03;3:1(<jn:767?k7c13807d8;2;29 4bf2?>?7c?k9;18?l0393:1(<jn:767?k7c13>07d8;0;29 4bf2?>?7c?k9;78?l04n3:1(<jn:767?k7c13<07d8<e;29 4bf2?>?7c?k9;58?l04l3:1(<jn:767?k7c13207d8<c;29 4bf2?>?7c?k9;;8?l0413:1(<jn:767?k7c13k07d8<8;29 4bf2?>?7c?k9;`8?j32<3:1(<jn:47f?k7c13:07b;:3;29 4bf2<?n7c?k9;38?j32k3:1(<jn:47f?k7c13807b;:b;29 4bf2<?n7c?k9;18?j32i3:1(<jn:47f?k7c13>07b;:9;29 4bf2<?n7c?k9;78?j3203:1(<jn:47f?k7c13<07b;:7;29 4bf2<?n7c?k9;58?j32>3:1(<jn:47f?k7c13207b;:5;29 4bf2<?n7c?k9;;8?j32:3:1(<jn:47f?k7c13k07b;:1;29 4bf2<?n7c?k9;`8?l06;3:1(<jn:73`?k7c13:07d8>2;29 4bf2?;h7c?k9;38?l06j3:1(<jn:73`?k7c13807d8>a;29 4bf2?;h7c?k9;18?l0613:1(<jn:73`?k7c13>07d8>8;29 4bf2?;h7c?k9;78?l06?3:1(<jn:73`?k7c13<07d8>6;29 4bf2?;h7c?k9;58?l06=3:1(<jn:73`?k7c13207d8>4;29 4bf2?;h7c?k9;;8?l0693:1(<jn:73`?k7c13k07d8>0;29 4bf2?;h7c?k9;`8?j32n3:17d8;5;29?g33=3:1=7>50z&2af<68>1C99:4H413?j77>3:17pl:4783>4<729q/=hm55238L0233A?8<6a>d683>>{e=8n1<7=50;2x 4cd28:;7E;;4:J675=O<j1/=;o56768 4722;1b8k4?::k6`?6=3f;oo7>5;|`66=<72:0;6=u+1da9556<@<>?7E;<0:J7g>"6>h0=:95+10796>o3n3:17d;k:188k4bd2900qo;>c;297?6=8r.:in4>019K112<@<9;7E:l;%35e?01<2.:=84=;h6e>5<<a<n1<75`1ea94?=zj<8=6=4::183\7f!7bk3;;86F:459K166<@=i0(<8n:747?!76=380e9h50;9j11<722c>h7>5;h3gf?6=3f;oo7>5;|`662<72:0;6=u+1da9556<@<>?7E;<0:J7g>"6>h0=:95+10796>o3n3:17d;k:188k4bd2900qo;>b;291?6=8r.:in4>059K112<@<9;7E:l;%35e?01<2.:=84=;h6e>5<<a<>1<75f5e83>>o6lk0;66a>db83>>{e=;?1<7:50;2x 4cd28:87E;;4:J675=#98?1>6g;f;29?l3c2900e<jm:188k4bd2900qo;=4;290?6=8r.:in4>029K112<@<9;7)?>5;08m1`=831b9i4?::k2`g<722e:hn4?::\7fa175=83>1<7>t$0g`>4643A??86F:319'543=:2c?j7>5;h7g>5<<a8ni6=44o0f`>5<<uk?9i7>54;294~"6mj0:<>5G5568L0573-;:97<4i5d94?=n=m0;66g>dc83>>i6lj0;66sm53f94?2=83:p(<kl:020?M33<2B>?=5+10796>o3n3:17d;k:188m4be2900c<jl:188yg50n3:187>50z&2af<68:1C99:4H413?!76=3<0e9h50;9j1a<722c:ho4?::m2`f<722wi?5<50;694?6|,8oh6<><;I770>N2;91/=<;56:k7b?6=3`?o6=44i0fa>5<<g8nh6=44}c103?6=<3:1<v*>eb8244=O==>0D8=?;%321?4<a=l1<75f5883>>o2l3:17b?kc;29?xd4;10;694?:1y'5`e=99;0D8:;;I704>"69<097d:i:188m0?=831b9i4?::m2`f<722wi?>l50;694?6|,8oh6<>>;I770>N2;91/=<;52:k7b?6=3`?26=44i4f94?=h9mi1<75rb21`>5<3290;w)?jc;335>N2<=1C9>>4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg54l3:187>50z&2af<6881C99:4H413?!76=380e9h50;9j1<<722c>h7>5;n3gg?6=3th8?h4?:583>5}#9li1==?4H467?M3482.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm32d94?2=83:p(<kl:022?M33<2B>?=5+10796>o3n3:17d;6:188m0b=831d=im50;9~f627290?6=4?{%3fg?7792B>895G5228 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk9?=7>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl<4383>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa76?=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj:9j6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo:;f;290?6=8r.:in4>029K112<@<9;7)?>5;08m1`=831b9i4?::k2`g<722e:hn4?::\7fa01c=83>1<7>t$0g`>4643A??86F:319'543=:2c?j7>5;h7g>5<<a8ni6=44o0f`>5<<uk>;:7>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl;0683>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa05g=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj=:i6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo:?c;290?6=8r.:in4>009K112<@<9;7)?>5;08m1`=831b944?::k6`?6=3f;oo7>5;|`74a<72=0;6=u+1da9557<@<>?7E;<0:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e<9o1<7:50;2x 4cd28::7E;;4:J675=#98?1>6g;f;29?l3>2900e8j50;9l5ae=831vn9>i:187>5<7s-;no7??1:J601=O=::0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c624?6=<3:1<v*>eb8244=O==>0D8=?;%321?4<a=l1<75f5883>>o2l3:17b?kc;29?xd3980;694?:1y'5`e=99;0D8:;;I704>"69<097d:i:188m0?=831b9i4?::m2`f<722wi8=650;694?6|,8oh6<>>;I770>N2;91/=<;52:k7b?6=3`?26=44i4f94?=h9mi1<75rb52:>5<3290;w)?jc;335>N2<=1C9>>4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg56n3:1?7>50z&2af<6>;1C99:4H413?!76=38<7d??9;29?l77i3:17b?k5;29?xd49=0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg55j3:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo==2;297?6=8r.:in4>639K112<@<9;7)?>5;04?l7713:17d??a;29?j7c=3:17pl<1783>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo==e;297?6=8r.:in4:429K112<@<9;7d;9:188m43c2900c<j::188yg55;3:1?7>50z&2af<6>;1C99:4H413?!76=38<7d??9;29?l77i3:17b?k5;29?xd49>0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg55n3:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo==4;297?6=8r.:in4>639K112<@<9;7)?>5;04?l7713:17d??a;29?j7c=3:17pl<1983>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo=<0;297?6=8r.:in4:429K112<@<9;7d;9:188m43c2900c<j::188yg55=3:1?7>50z&2af<6>;1C99:4H413?!76=38<7d??9;29?l77i3:17b?k5;29?xd4900;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg5493:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo==6;297?6=8r.:in4>639K112<@<9;7)?>5;04?l7713:17d??a;29?j7c=3:17pl<1`83>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo=<2;297?6=8r.:in4:429K112<@<9;7d;9:188m43c2900c<j::188yg55?3:1?7>50z&2af<6>;1C99:4H413?!76=38<7d??9;29?l77i3:17b?k5;29?xd49k0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg54;3:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo==8;297?6=8r.:in4>639K112<@<9;7)?>5;04?l7713:17d??a;29?j7c=3:17pl<1b83>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo=<4;297?6=8r.:in4:429K112<@<9;7d;9:188m43c2900c<j::188yg5513:1?7>50z&2af<6>;1C99:4H413?!76=38<7d??9;29?l77i3:17b?k5;29?xd49m0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg54=3:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo==a;297?6=8r.:in4>639K112<@<9;7)?>5;04?l7713:17d??a;29?j7c=3:17pl<1d83>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo=<6;297?6=8r.:in4:429K112<@<9;7d;9:188m43c2900c<j::188yg5583:1?7>50z&2af<6>;1C99:4H413?!76=38<7d??9;29?l77i3:17b?k5;29?xd49<0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg55k3:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo==1;297?6=8r.:in4>639K112<@<9;7)?>5;04?l7713:17d??a;29?j7c=3:17pl<2e83>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4ml0;6>4?:1y'5`e=9?80D8:;;I704>"69<09;6g>0883>>o68h0;66a>d483>>{e;l91<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd4nh0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<f083>6<729q/=hm51708L0233A?8<6*>14813>o6800;66g>0`83>>i6l<0;66sm3d794?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl<fe83>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4n;0;6>4?:1y'5`e=9?80D8:;;I704>"69<09;6g>0883>>o68h0;66a>d483>>{e;l<1<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd4nl0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<f283>6<729q/=hm51708L0233A?8<6*>14813>o6800;66g>0`83>>i6l<0;66sm3d594?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl<fg83>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4n=0;6>4?:1y'5`e=9?80D8:;;I704>"69<09;6g>0883>>o68h0;66a>d483>>{e;l21<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd3890;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<f483>6<729q/=hm51708L0233A?8<6*>14813>o6800;66g>0`83>>i6l<0;66sm3d;94?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl;0083>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4n?0;6>4?:1y'5`e=9?80D8:;;I704>"69<09;6g>0883>>o68h0;66a>d483>>{e;lk1<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd38;0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<f683>6<729q/=hm51708L0233A?8<6*>14813>o6800;66g>0`83>>i6l<0;66sm3d`94?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl;0283>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4n10;6>4?:1y'5`e=9?80D8:;;I704>"69<09;6g>0883>>o68h0;66a>d483>>{e;li1<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd38=0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<f883>6<729q/=hm51708L0233A?8<6*>14813>o6800;66g>0`83>>i6l<0;66sm3df94?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl;0483>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4mo0;6>4?:1y'5`e=9?80D8:;;I704>"69<09;6g>0883>>o68h0;66a>d483>>{e;l>1<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd4nk0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<f183>6<729q/=hm51708L0233A?8<6*>14813>o6800;66g>0`83>>i6l<0;66sm3ga94?5=83:p(<kl:460?M33<2B>?=5f5783>>o6=m0;66a>d483>>{e;<31<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd4=10;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg52?3:187>50z&2af<6>k1C99:4H413?l312900e8k50;9j5`2=831d=i;50;9~f631290?6=4?{%3fg?71j2B>895G5228m00=831b9h4?::k2a1<722e:h84?::\7fa703=83>1<7>t$0g`>40e3A??86F:319j13<722c>i7>5;h3f0?6=3f;o97>5;|`011<72=0;6=u+1da953d<@<>?7E;<0:k62?6=3`?n6=44i0g7>5<<g8n>6=44}c144?6=<3:1<v*>eb822g=O==>0D8=?;h75>5<<a<o1<75f1d694?=h9m?1<75rb24e>5<3290;w)?jc;35f>N2<=1C9>>4i4494?=n=l0;66g>e583>>i6l<0;66sm37g94?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl<6e83>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo=9c;290?6=8r.:in4>6c9K112<@<9;7d;9:188m0c=831b=h:50;9l5a3=831vn>8m:187>5<7s-;no7?9b:J601=O=::0e8850;9j1`<722c:i94?::m2`0<722wi8?650;694?6|,8oh6<8m;I770>N2;91b9;4?::k6a?6=3`;n87>5;n3g1?6=3th?>:4?:583>5}#9li1=;l4H467?M3482c>:7>5;h7f>5<<a8o?6=44o0f6>5<<uk>9:7>54;294~"6mj0::o5G5568L0573`?=6=44i4g94?=n9l>1<75`1e794?=zj=8>6=4;:183\7f!7bk3;=n6F:459K166<a<<1<75f5d83>>o6m=0;66a>d483>>{e<;>1<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd3::0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg24n3:187>50z&2af<6>k1C99:4H413?l312900e8k50;9j5`2=831d=i;50;9~f15b290?6=4?{%3fg?71j2B>895G5228m00=831b9h4?::k2a1<722e:h84?::\7fa06b=83>1<7>t$0g`>40e3A??86F:319j13<722c>i7>5;h3f0?6=3f;o97>5;|`77f<72=0;6=u+1da953d<@<>?7E;<0:k62?6=3`?n6=44i0g7>5<<g8n>6=44}c60f?6=<3:1<v*>eb822g=O==>0D8=?;h75>5<<a<o1<75f1d694?=h9m?1<75rb51b>5<3290;w)?jc;35f>N2<=1C9>>4i4494?=n=l0;66g>e583>>i6l<0;66sm20094?2=83:p(<kl:022?M33<2B>?=5+10796>o3n3:17d;6:188m0b=831d=im50;9~f776290?6=4?{%3fg?7792B>895G5228 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8:m7>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl=1883>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa64>=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj;;<6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<>6;290?6=8r.:in4>009K112<@<9;7)?>5;08m1`=831b944?::k6`?6=3f;oo7>5;|`150<72=0;6=u+1da9557<@<>?7E;<0:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e:8>1<7:50;2x 4cd28::7E;;4:J675=#98?1>6g;f;29?l3>2900e8j50;9l5ae=831vn??<:187>5<7s-;no7??1:J601=O=::0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c024?6=<3:1<v*>eb8244=O==>0D8=?;%321?4<a=l1<75f5883>>o2l3:17b?kc;29?xd58o0;694?:1y'5`e=99;0D8:;;I704>"69<097d:i:188m0?=831b9i4?::m2`f<722wi>l;50;694?6|,8oh6<>>;I770>N2;91/=<;52:k7b?6=3`?26=44i4f94?=h9mi1<75rb3c7>5<3290;w)?jc;335>N2<=1C9>>4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg4fl3:187>50z&2af<6881C99:4H413?!76=380e9h50;9j1<<722c>h7>5;n3gg?6=3th9mn4?:583>5}#9li1==?4H467?M3482.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm2``94?2=83:p(<kl:022?M33<2B>?=5+10796>o3n3:17d;6:188m0b=831d=im50;9~f7gf290?6=4?{%3fg?7792B>895G5228 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8j57>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl=a983>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa6d1=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj;k=6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<n3;290?6=8r.:in4>009K112<@<9;7)?>5;08m1`=831b944?::k6`?6=3f;oo7>5;|`1e7<72=0;6=u+1da9557<@<>?7E;<0:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e;9:1<7:50;2x 4cd28::7E;;4:J675=#98?1>6g;f;29?l3>2900e8j50;9l5ae=831vn?hi:187>5<7s-;no7??1:J601=O=::0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c13<?6=<3:1<v*>eb8244=O==>0D8=?;%321?4<a=l1<75f5883>>o2l3:17b?kc;29?xd48>0;694?:1y'5`e=99;0D8:;;I704>"69<097d:i:188m0?=831b9i4?::m2`f<722wi?=850;694?6|,8oh6<>>;I770>N2;91/=<;52:k7b?6=3`?26=44i4f94?=h9mi1<75rb226>5<3290;w)?jc;335>N2<=1C9>>4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg57<3:187>50z&2af<6881C99:4H413?!76=380e9h50;9j1<<722c>h7>5;n3gg?6=3th8<>4?:583>5}#9li1==?4H467?M3482.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm31094?2=83:p(<kl:022?M33<2B>?=5+10796>o3n3:17d;6:188m0b=831d=im50;9~f666290?6=4?{%3fg?7792B>895G5228 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8mi7>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl=fe83>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa624=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj;=:6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<8a;290?6=8r.:in4>009K112<@<9;7)?>5;08m1`=831b944?::k6`?6=3f;oo7>5;|`13<<72=0;6=u+1da9557<@<>?7E;<0:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e:>21<7:50;2x 4cd28::7E;;4:J675=#98?1>6g;f;29?l3>2900e8j50;9l5ae=831vn?98:187>5<7s-;no7??1:J601=O=::0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c042?6=<3:1<v*>eb8244=O==>0D8=?;%321?4<a=l1<75f5883>>o2l3:17b?kc;29?xd5?<0;694?:1y'5`e=99;0D8:;;I704>"69<097d:i:188m0?=831b9i4?::m2`f<722wi>::50;694?6|,8oh6<>>;I770>N2;91/=<;52:k7b?6=3`?26=44i4f94?=h9mi1<75rb350>5<3290;w)?jc;335>N2<=1C9>>4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg4083:187>50z&2af<6881C99:4H413?!76=380e9h50;9j1<<722c>h7>5;n3gg?6=3th9:k4?:583>5}#9li1==?4H467?M3482.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm2d:94?2=83:p(<kl:022?M33<2B>?=5G4b9'53g=>?>0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c0f3?6=<3:1<v*>eb8244=O==>0D8=?;I6`?!71i3<=86*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa6c6=83>1<7>t$0g`>4663A??86F:319K0f=#9?k1:;:4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg4bn3:187>50z&2af<6881C99:4H413?M2d3-;=m7894:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e:lo1<7:50;2x 4cd28::7E;;4:J675=O<j1/=;o56768 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8nh7>54;294~"6mj0:<<5G5568L0573A>h7)?9a;450>"69<097d:i:188m0?=831b9i4?::m2`f<722wi>hm50;694?6|,8oh6<>>;I770>N2;91C8n5+17c9232<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<jb;290?6=8r.:in4>009K112<@<9;7E:l;%35e?01<2.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm2dc94?2=83:p(<kl:022?M33<2B>?=5G4b9'53g=>?>0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c0f=?6=<3:1<v*>eb8244=O==>0D8=?;I6`?!71i3<=86*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa6`0=83>1<7>t$0g`>4663A??86F:319K0f=#9?k1:;:4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg4b=3:187>50z&2af<6881C99:4H413?M2d3-;=m7894:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e:<k1<7:50;2x 4cd28::7E;;4:J675=O<j1/=;o56768 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8>57>54;294~"6mj0:<<5G5568L0573A>h7)?9a;450>"69<097d:i:188m0?=831b9i4?::m2`f<722wi>;<50;694?6|,8oh6<>>;I770>N2;91C8n5+17c9232<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<91;290?6=8r.:in4>009K112<@<9;7E:l;%35e?01<2.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm27294?2=83:p(<kl:022?M33<2B>?=5G4b9'53g=>?>0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c06b?6=<3:1<v*>eb8244=O==>0D8=?;I6`?!71i3<=86*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa60c=83>1<7>t$0g`>4663A??86F:319K0f=#9?k1:;:4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg42l3:187>50z&2af<6881C99:4H413?M2d3-;=m7894:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e:<i1<7:50;2x 4cd28::7E;;4:J675=O<j1/=;o56768 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8>n7>54;294~"6mj0:<<5G5568L0573A>h7)?9a;450>"69<097d:i:188m0?=831b9i4?::m2`f<722wi>8650;694?6|,8oh6<>>;I770>N2;91C8n5+17c9232<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<:7;290?6=8r.:in4>009K112<@<9;7E:l;%35e?01<2.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm25094?2=83:p(<kl:022?M33<2B>?=5+10796>o3n3:17d;6:188m0b=831d=im50;9~f726290?6=4?{%3fg?7792B>895G5228 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8?m7>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl=4883>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa61>=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj;><6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<;6;290?6=8r.:in4>009K112<@<9;7)?>5;08m1`=831b944?::k6`?6=3f;oo7>5;|`100<72=0;6=u+1da9557<@<>?7E;<0:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e:=>1<7:50;2x 4cd28::7E;;4:J675=#98?1>6g;f;29?l3>2900e8j50;9l5ae=831vn?:<:187>5<7s-;no7??1:J601=O=::0(<?::39j0c<722c>57>5;h7g>5<<g8nh6=44}c074?6=<3:1<v*>eb8244=O==>0D8=?;%321?4<a=l1<75f5883>>o2l3:17b?kc;29?xd5;o0;694?:1y'5`e=99;0D8:;;I704>"69<097d:i:188m0?=831b9i4?::m2`f<722wi>i>50;694?6|,8oh6<>>;I770>N2;91/=<;52:k7b?6=3`?26=44i4f94?=h9mi1<75rb3ae>5<3290;w)?jc;335>N2<=1C9>>4$036>7=n<o0;66g:9;29?l3c2900c<jl:188yg4c03:187>50z&2af<6881C99:4H413?!76=380e9h50;9j1<<722c>h7>5;n3gg?6=3th9h:4?:583>5}#9li1==?4H467?M3482.:=84=;h6e>5<<a<31<75f5e83>>i6lj0;66sm2e494?2=83:p(<kl:022?M33<2B>?=5+10796>o3n3:17d;6:188m0b=831d=im50;9~f7b2290?6=4?{%3fg?7792B>895G5228 4722;1b8k4?::k6=?6=3`?o6=44o0f`>5<<uk8o87>54;294~"6mj0:<<5G5568L0573-;:97<4i5d94?=n=00;66g:d;29?j7ck3:17pl=d283>1<729q/=hm51138L0233A?8<6*>1481?l2a2900e8750;9j1a<722e:hn4?::\7fa6a4=83>1<7>t$0g`>4663A??86F:319'543=:2c?j7>5;h7:>5<<a<n1<75`1ea94?=zj;n:6=4;:183\7f!7bk3;;=6F:459K166<,8;>6?5f4g83>>o213:17d;k:188k4bd2900qo<le;290?6=8r.:in4>009K112<@<9;7)?>5;08m1`=831b944?::k6`?6=3f;oo7>5;|`1ga<72=0;6=u+1da9557<@<>?7E;<0:&250<53`>m6=44i4;94?=n=m0;66a>db83>>{e;j;1<7;50;2x 4cd28:97E;;4:J675=#98?1>6g;f;29?l332900e8750;9j1a<722e:hn4?::\7fa7fg=83?1<7>t$0g`>4653A??86F:319'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i6lj0;66sm3b094?3=83:p(<kl:021?M33<2B>?=5+10796>o3n3:17d;;:188m0?=831b9i4?::m2`f<722wi?n650;794?6|,8oh6<>=;I770>N2;91/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a>db83>>{e;j=1<7;50;2x 4cd28:97E;;4:J675=#98?1>6g;f;29?l332900e8750;9j1a<722e:hn4?::\7fa7f?=83?1<7>t$0g`>4653A??86F:319'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i6lj0;66sm3b794?3=83:p(<kl:021?M33<2B>?=5+10796>o3n3:17d;;:188m0?=831b9i4?::m2`f<722wi?n:50;794?6|,8oh6<>=;I770>N2;91/=<;52:k7b?6=3`??6=44i4;94?=n=m0;66a>db83>>{e;j<1<7;50;2x 4cd28:97E;;4:J675=#98?1>6g;f;29?l332900e8750;9j1a<722e:hn4?::\7fa7f6=83?1<7>t$0g`>4653A??86F:319'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i6lj0;66sm3cd94?3=83:p(<kl:027?M33<2B>?=5+10792>o3n3:17d;;:188m0b=831b=il50;9l5ae=831vn>m<:186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj:k36=4<:183\7f!7bk3???6F:459K166<a<<1<75f14f94?=h9m?1<75rb2c4>5<4290;w)?jc;777>N2<=1C9>>4i4494?=n9<n1<75`1e794?=zj:396=4;:183\7f!7bk3;=n6F:459K166<a<<1<75f5d83>>o6m=0;66a>d483>>{e;k81<7=50;2x 4cd2<>87E;;4:J675=n=?0;66g>5e83>>i6l<0;66sm3`694?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl<b083>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd4i;0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg5e83:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo=n0;290?6=8r.:in4>6c9K112<@<9;7d;9:188m0c=831b=h:50;9l5a3=831vn>oi:180>5<7s-;no7;;3:J601=O=::0e8850;9j50b=831d=i;50;9~f6?b290?6=4?{%3fg?71j2B>895G5228m00=831b9h4?::k2a1<722e:h84?::\7fa7dc=8391<7>t$0g`>0243A??86F:319j13<722c:9i4?::m2`0<722wi?4m50;694?6|,8oh6<8m;I770>N2;91b9;4?::k6a?6=3`;n87>5;n3g1?6=3th8mi4?:283>5}#9li199=4H467?M3482c>:7>5;h36`?6=3f;o97>5;|`0=d<72=0;6=u+1da953d<@<>?7E;<0:k62?6=3`?n6=44i0g7>5<<g8n>6=44}c1bg?6=;3:1<v*>eb8606=O==>0D8=?;h75>5<<a8?o6=44o0f6>5<<uk9247>54;294~"6mj0::o5G5568L0573`?=6=44i4g94?=n9l>1<75`1e794?=zj:ki6=4<:183\7f!7bk3???6F:459K166<a<<1<75f14f94?=h9m?1<75rb2;5>5<3290;w)?jc;35f>N2<=1C9>>4i4494?=n=l0;66g>e583>>i6l<0;66sm3`c94?5=83:p(<kl:460?M33<2B>?=5f5783>>o6=m0;66a>d483>>{e;0>1<7:50;2x 4cd28<i7E;;4:J675=n=?0;66g:e;29?l7b<3:17b?k5;29?xd4i?0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl<9183>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo=ka;291?6=8r.:in4>039K112<@<9;7)?>5;08m1`=831b994?::k6=?6=3`?o6=44o0f`>5<<uk9o57>55;294~"6mj0:<?5G5568L0573-;:97<4i5d94?=n==0;66g:9;29?l3c2900c<jl:188yg5b:3:197>50z&2af<68;1C99:4H413?!76=380e9h50;9j11<722c>57>5;h7g>5<<g8nh6=44}c1f5?6==3:1<v*>eb8247=O==>0D8=?;%321?4<a=l1<75f5583>>o213:17d;k:188k4bd2900qo=j0;291?6=8r.:in4>039K112<@<9;7)?>5;08m1`=831b994?::k6=?6=3`?o6=44o0f`>5<<uk9oj7>55;294~"6mj0:<?5G5568L0573-;:97<4i5d94?=n==0;66g:9;29?l3c2900c<jl:188yg5cm3:197>50z&2af<68;1C99:4H413?!76=380e9h50;9j11<722c>57>5;h7g>5<<g8nh6=44}c1g`?6==3:1<v*>eb8247=O==>0D8=?;%321?4<a=l1<75f5583>>o213:17d;k:188k4bd2900qo=kc;291?6=8r.:in4>039K112<@<9;7)?>5;08m1`=831b994?::k6=?6=3`?o6=44o0f`>5<<uk9on7>55;294~"6mj0:<?5G5568L0573-;:97<4i5d94?=n==0;66g:9;29?l3c2900c<jl:188yg5c03:197>50z&2af<68;1C99:4H413?!76=380e9h50;9j11<722c>57>5;h7g>5<<g8nh6=44}c1g3?6==3:1<v*>eb8247=O==>0D8=?;%321?4<a=l1<75f5583>>o213:17d;k:188k4bd2900qo:66;291?6=8r.:in4>039K112<@<9;7)?>5;08m1`=831b994?::k6=?6=3`?o6=44o0f`>5<<uk>2j7>55;294~"6mj0:<?5G5568L0573-;:97<4i5d94?=n==0;66g:9;29?l3c2900c<jl:188yg2>?3:197>50z&2af<68;1C99:4H413?!76=380e9h50;9j11<722c>57>5;h7g>5<<g8nh6=44}c6:`?6==3:1<v*>eb8247=O==>0D8=?;%321?4<a=l1<75f5583>>o213:17d;k:188k4bd2900qo:6c;291?6=8r.:in4>039K112<@<9;7)?>5;08m1`=831b994?::k6=?6=3`?o6=44o0f`>5<<uk>2i7>55;294~"6mj0:<?5G5568L0573-;:97<4i5d94?=n==0;66g:9;29?l3c2900c<jl:188yg2>i3:197>50z&2af<68;1C99:4H413?!76=380e9h50;9j11<722c>57>5;h7g>5<<g8nh6=44}c6:=?6==3:1<v*>eb8247=O==>0D8=?;%321?4<a=l1<75f5583>>o213:17d;k:188k4bd2900qo:6b;291?6=8r.:in4>039K112<@<9;7)?>5;08m1`=831b994?::k6=?6=3`?o6=44o0f`>5<<uk>297>55;294~"6mj0:<95G5568L0573-;:9784i5d94?=n==0;66g:d;29?l7cj3:17b?kc;29?xd31=0;684?:1y'5`e=9980D8:;;I704>"69<097d:i:188m02=831b944?::k6`?6=3f;oo7>5;|`7==<72<0;6=u+1da9554<@<>?7E;<0:&250<53`>m6=44i4694?=n=00;66g:d;29?j7ck3:17pl;7e83>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd3?j0;6>4?:1y'5`e===90D8:;;I704>o2>3:17d?:d;29?j7c=3:17pl;6683>1<729q/=hm517`8L0233A?8<6g:6;29?l3b2900e<k;:188k4b22900qo:77;297?6=8r.:in4:429K112<@<9;7d;9:188m43c2900c<j::188yg2013:187>50z&2af<6>k1C99:4H413?l312900e8k50;9j5`2=831d=i;50;9~f1>129086=4?{%3fg?33;2B>895G5228m00=831b=8j50;9l5a3=831vn998:187>5<7s-;no7?9b:J601=O=::0e8850;9j1`<722c:i94?::m2`0<722wi85;50;194?6|,8oh68:<;I770>N2;91b9;4?::k21a<722e:h84?::\7fa023=83>1<7>t$0g`>40e3A??86F:319j13<722c>i7>5;h3f0?6=3f;o97>5;|`7<1<72:0;6=u+1da9115<@<>?7E;<0:k62?6=3`;>h7>5;n3g1?6=3th?;>4?:583>5}#9li1=;l4H467?M3482c>:7>5;h7f>5<<a8o?6=44o0f6>5<<uk>3?7>53;294~"6mj0>8>5G5568L0573`?=6=44i07g>5<<g8n>6=44}c645?6=<3:1<v*>eb822g=O==>0D8=?;h75>5<<a<o1<75f1d694?=h9m?1<75rb5:1>5<4290;w)?jc;777>N2<=1C9>>4i4494?=n9<n1<75`1e794?=zj=<m6=4;:183\7f!7bk3;=n6F:459K166<a<<1<75f5d83>>o6m=0;66a>d483>>{e<1;1<7=50;2x 4cd2<>87E;;4:J675=n=?0;66g>5e83>>i6l<0;66sm47f94?2=83:p(<kl:04a?M33<2B>?=5f5783>>o2m3:17d?j4;29?j7c=3:17pl;8183>6<729q/=hm55518L0233A?8<6g:6;29?l72l3:17b?k5;29?xd3>k0;694?:1y'5`e=9?h0D8:;;I704>o2>3:17d;j:188m4c32900c<j::188yg20n3:1?7>50z&2af<2<:1C99:4H413?l312900e<;k:188k4b22900qo:99;290?6=8r.:in4>6c9K112<@<9;7d;9:188m0c=831b=h:50;9l5a3=831vn99m:180>5<7s-;no7;;3:J601=O=::0e8850;9j50b=831d=i;50;9~f102290?6=4?{%3fg?71j2B>895G5228m00=831b9h4?::k2a1<722e:h84?::\7fa0g6=83?1<7>t$0g`>4653A??86F:319'543=:2c?j7>5;h77>5<<a<31<75f5e83>>i6lj0;66sm4`a94?3=83:p(<kl:027?M33<2B>?=5+10792>o3n3:17d;;:188m0b=831b=il50;9l5ae=831vn9ok:186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj=h86=4::183\7f!7bk3;;>6F:459K166<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d=im50;9~f1d6290>6=4?{%3fg?77:2B>895G5228 4722;1b8k4?::k60?6=3`?26=44i4f94?=h9mi1<75rb5`1>5<2290;w)?jc;336>N2<=1C9>>4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l5ae=831vn9l9:186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj=h?6=4::183\7f!7bk3;;>6F:459K166<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d=im50;9~f1d2290>6=4?{%3fg?77:2B>895G5228 4722;1b8k4?::k60?6=3`?26=44i4f94?=h9mi1<75rb5ce>5<2290;w)?jc;336>N2<=1C9>>4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l5ae=831vn9l8:186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj=kn6=4::183\7f!7bk3;;>6F:459K166<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d=im50;9~f1e0290>6=4?{%3fg?77:2B>895G5228 4722;1b8k4?::k60?6=3`?26=44i4f94?=h9mi1<75rb5a5>5<2290;w)?jc;336>N2<=1C9>>4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l5ae=831vn9mi:186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj=in6=4::183\7f!7bk3;;>6F:459K166<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d=im50;9~f1ec290>6=4?{%3fg?77:2B>895G5228 4722;1b8k4?::k60?6=3`?26=44i4f94?=h9mi1<75rb5a`>5<2290;w)?jc;336>N2<=1C9>>4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l5ae=831vn9mm:186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj=ij6=4::183\7f!7bk3;;>6F:459K166<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d=im50;9~f1e>290>6=4?{%3fg?77:2B>895G5228 4722;1b8k4?::k60?6=3`?26=44i4f94?=h9mi1<75rb5a;>5<2290;w)?jc;336>N2<=1C9>>4$036>7=n<o0;66g:4;29?l3>2900e8j50;9l5ae=831vn9m::186>5<7s-;no7??2:J601=O=::0(<?::39j0c<722c>87>5;h7:>5<<a<n1<75`1ea94?=zj=i?6=4::183\7f!7bk3;;>6F:459K166<,8;>6?5f4g83>>o2<3:17d;6:188m0b=831d=im50;9~f04f29086=4?{%3fg?71:2B>895G5228 47228k0e<>6:188m46f2900c<j::188yg36n3:1?7>50z&2af<6>;1C99:4H413?!76=3;j7d??9;29?l77i3:17b?k5;29?xd5io0;6>4?:1y'5`e=9?80D8:;;I704>"69<09>6g>0883>>o68h0;66a>d483>>{e:8i1<7=50;2x 4cd28<97E;;4:J675=#98?1>?5f11;94?=n99k1<75`1e794?=zj;:96=4<:183\7f!7bk3;=>6F:459K166<,8;>6?<4i02:>5<<a8:j6=44o0f6>5<<uk8;=7>53;294~"6mj0::?5G5568L0573-;:97<=;h33=?6=3`;;m7>5;n3g1?6=3th9<=4?:283>5}#9li1=;<4H467?M3482.:=84=2:k24<<722c:<l4?::m2`0<722wi=kh50;194?6|,8oh6<8=;I770>N2;91/=<;5239j55?=831b==o50;9l5a3=831vn<hj:180>5<7s-;no7?92:J601=O=::0(<?::308m46>2900e<>n:188k4b22900qo?id;297?6=8r.:in4>639K112<@<9;7)?>5;01?l7713:17d??a;29?j7c=3:17pl>fb83>6<729q/=hm51708L0233A?8<6*>14816>o6800;66g>0`83>>i6l<0;66sm1g`94?5=83:p(<kl:041?M33<2B>?=5+107967=n9931<75f11c94?=h9m?1<75rb0db>5<4290;w)?jc;356>N2<=1C9>>4$036>74<a8:26=44i02b>5<<g8n>6=44}c3e=?6=;3:1<v*>eb8227=O==>0D8=?;%321?453`;;57>5;h33e?6=3f;o97>5;|`2b=<72:0;6=u+1da9534<@<>?7E;<0:&250<5:2c:<44?::k24d<722e:h84?::\7fa5c1=8391<7>t$0g`>4053A??86F:319'543=:;1b==750;9j55g=831d=i;50;9~f4`129086=4?{%3fg?71:2B>895G5228 4722;80e<>6:188m46f2900c<j::188yg7a=3:1?7>50z&2af<6>;1C99:4H413?!76=3897d??9;29?l77i3:17b?k5;29?xd6n=0;6>4?:1y'5`e=9?80D8:;;I704>"69<09>6g>0883>>o68h0;66a>d483>>{e9o91<7=50;2x 4cd28<97E;;4:J675=#98?1>?5f11;94?=n99k1<75`1e794?=zj8l96=4<:183\7f!7bk3;=>6F:459K166<,8;>6?<4i02:>5<<a8:j6=44o0f6>5<<uk;m=7>53;294~"6mj0::?5G5568L0573-;:97<=;h33=?6=3`;;m7>5;n3g1?6=3th:j=4?:283>5}#9li1=;<4H467?M3482.:=84=2:k24<<722c:<l4?::m2`0<722wi=hh50;194?6|,8oh6<8=;I770>N2;91/=<;5239j55?=831b==o50;9l5a3=831vn<kj:180>5<7s-;no7?92:J601=O=::0(<?::308m46>2900e<>n:188k4b22900qo?jd;297?6=8r.:in4>639K112<@<9;7)?>5;01?l7713:17d??a;29?j7c=3:17pl=b083>1<729q/=hm51778L0233A?8<6*>1481=>o6800;66g>0`83>>o68k0;66a>d483>>{e:8o1<7:50;2x 4cd28<>7E;;4:J675=#98?1>45f11;94?=n99k1<75f11`94?=h9m?1<75rb3a3>5<2290;w)?jc;353>N2<=1C9>>4$036>72<a8:26=44i02b>5<<a8:i6=44i02`>5<<g8n>6=44}c014?6==3:1<v*>eb8222=O==>0D8=?;%321?433`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f;o97>5;|`1f6<72<0;6=u+1da9531<@<>?7E;<0:&250<5<2c:<44?::k24d<722c:<o4?::k24f<722e:h84?::\7fa6g4=83?1<7>t$0g`>4003A??86F:319'543=:=1b==750;9j55g=831b==l50;9j55e=831d=i;50;9~f74529086=4?{%3fg?71:2B>895G5228 4722;80e<>6:188m46f2900c<j::188yg45<3:187>50z&2af<6><1C99:4H413?!76=3827d??9;29?l77i3:17d??b;29?j7c=3:17pl=2783>0<729q/=hm51758L0233A?8<6*>14810>o6800;66g>0`83>>o68k0;66g>0b83>>i6l<0;66sm29d94?5=83:p(<kl:041?M33<2B>?=5+107962=n9931<75f11c94?=h9m?1<75rb3;2>5<2290;w)?jc;353>N2<=1C9>>4$036>7g<a8:26=44i02b>5<<a8:i6=44i02`>5<<g8n>6=44}c0;<?6==3:1<v*>eb8222=O==>0D8=?;%321?433`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f;o97>5;|`1<g<72<0;6=u+1da9531<@<>?7E;<0:&250<5<2c:<44?::k24d<722c:<o4?::k24f<722e:h84?::\7fa01d=83?1<7>t$0g`>4003A??86F:319'543=:11b==750;9j55g=831b==l50;9j55e=831d=i;50;9~f153290>6=4?{%3fg?71?2B>895G5228 4722;20e<>6:188m46f2900e<>m:188m46d2900c<j::188yg50k3:197>50z&2af<6>>1C99:4H413?!76=3837d??9;29?l77i3:17d??b;29?l77k3:17b?k5;29?xd4><0;684?:1y'5`e=9?=0D8:;;I704>"69<0996g>0883>>o68h0;66g>0c83>>o68j0;66a>d483>>{e<=k1<7;50;2x 4cd28<<7E;;4:J675=#98?1>55f11;94?=n99k1<75f11`94?=n99i1<75`1e794?=zj=986=4::183\7f!7bk3;=;6F:459K166<,8;>6?64i02:>5<<a8:j6=44i02a>5<<a8:h6=44o0f6>5<<uk9<n7>55;294~"6mj0:::5G5568L0573-;:97<7;h33=?6=3`;;m7>5;h33f?6=3`;;o7>5;n3g1?6=3th8:94?:483>5}#9li1=;94H467?M3482.:=84=6:k24<<722c:<l4?::k24g<722c:<n4?::m2`0<722wi89750;794?6|,8oh6<88;I770>N2;91/=<;5299j55?=831b==o50;9j55d=831b==m50;9l5a3=831vn9==:186>5<7s-;no7?97:J601=O=::0(<?::3:8m46>2900e<>n:188m46e2900e<>l:188k4b22900qo=8a;291?6=8r.:in4>669K112<@<9;7)?>5;0;?l7713:17d??a;29?l77j3:17d??c;29?j7c=3:17pl<6283>0<729q/=hm51758L0233A?8<6*>14812>o6800;66g>0`83>>o68k0;66g>0b83>>i6l<0;66sm45:94?3=83:p(<kl:044?M33<2B>?=5+10796==n9931<75f11c94?=n99h1<75f11a94?=h9m?1<75rb512>5<2290;w)?jc;353>N2<=1C9>>4$036>7><a8:26=44i02b>5<<a8:i6=44i02`>5<<g8n>6=44}c14=?6==3:1<v*>eb8222=O==>0D8=?;%321?4?3`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f;o97>5;|`027<72<0;6=u+1da9531<@<>?7E;<0:&250<5>2c:<44?::k24d<722c:<o4?::k24f<722e:h84?::\7fa011=83?1<7>t$0g`>4003A??86F:319'543=:11b==750;9j55g=831b==l50;9j55e=831d=i;50;9~f157290>6=4?{%3fg?71?2B>895G5228 4722;20e<>6:188m46f2900e<>m:188m46d2900c<j::188yg5003:197>50z&2af<6>>1C99:4H413?!76=3837d??9;29?l77i3:17d??b;29?l77k3:17b?k5;29?xd4>80;684?:1y'5`e=9?=0D8:;;I704>"69<09:6g>0883>>o68h0;66g>0c83>>o68j0;66a>d483>>{e<=<1<7;50;2x 4cd28<<7E;;4:J675=#98?1>55f11;94?=n99k1<75f11`94?=n99i1<75`1e794?=zj=8m6=4::183\7f!7bk3;=;6F:459K166<,8;>6?64i02:>5<<a8:j6=44i02a>5<<a8:h6=44o0f6>5<<uk9<;7>55;294~"6mj0:::5G5568L0573-;:97<7;h33=?6=3`;;m7>5;h33f?6=3`;;o7>5;n3g1?6=3th8:=4?:483>5}#9li1=;94H467?M3482.:=84=6:k24<<722c:<l4?::k24g<722c:<n4?::m2`0<722wi88?50;694?6|,8oh6<8:;I770>N2;91/=<;5fd9j55?=831b==o50;9j55d=831d=i;50;9~f6>6290?6=4?{%3fg?71=2B>895G5228 4722oo0e<>6:188m46f2900e<>m:188k4b22900qo=73;297?6=8r.:in4>639K112<@<9;7)?>5;30?l7713:17d??a;29?j7c=3:17pl;e983>1<729q/=hm51778L0233A?8<6*>148e=>o6800;66g>0`83>>o68k0;66a>d483>>{e<l=1<7:50;2x 4cd28<>7E;;4:J675=#98?1j45f11;94?=n99k1<75f11`94?=h9m?1<75rb5g5>5<3290;w)?jc;351>N2<=1C9>>4$036>c?<a8:26=44i02b>5<<a8:i6=44o0f6>5<<uk>n97>54;294~"6mj0::85G5568L0573-;:97h6;h33=?6=3`;;m7>5;h33f?6=3f;o97>5;|`7a1<72=0;6=u+1da9533<@<>?7E;<0:&250<a12c:<44?::k24d<722c:<o4?::m2`0<722wi8h=50;694?6|,8oh6<8:;I770>N2;91/=<;5f89j55?=831b==o50;9j55d=831d=i;50;9~f1c5290?6=4?{%3fg?71=2B>895G5228 4722o30e<>6:188m46f2900e<>m:188k4b22900qo:j1;290?6=8r.:in4>649K112<@<9;7)?>5;d:?l7713:17d??a;29?l77j3:17b?k5;29?xd3m90;694?:1y'5`e=9??0D8:;;I704>"69<0m56g>0883>>o68h0;66g>0c83>>i6l<0;66sm4ed94?2=83:p(<kl:046?M33<2B>?=5+1079b<=n9931<75f11c94?=n99h1<75`1e794?=zj=nn6=4;:183\7f!7bk3;=96F:459K166<,8;>6k74i02:>5<<a8:j6=44i02a>5<<g8n>6=44}c6g`?6=<3:1<v*>eb8220=O==>0D8=?;%321?`>3`;;57>5;h33e?6=3`;;n7>5;n3g1?6=3th?hn4?:583>5}#9li1=;;4H467?M3482.:=84i9:k24<<722c:<l4?::k24g<722e:h84?::\7fa0ad=83>1<7>t$0g`>4023A??86F:319'543=n01b==750;9j55g=831b==l50;9l5a3=831vn9jn:187>5<7s-;no7?95:J601=O=::0(<?::g;8m46>2900e<>n:188m46e2900c<j::188yg2c13:187>50z&2af<6><1C99:4H413?!76=3l27d??9;29?l77i3:17d??b;29?j7c=3:17pl;d983>1<729q/=hm51778L0233A?8<6*>148e=>o6800;66g>0`83>>o68k0;66a>d483>>{e<m=1<7:50;2x 4cd28<>7E;;4:J675=#98?1j45f11;94?=n99k1<75f11`94?=h9m?1<75rb2;0>5<5290;w)?jc;355>N2<=1C9>>4$036>45<a8:26=44o0f6>5<<uk9j97>52;294~"6mj0::<5G5568L0573-;:97?<;h33=?6=3f;o97>5;|`0e6<72;0;6=u+1da9537<@<>?7E;<0:&250<6;2c:<44?::m2`0<722wi?l?50;094?6|,8oh6<8>;I770>N2;91/=<;5129j55?=831d=i;50;9~f6?a29096=4?{%3fg?7192B>895G5228 4722890e<>6:188k4b22900qo=6d;296?6=8r.:in4>609K112<@<9;7)?>5;30?l7713:17b?k5;29?xd41k0;6?4?:1y'5`e=9?;0D8:;;I704>"69<0:?6g>0883>>i6l<0;66sm38;94?4=83:p(<kl:042?M33<2B>?=5+107956=n9931<75`1e794?=zj:3<6=4=:183\7f!7bk3;==6F:459K166<,8;>6<=4i02:>5<<g8n>6=44}c1:1?6=:3:1<v*>eb8224=O==>0D8=?;%321?743`;;57>5;n3g1?6=3th85<4?:383>5}#9li1=;?4H467?M3482.:=84>3:k24<<722e:h84?::\7fa03>=8381<7>t$0g`>4063A??86F:319'543=9:1b==750;9l5a3=831vn99n:181>5<7s-;no7?91:J601=O=::0(<?::018m46>2900c<j::188yg2003:1>7>50z&2af<6>81C99:4H413?!76=3;87d??9;29?j7c=3:17pl;7783>7<729q/=hm51738L0233A?8<6*>14827>o6800;66a>d483>>{e<>>1<7<50;2x 4cd28<:7E;;4:J675=#98?1=>5f11;94?=h9m?1<75rb551>5<5290;w)?jc;355>N2<=1C9>>4$036>45<a8:26=44o0f6>5<<uk><<7>52;294~"6mj0::<5G5568L0573-;:97?<;h33=?6=3f;o97>5;|`72`<72;0;6=u+1da9537<@<>?7E;<0:&250<6;2c:<44?::m2`0<722wi8;m50;094?6|,8oh6<8>;I770>N2;91/=<;5129j55?=831d=i;50;9~f10f29096=4?{%3fg?7192B>895G5228 4722890e<>6:188k4b22900qo:96;296?6=8r.:in4>609K112<@<9;7)?>5;30?l7713:17b?k5;29?xd4i00;6?4?:1y'5`e=9?;0D8:;;I704>"69<0:?6g>0883>>i6l<0;66sm46g94?4=83:p(<kl:042?M33<2B>?=5+107956=n9931<75`1e794?=zj;9;6=4<:183\7f!7bk3;=>6F:459K166<,8;>6?<4i02:>5<<a8:j6=44o0f6>5<<uk?:57>54;294~"6mj0::85G5568L0573-;:97hl;h33=?6=3`;;m7>5;h33f?6=3f;o97>5;|`7`7<72<0;6=u+1da9531<@<>?7E;<0:&250<al2c:<44?::k24d<722c:<o4?::k24f<722e:h84?::\7fa0a7=83?1<7>t$0g`>4003A??86F:319'543==2c:<44?::k24d<722c:<o4?::k24f<722e:h84?::\7fa0`d=83>1<7>t$0g`>4023A??86F:319'543=:k1b==750;9j55g=831b==l50;9l5a3=831vn9kn:180>5<7s-;no7??0:J601=O=::0(<?::39j0c<722c>h7>5;n3gg?6=3th9n44?:483>5}#9li1=;94H467?M3482.:=84=4:k24<<722c:<l4?::k24g<722c:<n4?::m2`0<722wi>om50;794?6|,8oh6<88;I770>N2;91/=<;5259j55?=831b==o50;9j55d=831b==m50;9l5a3=831vn?lm:186>5<7s-;no7?97:J601=O=::0(<?::368m46>2900e<>n:188m46e2900e<>l:188k4b22900qo<=c;291?6=8r.:in4>669K112<@<9;7)?>5;07?l7713:17d??a;29?l77j3:17d??c;29?j7c=3:17pl=2d83>0<729q/=hm51758L0233A?8<6*>1481e>o6800;66g>0`83>>o68k0;66g>0b83>>i6l<0;66sm22094?3=83:p(<kl:044?M33<2B>?=5+107961=n9931<75f11c94?=n99h1<75f11a94?=h9m?1<75rb3;6>5<2290;w)?jc;353>N2<=1C9>>4$036>72<a8:26=44i02b>5<<a8:i6=44i02`>5<<g8n>6=44}c0:7?6==3:1<v*>eb8222=O==>0D8=?;%321?4f3`;;57>5;h33e?6=3`;;n7>5;h33g?6=3f;o97>5;|`1f3<72<0;6=u+1da9531<@<>?7E;<0:&250<5<2c:<44?::k24d<722c:<o4?::k24f<722e:h84?::\7fa6=?=83?1<7>t$0g`>4003A??86F:319'543=:h1b==750;9j55g=831b==l50;9j55e=831d=i;50;9~f7dc290>6=4?{%3fg?71?2B>895G5228 4722;>0e<>6:188m46f2900e<>m:188m46d2900c<j::188yg22;3:1?7>50z&2af<6>;1C99:4H413?!76=3;87d??9;29?l77i3:17b?k5;29?xd3==0;6>4?:1y'5`e=9?80D8:;;I704>"69<0:?6g>0883>>o68h0;66a>d483>>{e<<?1<7=50;2x 4cd28<97E;;4:J675=#98?1=>5f11;94?=n99k1<75`1e794?=zj=?=6=4<:183\7f!7bk3;=>6F:459K166<,8;>6<=4i02:>5<<a8:j6=44o0f6>5<<uk>>;7>53;294~"6mj0::?5G5568L0573-;:97?<;h33=?6=3`;;m7>5;n3g1?6=3th?954?:283>5}#9li1=;<4H467?M3482.:=84>3:k24<<722c:<l4?::m2`0<722wi8i>50;094?6|,8oh6<;6;I770>N2;91b==650;9l5a3=831vn8?>:18`6?6=8r.:in4>de9K112<@<9;7W:j:by25?7528>1=84>b;3`>4b=9l0::7?8:0d965<zfji1<6`je;28 4312:1/=8953:&24a<33-;;i7:4$02e>1=#98:186*>1087?!76:3>0(<k::gd8 4c?2:1/=<854:&252<33-;:47:4$03:>1=#98k186*>1c87?!76k3>0(<?k:59'54c=<2.:=k4;;%314?2<,88:695+13090>"6::0?7)?=4;68 4422=1/=?854:&262<33-;947:4$00:>1=#9;k186*>2c87?!75k3>0(<<k:59'57c=<2.:>k4;;%304?2<,89:695+12090>"6;:0?7)?<4;68 4522=1/=>854:&272<33-;847:4$01:>1=#9:k186*>3c87?!74k3>0(<=k:59'56c=<2.:?k4;;%374?2<,8>:695+15090>"6<:0?7)?;4;68 4222=1/=9854:&202<33-;?47:4$06:>1=#9=k186*>4c87?!73k3>0(<:k:59'51c=<2.:8k4;;%364?2<,8?:695+14090>"6=:0?7)?:4;68 4322=1/=8651e68 43f2ol0(<;m:gd8 4bb28n?7)?kf;3g0>h6m90?7c?j1;68 4c128n?7)?>3;68 4732=1/9>k552f8 05a2<9o7c;;0;68j0262=1/=h753:k64?6=3`?:6=44i4594?=n=10;66gia;29?l7b:3:17d?j3;29?l`e2900e<kn:188m4ce2900c8<50;9l16<722c8>7>5$0fb>67<f8n26=54i2294?"6lh08=6`>d882?>o5n3:1(<jn:238j4b>2;10e?k50;&2`d<492d:h44<;:k1`?6=,8nj6>?4n0f:>1=<a:h1<7*>d`805>h6l00>76g<a;29 4bf2:;0b<j6:798m6?=83.:hl4<1:l2`<<032c847>5$0fb>67<f8n26554i2594?"6lh08=6`>d88:?>o4>3:1(<jn:238j4b>2h10e>;50;&2`d<492d:h44m;:k00?6=,8nj6>?4n0f:>f=<a:91<7*>d`805>h6l00o76g=c;29 4bf2:;0b<j6:d98m14=83.:hl4;1:l2`<<732c?<7>5$0fb>17<f8n26<54i2d94?"6lh0?=6`>d881?>o4m3:1(<jn:538j4b>2:10e>j50;&2`d<392d:h44;;:k7f?6=,8nj69?4n0f:>0=<a=k1<7*>d`875>h6l00=76g;9;29 4bf2=;0b<j6:698m1>=83.:hl4;1:l2`<<?32c?;7>5$0fb>17<f8n26454i5494?"6lh0?=6`>d88b?>o3=3:1(<jn:538j4b>2k10e9:50;&2`d<392d:h44l;:k77?6=,8nj69?4n0f:>a=<a:i1<7*>d`875>h6l00n76g88;29 4bf2>=0b<j6:198m20=83.:hl487:l2`<<632c<87>5$0fb>21<f8n26?54i6194?"6lh0<;6`>d880?>o0:3:1(<jn:658j4b>2=10e:?50;&2`d<0?2d:h44:;:k44?6=,8nj6:94n0f:>3=<a?l1<7*>d`843>h6l00<76g9e;29 4bf2>=0b<j6:998m3b=83.:hl487:l2`<<>32c=o7>5$0fb>21<f8n26l54i7`94?"6lh0<;6`>d88a?>o113:1(<jn:658j4b>2j10e;650;&2`d<0?2d:h44k;:k53?6=,8nj6:94n0f:>`=<a?<1<7*>d`843>h6l00m76g95;29 4bf2>=0b<j6:028?l03290/=io5769m5a?=9810e;=50;&2`d<0?2d:h44>2:9j27<72-;om798;o3g=?7432c==7>5$0fb>21<f8n26<:4;h43>5<#9mk1;:5a1e;950=<a>l1<7*>d`843>h6l00::65f7d83>!7ci3=<7c?k9;34?>o0l3:1(<jn:658j4b>28207d9l:18'5ag=?>1e=i751898m2d=83.:hl487:l2`<<6i21b;l4?:%3ge?103g;o57?m;:k4=?6=,8nj6:94n0f:>4e<3`=>6=4+1ec932=i9m31=i54i7c94?"6lh0<;6`>d882a>=n=o0;6)?ka;54?k7c13;m76g69;29 4bf2020b<j6:198m<1=83.:hl468:l2`<<632c297>5$0fb><><f8n26?54i8694?"6lh0246`>d880?>o>;3:1(<jn:8:8j4b>2=10e4<50;&2`d<>02d:h44:;:k:5?6=,8nj6464n0f:>3=<a0:1<7*>d`8:<>h6l00<76g7f;29 4bf2020b<j6:998m=c=83.:hl468:l2`<<>32c3h7>5$0fb><><f8n26l54i9a94?"6lh0246`>d88a?>o?i3:1(<jn:8:8j4b>2j10e5750;&2`d<>02d:h44k;:k;<?6=,8nj6464n0f:>`=<a1=1<7*>d`8:<>h6l00m76g76;29 4bf2020b<j6:028?l>2290/=io5999m5a?=9810e5:50;&2`d<>02d:h44>2:9j<6<72-;om777;o3g=?7432c3>7>5$0fb><><f8n26<:4;h:2>5<#9mk1555a1e;950=<ah:1<7*>d`8:<>h6l00::65f9g83>!7ci3337c?k9;34?>o>m3:1(<jn:8:8j4b>28207d7k:18'5ag=111e=i751898m<e=83.:hl468:l2`<<6i21b5o4?:%3ge???3g;o57?m;:k:e?6=,8nj6464n0f:>4e<3`3=6=4+1ec9===i9m31=i54i9`94?"6lh0246`>d882a>=n090;6)?ka;;;?k7c13;m76gn5;29 4bf2h>0b<j6:198md5=83.:hl4n4:l2`<<632cj>7>5$0fb>d2<f8n26?54i`394?"6lh0j86`>d880?>ofi3:1(<jn:`;8j4b>2910el650;&2`d<f12d:h44>;:kb3?6=,8nj6l74n0f:>7=<ah<1<7*>d`8b=>h6l00876g:3683>!7ci3?8:6`>d883?>o2;<0;6)?ka;702>h6l00:76g:3583>!7ci3?8:6`>d881?>o2;:0;6)?ka;702>h6l00876g:3b83>!7ci3?8n6`>d883?>o2;h0;6)?ka;70f>h6l00:76g:3883>!7ci3?8n6`>d881?>o2;10;6)?ka;70f>h6l00876al4;29 4bf2j90b<j6:198kf4=83.:hl4l3:l2`<<632eh<7>5$0fb>f5<f8n26?54ocd94?"6lh0h?6`>d880?>iem3:1(<jn:b18j4b>2=10coj50;&2`d<d;2d:h44:;:mag?6=,8nj6n=4n0f:>3=<gkh1<7*>d`8`7>h6l00<76ama;29 4bf2j90b<j6:998kg?=83.:hl4l3:l2`<<>32ei47>5$0fb>f5<f8n26l54oc594?"6lh0h?6`>d88a?>ie=3:1(<jn:b18j4b>2j10co:50;&2`d<d;2d:h44k;:ma7?6=,8nj6n=4n0f:>`=<gk81<7*>d`8`7>h6l00m76am1;29 4bf2j90b<j6:028?jd7290/=io5c29m5a?=9810clh50;&2`d<d;2d:h44>2:9le`<72-;om7m<;o3g=?7432ejh7>5$0fb>f5<f8n26<:4;nc`>5<#9mk1o>5a1e;950=<gjh1<7*>d`8`7>h6l00::65`c`83>!7ci3i87c?k9;34?>id13:1(<jn:b18j4b>28207bm7:18'5ag=k:1e=i751898kf1=83.:hl4l3:l2`<<6i21do;4?:%3ge?e43g;o57?m;:m`1?6=,8nj6n=4n0f:>4e<3fi:6=4+1ec9g6=i9m31=i54oc494?"6lh0h?6`>d882a>=hik0;6)?ka;a0?k7c13;m76aj6;29 4bf2l?0b<j6:198k`2=83.:hl4j5:l2`<<632en>7>5$0fb>`3<f8n26?54od394?"6lh0n96`>d880?>ib83:1(<jn:d78j4b>2=10cih50;&2`d<b=2d:h44:;:mga?6=,8nj6h;4n0f:>3=<gmn1<7*>d`8f1>h6l00<76akc;29 4bf2l?0b<j6:998kad=83.:hl4j5:l2`<<>32eom7>5$0fb>`3<f8n26l54oe;94?"6lh0n96`>d88a?>ic?3:1(<jn:d78j4b>2j10ci850;&2`d<b=2d:h44k;:mg1?6=,8nj6h;4n0f:>`=<gm>1<7*>d`8f1>h6l00m76ak3;29 4bf2l?0b<j6:028?jb5290/=io5e49m5a?=9810ci?50;&2`d<b=2d:h44>2:9l`5<72-;om7k:;o3g=?7432ehj7>5$0fb>`3<f8n26<:4;naf>5<#9mk1i85a1e;950=<gln1<7*>d`8f1>h6l00::65`eb83>!7ci3o>7c?k9;34?>ibj3:1(<jn:d78j4b>28207bkn:18'5ag=m<1e=i751898k`?=83.:hl4j5:l2`<<6i21di54?:%3ge?c23g;o57?m;:mf3?6=,8nj6h;4n0f:>4e<3fo86=4+1ec9a0=i9m31=i54oe:94?"6lh0n96`>d882a>=hkm0;6)?ka;g6?k7c13;m76ai3;29 4bf2o80b<j6:198kc7=83.:hl4i2:l2`<<632em<7>5$0fb>c4<f8n26?54odd94?"6lh0m>6`>d880?>ia03:1(<jn:g58j4b>2910ck850;&2`d<a?2d:h44>;:me1?6=,8nj6k94n0f:>7=<go>1<7*>d`8e3>h6l00876sm50094?e5290;w)?jc;3g`>N2<=1C9>>4Z5g9g~762881=94>5;3a>4e=9m0:i7?9:0595c<583weon4?;ogf>5=#9<<1?6*>5680?!77l3>0(<>j:59'55`=<2.:==4;;%325?2<,8;9695+1d79bc=#9l21?6*>1787?!76?3>0(<?7:59'54?=<2.:=l4;;%32f?2<,8;h695+10f90>"69l0?7)?>f;68 4472=1/=??54:&267<33-;9?7:4$007>1=#9;?186*>2787?!75?3>0(<<7:59'57?=<2.:>l4;;%31f?2<,88h695+13f90>"6:l0?7)?=f;68 4572=1/=>?54:&277<33-;8?7:4$017>1=#9:?186*>3787?!74?3>0(<=7:59'56?=<2.:?l4;;%30f?2<,89h695+12f90>"6;l0?7)?<f;68 4272=1/=9?54:&207<33-;??7:4$067>1=#9=?186*>4787?!73?3>0(<:7:59'51?=<2.:8l4;;%37f?2<,8>h695+15f90>"6<l0?7)?;f;68 4372=1/=8?54:&217<33-;>?7:4$077>1=#9<?186*>5982`1=#9<k1jk5+14`9bc=#9mo1=i:4$0fe>4b33g;n<7:4n0g2>1=#9l<1=i:4$030>1=#98>186*:3d867a=#=:l19>j4n463>1=i==;186*>e880?l372900e8?50;9j12<722c>47>5;hdb>5<<a8o96=44i0g0>5<<aoh1<75f1dc94?=n9lh1<75`5383>>i2;3:17d==:18'5ag=;81e=i750:9j75<72-;om7=>;o3g=?7<3`8m6=4+1ec974=i9m31>65f2d83>!7ci39:7c?k9;18?l4c290/=io5309m5a?=<21b?o4?:%3ge?563g;o57;4;h1b>5<#9mk1?<5a1e;92>=n;00;6)?ka;12?k7c13=07d=7:18'5ag=;81e=i758:9j72<72-;om7=>;o3g=??<3`9=6=4+1ec974=i9m31m65f3483>!7ci39:7c?k9;`8?l53290/=io5309m5a?=k21b?>4?:%3ge?563g;o57j4;h0`>5<#9mk1?<5a1e;9a>=n<;0;6)?ka;62?k7c13:07d:?:18'5ag=<81e=i751:9j7c<72-;om7:>;o3g=?4<3`9n6=4+1ec904=i9m31?65f3e83>!7ci3>:7c?k9;68?l2e290/=io5409m5a?==21b8l4?:%3ge?263g;o5784;h6:>5<#9mk18<5a1e;93>=n<10;6)?ka;62?k7c13207d:8:18'5ag=<81e=i759:9j03<72-;om7:>;o3g=?g<3`>>6=4+1ec904=i9m31n65f4583>!7ci3>:7c?k9;a8?l24290/=io5409m5a?=l21b?n4?:%3ge?263g;o57k4;h5;>5<#9mk1;:5a1e;94>=n??0;6)?ka;54?k7c13;07d9;:18'5ag=?>1e=i752:9j36<72-;om798;o3g=?5<3`=96=4+1ec932=i9m31865f7083>!7ci3=<7c?k9;78?l17290/=io5769m5a?=>21b:k4?:%3ge?103g;o5794;h4f>5<#9mk1;:5a1e;9<>=n>m0;6)?ka;54?k7c13307d8l:18'5ag=?>1e=i75a:9j2g<72-;om798;o3g=?d<3`<26=4+1ec932=i9m31o65f6983>!7ci3=<7c?k9;f8?l00290/=io5769m5a?=m21b:;4?:%3ge?103g;o57h4;h46>5<#9mk1;:5a1e;955=<a?>1<7*>d`843>h6l00:=65f6283>!7ci3=<7c?k9;31?>o1:3:1(<jn:658j4b>28907d8>:18'5ag=?>1e=i751598m36=83.:hl487:l2`<<6=21b;k4?:%3ge?103g;o57?9;:k4a?6=,8nj6:94n0f:>41<3`=o6=4+1ec932=i9m31=554i6a94?"6lh0<;6`>d882=>=n?k0;6)?ka;54?k7c13;j76g8a;29 4bf2>=0b<j6:0`8?l1>290/=io5769m5a?=9j10e:;50;&2`d<0?2d:h44>d:9j2d<72-;om798;o3g=?7b32c>j7>5$0fb>21<f8n26<h4;h;:>5<#9mk1555a1e;94>=n1>0;6)?ka;;;?k7c13;07d7::18'5ag=111e=i752:9j=1<72-;om777;o3g=?5<3`386=4+1ec9===i9m31865f9383>!7ci3337c?k9;78?l?6290/=io5999m5a?=>21b5=4?:%3ge???3g;o5794;h:e>5<#9mk1555a1e;9<>=n0l0;6)?ka;;;?k7c13307d6k:18'5ag=111e=i75a:9j<f<72-;om777;o3g=?d<3`2j6=4+1ec9===i9m31o65f8883>!7ci3337c?k9;f8?l>?290/=io5999m5a?=m21b4:4?:%3ge???3g;o57h4;h:5>5<#9mk1555a1e;955=<a1?1<7*>d`8:<>h6l00:=65f8583>!7ci3337c?k9;31?>o?;3:1(<jn:8:8j4b>28907d6=:18'5ag=111e=i751598m=7=83.:hl468:l2`<<6=21bm=4?:%3ge???3g;o57?9;:k:b?6=,8nj6464n0f:>41<3`3n6=4+1ec9===i9m31=554i8f94?"6lh0246`>d882=>=n1j0;6)?ka;;;?k7c13;j76g6b;29 4bf2020b<j6:0`8?l?f290/=io5999m5a?=9j10e4850;&2`d<>02d:h44>d:9j<g<72-;om777;o3g=?7b32c3<7>5$0fb><><f8n26<h4;hc6>5<#9mk1m95a1e;94>=ni:0;6)?ka;c7?k7c13;07do=:18'5ag=i=1e=i752:9je4<72-;om7o;;o3g=?5<3`kj6=4+1ec9e<=i9m31<65fa983>!7ci3k27c?k9;38?lg0290/=io5a89m5a?=:21bm;4?:%3ge?g>3g;o57=4;h703?6=,8nj68=9;o3g=?6<3`?897>5$0fb>0513g;o57?4;h700?6=,8nj68=9;o3g=?4<3`?8?7>5$0fb>0513g;o57=4;h70g?6=,8nj68=m;o3g=?6<3`?8m7>5$0fb>05e3g;o57?4;h70=?6=,8nj68=m;o3g=?4<3`?847>5$0fb>05e3g;o57=4;na7>5<#9mk1o>5a1e;94>=hk;0;6)?ka;a0?k7c13;07bm?:18'5ag=k:1e=i752:9lfc<72-;om7m<;o3g=?5<3fhn6=4+1ec9g6=i9m31865`be83>!7ci3i87c?k9;78?jdd290/=io5c29m5a?=>21dno4?:%3ge?e43g;o5794;n`b>5<#9mk1o>5a1e;9<>=hj00;6)?ka;a0?k7c13307bl7:18'5ag=k:1e=i75a:9lf2<72-;om7m<;o3g=?d<3fh>6=4+1ec9g6=i9m31o65`b583>!7ci3i87c?k9;f8?jd4290/=io5c29m5a?=m21dn?4?:%3ge?e43g;o57h4;n`2>5<#9mk1o>5a1e;955=<gk:1<7*>d`8`7>h6l00:=65`ag83>!7ci3i87c?k9;31?>ifm3:1(<jn:b18j4b>28907bok:18'5ag=k:1e=i751598kde=83.:hl4l3:l2`<<6=21doo4?:%3ge?e43g;o57?9;:m`e?6=,8nj6n=4n0f:>41<3fi26=4+1ec9g6=i9m31=554ob:94?"6lh0h?6`>d882=>=hk>0;6)?ka;a0?k7c13;j76al6;29 4bf2j90b<j6:0`8?je2290/=io5c29m5a?=9j10cn?50;&2`d<d;2d:h44>d:9lf3<72-;om7m<;o3g=?7b32ejn7>5$0fb>f5<f8n26<h4;ng5>5<#9mk1i85a1e;94>=hm=0;6)?ka;g6?k7c13;07bk=:18'5ag=m<1e=i752:9la4<72-;om7k:;o3g=?5<3fo;6=4+1ec9a0=i9m31865`dg83>!7ci3o>7c?k9;78?jbb290/=io5e49m5a?=>21dhi4?:%3ge?c23g;o5794;nf`>5<#9mk1i85a1e;9<>=hlk0;6)?ka;g6?k7c13307bjn:18'5ag=m<1e=i75a:9l`<<72-;om7k:;o3g=?d<3fn<6=4+1ec9a0=i9m31o65`d783>!7ci3o>7c?k9;f8?jb2290/=io5e49m5a?=m21dh94?:%3ge?c23g;o57h4;nf0>5<#9mk1i85a1e;955=<gm81<7*>d`8f1>h6l00:=65`d083>!7ci3o>7c?k9;31?>ic83:1(<jn:d78j4b>28907bmi:18'5ag=m<1e=i751598kfc=83.:hl4j5:l2`<<6=21dii4?:%3ge?c23g;o57?9;:mfg?6=,8nj6h;4n0f:>41<3foi6=4+1ec9a0=i9m31=554odc94?"6lh0n96`>d882=>=hm00;6)?ka;g6?k7c13;j76aj8;29 4bf2l?0b<j6:0`8?jc0290/=io5e49m5a?=9j10ch=50;&2`d<b=2d:h44>d:9l`=<72-;om7k:;o3g=?7b32ehh7>5$0fb>`3<f8n26<h4;nd0>5<#9mk1j?5a1e;94>=hn80;6)?ka;d1?k7c13;07bh?:18'5ag=n;1e=i752:9lac<72-;om7h=;o3g=?5<3fl36=4+1ec9b2=i9m31<65`f783>!7ci3l<7c?k9;38?j`2290/=io5f69m5a?=:21dj94?:%3ge?`03g;o57=4;|`656<72j81<7>t$0g`>4bc3A??86F:319Y0`<ds8;1=?4>4;36>4d=9j0:h7?j:04952<6n38;6p`lc;28j`c=82.:9;4<;%363?5<,8:o695+11g90>"68o0?7)?>0;68 4762=1/=<<54:&2a0<an2.:i54<;%322?2<,8;<695+10:90>"6900?7)?>a;68 47e2=1/=<m54:&25a<33-;:i7:4$03e>1=#9;:186*>2087?!75:3>0(<<<:59'572=<2.:>84;;%312?2<,88<695+13:90>"6:00?7)?=a;68 44e2=1/=?m54:&26a<33-;9i7:4$00e>1=#9::186*>3087?!74:3>0(<=<:59'562=<2.:?84;;%302?2<,89<695+12:90>"6;00?7)?<a;68 45e2=1/=>m54:&27a<33-;8i7:4$01e>1=#9=:186*>4087?!73:3>0(<:<:59'512=<2.:884;;%372?2<,8><695+15:90>"6<00?7)?;a;68 42e2=1/=9m54:&20a<33-;?i7:4$06e>1=#9<:186*>5087?!72:3>0(<;<:59'502=<2.:984;;%36<?7c<2.:9l4if:&21g<an2.:hh4>d59'5a`=9m>0b<k?:59m5`7=<2.:i;4>d59'545=<2.:=94;;%70a?34l2.>?k4:3e9m116=<2d>8<4;;%3f=?5<a<:1<75f5083>>o2?3:17d;7:188mcg=831b=h<50;9j5`5=831bjo4?::k2ad<722c:io4?::m66?6=3f?86=44i2094?"6lh08=6`>d883?>o483:1(<jn:238j4b>2810e?h50;&2`d<492d:h44=;:k1a?6=,8nj6>?4n0f:>6=<a;n1<7*>d`805>h6l00?76g<b;29 4bf2:;0b<j6:498m6g=83.:hl4<1:l2`<<132c857>5$0fb>67<f8n26:54i2:94?"6lh08=6`>d88;?>o4?3:1(<jn:238j4b>2010e>850;&2`d<492d:h44n;:k01?6=,8nj6>?4n0f:>g=<a:>1<7*>d`805>h6l00h76g<3;29 4bf2:;0b<j6:e98m7e=83.:hl4<1:l2`<<b32c?>7>5$0fb>17<f8n26=54i5294?"6lh0?=6`>d882?>o4n3:1(<jn:538j4b>2;10e>k50;&2`d<392d:h44<;:k0`?6=,8nj69?4n0f:>1=<a=h1<7*>d`875>h6l00>76g;a;29 4bf2=;0b<j6:798m1?=83.:hl4;1:l2`<<032c?47>5$0fb>17<f8n26554i5594?"6lh0?=6`>d88:?>o3>3:1(<jn:538j4b>2h10e9;50;&2`d<392d:h44m;:k70?6=,8nj69?4n0f:>f=<a=91<7*>d`875>h6l00o76g<c;29 4bf2=;0b<j6:d98m2>=83.:hl487:l2`<<732c<:7>5$0fb>21<f8n26<54i6694?"6lh0<;6`>d881?>o0;3:1(<jn:658j4b>2:10e:<50;&2`d<0?2d:h44;;:k45?6=,8nj6:94n0f:>0=<a>:1<7*>d`843>h6l00=76g9f;29 4bf2>=0b<j6:698m3c=83.:hl487:l2`<<?32c=h7>5$0fb>21<f8n26454i7a94?"6lh0<;6`>d88b?>o1j3:1(<jn:658j4b>2k10e;750;&2`d<0?2d:h44l;:k5<?6=,8nj6:94n0f:>a=<a?=1<7*>d`843>h6l00n76g96;29 4bf2>=0b<j6:g98m33=83.:hl487:l2`<<6821b:94?:%3ge?103g;o57?>;:k57?6=,8nj6:94n0f:>44<3`<96=4+1ec932=i9m31=>54i7394?"6lh0<;6`>d8820>=n>90;6)?ka;54?k7c13;>76g8f;29 4bf2>=0b<j6:048?l1b290/=io5769m5a?=9>10e:j50;&2`d<0?2d:h44>8:9j3f<72-;om798;o3g=?7>32c<n7>5$0fb>21<f8n26<o4;h5b>5<#9mk1;:5a1e;95g=<a>31<7*>d`843>h6l00:o65f7483>!7ci3=<7c?k9;3g?>o1i3:1(<jn:658j4b>28o07d;i:18'5ag=?>1e=i751g98m<?=83.:hl468:l2`<<732c2;7>5$0fb><><f8n26<54i8794?"6lh0246`>d881?>o><3:1(<jn:8:8j4b>2:10e4=50;&2`d<>02d:h44;;:k:6?6=,8nj6464n0f:>0=<a0;1<7*>d`8:<>h6l00=76g60;29 4bf2020b<j6:698m=`=83.:hl468:l2`<<?32c3i7>5$0fb><><f8n26454i9f94?"6lh0246`>d88b?>o?k3:1(<jn:8:8j4b>2k10e5o50;&2`d<>02d:h44l;:k;=?6=,8nj6464n0f:>a=<a121<7*>d`8:<>h6l00n76g77;29 4bf2020b<j6:g98m=0=83.:hl468:l2`<<6821b484?:%3ge???3g;o57?>;:k;0?6=,8nj6464n0f:>44<3`286=4+1ec9===i9m31=>54i9094?"6lh0246`>d8820>=n080;6)?ka;;;?k7c13;>76gn0;29 4bf2020b<j6:048?l?a290/=io5999m5a?=9>10e4k50;&2`d<>02d:h44>8:9j=a<72-;om777;o3g=?7>32c2o7>5$0fb><><f8n26<o4;h;a>5<#9mk1555a1e;95g=<a0k1<7*>d`8:<>h6l00:o65f9783>!7ci3337c?k9;3g?>o?j3:1(<jn:8:8j4b>28o07d6?:18'5ag=111e=i751g98md3=83.:hl4n4:l2`<<732cj?7>5$0fb>d2<f8n26<54i`094?"6lh0j86`>d881?>of93:1(<jn:`68j4b>2:10elo50;&2`d<f12d:h44?;:kb<?6=,8nj6l74n0f:>4=<ah=1<7*>d`8b=>h6l00976gn6;29 4bf2h30b<j6:298m050290/=io55248j4b>2910e8=::18'5ag==:<0b<j6:098m053290/=io55248j4b>2;10e8=<:18'5ag==:<0b<j6:298m05d290/=io552`8j4b>2910e8=n:18'5ag==:h0b<j6:098m05>290/=io552`8j4b>2;10e8=7:18'5ag==:h0b<j6:298kf2=83.:hl4l3:l2`<<732eh>7>5$0fb>f5<f8n26<54ob294?"6lh0h?6`>d881?>ien3:1(<jn:b18j4b>2:10cok50;&2`d<d;2d:h44;;:ma`?6=,8nj6n=4n0f:>0=<gki1<7*>d`8`7>h6l00=76amb;29 4bf2j90b<j6:698kgg=83.:hl4l3:l2`<<?32ei57>5$0fb>f5<f8n26454oc:94?"6lh0h?6`>d88b?>ie?3:1(<jn:b18j4b>2k10co;50;&2`d<d;2d:h44l;:ma0?6=,8nj6n=4n0f:>a=<gk91<7*>d`8`7>h6l00n76am2;29 4bf2j90b<j6:g98kg7=83.:hl4l3:l2`<<6821dn=4?:%3ge?e43g;o57?>;:mbb?6=,8nj6n=4n0f:>44<3fkn6=4+1ec9g6=i9m31=>54o`f94?"6lh0h?6`>d8820>=hij0;6)?ka;a0?k7c13;>76alb;29 4bf2j90b<j6:048?jef290/=io5c29m5a?=9>10cn750;&2`d<d;2d:h44>8:9lg=<72-;om7m<;o3g=?7>32eh;7>5$0fb>f5<f8n26<o4;na5>5<#9mk1o>5a1e;95g=<gj?1<7*>d`8`7>h6l00:o65`c083>!7ci3i87c?k9;3g?>ie>3:1(<jn:b18j4b>28o07bom:18'5ag=k:1e=i751g98k`0=83.:hl4j5:l2`<<732en87>5$0fb>`3<f8n26<54od094?"6lh0n96`>d881?>ib93:1(<jn:d78j4b>2:10ch>50;&2`d<b=2d:h44;;:mgb?6=,8nj6h;4n0f:>0=<gmo1<7*>d`8f1>h6l00=76akd;29 4bf2l?0b<j6:698kae=83.:hl4j5:l2`<<?32eon7>5$0fb>`3<f8n26454oec94?"6lh0n96`>d88b?>ic13:1(<jn:d78j4b>2k10ci950;&2`d<b=2d:h44l;:mg2?6=,8nj6h;4n0f:>a=<gm?1<7*>d`8f1>h6l00n76ak4;29 4bf2l?0b<j6:g98ka5=83.:hl4j5:l2`<<6821dh?4?:%3ge?c23g;o57?>;:mg5?6=,8nj6h;4n0f:>44<3fn;6=4+1ec9a0=i9m31=>54obd94?"6lh0n96`>d8820>=hkl0;6)?ka;g6?k7c13;>76ajd;29 4bf2l?0b<j6:048?jcd290/=io5e49m5a?=9>10chl50;&2`d<b=2d:h44>8:9lad<72-;om7k:;o3g=?7>32en57>5$0fb>`3<f8n26<o4;ng;>5<#9mk1i85a1e;95g=<gl=1<7*>d`8f1>h6l00:o65`e283>!7ci3o>7c?k9;3g?>ic03:1(<jn:d78j4b>28o07bmk:18'5ag=m<1e=i751g98kc5=83.:hl4i2:l2`<<732em=7>5$0fb>c4<f8n26<54og294?"6lh0m>6`>d881?>ibn3:1(<jn:g08j4b>2:10ck650;&2`d<a?2d:h44?;:me2?6=,8nj6k94n0f:>4=<go?1<7*>d`8e3>h6l00976ai4;29 4bf2o=0b<j6:298yg36<3:1o?4?:1y'5`e=9mn0D8:;;I704>\3m3ip=<4>2;37>43=9k0:o7?k:0g953<6?3;m6?>5}oa`>5=iml0;7)?:6;18 4302:1/==j54:&24`<33-;;j7:4$033>1=#98;186*>1387?!7b=3lm7)?j8;18 4712=1/=<954:&25=<33-;:57:4$03b>1=#98h186*>1b87?!76l3>0(<?j:59'54`=<2.:>=4;;%315?2<,889695+13190>"6:=0?7)?=5;68 4412=1/=?954:&26=<33-;957:4$00b>1=#9;h186*>2b87?!75l3>0(<<j:59'57`=<2.:?=4;;%305?2<,899695+12190>"6;=0?7)?<5;68 4512=1/=>954:&27=<33-;857:4$01b>1=#9:h186*>3b87?!74l3>0(<=j:59'56`=<2.:8=4;;%375?2<,8>9695+15190>"6<=0?7)?;5;68 4212=1/=9954:&20=<33-;?57:4$06b>1=#9=h186*>4b87?!73l3>0(<:j:59'51`=<2.:9=4;;%365?2<,8?9695+14190>"6==0?7)?:5;68 43?28n?7)?:a;de?!72j3lm7)?ke;3g0>"6lo0:h95a1d290>h6m80?7)?j6;3g0>"69:0?7)?>4;68 05b2<9o7);<f;70`>h2<90?7c;;1;68 4c>2:1b9=4?::k65?6=3`?<6=44i4:94?=nnh0;66g>e383>>o6m:0;66gib;29?l7bi3:17d?jb;29?j352900c8=50;9j77<72-;om7=>;o3g=?6<3`9;6=4+1ec974=i9m31=65f2g83>!7ci39:7c?k9;08?l4b290/=io5309m5a?=;21b>i4?:%3ge?563g;o57:4;h1a>5<#9mk1?<5a1e;91>=n;h0;6)?ka;12?k7c13<07d=6:18'5ag=;81e=i757:9j7=<72-;om7=>;o3g=?><3`9<6=4+1ec974=i9m31565f3783>!7ci39:7c?k9;c8?l52290/=io5309m5a?=j21b?94?:%3ge?563g;o57m4;h10>5<#9mk1?<5a1e;9`>=n:j0;6)?ka;12?k7c13o07d:=:18'5ag=<81e=i750:9j05<72-;om7:>;o3g=?7<3`9m6=4+1ec904=i9m31>65f3d83>!7ci3>:7c?k9;18?l5c290/=io5409m5a?=<21b8o4?:%3ge?263g;o57;4;h6b>5<#9mk18<5a1e;92>=n<00;6)?ka;62?k7c13=07d:7:18'5ag=<81e=i758:9j02<72-;om7:>;o3g=??<3`>=6=4+1ec904=i9m31m65f4483>!7ci3>:7c?k9;`8?l23290/=io5409m5a?=k21b8>4?:%3ge?263g;o57j4;h1`>5<#9mk18<5a1e;9a>=n?10;6)?ka;54?k7c13:07d99:18'5ag=?>1e=i751:9j31<72-;om798;o3g=?4<3`=86=4+1ec932=i9m31?65f7383>!7ci3=<7c?k9;68?l16290/=io5769m5a?==21b;=4?:%3ge?103g;o5784;h4e>5<#9mk1;:5a1e;93>=n>l0;6)?ka;54?k7c13207d8k:18'5ag=?>1e=i759:9j2f<72-;om798;o3g=?g<3`<i6=4+1ec932=i9m31n65f6883>!7ci3=<7c?k9;a8?l0?290/=io5769m5a?=l21b::4?:%3ge?103g;o57k4;h45>5<#9mk1;:5a1e;9b>=n><0;6)?ka;54?k7c13;;76g94;29 4bf2>=0b<j6:038?l04290/=io5769m5a?=9;10e;<50;&2`d<0?2d:h44>3:9j24<72-;om798;o3g=?7332c=<7>5$0fb>21<f8n26<;4;h5e>5<#9mk1;:5a1e;953=<a>o1<7*>d`843>h6l00:;65f7e83>!7ci3=<7c?k9;3;?>o0k3:1(<jn:658j4b>28307d9m:18'5ag=?>1e=i751`98m2g=83.:hl487:l2`<<6j21b;44?:%3ge?103g;o57?l;:k41?6=,8nj6:94n0f:>4b<3`<j6=4+1ec932=i9m31=h54i4d94?"6lh0<;6`>d882b>=n100;6)?ka;;;?k7c13:07d78:18'5ag=111e=i751:9j=0<72-;om777;o3g=?4<3`3?6=4+1ec9===i9m31?65f9283>!7ci3337c?k9;68?l?5290/=io5999m5a?==21b5<4?:%3ge???3g;o5784;h;3>5<#9mk1555a1e;93>=n0o0;6)?ka;;;?k7c13207d6j:18'5ag=111e=i759:9j<a<72-;om777;o3g=?g<3`2h6=4+1ec9===i9m31n65f8`83>!7ci3337c?k9;a8?l>>290/=io5999m5a?=l21b454?:%3ge???3g;o57k4;h:4>5<#9mk1555a1e;9b>=n0?0;6)?ka;;;?k7c13;;76g75;29 4bf2020b<j6:038?l>3290/=io5999m5a?=9;10e5=50;&2`d<>02d:h44>3:9j<7<72-;om777;o3g=?7332c3=7>5$0fb><><f8n26<;4;hc3>5<#9mk1555a1e;953=<a0l1<7*>d`8:<>h6l00:;65f9d83>!7ci3337c?k9;3;?>o>l3:1(<jn:8:8j4b>28307d7l:18'5ag=111e=i751`98m<d=83.:hl468:l2`<<6j21b5l4?:%3ge???3g;o57?l;:k:2?6=,8nj6464n0f:>4b<3`2i6=4+1ec9===i9m31=h54i9294?"6lh0246`>d882b>=ni<0;6)?ka;c7?k7c13:07do<:18'5ag=i=1e=i751:9je7<72-;om7o;;o3g=?4<3`k:6=4+1ec9e1=i9m31?65fa`83>!7ci3k27c?k9;28?lg?290/=io5a89m5a?=921bm:4?:%3ge?g>3g;o57<4;hc5>5<#9mk1m45a1e;97>=n=:=1<7*>d`8673=i9m31<65f52794?"6lh0>?;5a1e;95>=n=:>1<7*>d`8673=i9m31>65f52194?"6lh0>?;5a1e;97>=n=:i1<7*>d`867g=i9m31<65f52c94?"6lh0>?o5a1e;95>=n=:31<7*>d`867g=i9m31>65f52:94?"6lh0>?o5a1e;97>=hk=0;6)?ka;a0?k7c13:07bm=:18'5ag=k:1e=i751:9lg5<72-;om7m<;o3g=?4<3fhm6=4+1ec9g6=i9m31?65`bd83>!7ci3i87c?k9;68?jdc290/=io5c29m5a?==21dnn4?:%3ge?e43g;o5784;n`a>5<#9mk1o>5a1e;93>=hjh0;6)?ka;a0?k7c13207bl6:18'5ag=k:1e=i759:9lf=<72-;om7m<;o3g=?g<3fh<6=4+1ec9g6=i9m31n65`b483>!7ci3i87c?k9;a8?jd3290/=io5c29m5a?=l21dn>4?:%3ge?e43g;o57k4;n`1>5<#9mk1o>5a1e;9b>=hj80;6)?ka;a0?k7c13;;76am0;29 4bf2j90b<j6:038?jga290/=io5c29m5a?=9;10clk50;&2`d<d;2d:h44>3:9lea<72-;om7m<;o3g=?7332ejo7>5$0fb>f5<f8n26<;4;naa>5<#9mk1o>5a1e;953=<gjk1<7*>d`8`7>h6l00:;65`c883>!7ci3i87c?k9;3;?>id03:1(<jn:b18j4b>28307bm8:18'5ag=k:1e=i751`98kf0=83.:hl4l3:l2`<<6j21do84?:%3ge?e43g;o57?l;:m`5?6=,8nj6n=4n0f:>4b<3fh=6=4+1ec9g6=i9m31=h54o``94?"6lh0h?6`>d882b>=hm?0;6)?ka;g6?k7c13:07bk;:18'5ag=m<1e=i751:9la7<72-;om7k:;o3g=?4<3fo:6=4+1ec9a0=i9m31?65`e183>!7ci3o>7c?k9;68?jba290/=io5e49m5a?==21dhh4?:%3ge?c23g;o5784;nfg>5<#9mk1i85a1e;93>=hlj0;6)?ka;g6?k7c13207bjm:18'5ag=m<1e=i759:9l`d<72-;om7k:;o3g=?g<3fn26=4+1ec9a0=i9m31n65`d683>!7ci3o>7c?k9;a8?jb1290/=io5e49m5a?=l21dh84?:%3ge?c23g;o57k4;nf7>5<#9mk1i85a1e;9b>=hl:0;6)?ka;g6?k7c13;;76ak2;29 4bf2l?0b<j6:038?jb6290/=io5e49m5a?=9;10ci>50;&2`d<b=2d:h44>3:9lgc<72-;om7k:;o3g=?7332ehi7>5$0fb>`3<f8n26<;4;ngg>5<#9mk1i85a1e;953=<gli1<7*>d`8f1>h6l00:;65`ec83>!7ci3o>7c?k9;3;?>ibi3:1(<jn:d78j4b>28307bk6:18'5ag=m<1e=i751`98k`>=83.:hl4j5:l2`<<6j21di:4?:%3ge?c23g;o57?l;:mf7?6=,8nj6h;4n0f:>4b<3fn36=4+1ec9a0=i9m31=h54obf94?"6lh0n96`>d882b>=hn:0;6)?ka;d1?k7c13:07bh>:18'5ag=n;1e=i751:9lb5<72-;om7h=;o3g=?4<3fom6=4+1ec9b7=i9m31?65`f983>!7ci3l<7c?k9;28?j`1290/=io5f69m5a?=921dj84?:%3ge?`03g;o57<4;nd7>5<#9mk1j:5a1e;97>=zj<;>6=4l2;294~"6mj0:hi5G5568L0573S>n6nu>1;31>42=9<0:n7?l:0f95`<6>3;<6<h5218~jfe=82dni7>4$075>6=#9<=1?6*>0e87?!77m3>0(<>i:59'546=<2.:=<4;;%326?2<,8o>6kh4$0g;>6=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;o695+10g90>"69o0?7)?=0;68 4462=1/=?<54:&266<33-;987:4$006>1=#9;<186*>2687?!7503>0(<<6:59'57g=<2.:>o4;;%31g?2<,88o695+13g90>"6:o0?7)?<0;68 4562=1/=><54:&276<33-;887:4$016>1=#9:<186*>3687?!7403>0(<=6:59'56g=<2.:?o4;;%30g?2<,89o695+12g90>"6;o0?7)?;0;68 4262=1/=9<54:&206<33-;?87:4$066>1=#9=<186*>4687?!7303>0(<:6:59'51g=<2.:8o4;;%37g?2<,8>o695+15g90>"6<o0?7)?:0;68 4362=1/=8<54:&216<33-;>87:4$076>1=#9<21=i:4$07b>c`<,8?i6kh4$0ff>4b33-;oj7?k4:l2a5<>3g;n=774$0g5>4b33-;:?7:4$037>1=#=:o19>j4$41e>05c3g??<774n462><=#9l31?6g:0;29?l362900e8950;9j1=<722cmm7>5;h3f6?6=3`;n?7>5;hda>5<<a8oj6=44i0ga>5<<g<81<75`5283>>o4:3:1(<jn:238j4b>2910e>>50;&2`d<492d:h44>;:k1b?6=,8nj6>?4n0f:>7=<a;o1<7*>d`805>h6l00876g=d;29 4bf2:;0b<j6:598m6d=83.:hl4<1:l2`<<232c8m7>5$0fb>67<f8n26;54i2;94?"6lh08=6`>d884?>o403:1(<jn:238j4b>2110e>950;&2`d<492d:h446;:k02?6=,8nj6>?4n0f:>d=<a:?1<7*>d`805>h6l00i76g<4;29 4bf2:;0b<j6:b98m65=83.:hl4<1:l2`<<c32c9o7>5$0fb>67<f8n26h54i5094?"6lh0?=6`>d883?>o383:1(<jn:538j4b>2810e>h50;&2`d<392d:h44=;:k0a?6=,8nj69?4n0f:>6=<a:n1<7*>d`875>h6l00?76g;b;29 4bf2=;0b<j6:498m1g=83.:hl4;1:l2`<<132c?57>5$0fb>17<f8n26:54i5:94?"6lh0?=6`>d88;?>o3?3:1(<jn:538j4b>2010e9850;&2`d<392d:h44n;:k71?6=,8nj69?4n0f:>g=<a=>1<7*>d`875>h6l00h76g;3;29 4bf2=;0b<j6:e98m6e=83.:hl4;1:l2`<<b32c<47>5$0fb>21<f8n26=54i6494?"6lh0<;6`>d882?>o0<3:1(<jn:658j4b>2;10e:=50;&2`d<0?2d:h44<;:k46?6=,8nj6:94n0f:>1=<a>;1<7*>d`843>h6l00>76g80;29 4bf2>=0b<j6:798m3`=83.:hl487:l2`<<032c=i7>5$0fb>21<f8n26554i7f94?"6lh0<;6`>d88:?>o1k3:1(<jn:658j4b>2h10e;l50;&2`d<0?2d:h44m;:k5=?6=,8nj6:94n0f:>f=<a?21<7*>d`843>h6l00o76g97;29 4bf2>=0b<j6:d98m30=83.:hl487:l2`<<a32c=97>5$0fb>21<f8n26<>4;h47>5<#9mk1;:5a1e;954=<a?91<7*>d`843>h6l00:>65f6383>!7ci3=<7c?k9;30?>o193:1(<jn:658j4b>28>07d8?:18'5ag=?>1e=i751498m2`=83.:hl487:l2`<<6>21b;h4?:%3ge?103g;o57?8;:k4`?6=,8nj6:94n0f:>4><3`=h6=4+1ec932=i9m31=454i6`94?"6lh0<;6`>d882e>=n?h0;6)?ka;54?k7c13;i76g89;29 4bf2>=0b<j6:0a8?l12290/=io5769m5a?=9m10e;o50;&2`d<0?2d:h44>e:9j1c<72-;om798;o3g=?7a32c257>5$0fb><><f8n26=54i8594?"6lh0246`>d882?>o>=3:1(<jn:8:8j4b>2;10e4:50;&2`d<>02d:h44<;:k:7?6=,8nj6464n0f:>1=<a081<7*>d`8:<>h6l00>76g61;29 4bf2020b<j6:798m<6=83.:hl468:l2`<<032c3j7>5$0fb><><f8n26554i9g94?"6lh0246`>d88:?>o?l3:1(<jn:8:8j4b>2h10e5m50;&2`d<>02d:h44m;:k;e?6=,8nj6464n0f:>f=<a131<7*>d`8:<>h6l00o76g78;29 4bf2020b<j6:d98m=1=83.:hl468:l2`<<a32c3:7>5$0fb><><f8n26<>4;h:6>5<#9mk1555a1e;954=<a1>1<7*>d`8:<>h6l00:>65f8283>!7ci3337c?k9;30?>o?:3:1(<jn:8:8j4b>28>07d6>:18'5ag=111e=i751498md6=83.:hl468:l2`<<6>21b5k4?:%3ge???3g;o57?8;:k:a?6=,8nj6464n0f:>4><3`3o6=4+1ec9===i9m31=454i8a94?"6lh0246`>d882e>=n1k0;6)?ka;;;?k7c13;i76g6a;29 4bf2020b<j6:0a8?l?1290/=io5999m5a?=9m10e5l50;&2`d<>02d:h44>e:9j<5<72-;om777;o3g=?7a32cj97>5$0fb>d2<f8n26=54i`194?"6lh0j86`>d882?>of:3:1(<jn:`68j4b>2;10el?50;&2`d<f<2d:h44<;:kbe?6=,8nj6l74n0f:>5=<ah21<7*>d`8b=>h6l00:76gn7;29 4bf2h30b<j6:398md0=83.:hl4n9:l2`<<432c>?:4?:%3ge?34>2d:h44?;:k670<72-;om7;<6:l2`<<632c>?94?:%3ge?34>2d:h44=;:k676<72-;om7;<6:l2`<<432c>?n4?:%3ge?34j2d:h44?;:k67d<72-;om7;<b:l2`<<632c>?44?:%3ge?34j2d:h44=;:k67=<72-;om7;<b:l2`<<432eh87>5$0fb>f5<f8n26=54ob094?"6lh0h?6`>d882?>id83:1(<jn:b18j4b>2;10coh50;&2`d<d;2d:h44<;:maa?6=,8nj6n=4n0f:>1=<gkn1<7*>d`8`7>h6l00>76amc;29 4bf2j90b<j6:798kgd=83.:hl4l3:l2`<<032eim7>5$0fb>f5<f8n26554oc;94?"6lh0h?6`>d88:?>ie03:1(<jn:b18j4b>2h10co950;&2`d<d;2d:h44m;:ma1?6=,8nj6n=4n0f:>f=<gk>1<7*>d`8`7>h6l00o76am3;29 4bf2j90b<j6:d98kg4=83.:hl4l3:l2`<<a32ei=7>5$0fb>f5<f8n26<>4;n`3>5<#9mk1o>5a1e;954=<ghl1<7*>d`8`7>h6l00:>65`ad83>!7ci3i87c?k9;30?>ifl3:1(<jn:b18j4b>28>07bol:18'5ag=k:1e=i751498kfd=83.:hl4l3:l2`<<6>21dol4?:%3ge?e43g;o57?8;:m`=?6=,8nj6n=4n0f:>4><3fi36=4+1ec9g6=i9m31=454ob594?"6lh0h?6`>d882e>=hk?0;6)?ka;a0?k7c13;i76al5;29 4bf2j90b<j6:0a8?je6290/=io5c29m5a?=9m10co850;&2`d<d;2d:h44>e:9leg<72-;om7m<;o3g=?7a32en:7>5$0fb>`3<f8n26=54od694?"6lh0n96`>d882?>ib:3:1(<jn:d78j4b>2;10ch?50;&2`d<b=2d:h44<;:mf4?6=,8nj6h;4n0f:>1=<gml1<7*>d`8f1>h6l00>76ake;29 4bf2l?0b<j6:798kab=83.:hl4j5:l2`<<032eoo7>5$0fb>`3<f8n26554oe`94?"6lh0n96`>d88:?>ici3:1(<jn:d78j4b>2h10ci750;&2`d<b=2d:h44m;:mg3?6=,8nj6h;4n0f:>f=<gm<1<7*>d`8f1>h6l00o76ak5;29 4bf2l?0b<j6:d98ka2=83.:hl4j5:l2`<<a32eo?7>5$0fb>`3<f8n26<>4;nf1>5<#9mk1i85a1e;954=<gm;1<7*>d`8f1>h6l00:>65`d183>!7ci3o>7c?k9;30?>idn3:1(<jn:d78j4b>28>07bmj:18'5ag=m<1e=i751498k`b=83.:hl4j5:l2`<<6>21din4?:%3ge?c23g;o57?8;:mff?6=,8nj6h;4n0f:>4><3foj6=4+1ec9a0=i9m31=454od;94?"6lh0n96`>d882e>=hm10;6)?ka;g6?k7c13;i76aj7;29 4bf2l?0b<j6:0a8?jc4290/=io5e49m5a?=9m10ci650;&2`d<b=2d:h44>e:9lga<72-;om7k:;o3g=?7a32em?7>5$0fb>c4<f8n26=54og394?"6lh0m>6`>d882?>ia83:1(<jn:g08j4b>2;10chh50;&2`d<a:2d:h44<;:me<?6=,8nj6k94n0f:>5=<go<1<7*>d`8e3>h6l00:76ai5;29 4bf2o=0b<j6:398kc2=83.:hl4i7:l2`<<432wi9<850;a1>5<7s-;no7?kd:J601=O=::0V9k5cz32>44=9=0:97?m:0a95a<6m3;=6<951g814?{ikj0;7ckj:19'500=;2.:9:4<;%33`?2<,8:n695+11d90>"6990?7)?>1;68 4752=1/=h;5fg9'5`>=;2.:=;4;;%323?2<,8;3695+10;90>"69h0?7)?>b;68 47d2=1/=<j54:&25`<33-;:j7:4$003>1=#9;;186*>2387?!75;3>0(<<;:59'573=<2.:>;4;;%313?2<,883695+13;90>"6:h0?7)?=b;68 44d2=1/=?j54:&26`<33-;9j7:4$013>1=#9:;186*>3387?!74;3>0(<=;:59'563=<2.:?;4;;%303?2<,893695+12;90>"6;h0?7)?<b;68 45d2=1/=>j54:&27`<33-;8j7:4$063>1=#9=;186*>4387?!73;3>0(<:;:59'513=<2.:8;4;;%373?2<,8>3695+15;90>"6<h0?7)?;b;68 42d2=1/=9j54:&20`<33-;?j7:4$073>1=#9<;186*>5387?!72;3>0(<;;:59'503=<2.:954>d59'50g=no1/=8l5fg9'5ac=9m>0(<ji:0f7?k7b8330b<k>:89'5`0=9m>0(<?<:59'542=<2.>?h4:3e9'16`==:n0b8:?:89m117=12.:i44<;h73>5<<a<;1<75f5683>>o203:17dhn:188m4c52900e<k<:188mcd=831b=ho50;9j5`d=831d9?4?::m67?6=3`996=4+1ec974=i9m31<65f3183>!7ci39:7c?k9;38?l4a290/=io5309m5a?=:21b>h4?:%3ge?563g;o57=4;h0g>5<#9mk1?<5a1e;90>=n;k0;6)?ka;12?k7c13?07d=n:18'5ag=;81e=i756:9j7<<72-;om7=>;o3g=?1<3`936=4+1ec974=i9m31465f3683>!7ci39:7c?k9;;8?l51290/=io5309m5a?=i21b?84?:%3ge?563g;o57l4;h17>5<#9mk1?<5a1e;9g>=n;:0;6)?ka;12?k7c13n07d<l:18'5ag=;81e=i75e:9j07<72-;om7:>;o3g=?6<3`>;6=4+1ec904=i9m31=65f3g83>!7ci3>:7c?k9;08?l5b290/=io5409m5a?=;21b?i4?:%3ge?263g;o57:4;h6a>5<#9mk18<5a1e;91>=n<h0;6)?ka;62?k7c13<07d:6:18'5ag=<81e=i757:9j0=<72-;om7:>;o3g=?><3`><6=4+1ec904=i9m31565f4783>!7ci3>:7c?k9;c8?l22290/=io5409m5a?=j21b894?:%3ge?263g;o57m4;h60>5<#9mk18<5a1e;9`>=n;j0;6)?ka;62?k7c13o07d97:18'5ag=?>1e=i750:9j33<72-;om798;o3g=?7<3`=?6=4+1ec932=i9m31>65f7283>!7ci3=<7c?k9;18?l15290/=io5769m5a?=<21b;<4?:%3ge?103g;o57;4;h53>5<#9mk1;:5a1e;92>=n>o0;6)?ka;54?k7c13=07d8j:18'5ag=?>1e=i758:9j2a<72-;om798;o3g=??<3`<h6=4+1ec932=i9m31m65f6c83>!7ci3=<7c?k9;`8?l0>290/=io5769m5a?=k21b:54?:%3ge?103g;o57j4;h44>5<#9mk1;:5a1e;9a>=n>?0;6)?ka;54?k7c13l07d8::18'5ag=?>1e=i751198m32=83.:hl487:l2`<<6921b:>4?:%3ge?103g;o57?=;:k56?6=,8nj6:94n0f:>45<3`<:6=4+1ec932=i9m31=954i7294?"6lh0<;6`>d8821>=n?o0;6)?ka;54?k7c13;=76g8e;29 4bf2>=0b<j6:058?l1c290/=io5769m5a?=9110e:m50;&2`d<0?2d:h44>9:9j3g<72-;om798;o3g=?7f32c<m7>5$0fb>21<f8n26<l4;h5:>5<#9mk1;:5a1e;95f=<a>?1<7*>d`843>h6l00:h65f6`83>!7ci3=<7c?k9;3f?>o2n3:1(<jn:658j4b>28l07d76:18'5ag=111e=i750:9j=2<72-;om777;o3g=?7<3`3>6=4+1ec9===i9m31>65f9583>!7ci3337c?k9;18?l?4290/=io5999m5a?=<21b5?4?:%3ge???3g;o57;4;h;2>5<#9mk1555a1e;92>=n190;6)?ka;;;?k7c13=07d6i:18'5ag=111e=i758:9j<`<72-;om777;o3g=??<3`2o6=4+1ec9===i9m31m65f8b83>!7ci3337c?k9;`8?l>f290/=io5999m5a?=k21b444?:%3ge???3g;o57j4;h:;>5<#9mk1555a1e;9a>=n0>0;6)?ka;;;?k7c13l07d69:18'5ag=111e=i751198m=3=83.:hl468:l2`<<6921b494?:%3ge???3g;o57?=;:k;7?6=,8nj6464n0f:>45<3`296=4+1ec9===i9m31=954i9394?"6lh0246`>d8821>=ni90;6)?ka;;;?k7c13;=76g6f;29 4bf2020b<j6:058?l?b290/=io5999m5a?=9110e4j50;&2`d<>02d:h44>9:9j=f<72-;om777;o3g=?7f32c2n7>5$0fb><><f8n26<l4;h;b>5<#9mk1555a1e;95f=<a0<1<7*>d`8:<>h6l00:h65f8c83>!7ci3337c?k9;3f?>o?83:1(<jn:8:8j4b>28l07do::18'5ag=i=1e=i750:9je6<72-;om7o;;o3g=?7<3`k96=4+1ec9e1=i9m31>65fa083>!7ci3k?7c?k9;18?lgf290/=io5a89m5a?=821bm54?:%3ge?g>3g;o57?4;hc4>5<#9mk1m45a1e;96>=ni?0;6)?ka;c:?k7c13907d;<7;29 4bf2<9=7c?k9;28?l34=3:1(<jn:415?k7c13;07d;<4;29 4bf2<9=7c?k9;08?l34;3:1(<jn:415?k7c13907d;<c;29 4bf2<9i7c?k9;28?l34i3:1(<jn:41a?k7c13;07d;<9;29 4bf2<9i7c?k9;08?l3403:1(<jn:41a?k7c13907bm;:18'5ag=k:1e=i750:9lg7<72-;om7m<;o3g=?7<3fi;6=4+1ec9g6=i9m31>65`bg83>!7ci3i87c?k9;18?jdb290/=io5c29m5a?=<21dni4?:%3ge?e43g;o57;4;n``>5<#9mk1o>5a1e;92>=hjk0;6)?ka;a0?k7c13=07bln:18'5ag=k:1e=i758:9lf<<72-;om7m<;o3g=??<3fh36=4+1ec9g6=i9m31m65`b683>!7ci3i87c?k9;`8?jd2290/=io5c29m5a?=k21dn94?:%3ge?e43g;o57j4;n`0>5<#9mk1o>5a1e;9a>=hj;0;6)?ka;a0?k7c13l07bl>:18'5ag=k:1e=i751198kg6=83.:hl4l3:l2`<<6921dmk4?:%3ge?e43g;o57?=;:mba?6=,8nj6n=4n0f:>45<3fko6=4+1ec9g6=i9m31=954o`a94?"6lh0h?6`>d8821>=hkk0;6)?ka;a0?k7c13;=76ala;29 4bf2j90b<j6:058?je>290/=io5c29m5a?=9110cn650;&2`d<d;2d:h44>9:9lg2<72-;om7m<;o3g=?7f32eh:7>5$0fb>f5<f8n26<l4;na6>5<#9mk1o>5a1e;95f=<gj;1<7*>d`8`7>h6l00:h65`b783>!7ci3i87c?k9;3f?>ifj3:1(<jn:b18j4b>28l07bk9:18'5ag=m<1e=i750:9la1<72-;om7k:;o3g=?7<3fo96=4+1ec9a0=i9m31>65`e083>!7ci3o>7c?k9;18?jc7290/=io5e49m5a?=<21dhk4?:%3ge?c23g;o57;4;nff>5<#9mk1i85a1e;92>=hlm0;6)?ka;g6?k7c13=07bjl:18'5ag=m<1e=i758:9l`g<72-;om7k:;o3g=??<3fnj6=4+1ec9a0=i9m31m65`d883>!7ci3o>7c?k9;`8?jb0290/=io5e49m5a?=k21dh;4?:%3ge?c23g;o57j4;nf6>5<#9mk1i85a1e;9a>=hl=0;6)?ka;g6?k7c13l07bj<:18'5ag=m<1e=i751198ka4=83.:hl4j5:l2`<<6921dh<4?:%3ge?c23g;o57?=;:mg4?6=,8nj6h;4n0f:>45<3fim6=4+1ec9a0=i9m31=954obg94?"6lh0n96`>d8821>=hmm0;6)?ka;g6?k7c13;=76ajc;29 4bf2l?0b<j6:058?jce290/=io5e49m5a?=9110cho50;&2`d<b=2d:h44>9:9la<<72-;om7k:;o3g=?7f32en47>5$0fb>`3<f8n26<l4;ng4>5<#9mk1i85a1e;95f=<gl91<7*>d`8f1>h6l00:h65`d983>!7ci3o>7c?k9;3f?>idl3:1(<jn:d78j4b>28l07bh<:18'5ag=n;1e=i750:9lb4<72-;om7h=;o3g=?7<3fl;6=4+1ec9b7=i9m31>65`eg83>!7ci3l97c?k9;18?j`?290/=io5f69m5a?=821dj;4?:%3ge?`03g;o57?4;nd6>5<#9mk1j:5a1e;96>=hn=0;6)?ka;d4?k7c13907pl:1683>f4=83:p(<kl:0fg?M33<2B>?=5U4d8`\7f47=9;0:87?::0`95f<6l3;n6<851682b?472tdho7>4ndg94>"6=?087)?:7;18 46c2=1/==k54:&24c<33-;:<7:4$032>1=#988186*>e48eb>"6m1087)?>6;68 4702=1/=<654:&25<<33-;:m7:4$03a>1=#98i186*>1e87?!76m3>0(<?i:59'576=<2.:><4;;%316?2<,888695+13690>"6:<0?7)?=6;68 4402=1/=?654:&26<<33-;9m7:4$00a>1=#9;i186*>2e87?!75m3>0(<<i:59'566=<2.:?<4;;%306?2<,898695+12690>"6;<0?7)?<6;68 4502=1/=>654:&27<<33-;8m7:4$01a>1=#9:i186*>3e87?!74m3>0(<=i:59'516=<2.:8<4;;%376?2<,8>8695+15690>"6<<0?7)?;6;68 4202=1/=9654:&20<<33-;?m7:4$06a>1=#9=i186*>4e87?!73m3>0(<:i:59'506=<2.:9<4;;%366?2<,8?8695+14690>"6=<0?7)?:8;3g0>"6=h0mj6*>5c8eb>"6ll0:h95+1ed95a2<f8o;645a1d39=>"6m?0:h95+10190>"69=0?7);<e;70`>"2;o0>?i5a5529=>h2<8027)?j9;18m06=831b9<4?::k63?6=3`?36=44igc94?=n9l81<75f1d194?=nnk0;66g>e`83>>o6mk0;66a:2;29?j342900e><50;&2`d<492d:h44?;:k04?6=,8nj6>?4n0f:>4=<a;l1<7*>d`805>h6l00976g=e;29 4bf2:;0b<j6:298m7b=83.:hl4<1:l2`<<332c8n7>5$0fb>67<f8n26854i2c94?"6lh08=6`>d885?>o413:1(<jn:238j4b>2>10e>650;&2`d<492d:h447;:k03?6=,8nj6>?4n0f:><=<a:<1<7*>d`805>h6l00j76g<5;29 4bf2:;0b<j6:c98m62=83.:hl4<1:l2`<<d32c8?7>5$0fb>67<f8n26i54i3a94?"6lh08=6`>d88f?>o3:3:1(<jn:538j4b>2910e9>50;&2`d<392d:h44>;:k0b?6=,8nj69?4n0f:>7=<a:o1<7*>d`875>h6l00876g<d;29 4bf2=;0b<j6:598m1d=83.:hl4;1:l2`<<232c?m7>5$0fb>17<f8n26;54i5;94?"6lh0?=6`>d884?>o303:1(<jn:538j4b>2110e9950;&2`d<392d:h446;:k72?6=,8nj69?4n0f:>d=<a=?1<7*>d`875>h6l00i76g;4;29 4bf2=;0b<j6:b98m15=83.:hl4;1:l2`<<c32c8o7>5$0fb>17<f8n26h54i6:94?"6lh0<;6`>d883?>o0>3:1(<jn:658j4b>2810e::50;&2`d<0?2d:h44=;:k47?6=,8nj6:94n0f:>6=<a>81<7*>d`843>h6l00?76g81;29 4bf2>=0b<j6:498m26=83.:hl487:l2`<<132c=j7>5$0fb>21<f8n26:54i7g94?"6lh0<;6`>d88;?>o1l3:1(<jn:658j4b>2010e;m50;&2`d<0?2d:h44n;:k5f?6=,8nj6:94n0f:>g=<a?31<7*>d`843>h6l00h76g98;29 4bf2>=0b<j6:e98m31=83.:hl487:l2`<<b32c=:7>5$0fb>21<f8n26k54i7794?"6lh0<;6`>d8824>=n>=0;6)?ka;54?k7c13;:76g93;29 4bf2>=0b<j6:008?l05290/=io5769m5a?=9:10e;?50;&2`d<0?2d:h44>4:9j25<72-;om798;o3g=?7232c<j7>5$0fb>21<f8n26<84;h5f>5<#9mk1;:5a1e;952=<a>n1<7*>d`843>h6l00:465f7b83>!7ci3=<7c?k9;3:?>o0j3:1(<jn:658j4b>28k07d9n:18'5ag=?>1e=i751c98m2?=83.:hl487:l2`<<6k21b;84?:%3ge?103g;o57?k;:k5e?6=,8nj6:94n0f:>4c<3`?m6=4+1ec932=i9m31=k54i8;94?"6lh0246`>d883?>o>?3:1(<jn:8:8j4b>2810e4;50;&2`d<>02d:h44=;:k:0?6=,8nj6464n0f:>6=<a091<7*>d`8:<>h6l00?76g62;29 4bf2020b<j6:498m<7=83.:hl468:l2`<<132c2<7>5$0fb><><f8n26:54i9d94?"6lh0246`>d88;?>o?m3:1(<jn:8:8j4b>2010e5j50;&2`d<>02d:h44n;:k;g?6=,8nj6464n0f:>g=<a1k1<7*>d`8:<>h6l00h76g79;29 4bf2020b<j6:e98m=>=83.:hl468:l2`<<b32c3;7>5$0fb><><f8n26k54i9494?"6lh0246`>d8824>=n0<0;6)?ka;;;?k7c13;:76g74;29 4bf2020b<j6:008?l>4290/=io5999m5a?=9:10e5<50;&2`d<>02d:h44>4:9j<4<72-;om777;o3g=?7232cj<7>5$0fb><><f8n26<84;h;e>5<#9mk1555a1e;952=<a0o1<7*>d`8:<>h6l00:465f9e83>!7ci3337c?k9;3:?>o>k3:1(<jn:8:8j4b>28k07d7m:18'5ag=111e=i751c98m<g=83.:hl468:l2`<<6k21b5;4?:%3ge???3g;o57?k;:k;f?6=,8nj6464n0f:>4c<3`2;6=4+1ec9===i9m31=k54i`794?"6lh0j86`>d883?>of;3:1(<jn:`68j4b>2810el<50;&2`d<f<2d:h44=;:kb5?6=,8nj6l:4n0f:>6=<ahk1<7*>d`8b=>h6l00;76gn8;29 4bf2h30b<j6:098md1=83.:hl4n9:l2`<<532cj:7>5$0fb>d?<f8n26>54i414>5<#9mk19>84n0f:>5=<a<9>6=4+1ec9160<f8n26<54i417>5<#9mk19>84n0f:>7=<a<986=4+1ec9160<f8n26>54i41`>5<#9mk19>l4n0f:>5=<a<9j6=4+1ec916d<f8n26<54i41:>5<#9mk19>l4n0f:>7=<a<936=4+1ec916d<f8n26>54ob694?"6lh0h?6`>d883?>id:3:1(<jn:b18j4b>2810cn>50;&2`d<d;2d:h44=;:mab?6=,8nj6n=4n0f:>6=<gko1<7*>d`8`7>h6l00?76amd;29 4bf2j90b<j6:498kge=83.:hl4l3:l2`<<132ein7>5$0fb>f5<f8n26:54occ94?"6lh0h?6`>d88;?>ie13:1(<jn:b18j4b>2010co650;&2`d<d;2d:h44n;:ma3?6=,8nj6n=4n0f:>g=<gk?1<7*>d`8`7>h6l00h76am4;29 4bf2j90b<j6:e98kg5=83.:hl4l3:l2`<<b32ei>7>5$0fb>f5<f8n26k54oc394?"6lh0h?6`>d8824>=hj90;6)?ka;a0?k7c13;:76anf;29 4bf2j90b<j6:008?jgb290/=io5c29m5a?=9:10clj50;&2`d<d;2d:h44>4:9lef<72-;om7m<;o3g=?7232ehn7>5$0fb>f5<f8n26<84;nab>5<#9mk1o>5a1e;952=<gj31<7*>d`8`7>h6l00:465`c983>!7ci3i87c?k9;3:?>id?3:1(<jn:b18j4b>28k07bm9:18'5ag=k:1e=i751c98kf3=83.:hl4l3:l2`<<6k21do<4?:%3ge?e43g;o57?k;:ma2?6=,8nj6n=4n0f:>4c<3fki6=4+1ec9g6=i9m31=k54od494?"6lh0n96`>d883?>ib<3:1(<jn:d78j4b>2810ch<50;&2`d<b=2d:h44=;:mf5?6=,8nj6h;4n0f:>6=<gl:1<7*>d`8f1>h6l00?76akf;29 4bf2l?0b<j6:498kac=83.:hl4j5:l2`<<132eoh7>5$0fb>`3<f8n26:54oea94?"6lh0n96`>d88;?>icj3:1(<jn:d78j4b>2010cio50;&2`d<b=2d:h44n;:mg=?6=,8nj6h;4n0f:>g=<gm=1<7*>d`8f1>h6l00h76ak6;29 4bf2l?0b<j6:e98ka3=83.:hl4j5:l2`<<b32eo87>5$0fb>`3<f8n26k54oe194?"6lh0n96`>d8824>=hl;0;6)?ka;g6?k7c13;:76ak1;29 4bf2l?0b<j6:008?jb7290/=io5e49m5a?=9:10cnh50;&2`d<b=2d:h44>4:9lg`<72-;om7k:;o3g=?7232enh7>5$0fb>`3<f8n26<84;ng`>5<#9mk1i85a1e;952=<glh1<7*>d`8f1>h6l00:465`e`83>!7ci3o>7c?k9;3:?>ib13:1(<jn:d78j4b>28k07bk7:18'5ag=m<1e=i751c98k`1=83.:hl4j5:l2`<<6k21di>4?:%3ge?c23g;o57?k;:mg<?6=,8nj6h;4n0f:>4c<3fio6=4+1ec9a0=i9m31=k54og194?"6lh0m>6`>d883?>ia93:1(<jn:g08j4b>2810ck>50;&2`d<a:2d:h44=;:mfb?6=,8nj6k<4n0f:>6=<go21<7*>d`8e3>h6l00;76ai6;29 4bf2o=0b<j6:098kc3=83.:hl4i7:l2`<<532em87>5$0fb>c1<f8n26>54}c72<?6=k;0;6=u+1da95ab<@<>?7E;<0:X7a?e|980:>7?;:0795g<6k3;o6<k517823?7a2;:1qcml:19ma`<73-;>:7=4$074>6=#99n186*>0d87?!77n3>0(<??:59'547=<2.:=?4;;%3f1?`a3-;n47=4$035>1=#98=186*>1987?!7613>0(<?n:59'54d=<2.:=n4;;%32`?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$07;>4b33-;>m7hi;%36f?`a3-;oi7?k4:&2`c<6l=1e=h>59:l2a4<>3-;n:7?k4:&256<33-;:87:4$41f>05c3-?8j7;<d:l605<>3g??=774$0g:>6=n=90;66g:1;29?l302900e8650;9jbd<722c:i?4?::k2a6<722cmn7>5;h3fe?6=3`;nn7>5;n71>5<<g<91<75f3383>!7ci39:7c?k9;28?l57290/=io5309m5a?=921b>k4?:%3ge?563g;o57<4;h0f>5<#9mk1?<5a1e;97>=n:m0;6)?ka;12?k7c13>07d=m:18'5ag=;81e=i755:9j7d<72-;om7=>;o3g=?0<3`926=4+1ec974=i9m31;65f3983>!7ci39:7c?k9;:8?l50290/=io5309m5a?=121b?;4?:%3ge?563g;o57o4;h16>5<#9mk1?<5a1e;9f>=n;=0;6)?ka;12?k7c13i07d=<:18'5ag=;81e=i75d:9j6f<72-;om7=>;o3g=?c<3`>96=4+1ec904=i9m31<65f4183>!7ci3>:7c?k9;38?l5a290/=io5409m5a?=:21b?h4?:%3ge?263g;o57=4;h1g>5<#9mk18<5a1e;90>=n<k0;6)?ka;62?k7c13?07d:n:18'5ag=<81e=i756:9j0<<72-;om7:>;o3g=?1<3`>36=4+1ec904=i9m31465f4683>!7ci3>:7c?k9;;8?l21290/=io5409m5a?=i21b884?:%3ge?263g;o57l4;h67>5<#9mk18<5a1e;9g>=n<:0;6)?ka;62?k7c13n07d=l:18'5ag=<81e=i75e:9j3=<72-;om798;o3g=?6<3`==6=4+1ec932=i9m31=65f7583>!7ci3=<7c?k9;08?l14290/=io5769m5a?=;21b;?4?:%3ge?103g;o57:4;h52>5<#9mk1;:5a1e;91>=n?90;6)?ka;54?k7c13<07d8i:18'5ag=?>1e=i757:9j2`<72-;om798;o3g=?><3`<o6=4+1ec932=i9m31565f6b83>!7ci3=<7c?k9;c8?l0e290/=io5769m5a?=j21b:44?:%3ge?103g;o57m4;h4;>5<#9mk1;:5a1e;9`>=n>>0;6)?ka;54?k7c13o07d89:18'5ag=?>1e=i75f:9j20<72-;om798;o3g=?7732c=87>5$0fb>21<f8n26<?4;h40>5<#9mk1;:5a1e;957=<a?81<7*>d`843>h6l00:?65f6083>!7ci3=<7c?k9;37?>o183:1(<jn:658j4b>28?07d9i:18'5ag=?>1e=i751798m2c=83.:hl487:l2`<<6?21b;i4?:%3ge?103g;o57?7;:k4g?6=,8nj6:94n0f:>4?<3`=i6=4+1ec932=i9m31=l54i6c94?"6lh0<;6`>d882f>=n?00;6)?ka;54?k7c13;h76g85;29 4bf2>=0b<j6:0f8?l0f290/=io5769m5a?=9l10e8h50;&2`d<0?2d:h44>f:9j=<<72-;om777;o3g=?6<3`3<6=4+1ec9===i9m31=65f9483>!7ci3337c?k9;08?l?3290/=io5999m5a?=;21b5>4?:%3ge???3g;o57:4;h;1>5<#9mk1555a1e;91>=n180;6)?ka;;;?k7c13<07d7?:18'5ag=111e=i757:9j<c<72-;om777;o3g=?><3`2n6=4+1ec9===i9m31565f8e83>!7ci3337c?k9;c8?l>d290/=io5999m5a?=j21b4l4?:%3ge???3g;o57m4;h::>5<#9mk1555a1e;9`>=n010;6)?ka;;;?k7c13o07d68:18'5ag=111e=i75f:9j<3<72-;om777;o3g=?7732c397>5$0fb><><f8n26<?4;h:7>5<#9mk1555a1e;957=<a191<7*>d`8:<>h6l00:?65f8383>!7ci3337c?k9;37?>o?93:1(<jn:8:8j4b>28?07do?:18'5ag=111e=i751798m<`=83.:hl468:l2`<<6?21b5h4?:%3ge???3g;o57?7;:k:`?6=,8nj6464n0f:>4?<3`3h6=4+1ec9===i9m31=l54i8`94?"6lh0246`>d882f>=n1h0;6)?ka;;;?k7c13;h76g66;29 4bf2020b<j6:0f8?l>e290/=io5999m5a?=9l10e5>50;&2`d<>02d:h44>f:9je0<72-;om7o;;o3g=?6<3`k86=4+1ec9e1=i9m31=65fa383>!7ci3k?7c?k9;08?lg6290/=io5a59m5a?=;21bml4?:%3ge?g>3g;o57>4;hc;>5<#9mk1m45a1e;95>=ni>0;6)?ka;c:?k7c13807do9:18'5ag=i01e=i753:9j161=83.:hl4:379m5a?=821b9>;50;&2`d<2;?1e=i751:9j162=83.:hl4:379m5a?=:21b9>=50;&2`d<2;?1e=i753:9j16e=83.:hl4:3c9m5a?=821b9>o50;&2`d<2;k1e=i751:9j16?=83.:hl4:3c9m5a?=:21b9>650;&2`d<2;k1e=i753:9lg1<72-;om7m<;o3g=?6<3fi96=4+1ec9g6=i9m31=65`c183>!7ci3i87c?k9;08?jda290/=io5c29m5a?=;21dnh4?:%3ge?e43g;o57:4;n`g>5<#9mk1o>5a1e;91>=hjj0;6)?ka;a0?k7c13<07blm:18'5ag=k:1e=i757:9lfd<72-;om7m<;o3g=?><3fh26=4+1ec9g6=i9m31565`b983>!7ci3i87c?k9;c8?jd0290/=io5c29m5a?=j21dn84?:%3ge?e43g;o57m4;n`7>5<#9mk1o>5a1e;9`>=hj:0;6)?ka;a0?k7c13o07bl=:18'5ag=k:1e=i75f:9lf4<72-;om7m<;o3g=?7732ei<7>5$0fb>f5<f8n26<?4;nce>5<#9mk1o>5a1e;957=<gho1<7*>d`8`7>h6l00:?65`ae83>!7ci3i87c?k9;37?>ifk3:1(<jn:b18j4b>28?07bmm:18'5ag=k:1e=i751798kfg=83.:hl4l3:l2`<<6?21do44?:%3ge?e43g;o57?7;:m`<?6=,8nj6n=4n0f:>4?<3fi<6=4+1ec9g6=i9m31=l54ob494?"6lh0h?6`>d882f>=hk<0;6)?ka;a0?k7c13;h76al1;29 4bf2j90b<j6:0f8?jd1290/=io5c29m5a?=9l10cll50;&2`d<d;2d:h44>f:9la3<72-;om7k:;o3g=?6<3fo?6=4+1ec9a0=i9m31=65`e383>!7ci3o>7c?k9;08?jc6290/=io5e49m5a?=;21di=4?:%3ge?c23g;o57:4;nfe>5<#9mk1i85a1e;91>=hll0;6)?ka;g6?k7c13<07bjk:18'5ag=m<1e=i757:9l`f<72-;om7k:;o3g=?><3fni6=4+1ec9a0=i9m31565`d`83>!7ci3o>7c?k9;c8?jb>290/=io5e49m5a?=j21dh:4?:%3ge?c23g;o57m4;nf5>5<#9mk1i85a1e;9`>=hl<0;6)?ka;g6?k7c13o07bj;:18'5ag=m<1e=i75f:9l`6<72-;om7k:;o3g=?7732eo>7>5$0fb>`3<f8n26<?4;nf2>5<#9mk1i85a1e;957=<gm:1<7*>d`8f1>h6l00:?65`cg83>!7ci3o>7c?k9;37?>idm3:1(<jn:d78j4b>28?07bkk:18'5ag=m<1e=i751798k`e=83.:hl4j5:l2`<<6?21dio4?:%3ge?c23g;o57?7;:mfe?6=,8nj6h;4n0f:>4?<3fo26=4+1ec9a0=i9m31=l54od:94?"6lh0n96`>d882f>=hm>0;6)?ka;g6?k7c13;h76aj3;29 4bf2l?0b<j6:0f8?jb?290/=io5e49m5a?=9l10cnj50;&2`d<b=2d:h44>f:9lb6<72-;om7h=;o3g=?6<3fl:6=4+1ec9b7=i9m31=65`f183>!7ci3l97c?k9;08?jca290/=io5f39m5a?=;21dj54?:%3ge?`03g;o57>4;nd5>5<#9mk1j:5a1e;95>=hn<0;6)?ka;d4?k7c13807bh;:18'5ag=n>1e=i753:9~f7?7290>6=4?{%3fg?7112B>895G5228 4722;>0e<>6:188m46f2900e<>m:188m46d2900c<;j:188yg4?k3:1?7>50z&2af<6>=1C99:4H413?!76=3897d??9;29?l77i3:17b?:e;29?xd3=;0;6>4?:1y'5`e=9?>0D8:;;I704>"69<0:?6g>0883>>o68h0;66a>5d83>>{e:k>1<7:50;2x 4cd28<87E;;4:J675=#98?1>?5f11;94?=n99k1<75`14g94?=h9m?1<75rb312>5<3290;w)?jc;352>N2<=1C9>>4$036>75<a8:26=44i02b>5<<a8:i6=44o07f>5<<uk8im7>55;294~"6mj0::45G5568L0573-;:97<;;h33=?6=3`;;m7>5;h33f?6=3`;;o7>5;n36a?6=3th9>54?:283>5}#9li1=;:4H467?M3482.:=84=2:k24<<722c:<l4?::m21`<722wi>?750;694?6|,8oh6<89;I770>N2;91/=<;5229j55?=831b==o50;9j55d=831d=8k50;9~f74f290>6=4?{%3fg?7112B>895G5228 4722;>0e<>6:188m46f2900e<>m:188m46d2900c<;j:188yg45j3:1:7>50z&2af<6>11C99:4H413?!76=38?7d??9;29?l77i3:17d??b;29?l77k3:17b?:e;29?j7c=3:17pl=b683>0<729q/=hm517;8L0233A?8<6*>14810>o6800;66g>0`83>>o68k0;66g>0b83>>i6=l0;66sm29c94?2=83:p(<kl:045?M33<2B>?=5+107966=n9931<75f11c94?=n99h1<75`14g94?=zj;hn6=4::183\7f!7bk3;=56F:459K166<,8;>6?:4i02:>5<<a8:j6=44i02a>5<<a8:h6=44o07f>5<<uz<>j7>55z\51c=:;191==74=43:>46>34>o>7??9:?7`4<6801v\7f8;k:181`=}Y=<l0R8:k;_453>X1><1U99m4^741?[3a<2T=:;5Q5g78Z37c3W?>86P:529]10e<V<?i7S;:a:\61<=Y=<20R8;8;_762>X2=<1U98<4^472?833=3;;:63:2786`>;29k0>h63:2486`>;2:=0>h63:2286`>;2:l0>h63:2e86`>;4=00>i63<5986a>;4=>0>i63<5786a>;4=<0>i63<5586a>;4?90>i63<6g86a>;4>l0>i63<6e86a>;4>j0>i63<6c86a>;3:10>i63;2686a>;3:?0>i63;2486a>;3:=0>i63;2286a>;3;o0>i63;3d86a>;3;m0>i63;3b86a>;3;k0>i63;3`86a>;41;0>i63<a586a>;4i;0>i63<a186a>;41l0>i63<9b86a>;41h0>i63<9986a>;41?0>i63<9586a>;4190>i63;6686a>;3?00>i63;7686a>;3?<0>i63;7286a>;3?80>i63;6g86a>;3>m0>i63;6c86a>;3>00>i63;6486a>;2980><63:10865>;2980:i?5250395`5<5<;:6<kn;<725?5534?:=7=<;<725?4d34?:=7:=;<725?2434?:=7=l;<725?1?34?:=799;<725?1334?:=79<;<725?1534?:=79>;<725?1734?:=78i;<725?0b34?:=78k;<725?0d34?:=78m;<725?0>34?:=787;<725?0034?:=789;<725?0234?:=78;;<725?0434?:=78=;<725?0634?:=78?;<725?1a34?:=79j;<725?1c34?:=79l;<725?1e34?:=79n;<725??>34?:=778;<725??234?:=77;;<725??434?:=77=;<725??634?:=77?;<725?>a34?:=76j;<725?>c34?:=76l;<725?>f34?:=766;<725?>?34?:=768;<725?>134?:=76:;<725?>334?:=76<;<725?>534?:=76>;<725?g734?:=77i;<725??b34?:=77k;<725??d34?:=77m;<725??f34?:=779;<725?>e34?:=76?;<725?g234?:=7o<;<725?g534?:=7o>;<725?gf34?:=7o7;<725?g034?:=7o9;<725?34k27>=<4:3`9>147==:3018?>:41;?836:3?;70;>2;72?836:3;n>63:1382a6=:=881=ho4=431>64<5<;96>=4=431>7e<5<;969<4=431>15<5<;96>m4=431>2><5<;96:84=431>22<5<;96:=4=431>24<5<;96:?4=431>26<5<;96;h4=431>3c<5<;96;j4=431>3e<5<;96;l4=431>3?<5<;96;64=431>31<5<;96;84=431>33<5<;96;:4=431>35<5<;96;<4=431>37<5<;96;>4=431>2`<5<;96:k4=431>2b<5<;96:m4=431>2d<5<;96:o4=431><?<5<;96494=431><3<5<;964:4=431><5<5<;964<4=431><7<5<;964>4=431>=`<5<;965k4=431>=b<5<;965m4=431>=g<5<;96574=431>=><5<;96594=431>=0<5<;965;4=431>=2<5<;965=4=431>=4<5<;965?4=431>d6<5<;964h4=431><c<5<;964j4=431><e<5<;964l4=431><g<5<;96484=431>=d<5<;965>4=431>d3<5<;96l=4=431>d4<5<;96l?4=431>dg<5<;96l64=431>d1<5<;96l84=431>05d34?:>7;<a:?657<2;0169<<552:890742<:018?<:438907428o970;>3;3f7>;29:0:il52501977=:=891?>5250196f=:=8918?52501906=:=891?n5250193==:=891;;52501931=:=891;>52501937=:=891;<52501935=:=891:k5250192`=:=891:i5250192f=:=891:o5250192<=:=891:552501922=:=891:;52501920=:=891:952501926=:=891:?52501924=:=891:=5250193c=:=891;h5250193a=:=891;n5250193g=:=891;l525019=<=:=8915:525019=0=:=89159525019=6=:=8915?525019=4=:=8915=525019<c=:=8914h525019<a=:=8914n525019<d=:=89144525019<==:=8914:525019<3=:=89148525019<1=:=8914>525019<7=:=8914<525019e5=:=8915k525019=`=:=8915i525019=f=:=8915o525019=d=:=8915;525019<g=:=8914=525019e0=:=891m>525019e7=:=891m<525019ed=:=891m5525019e2=:=891m;52501916e<5<;868=n;<727?34127>=>4:399>142==9169<:5509>142=9l8018?;:0g0?836<3;nm63:15806>;29=08?63:1581g>;29=0?>63:15877>;29=08o63:1584<>;29=0<:63:15840>;29=0<?63:15846>;29=0<=63:15844>;29=0=j63:1585a>;29=0=h63:1585g>;29=0=n63:1585=>;29=0=463:15853>;29=0=:63:15851>;29=0=863:15857>;29=0=>63:15855>;29=0=<63:1584b>;29=0<i63:1584`>;29=0<o63:1584f>;29=0<m63:158:=>;29=02;63:158:1>;29=02863:158:7>;29=02>63:158:5>;29=02<63:158;b>;29=03i63:158;`>;29=03o63:158;e>;29=03563:158;<>;29=03;63:158;2>;29=03963:158;0>;29=03?63:158;6>;29=03=63:158b4>;29=02j63:158:a>;29=02h63:158:g>;29=02n63:158:e>;29=02:63:158;f>;29=03<63:158b1>;29=0j?63:158b6>;29=0j=63:158be>;29=0j463:158b3>;29=0j:63:15867f=:=8>19>o4=437>05>34?:87;<8:?650<2827>=84:1:?650<6m;169<;51d18907228oj70;>5;11?836=39?70;>5;10?836=38h70;>5;61?836=3>?70;>5;60?836=39h70;>5;5;?836=3==70;>5;57?836=3=870;>5;51?836=3=:70;>5;53?836=3<m70;>5;4f?836=3<o70;>5;4`?836=3<i70;>5;4:?836=3<370;>5;44?836=3<=70;>5;46?836=3<?70;>5;40?836=3<970;>5;42?836=3<;70;>5;5e?836=3=n70;>5;;:?836=33<70;>5;;6?836=33?70;>5;;0?836=33970;>5;;2?836=33;70;>5;:e?836=32n70;>5;:g?836=32h70;>5;:b?836=32270;>5;:;?836=32<70;>5;:5?836=32>70;>5;:7?836=32870;>5;:1?836=32:70;>5;c3?836=33m70;>5;;f?836=33o70;>5;;`?836=33i70;>5;;b?836=33=70;>5;:a?836=32;70;>5;c6?836=3k870;>5;c1?836=3kj70;>5;c;?836=3k<70;>5;c5?836=3?8o63:14867d=:=8?19>74=436>05?34?::7;?;<722?3634?::7?j2:?653<6m:169<851dc890712:8018?9:26890712:9018?9:3a890712=8018?9:56890712=9018?9:2a890712>2018?9:64890712>>018?9:61890712>8018?9:63890712>:018?9:7d890712?o018?9:7f890712?i018?9:7`890712?3018?9:7:890712?=018?9:74890712??018?9:76890712?9018?9:70890712?;018?9:72890712>l018?9:6g89071203018?9:858907120?018?9:8689071209018?9:808907120;018?9:828907121l018?9:9g8907121n018?9:9a8907121k018?9:9;89071212018?9:958907121<018?9:978907121>018?9:9189071218018?9:93890712h:018?9:8d8907120o018?9:8f8907120i018?9:8`8907120k018?9:848907121h018?9:92890712h?018?9:`1890712h8018?9:`c890712h2018?9:`5890712h<018?9:41`?836>3?8m63:17867<=:=8<19>64=434>06<5<;<68?4=434>4c534?:;7?j3:?652<6mh169<95339>141=;=169<95329>141=:j169<95439>141=<=169<95429>141=;j169<95799>141=??169<95759>141=?:169<95739>141=?8169<95719>141=>o169<956d9>141=>m169<956b9>141=>k169<95689>141=>1169<95669>141=>?169<95649>141=>=169<95629>141=>;169<95609>141=>9169<957g9>141=?l169<95989>141=1>169<95949>141=1=169<95929>141=1;169<95909>141=19169<958g9>141=0l169<958e9>141=0j169<958`9>141=00169<95899>141=0>169<95879>141=0<169<95859>141=0:169<95839>141=08169<95a19>141=1o169<959d9>141=1m169<959b9>141=1k169<959`9>141=1?169<958c9>141=09169<95a49>141=i:169<95a39>141=ih169<95a99>141=i>169<95a79>141==:i018?8:41b?836?3?8563:16867==:=8219=5250:914=:=821=h<4=43;>4c434?:47?ja:?65=<4:27>=54<4:?65=<4;27>=54=c:?65=<3:27>=54;4:?65=<3;27>=54<c:?65=<0027>=5486:?65=<0<27>=5483:?65=<0:27>=5481:?65=<0827>=549f:?65=<1m27>=549d:?65=<1k27>=549b:?65=<1127>=5498:?65=<1?27>=5496:?65=<1=27>=5494:?65=<1;27>=5492:?65=<1927>=5490:?65=<0n27>=548e:?65=<>127>=5467:?65=<>=27>=5464:?65=<>;27>=5462:?65=<>927>=5460:?65=<?n27>=547e:?65=<?l27>=547c:?65=<?i27>=5479:?65=<?027>=5477:?65=<?>27>=5475:?65=<?<27>=5473:?65=<?:27>=5471:?65=<f827>=546f:?65=<>m27>=546d:?65=<>k27>=546b:?65=<>i27>=5466:?65=<?j27>=5470:?65=<f=27>=54n3:?65=<f:27>=54na:?65=<f027>=54n7:?65=<f>27>=54:3b9>14>==:k018?7:41:?83603?846s|67394?5|V?<:70;=6;3gf>;29k0:ho5rs4ga>5<5sW?nn63<8382`f=z{?296=47{_4;6>;3=:0:<452446955?<5=?>6<>6;<662?77127?9:4>089>00>=993019;=:02:?xu1<<0;6;8t^766?836l3>m70;>c;6e?836j3>m70;=5;6e?835<3>m70;=3;6e?850n3>m70=72;6e?854?3>m70=<8;6e?854j3>m70=<c;6e?854l3>m70=<e;6e?854n3>m70=;0;6e?85393>m70=;2;6e?85413>m70=<a;6e?84f=3>m70<n4;6e?84fl3>m70<nc;6e?84fj3>m70<na;6e?84f13>m70<n8;6e?84f?3>m70<n6;6e?84f;3>m70<n2;6e?85783>m70<if;6e?85703>m70=?7;6e?857>3>m70=?5;6e?857<3>m70=?3;6e?857:3>m70=?1;6e?84am3>m70<id;6e?84b03>m70<j7;6e?84a83>m70<jf;6e?84bm3>m70<jd;6e?84bk3>m70<jb;6e?84bi3>m70<j9;6e?84b>3>m70<j5;6e?843:3>m70<;1;6e?843i3>m70<;9;6e?84303>m70<;7;6e?843>3>m70<;5;6e?843<3>m70<;3;6e?84383>m70<<f;6e?85d93>m70=la;6e?85d:3>m70=l8;6e?85d?3>m70=l9;6e?85d=3>m70=l4;6e?85d>3>m70=l0;6e?85en3>m70=l3;6e?85ci3>m70=k9;6e?85b:3>m70=j1;6e?85b83>m70=kf;6e?85cm3>m70=kd;6e?85ck3>m70=kb;6e?85c03>m70=k7;6e?82bi3>m70;>1;7;?836:3?370;>3;7;?836<3?370;>5;7;?836>3?370;>7;7;?83603?37p}:f083>7}Y=o;019:i:0f`?xu1>10;6:>t^74;?83503>m70;=6;6e?835?3>m70;=e;6e?835l3>m70:;f;6e?823m3>m70:?6;6e?827?3>m70:?a;6e?827j3>m70:?c;6e?827l3>m70:?e;6e?827n3>m70:>0;6e?82693>m70:?8;6e?82713>m70<>2;6e?84693>m70<>a;6e?84613>m70<>8;6e?846?3>m70<>6;6e?846=3>m70<>4;6e?846;3>m70<>0;6e?847n3>m70<82;6e?84093>m70<8a;6e?84013>m70<88;6e?840?3>m70<86;6e?840=3>m70<84;6e?840;3>m70<80;6e?841n3>m70<:a;6e?84213>m70<92;6e?84193>m70<90;6e?842n3>m70<:e;6e?842l3>m70<:c;6e?842j3>m70<:8;6e?842?3>m70<k0;6e?84dn3>m70<k8;6e?84c?3>m70<k6;6e?84c=3>m70<k4;6e?84c;3>m70<k2;6e?84c93>m70<le;6e?84dl3>m70:66;6e?82>n3>m70:67;6e?82>l3>m70:6c;6e?82>m3>m70:6a;6e?82>13>m70:6b;6e?82>=3>m70:64;6e?82>03>m70:m0;6e?82fk3>m70:nd;6e?82e;3>m70:m1;6e?82e:3>m70:m6;6e?82e<3>m70:m5;6e?82fn3>m70:m7;6e?82fm3>m70:l7;6e?82d>3>m70:lf;6e?82dm3>m70:ld;6e?82dk3>m70:lb;6e?82di3>m70:l9;6e?82d03>m70:l5;6e?82d<3>m70;>1;74?836:3?<70;>3;74?836<3?<70;>5;74?836>3?<70;>7;74?83603?<7p}97983>7}Y>?i019>6:0f`?xu1??0;6?uQ67`8916?28nh7p}98083>7}Y>>>019?>:0f`?xu1090;6?uQ6618917728nh7p}97g83>7}Y>>8019>i:0f`?xu1?l0;6?uQ6638916b28nh7p}97e83>7}Y>>:019>k:0f`?xu1?j0;6?uQ67d8916d28nh7p}97c83>7}Y>?o019>m:0f`?xu1?h0;6?uQ67f8916f28nh7p}97883>7}Y>?k019>8:0f`?xu1?<0;6?uQ67;8916128nh7p}:e383>7}Y=j:019k7:0f6?xu2m90;6?uQ5cd891c028n>7p}:dg83>7}Y=ko019k9:0f6?xu2ll0;6?uQ5cf891c228n>7p}:db83>7}Y=kh019k;:0f6?xu2lk0;6?uQ5cc891c428n>7p}:d`83>7}Y=k3019k=:0f6?xu2l00;6?uQ5c:891c628n>7p}:d983>7}Y=k=019k?:0f6?xu2l>0;6?uQ5c4891ba28n>7p}:d783>7}Y=k?019jj:0f6?xu2l<0;6?uQ5c6891bc28n>7p}:d583>7}Y=k9019jl:0f6?xu2l:0;6?uQ5c0891be28n>7p}:d083>7}Y=k:019jn:0f6?xu2l90;6?uQ5`d891b>28n>7p}:cg83>7}Y=ho019j7:0f6?xu2kl0;6?uQ5`f891b028n>7p}:ce83>7}Y=hi018?;:d58yv3dk3:1>vP:ac9>142=m:1v\7f8mm:181\7f[3fi27>=94k8:\7fp1fg=838pR8o6;<720?ec3ty>o44?:3y]1d><5<;86h94}r7`<?6=:rT>m:525019a6=z{<o26=4={_7`2>;29:0o46s|5d:94?4|V<i>70;>3;ag?xu2m>0;6?uQ5b6890752l=0q~;j6;296~X2k:169<<5e29~w0c22909wS;l2:?657<c02wx9h:50;0xZ0e634?:>7mk;|q6a6<72;qU9om4=432>`1<uz?oh7>52z\6f4=:=8;1i>5rs4f1>5<5sW?j:63:108g<>{t=j=1<7<t^4c6?83693io7p}95483>7}Y>=301>=n:0f`?xu1=:0;6?uQ65:8965>28nh7p}95d83>7}Y><;01>:=:0f`?xu1=m0;6?uQ6428962628nh7p}95b83>7}Y>=l01>:?:0f`?xu1=k0;6?uQ65g8965a28nh7p}95`83>7}Y>=n01>=j:0f`?xu1=00;6?uQ65a8965c28nh7p}95983>7}Y>=h01>=l:0f`?xu1=>0;6?uQ65c8965e28nh7p}95783>7}Y>==01>=7:0f`?xu1=;0;6?uQ6548965028nh7p}:9e83>6}Y=>h018?8:`38907?2h;0q~;6b;297~X2?h169<957e9>14>=?m1v\7f87n:180\7f[30127>=:48c:?65=<0k2wx94750;1xZ01?34?:;79m;<72<?1e3ty>5:4?:2y]120<5<;<6:o4=43;>2g<uz?2:7>53z\630=:=8=1;45250:93<=z{<3>6=4<{_740>;29>0<963:19841>{t=0>1<7=t^450?836?3<j70;>8;4b?xu21:0;6>uQ560890702<l018?7:4d8yv3>:3:1?vP:709>143=i8169<85a09~w0?62908wS;80:?650<0l27>=;48d:\7fp1<6=839pR88i;<721?1d34?::79l;|q6<c<72:qU9;k4=436>2d<5<;=6:l4}r7;a?6=;rT>:i5250793d=:=8<1;l5rs4:`>5<4sW?=n63:1484=>;29?0<56s|59`94?5|V<<j70;>5;56?836>3=>7p}:8`83>6}Y=?3018?::7c890712?k0q~;79;297~X2>1169<;55g9>140==o1v\7f867:181\7f[31?27>=9489:\7fp1=1=838pR889;<720?123ty>4;4?:3y]133<5<;?6;o4}r7;1?6=:rT>:95250691c=z{<2?6=4={_757>;29:0<56s|59194?4|V<<970;>3;56?xu2i=0;6?uQ593890742?k0q~;n3;296~X209169<=55g9~w0g52909wS;8f:?657<012wx9l?50;0xZ01b34?:>79:;|q6e5<72;qU9:j4=431>3g<uz?2j7>52z\63f=:=8819k5rs4;f>5<5sW?<;63:1084=>{t=021<7<t^44`?83693=>7p}:8e83>7}Y=?;018?>:7c8yv3?:3:1>vP:619>147==o1v\7f<8j:1825~;2<?0:h:52306913=:;;h19;523d1913=:;ok19;52346913=:;?h19;52431913=:<:k19;523`4913=:;0:19;5246`913=:<??19;525039bd=:=881jl525019bd=:=8>1jl5rs43`>5<4s4?:h7;k;<72g?7ck27>=o4:4:\7fp14b=838p18?k:0f`?836n3;;56s|53594?5|5<8368j4=405>02<5<8<6<jl;|q66=<72;q69?651ea8904f28:27p}:1c83>6}:=8i19i5250`95ae<5<;m6<>n;|q663<72:q69?851ea890402<n018<n:02b?xu29l0;69u253795ad<5<8?6<jm;<717?7cj27>=k4>d49~w0452909;v3:2482`f=:;>l1=il4=2:1>4be3498;7;6;<10<?3>3498n7;6;<10g?3>3498h7;6;<10a?3>3498j7;6;<174?3>349?=7;6;<176?3>349857;6;<10e?3>349h=7;6;<1`e?3>349h>7;6;<1`<?3>349h;7;6;<1`=?3>349h97;6;<1`0?3>349h:7;6;<1`4?3>349ij7?kb:?0g6<21278hl4:9:?0`<<21278i?4:9:?0a4<21278i=4:9:?0`c<21278hh4:9:?0`a<21278hn4:9:?0`g<21278h54:9:?0`2<212wx9??50;12\7f835<3;oo63=a486=>;5i=0>563=ae86=>;5ij0>563=ac86=>;5ih0>563=a886=>;5i10>563=a686=>;5i?0>563=a286=>;5i;0>563<0186=>;5no0>563<0986=>;48>0>563<0786=>;48<0>563<0586=>;48:0>563<0386=>;4880>563=fd86=>;5nm0>563=e986=>;5m>0>563=f186=>;5mo0>563=ed86=>;5mm0>563=eb86=>;5mk0>563=e`86=>;5m00>563=e786=>;5m<0>563=4386=>;5<80>563=4`86=>;5<00>563=4986=>;5<>0>563=4786=>;5<<0>563=4586=>;5<:0>563=4186=>;5;o0>56s|53294?e|5<886<jl;<72=?77j27?h?4>0c9>0a7=99h018?>:0ga?836:3;nn63:1282ag=:=8>1=hl4=436>4ce34?::7?jb:?652<6mk169<651d`8yv3513:1?v3:2d82`g=:=;n1=il4=40b>4b23ty>>n4?:21x904b28nh70:;f;3gf>;3<l0:ho5241491<=:<9=1945241c91<=:<9h1945241a91<=:<9n1945241g91<=:<9l1945240291<=:<8;1945241:91<=:<931945248491<=:<0l1945248591<=:<0n1945248a91<=:<0o1945248c91<=:<031945248`91<=:<0?1=il4=5;7>0?<5=336874=5`3>0?<5=kh6<jm;<6b`?3>34>i?7;6;<6a5?3>34>i>7;6;<6a2?3>34>i87;6;<6a1?3>34>jj7;6;<6a3?3>34>ji7;6;<6`3?3>34>h:7;6;<6`b?3>34>hi7;6;<6``?3>34>ho7;6;<6`f?3>34>hm7;6;<6`=?3>34>h47;6;<6`1?3>34>h87;6;|q66g<72:;p18<k:0f`?846:3?270<>1;7:?846i3?270<>9;7:?84603?270<>7;7:?846>3?270<>5;7:?846<3?270<>3;7:?84683?270<?f;7:?840:3?270<81;7:?840i3?270<89;7:?84003?270<87;7:?840>3?270<85;7:?840<3?270<83;7:?84083?270<9f;7:?842i3?270<:9;7:?841:3?270<91;7:?84183?270<:f;7:?842m3?270<:d;7:?842k3?270<:b;7:?84203?270<:7;7:?84c83?270<lf;7:?84c03?270<k7;7:?84c>3?270<k5;7:?84c<3?270<k3;7:?84c:3?270<k1;7:?84dm3?270<ld;7:?xu4090;6>u236d91a=:;1819i5239395a3<uz9<j7>55z?03c<6lj16?5=511c8907>28:j70:k2;33e>;3l80:<l5rs260>5<5s498;7;k;<11f?7c=2wx?9850;0x965?2<n01><j:0f6?xu4<>0;6?u232`91a=:;;l1=i;4}r17<?6=:r78?n4:d:?075<6l<1v\7f>:6:181\7f854l3?o70=<1;3g1>{t;=k1<7<t=21f>0b<5:996<j:;|q00g<72;q6?>h55e9>765=9m?0q~=;c;296~;4<90>h63<3582`0=z{:>o6=4={<175?3c349897?k5:\7fp71c=838p1>:=:4f8965128n>7p}<4583>7}:;:319i5233a95a3<uz9?97>52z?07d<2l278>i4>d49~w1372908w0:;f;7g?823m3?o70::1;3g1>{t<=o1<76t=56f>4bd34>>?7??a:?711<68h1688;511c8913128:j70::7;33e>;3=10:<l52440955g<uz>:>7>52z?743<2l278jl4>d49~w1722909w0:?7;7g?85al3;o96s|40494?4|5=:j68j4=2df>4b23ty?=:4?:3y>05d==m16?kh51e78yv2603:1>v3;0b86`>;3890:h85rs53:>5<5s4>;h7;k;<635?7c=2wx8<o50;0x916b2<n019>=:0f6?xu39k0;6?u241d91a=:<991=i;4}r62g?6=:r7?==4:d:?741<6l<1v\7f9?k:181\7f82693?o70:?5;3g1>{t<891<7<t=52;>0b<5:li6<j:;|q751<72;q68=755e9>7ce=9m?0q~<66;291~;49o0:<45230691`=::h81=im4=254>46d349=<7??9:\7fp7fd=83ip1>?i:02b?85c?3;oo63>f6824d=:;?:1==l4=432>12<5<;969:4=430>12<5<;?69:4=436>13<5<;=69;4=434>13<5<;369;4}r12b?6=;r78=k4>d49>742=9l>01><m:07g?xu49=0;6>u230695a3<5:;=6884=20f>00<uz8257>55z?067<68016?<855d9>6d5=9mi01>98:02b?85183;;m6s|3bg94?b|5:896<>n;<1g<?7ck27:j:4>089>5c0=99k01>8?:02`?83693>>70;>2;66?836;3>>70;>4;66?836=3>=70;>6;65?836?3>=70;>8;65?xu4:;0;6>u233095a3<5:;=6<k;;<11a?72l2wx?<850;1x967128n>70=>7;75?855n3?=7p}=9`83>0}:;;91==74=234>0c<5;k=6<jl;<14<?77k278:<4>089~w6ea290ow0==3;33e>;4lk0:hn521g4955?<58l>6<>n;<155?77j27>=<4;6:?657<3>27>=>4;6:?651<3>27>=84;7:?653<3?27>=:4;7:?65=<3?2wx??=50;1x964428n>70=>7;3f0>;4:o0:9i5rs234>5<4s49:;7?k5:?05=<2>278?=4:6:\7fp6<d=83?p1><;:02:?85603?n70<n7;3gg>;4?10:<l52373955g<uz9o<7>5dz?061<68h16?im51ea894`228:270?i4;33e>;4>80:<n52503902=:=8818:52501902=:=8>18:5250790==:=8<1855250590==:=821855rs207>5<4s49987?k5:?05=<6m=16?>>514f8yv5603:1?v3<1982`0=:;8319;52323913=z{;3h6=4:{<111?771278=44:e:?1e=<6lj16?:7511a8960528:27p}<d083>a}:;;?1==o4=2fg>4bd34;m87??9:?2b6<68h16?;<511`890762=2018?=:5:890742=2018?;:5:890722=3018?9:5;890702=3018?7:5;8yv55=3:1?v3<2482`0=:;831=h:4=212>43c3ty8=44?:2y>74?=9m?01>?n:44896552<<0q~<6d;291~;4:?0:<45230c91`=::h31=im4=25:>46f349=>7??a:\7fp7a4=83np1><9:02b?85cm3;oo63>f2824<=:9o81==o4=241>46d34?:=7:6;<726?2>34?:?7:6;<720?2>34?:97:n;<722?2f34?:;7:n;<72<?2f3ty8>;4?:2y>770=9m?01>?n:0g7?854:3;>h6s|30c94?5|5:;j6<j:;<12f?313498?7;9;|q1=`<72<q6??9511;8967e2<o01?on:0f`?850i3;;o63<62824<=z{:n86=4k{<113?77i278hk4>db9>5c4=99301<h>:02b?851;3;;n63:1087e>;29;0?m63:1287e>;29=0?m63:1487f>;29?0?n63:1687f>;2910?n6s|33594?5|5:8<6<j:;<12f?7b<278?>4>5e9~w67e2908w0=>b;3g1>;49j0>:63<35862>{t:0l1<7;t=20;>46>349:o7;j;<0bf?7ck278;l4>0`9>735=99k0q~=k4;29`~;4:10:<l523d295ae<58l:6<>6;<3e4?77i278:>4>0b9>147=<k169<<54c9>145=<k169<:54c9>143=;m169<853e9>141=;m169<653e9~w64?2908w0==8;3g1>;49j0:i952326950b<uz9:o7>53z?05f<6l<16?<j5579>763==?1v\7f?o?:186\7f85513;;563<1e86a>;5ij0:hn5236`955e<5:<?6<>6;|q0`0<72mq6??7511c896c628nh70?i0;33=>;6mo0:<l52376955d<5<;:6>j4=431>6b<5<;86>j4=437>6b<5<;>6>k4=435>6c<5<;<6>k4=43;>6c<uz9957>53z?06<<6l<16?<j51d68965228?o7p}<1e83>6}:;8n1=i;4=23f>00<5:9=6884}r0b5?6==r78>l4>089>74c==l16>lj51ea8961e28:j70=94;33e>{t;m<1<7jt=20b>46f349n>7?kc:?2ac<68016=hk511c8960328:h70;>1;1f?836:39n70;>3;1f?836<39n70;>5;1e?836>39m70;>7;1e?836039m7p}<2`83>6}:;;k1=i;4=23f>4c33498:7?:d:\7fp74c=839p1>?j:0f6?856=3?=70==c;75?xu51>0;68u2332955?<5:;>68k4=3c7>4bd349<o7??c:?020<6801v\7f>ml:18g\7f85583;;m63<d882`f=:9lo1==74=0gg>46f349=97??c:?654<4n27>=?4<f:?656<4n27>=94<f:?650<3827>=;4;0:?652<3827>=54;0:\7fp776=839p1><?:0f6?856=3;n863<2b821a=z{:;>6=4={<121?7c=278>i4:6:\7fp6<>=83>p1><>:02:?84f=3;oo63<7b824d=:;??1==o4}r1``?6=kr78><4>0`9>614==m16?io51ea894cc28:270=95;33f>;3l;0:<n524e3955e<5=oi6<>6;<725?2734?:>7:?;<727?2734?:87:?;|q064<72;q6???51e78964c28?o7p}=0283>1}:;lo1==74=32e>4bd34>?:7??c:?76c<68j1v\7f9l7:18`\7f85bm3;;m63<e286a>;3k=0:hn52210955g<5<;:6>:4=431>62<5<;86>:4=437>62<5<;>6>;4=435>63<5<;<6>;4=43;>63<uz9ni7>53z?0a`<6l<16?h=51d6896`f28?o7p}<e283>6}:;l91=i;4=2g6>00<5:lo6884}r032?6=<r78j<4>089>646=9mi019:9:02b?825n3;;m6s|4c`94?b|5:l:6<>n;<1f1?3b34>h97?kc:?147<68016>=?511c890762:?018?=:27890742:?018?;:27890722:<018?9:24890702:<018?7:248yv5a93:1?v3<f082`0=:;l?1=h:4=2dg>43c3ty8i84?:2y>7`3=9m?01>k9:44896`b2<<0q~<?7;290~;4n;0:<45220195ae<5=><6<>l;<604?77k2wx8om50;fx96`528:j70=j6;7f?82d03;oo63=00824<=::9:1==o4=432>60<5<;96>84=430>60<5<;?6>84=436>61<5<;=6>94=434>61<5<;36>94}r1e6?6=;r78j?4>d49>7`0=9l>01>hj:07g?xu4m?0;6>u23d495a3<5:o<6884=2de>00<uz8;47>54z?0b6<68016><:51ea8912028:j70:<0;33e>{t<kn1<7jt=2d0>46f349n;7;j;<6`=?7ck279<=4>089>5c`=99k018?>:25890752:=018?<:25890732:=018?::2:890712:2018?8:2:8907?2:20q~=i3;297~;4n:0:h8523d595`2<5:lm6<;k;|q0a2<72:q6?h951e7896c?2<<019>?:448yv4713:18v3<f5824<=::8?1=im4=56;>46d34>8=7??c:\7fp0gc=83np1>h;:02b?85b03?n70:la;3gg>;6no0:<4521gg955g<5<;:6>64=431>6><5<;86>64=437>6><5<;>6>74=435>6?<5<;<6>74=43;>6?<uz9m87>53z?0b1<6l<16?h651d68916728?o7p}<e983>6}:;l21=i;4=2g:>00<5=::6884}r03e?6=<r78j84>089>640=9mi019:7:02b?82493;;m6s|4cd94?b|5:l>6<>n;<1f=?3b34>hn7?kc:?2b`<68016=kj511c890762:3018?=:2;890742:3018?;:2;890722:k018?9:2c890702:k018?7:2c8yv5a=3:1?v3<f482`0=:;l31=h:4=522>43c3ty8i44?:2y>7`?=9m?01>kn:44891652<<0q~<?b;290~;4n?0:<45220595ae<5=>26<>l;<606?77k2wx8n>50;fx96`128:j70=ja;7f?82dk3;oo63>fe824<=:9oi1==o4=432>6g<5<;96>o4=430>6g<5<;?6>o4=436>6d<5<;=6>l4=434>6d<5<;36>l4}r1e2?6=;r78j;4>d49>7`g=9l>019>=:07g?xu4mh0;6>u23dc95a3<5:oi6884=520>00<uz8;o7>54z?0b2<68016><651ea8912>28:j70:<2;33e>{t<j;1<7jt=2d4>46f349nn7;j;<6``?7ck27:jn4>089>5cd=99k018?>:2`890752:h018?<:2`890732:h018?::3f890712;n018?8:3f8907?2;n0q~=i7;297~;4n>0:h8523d`95`2<5=:86<;k;|q0ag<72:q6?hl51e7896cd2<<019>;:448yv2d:3:1hv3<f9824<=:;li19h524bg95ae<58li6<>6;<3ee?77i27>=<4=d:?657<5l27>=>4=d:?651<5l27>=84=e:?653<5m27>=:4=e:?65=<5m2wx>=j50;6x96`?28:j70<>9;3gg>;3<h0:<n52421955e<uz9m47>53z?0b=<6l<16?hm51d68916328?o7p}<eb83>6}:;li1=i;4=2gg>00<5=:>6884}r6`7?6=lr78j44>089>7`b==l168nh51ea894`f28:270?i9;33e>;29809i63:1381a>;29:09i63:1581a>;29<09j63:1781b>;29>09j63:1981b>{t:9o1<7:t=2d:>46f348:m7?kc:?70d<68h168>=511c8yv5a13:1?v3<f882`0=:;ln1=h:4=526>43c3ty8ii4?:2y>7`b=9m?01>k;:44896`e2<<0q~:m9;29`~;4mo0:<4523d691`=:<j<1=im4=0d:>46>34;m47??a:?654<5n27>=?4=f:?656<5n27>=94=f:?650<4827>=;4<0:?652<4827>=54<0:\7fp652=83>p1>ki:02b?84693;oo63;4c824f=:<:>1==m4}r1fb?6=;r78ik4>d49>7`2=9l>01>hm:07g?xu4m=0;6?u23d695a3<5:lh6884}r6ae?6=jr78j=4>089>6a6==m168n951ea894`?28:270:k0;33<>;29808<63:13804>;29:08<63:15804>;29?0mm63:198ee>{t:9?1<7:t=2d3>46f348:>7?kc:?70g<68h168>:511c8yv5a83:1>v3<f182`0=:;oi1=8j4}r167?6=:r78944:6:?01=<6l<1v\7f>;i:181\7f85213;n863<6482`0=z{:=o6=4={<16=?7c=2784<4>0c9~w6352909w0=:8;75?852?3;o96s|34g94?4|5:?36<k;;<150?7c=2wx?8?50;0x96302<<01>;9:0f6?xu4=m0;6?u234595`2<5:<86<j:;|q015<72;q6?885579>703=9m?0q~=:c;296~;4=?0:i95237095a3<uz9?j7>52z?010<2>278994>d49~w63e2909w0=:5;3f0>;4>80:h85rs27b>5<5s49>87?j4:?025<6l<1v\7f>8n:181\7f85083?=70=9f;3g1>{t;><1<7<t=253>4c3349<o7?k5:\7fp72c=838p1>9?:0f6?85?93;;m6s|37;94?4|5:<m6884=24f>4b23ty8;84?:3y>73`=9l>01>9m:0f6?xu4>10;6?u237g913=:;?n1=i;4}r140?6=:r78:h4>e59>72g=9m?0q~=97;296~;4>m0>:63<6b82`0=z{:=86=4={<15`?7b<278;44>d49~w6012909w0=9c;75?851j3;o96s|36094?4|5:<h6<k;;<14<?7c=2wx?:?50;0x960e28o?70=87;3g1>{t<;81<7<t=50;>00<5=8<6<j:;|q76`<72;q68?651d68915328n>7p};4b83>7}:<;21=i;4=572>46e3ty?><4?:3y>071==?168?851e78yv25l3:1>v3;2682a1=:<:91=i;4}r614?6=:r7?>;4:6:?760<6l<1v\7f9<l:181\7f825>3;n863;3382`0=z{=;m6=4={<611?3134>987?k5:\7fp07d=838p19<::0g7?82493;o96s|40g94?4|5=8?6884=500>4b23ty?>l4?:3y>072=9l>019=?:0f6?xu3:00;6?u243195`2<5=8m6<j:;|q77<<72;q68>h5579>06c=9m?0q~:;5;296~;3;o0:i95245`95a3<uz>?h7>52z?77c<6l<1688?511c8yv2403:1>v3;3d862>;3;m0:h85rs567>5<5s4>8i7?j4:?70d<6l<1v\7f9=8:181\7f824l3?=70:<c;3g1>{t<=91<7<t=51g>4c334>?57?k5:\7fp060=838p19=l:448915e28n>7p};4383>7}:<:i1=h:4=56;>4b23ty??84?:3y>06d==?168>o51e78yv2393:1>v3;3c82a1=:<==1=i;4}r674?6=:r7??l4>e59>010=9m?0q~<95;291~;59;0>h63=7382`f=::8i1==o4=33f>46>3489<7??a:\7fp64d=838p1??>:4f8977d28n>7p}=1e83>7}::8k19i5220g95a3<uz8:j7>5az?15<<2l279>=4>d49>674=99k01?<;:02a?845>3;;o63=2b824f=::;o1==m4=311>46d348297??c:?1=6<68j1v\7f?<>:181\7f84603?o70<=2;3g1>{t:;91<7<t=334>0b<5;8?6<j:;|q160<72;q6><855e9>670=9m?0q~<=7;296~;59<0>h63=2b82`0=z{;8o6=4={<020?3c3489i7?k5:\7fp67`=838p1??<:4f8975528n>7p}=9583>7}::8:19i5228795a3<uz82>7>52z?14c<2l2795>4>d49~w7`4290jw0<n5;7g?85783;oo63=ag824d=::k;1==74=3a3>46d3482=7??a:?1<=<68h16>o7511a897df28:i70<m7;33f>{t:ho1<7:t=3c7>0b<5;km6<j:;<0a7?77k279n?4>0b9~w7d72909w0<nd;7g?84e93;o96s|2cd94?4|5;kh68j4=3a3>4b23ty9n?4?:3y>6dd==m16>o<51e78yv4e;3:1>v3=a`86`>;5j:0:h85rs3`5>5<5s48j57;k;<0a2?7c=2wx>o650;0x97g?2<n01?lm:0f6?xu5jj0;6?u22`591a=::ki1=i;4}r0a`?6=:r79m;4:d:?1fa<6l<1v\7f?6j:181\7f84f;3?o70<61;3g1>{t:1<1<7<t=3c1>0b<5;226<j:;|q1`g<72;q6?=>55e9>6`>=9mi0q~<ka;296~;5no0>h63=e682`f=z{;l96=46{<0eb?7ck279mk4>089>6g7=99k01?m?:02:?84?03;;n63=b8824g=::0:1==74=3`b>46d348i;7??c:\7fp6`2=838p1>>7:4f897`728nh7p}=fb83>g}:;921=im4=3`2>46e348h<7??a:?1f6<68h16>o<511c897dd28:i70<mb;33e>;5j?0:<45229;955?<5;ho6<>n;<0:4?77j2wx>h=50;0x96602<n01?ki:0f`?xu5nk0;6:u231595ae<5;i;6<>m;<0a6?7712794o4>0b9>6g0=99k01?lk:02:?84e<3;;m6s|2d094?4|5::=68j4=3gf>4bd3ty9jl4?:9y>750=9mi01?l<:02a?84e:3;;n63=b8824d=::kh1==l4=3`5>46e3482<7??c:?1<d<68k1v\7f?k>:181\7f857=3?o70<jd;3gg>{t:o31<7;t=226>4bd3483n7??a:?1f1<68016>o9511;897db28:27p}=e183>7}:;9>19i522da95ae<uz8m47>57z?041<6lj16>5h511c897>d28:270<ma;33=>;5j>0:<l5229c955g<5;hn6<>n;|q1`c<72;q6?==55e9>6`d=9mi0q~<i7;290~;48:0:hn5229`955d<5;h26<>6;<0ae?77i2wx>ik50;0x96652<n01?kn:0f`?xu5n?0;68u231095ae<5;2m6<>6;<0;g?77i2794l4>089>6gc=99h0q~<kd;296~;4880>h63=e882`f=z{;l>6=4;{<135?7ck279454>0b9>6<6=99k01?lj:02`?xu5lj0;6?u22gg91a=::l<1=im4}r0e0?6=:r79jh4>db9>6=d=9930q~<k9;296~;5nm0>h63=e482`f=z{;l:6=4={<0e`?7ck279454>089~w72c2909w0<82;7g?842i3;oo6s|25a94?4|5;=:68j4=37:>4bd3ty9:94?:5y>627=9mi01??l:02:?846m3;;m63=21824g=z{;?=6=4={<04e?3c348=>7?kc:\7fp63c=839p1?9n:0f`?846m3;;n63=21824f=z{;?>6=4={<04=?3c348==7?kc:\7fp63b=838p1?96:0f`?84583;;56s|24694?4|5;=368j4=343>4bd3ty9:n4?:8y>62>=9mi01?<=:02:?845<3;;m63=27824g=::;i1==o4=30f>46f3488>7??a:?1=0<68016>4=511;8yv42;3:1>v3=7686`>;5=o0:hn5rs34a>5<0s48<;7?kc:?161<68016>?8511c8974d28:270<=e;33=>;5;;0:<45223`955?<uz8>>7>52z?133<2l2799h4>db9~w70f290=w0<86;3gg>;5:?0:<45223:955?<5;826<>6;<01e?771279>o4>0`9~w7362909w0<85;7g?842l3;oo6s|27;94?3|5;=>6<jl;<01<?77i279>44>0`9>67g=99h01?<m:02a?xu5=90;6?u226691a=::<i1=im4}r05<?6=<r79;94>db9>67?=99h01?<n:02`?845j3;;o6s|25d94?4|5;=868j4=37a>4bd3ty9::4?:5y>625=9mi01?=?:02:?84493;;563=2`824d=z{;>n6=4={<044?3c348>47?kc:\7fp630=839p1?9?:0f`?84483;;m63=30824d=z{;>i6=4={<05b?3c348>;7?kc:\7fp635=838p1?8i:0f`?84493;;n6s|2b194?4|5;o368j4=3f3>4bd3ty9o?4?:3y>6`1==m16>nh51ea8yv4dk3:1>v3=f186`>;5l10:hn5rs3aa>5<5s48nj7;k;<0g3?7ck2wx>no50;0x97cb2<n01?j9:0f`?xu5k00;6?u22df91a=::m?1=im4}r0`<?6=:r79in4:d:?1`1<6lj1v\7f?m8:181\7f84bj3?o70<k3;3gg>{t:j<1<7<t=3gb>0b<5;n96<jl;|q1g0<72;q6>h755e9>6a7=9mi0q~<l4;296~;5m?0>h63=cd82`f=z{;i:6=4={<0f1?3c348hh7?kc:\7fp663=838p1?;n:4f8972528nh7p}=3583>7}::<319i5225395ae<uz88i7>52z?127<2l2798l4>db9~w75c2909w0<91;7g?84313;oo6s|22a94?4|5;<;68j4=36;>4bd3ty9?o4?:3y>60`==m16>9951ea8yv44i3:1>v3=5d86`>;5<?0:hn5rs31:>5<5s48>h7;k;<071?7ck2wx>>650;0x973d2<n01?:;:0f`?xu5;>0;6?u224`91a=::=91=im4}r002?6=:r79954:d:?105<6lj1v\7f?=<:181\7f842?3?o70<<f;3gg>{t:>h1<7<t=362>0b<58oo6<j:;|q13f<72;q6>9o55e9>5`c=9m?0q~<8d;296~;5<00>h63>eg82`0=z{;=n6=4={<07<?3c34;m<7?k5:\7fp62`=838p1?:8:4f894`628n>7p}=8183>7}::=<19i521g095a3<uz83=7>52z?100<2l27:j>4>d49~w7>52909w0<;4;7g?87a<3;o96s|29194?4|5;>868j4=0d6>4b23ty9494?:3y>616==m16=k851e78yv4?=3:1>v3=3g86`>;6n>0:h85rs22:>5<5s48hj7;k;<3e<?7c=2wx?=o50;0x97b?2<n01<h6:0f6?xu48k0;6?u22e591a=:9ok1=i;4}r13g?6=:r79h;4:d:?2bg<6l<1v\7f>>k:181\7f84c=3?o70?ic;3g1>{t;9o1<7<t=3f7>0b<58lo6<j:;|q04c<72;q6>i=55e9>5cc=9m?0q~=>0;296~;5l;0>h63>fg82`0=z{:;:6=4={<0g5?3c348;<7?k5:\7fp744=838p1?mj:4f8976628n>7p}<1283>7}::jn19i5221095a3<uz?:m7>51`y>7f7===16?no5559>7f4===16?n65559>7f1===16?n75559>7f3===16?n:5559>7f0===16?n>5559>7g`===16?n=5559>7ag===16?i75559>7`4===16?h?5559>7`6===16?ih5559>7ac===16?ij5559>7ae===16?il5559>7a>===16?i95559>7=7=99301>6<:0f6?xu40?0;6?u23b391a=:;h=1=i;4}r1a0?6=<r78o<4>db9>7a?==m16?:m511`896?428:27p}<8g83>7}:;jk19i523c095a3<uz9ii7>54z?0gd<6lj16?h<55e9>72d=99301>o::02:?xu40>0;6?u23b091a=:;h21=i;4}r1a1?6=<r78o?4>db9>7ag==m16?:m511;896g>28:27p}<8e83>7}:;j219i523c295a3<uz9io7>54z?0g=<6lj16?h>55e9>72g=99301>o>:02:?xu40j0;6?u23b591a=:;hl1=i;4}r1af?6=<r78o:4>db9>7a`==m16?:o511`896?a28:27p}<8d83>7}:;j319i523c395a3<uz9ih7>54z?0g<<6lj16?h?55e9>72d=99h01>o<:02:?xu40h0;6?u23b791a=:;hn1=i;4}r1a=?6=<r78o84>db9>7ab==m16?:7511`896?e28:27p}<8883>7}:;j>19i523`a95a3<uz9i47>54z?0g1<6lj16?im55e9>72>=99301>76:02:?xu40k0;6?u23b491a=:;ho1=i;4}r1ae?6=<r78o;4>db9>7ac==m16?:7511;896?c28:27p}<8483>7}:;j:19i523`c95a3<uz9i:7>54z?0g5<6lj16?i655e9>721=99301>7::02:?xu40=0;6?u23cd91a=:;h<1=i;4}r1a7?6=<r78nk4>db9>7a1==m16?:9511`896?628:27p}<8983>7}:;j919i523``95a3<uz9i;7>54z?0g6<6lj16?il55e9>72>=99h01>78:02:?xu41;0;6?u23`:913=:;081=i;4}r1b=?6=:r78m54>5e9>7d?=9m?0q~=n4;297~;4i>0>:63<93862>;4i=0:h85rs2;0>5<4s49j;7?:d:?0=7<6m=16?4=51e78yv5f:3:1?v3<b3862>;4i=0>:63<a382`0=z{:k>6=4<{<1a6?72l278m94>e59>7d3=9m?0q~=n0;297~;4j80>:63<a3862>;4i90:h85rs2c0>5<4s49i=7?:d:?0e7<6m=16?l=51e78yv5>m3:1?v3<b1862>;4i90>:63<9d82`0=z{:k:6=4<{<1a4?72l278m=4>e59>7d7=9m?0q~=6c;297~;4io0>:63<9d862>;41j0:h85rs2;e>5<4s49jj7?:d:?0=`<6m=16?4h51e78yv5>i3:1?v3<ad862>;41j0>:63<9`82`0=z{:3o6=4<{<1ba?72l2785n4>e59>7<b=9m?0q~=68;297~;4im0>:63<9`862>;4110:h85rs2;a>5<4s49jh7?:d:?0=d<6m=16?4l51e78yv5>>3:1?v3<ab862>;4110>:63<9782`0=z{:326=4<{<1bg?72l278554>e59>7<?=9m?0q~=64;297~;4ik0>:63<97862>;41=0:h85rs2;4>5<4s49jn7?:d:?0=3<6m=16?4951e78yv5>83:1?v3<a`862>;41=0>:63<9182`0=z{:3>6=4<{<1be?72l278594>e59>7<3=9m?0q~=61;297~;4i?0:9i5238295`2<5:3:6<j:;|q712<72mq68485559>0<`===168495559>0<b===1684m5559>0<c===1684o5559>0<?===1684l5559>0<3===1684:5559>0<>===1688651e78yv22j3:1>v3;9786`>;3?j0:h85rs5::>5<3s4>2:7?kc:?7e`<2l27?8o4>0c9>03>=9930q~:94;296~;31o0>h63;8682`0=z{=386=4;{<6:b?7ck27?n:4:d:?70d<680168:o511;8yv22k3:1>v3;9686`>;3?m0:h85rs5:b>5<3s4>2;7?kc:?7ec<2l27?8o4>089>02c=9930q~:92;296~;31m0>h63;8482`0=z{=3:6=4;{<6:`?7ck27?n84:d:?70<<680168:8511;8yv2193:1>v3;9b86`>;30=0:h85rs5;3>5<3s4>2o7?kc:?7f1<2l27?844>0c9>022=9930q~:93;296~;31l0>h63;8782`0=z{=396=4;{<6:a?7ck27?n;4:d:?70d<68k168:6511;8yv22n3:1>v3;9`86`>;30;0:h85rs5:f>5<3s4>2m7?kc:?7f7<2l27?854>0c9>026=9930q~::e;296~;3100>h63;8082`0=z{=2o6=4;{<6:=?7ck27?n<4:d:?702<680168;k511;8yv2183:1>v3;9c86`>;30:0:h85rs5:e>5<3s4>2n7?kc:?7f6<2l27?854>089>024=9930q~::a;296~;31<0>h63;7g82`0=z{=2i6=4;{<6:1?7ck27?mi4:d:?703<680168;o511;8yv2213:1>v3;9586`>;3?k0:h85rs5:;>5<3s4>287?kc:?7ef<2l27?8;4>0c9>030=9930q~::d;296~;3110>h63;8182`0=z{=2h6=4;{<6:<?7ck27?n=4:d:?702<68k168;m511;8yv21?3:1>v3;7e862>;3>>0:h85rs55f>5<5s4><h7?:d:?73`<6l<1v\7f996:180\7f820k3?=70:97;75?82013;o96s|47:94?5|5==h6<;k;<653?7b<27?:54>d49~w1102908w0:77;75?82013?=70:87;3g1>{t<>k1<7=t=5:4>43c34><57?j4:?73d<6l<1v\7f99::180\7f82?>3?=70:87;75?820=3;o96s|46:94?5|5=2=6<;k;<643?7b<27?;54>d49~w1142908w0:75;75?820=3?=70:83;3g1>{t<><1<7=t=5:6>43c34><97?j4:?733<6l<1v\7f99>:180\7f82?<3?=70:83;75?82093;o96s|46694?5|5=2?6<;k;<647?7b<27?;94>d49~w10a2908w0:73;75?82093?=70:9f;3g1>{t<>81<7=t=5:0>43c34><=7?j4:?737<6l<1v\7f98k:180\7f82?:3?=70:9f;75?821l3;o96s|46294?5|5=296<;k;<65b?7b<27?;=4>d49~w10e2908w0:71;75?821l3?=70:9b;3g1>{t<?o1<7=t=5:2>43c34>=h7?j4:?72`<6l<1v\7f986:180\7f82?83?=70:9b;75?82113;o96s|47a94?5|5=2;6<;k;<65f?7b<27?:n4>d49~w1022908w0:8f;75?82113?=70:95;3g1>{t<?k1<7=t=55e>43c34>=57?j4:?72d<6l<1v\7f989:180\7f820j3;>h63;6482a1=:<?<1=i;4}r662?6=90q68o>5559>0de===168lj5559>0g5===168o?5559>0g4===168o85559>0g2===168o;5559>0d`===168o95559>0dc===168n95559>0f0===168nh5559>0fc===168nj5559>0fe===168nl5559>0fg===168n75559>0f>===168n;5559>0f2===1688951e78yv2f<3:1?v3;b182`f=:<j219i52422955d<uz>j<7>53z?7ef<6lj168n:55e9>07`=99h0q~:n3;297~;3im0:hn524b791a=:<;l1==74}r6b3?6=;r7?n>4>db9>0fd==m168>?511;8yv2f=3:1?v3;b082`f=:<j319i52422955?<uz>j:7>53z?7f7<6lj168no55e9>067=99h0q~:na;297~;3j?0:hn524bg91a=:<:91==l4}r6b<?6=;r7?n94>db9>0fe==m168><511`8yv2f13:1?v3;b482`f=:<jn19i52420955?<uz>j>7>53z?7ec<6lj168n955e9>062=9930q~:nb;297~;3j>0:hn524bd91a=:<:91==74}r6b5?6=;r7?mh4>db9>0f0==m168>:511`8yv7di3:1>v3=b2824<=::k>1=8k4}r0;b?6=:r794k4>d49>6<7=9930q~<7d;297~;5180:<o5229`95a3<5;226<>m;|q1=5<72;q6>4?511a897?728?n7p}=8683>7}::121=i;4=3::>46d3ty?h;4?:3y>007=993019;=:07f?xu3m00;6<:t=5g;>46>34>n;7??9:?7a3<680168h;511;891c328:270:j3;33=>;3m;0:<4524d3955?<5=o;6<>6;<6gb?77127?hh4>089>0ab=993019jl:02:?82cj3;;563;d`824<=:<m31==74=5f;>46>34>o;7??9:?7ag<68h168ho51ea8yv37>3:1>v3;e9824d=:=8=1j95rs42e>5<5s4>n47??b:?65=<a<2wx9=;50;0x91c028:j70;>7;ga?xu28l0;6?u24d5955d<5<;36hl4}r730?6=:r7?i;4>0`9>141=mh1v\7f8>k:181\7f82b>3;;n63:198fe>{t=991<7<t=5g6>46f34?:;7k6;|q64f<72;q68h;511`8907?2l30q~;?2;296~;3m=0:<l525059a==z{<:i6=4={<6f0?77j27>=54j8:\7fp157=838p19k<:02b?836?3o<7p}:0`83>7}:<l91==l4=43;>`1<uz?;<7>52z?7a7<68h169<95e29~w06>2909w0:j2;33f>;2910n?6s|4gd94?4|5=o:6<>n;<723?b?3ty><54?:3y>0`7=99h018?7:e:8yv2am3:1>v3;e1824d=:=8=1oi5rs424>5<5s4>n<7??b:?65=<dl2wx8k:50;0x91ba28:j70;>5;d7?xu3nm0;6?u24ed955d<5<;=6k:4}r6e7?6=:r7?hh4>0`9>143=mk1v\7f9hl:181\7f82cm3;;n63:178ff>{t<o81<7<t=5fg>46f34?:97kn;|q7bg<72;q68ij511`890712lk0q~:i1;296~;3lj0:<l525079a<=z{=lj6=4={<6gg?77j27>=;4j9:\7fp0c6=838p19jm:02b?836=3o37p};f883>7}:<mh1==l4=435>`><uz>nj7>52z?7`d<68h169<;5e69~w1`?2909w0:ka;33f>;29?0n;6s|4dg94?4|5=n26<>n;<721?c43ty?j:4?:3y>0a?=99h018?9:d18yv2bl3:1>v3;d9824d=:=8?1h55rs5d5>5<5s4>o47??b:?653<c02wx8hm50;0x91b028:j70;>5;ag?xu3n<0;6?u24e5955d<5<;=6nj4}r35b?6=:r79?=4>d49>6<3=99k0q~;>0;292~;2900:h8524d`955d<5<;:6kl4=431>cd<5<;86kl4=437>cd<uz>o97>53z?7`7<6l<169<85fc9>14>=nk1v\7f9j;:180\7f82c93;o963:148ef>;29>0mn6s|4d`94?4|5=oi6<j:;<6fe?3c3ty:;h4?:2y>6g?=9m?01?ll:02`?84el3;;o6s|2c794?5|5;hh6<>6;<0af?771279n94>d49~w7>d2909w0<mc;33e>;50j0:9h5rs0c5>5<5s48in7??c:?1fd<6=l1v\7f<ln:181\7f845k3;;n63=29821`=z{8hh6=4={<01a?77j279>44>5d9~w4db2909w0<<2;33f>;5:h0:9h5rs0aa>5<5s48297??b:?16g<6=l1v\7f<99:181\7f84>;3;;m63=30821`=z{8i;6=4={<0:7?77j279>o4>d49~w4e32909w0<m6;33g>;5j>0:9h5rs0a5>5<5s48357??a:?1<d<6=l1v\7f<m7:181\7f84el3;;n63=bd821`=z{=?96=4>1z?716<6l<169<?5525890762<9>70;>1;700>;2980>?>525009161<5<;968=:;<726?34<27>=?4:329>145==:=018?<:416?836;3?8863:128676=:=8>19>94=437>05234?:87;<4:?651<2;:1v\7f9;<:18:\7f822<3;o963:168672=:=8=19>;4=434>05334?:;7;<3:?65=<2;>169<655278907?2<9?70;>8;707>{t<<>1<7;t=576>4b234?:97;<7:?650<2;<169<;5526890722<987p};5483>0}:<<<1=i;4=435>05034?::7;<5:?653<2;=169<855218yv2c;3:1?v3;d182`0=:=8?1jl525059bd=zuz?2h7>52z\63g=:<m0>;o5+53d95fc<uz?2n7>52z\63d=:<m0>;l5+53d95f`<uz?2m7>52z\63<=:<m0>;45+53d95a6<uz?257>52z\63==:<m0>;55+53d95a7<uz?2;7>52z\633=:<m0>;;5+53d953`<uz?2:7>52z\630=:<m0>;85+53d9526<uz?297>52z\631=:<m0>;95+53d9520<uz?287>52z\636=:<m0>;>5+53d9521<uz?2?7>52z\637=:<m0>;?5+53d952c<uz?2>7>52z\634=:<m0>;<5+53d95=?<uz?2=7>52z\635=:<m0>;=5+53d95<2<uz?2<7>52z\62c=:<m0>:k5+53d95<`<uz?3j7>52z\62`=:<m0>:h5+53d95d0<uz?3i7>52z\62a=:<m0>:i5+53d95d`<uz?3o7>52z\62g=:<m0>:o5+53d95gg<uz?3n7>52z\62d=:<m0>:l5+53d95gd<uz?3m7>52z\62<=:<m0>:45+53d95ge<uz?357>52z\62==:<m0>:55+53d95gb<uz?347>52z\622=:<m0>::5+53d95gc<uz?3;7>52z\623=:<m0>:;5+53d95g`<uz?3:7>52z\620=:<m0>:85+53d95f6<uz?397>52z\621=:<m0>:95+53d95f7<uz?387>52z\626=:<m0>:>5+53d95f4<uz?3?7>52z\627=:<m0>:?5+53d95f5<uz?j87>52z\6<4=:<m0>4<5+53d95f2<uz?j?7>52z\6<5=:<m0>4=5+53d95f3<uz?j>7>52z\63c=:<m0>;k5+53d95f0<uz?j=7>52z\63`=:<m0>;h5+53d95f1<uz?j<7>52z\63a=:<m0>;i5+53d95f><uz?2j7>52z\63f=:<m0>;n5+53d95f?<uz?2i7>52z\632=:<m0>;:5+53d95fg<uz?247>52z\62f=:<m0>:n5+53d95fd<uz?3h7>52z\624=:<m0>:<5+53d95fe<uz?3>7>52z\625=:<m0>:=5+53d95fb<uz<?97>52z\500=:<m0=885+53d9527<uz<>j7>52z\51c=:<m0=9k5+53d9524<uz<==7>52z\524=:<m0=:<5+53d9525<uz<=47>52z\52==:<m0=:55+53d9522<uz<3>7>52z\5<7=:<m0=4?5+53d9523<uz?n>7>52z\6g5=:<m0>o=5+53d952><uz?n<7>52z\6fc=:<m0>nk5+53d952?<uz?oj7>52z\6f`=:<m0>nh5+53d952g<uz?oi7>52z\6fa=:<m0>ni5+53d952d<uz?oo7>52z\6fg=:<m0>no5+53d952e<uz?on7>52z\6fd=:<m0>nl5+53d952b<uz?om7>52z\6f<=:<m0>n45+53d952`<uz?o57>52z\6f==:<m0>n55+53d95=6<uz?o47>52z\6f2=:<m0>n:5+53d95=7<uz?o;7>52z\6f3=:<m0>n;5+53d95=4<uz?o:7>52z\6f0=:<m0>n85+53d95=5<uz?o97>52z\6f1=:<m0>n95+53d95=2<uz?o87>52z\6f6=:<m0>n>5+53d95=3<uz?o?7>52z\6f7=:<m0>n?5+53d95=0<uz?o=7>52z\6f5=:<m0>n=5+53d95=1<uz?o<7>52z\6ec=:<m0>mk5+53d95=><uz?hj7>52z\6e`=:<m0>mh5+53d95=g<uz?hi7>52z\6ea=:<m0>mi5+53d95=d<uz?hh7>52z\6ef=:<m0>mn5+53d95=e<uz?ho7>52z\6eg=:<m0>mo5+53d95=b<uz?hn7>52z\6ed=:<m0>ml5+53d95=c<uz?hm7>52z\6e<=:<m0>m45+53d95=`<uz?h57>52z\6e==:<m0>m55+53d95<6<uz?h47>52z\6e2=:<m0>m:5+53d95<7<uz?n57>52z\6g3=:<m0>o;5+53d95<4<uz?n47>52z\6g0=:<m0>o85+53d95<5<uz?n;7>52z\6g1=:<m0>o95+53d95<3<uz?n:7>52z\6g6=:<m0>o>5+53d95<0<uz?n97>52z\6g7=:<m0>o?5+53d95<1<uz?n87>52z\6g4=:<m0>o<5+53d95<><uz?n?7>52z\6ff=:<m0>nn5+53d95<?<uz?oh7>52z\6f4=:<m0>n<5+53d95<g<uz?o>7>52z\6e3=:<m0>m;5+53d95<d<uz?h;7>52z\6e0=:<m0>m85+53d95<e<uz?nn7>52z\6ag=:<m0>io5+53d95<b<uz?m=7>52z\6b4=:<m0>j<5+53d95<c<uz<>97>52z\50<=:<m0=845+53d95d6<uz<>?7>52z\50==:<m0=855+53d95d7<uz<>i7>52z\514=:<m0=9<5+53d95d4<uz<>h7>52z\515=:<m0=9=5+53d95d5<uz<>o7>52z\50c=:<m0=8k5+53d95d2<uz<>n7>52z\50`=:<m0=8h5+53d95d3<uz<>m7>52z\50a=:<m0=8i5+53d95d1<uz<>57>52z\50f=:<m0=8n5+53d95d><uz<>47>52z\50g=:<m0=8o5+53d95d?<uz<>;7>52z\50d=:<m0=8l5+53d95dg<uz<>:7>52z\502=:<m0=8:5+53d95dd<uz<>>7>52z\503=:<m0=8;5+53d95de<uz<<47>52z\52f=:<m0=:n5+53d95db<uz<<:7>52z\52g=:<m0=:o5+53d95dc<uz<3=7>52z\531=:<m0=;95+53d95g6<uz<3<7>52z\536=:<m0=;>5+53d95g7<uz<<j7>52z\537=:<m0=;?5+53d95g4<uz<<i7>52z\534=:<m0=;<5+53d95g5<uz<<h7>52z\535=:<m0=;=5+53d95g2<uz<<o7>52z\52c=:<m0=:k5+53d95g3<uz<<n7>52z\52`=:<m0=:h5+53d95g0<uz<<m7>52z\52a=:<m0=:i5+53d95g1<uz<<57>52z\52d=:<m0=:l5+53d95g><uz<<97>52z\52<=:<m0=:45+53d95g?<utd:>n<50;0xL0573td:>n=50;0xL0573td:>n:50;0xL0573td:>n;50;0xL0573td:>n850;0xL0573td:>n950;0xL0573td:>n650;0xL0573td:>n750;0xL0573td:>no50;0xL0573td:>nl50;0xL0573td:>nm50;0xL0573td:>nj50;0xL0573td:>nk50;0xL0573td:>nh50;0xL0573td:>i>50;0xL0573td:>i?50;0xL0573td:>i<50;0xL0573td:>i=50;0xL0573td:>i:50;0xL0573td:>i;50;0xL0573td:>i850;0xL0573td:>i950;0xL0573td:>i650;0xL0573td:>i750;0xL0573td:>io50;0xL0573td:>il50;0xL0573td:>im50;0xL0573td:>ij50;0xL0573td:>ik50;0xL0573td:>ih50;0xL0573td:>h>50;0xL0573td:>h?50;0xL0573td:>h<50;0xL0573td:>h=50;0xL0573td:>h:50;0xL0573td:>h;50;0xL0573td:>h850;0xL0573td:>h950;0xL0573td:>h650;0xL0573td:>h750;0xL0573td:>ho50;0xL0573td:>hl50;0xL0573td:>hm50;0xL0573td:>hj50;0xL0573td:>hk50;0xL0573td:>hh50;0xL0573td:>k>50;0xL0573td:>k?50;0xL0573td:>k<50;0xL0573td:>k=50;0xL0573td:>k:50;0xL0573td:>k;50;0xL0573td:>k850;0xL0573td:>k950;0xL0573td:>k650;0xL0573td:>k750;0xL0573td:>ko50;0xL0573td:>kl50;0xL0573td:>km50;0xL0573td:>kj50;0xL0573td:>kk50;0xL0573td:>kh50;0xL0573td:?=>50;0xL0573td:?=?50;0xL0573td:?=<50;0xL0573td:?==50;0xL0573td:?=:50;0xL0573td:?=;50;0xL0573td:?=850;0xL0573td:?=950;0xL0573td:?=650;0xL0573td:?=750;0xL0573td:?=o50;0xL0573td:?=l50;0xL0573td:?=m50;0xL0573td:?=j50;0xL0573td:?=k50;0xL0573td:?=h50;0xL0573td:?<>50;0xL0573td:?<?50;0xL0573td:?<<50;0xL0573td:?<=50;0xL0573td:?<:50;0xL0573td:?<;50;0xL0573td:?<850;0xL0573td:?<950;0xL0573td:?<650;0xL0573td:?<750;0xL0573td:?<o50;0xL0573td:?<l50;0xL0573td:?<m50;0xL0573td:?<j50;0xL0573td:?<k50;0xL0573td:?<h50;0xL0573td:??>50;0xL0573td:???50;0xL0573td:??<50;0xL0573td:??=50;0xL0573td:??:50;0xL0573td:<5:50;3xL0573td:<h950;3xL0573td:<h650;3xL0573td:<h750;3xL0573td:<ho50;3xL0573td:<hl50;3xL0573td:<hm50;3xL0573td:<hj50;3xL0573td:<hk50;3xL0573td:<hh50;3xL0573td:<k>50;3xL0573td:<k?50;3xL0573td:<k<50;3xL0573td:<k=50;3xL0573td:<k:50;3xL0573td:<k;50;3xL0573td:<k850;3xL0573td:<k950;3xL0573td:<k650;3xL0573td:<k750;3xL0573td:<ko50;3xL0573td:<kl50;3xL0573td:<km50;3xL0573td:<kj50;3xL0573td:<kk50;3xL0573td:<kh50;3xL0573td:==>50;3xL0573td:==?50;3xL0573td:==<50;3xL0573td:===50;3xL0573td:==:50;3xL0573td:==;50;3xL0573td:==850;3xL0573td:==950;3xL0573td:==650;3xL0573td:==750;3xL0573td:==o50;3xL0573td:==l50;3xL0573td:==m50;3xL0573td:==j50;3xL0573td:==k50;3xL0573td:==h50;3xL0573td:=<>50;3xL0573td:=<?50;3xL0573td:=<<50;3xL0573td:=<=50;3xL0573td:=<:50;3xL0573td:=<;50;3xL0573td:=<850;3xL0573td:=<950;3xL0573td:=<650;3xL0573td:=<750;3xL0573td:=<o50;3xL0573td:=<l50;3xL0573td:=<m50;3xL0573td:=<j50;3xL0573td:=<k50;3xL0573td:=<h50;3xL0573td:=?>50;3xL0573td:=??50;3xL0573td:=?<50;3xL0573td:=?=50;3xL0573td:=?:50;3xL0573td:=?;50;3xL0573td:=?850;3xL0573td:=?950;3xL0573td:=?650;3xL0573td:=?750;3xL0573td:=?o50;3xL0573td:=?l50;3xL0573td:=?m50;3xL0573td:=?j50;3xL0573td:=?k50;3xL0573td:=?h50;3xL0573td:=>>50;3xL0573td:=>?50;3xL0573td:=><50;3xL0573td:=>=50;3xL0573td:=>:50;3xL0573td:=>;50;3xL0573td:=>850;3xL0573td:=>950;3xL0573td:=>650;3xL0573td:=>750;3xL0573td:=>o50;3xL0573td:=>l50;3xL0573td:=>m50;3xL0573td:=>j50;3xL0573td:=>k50;3xL0573td:=>h50;3xL0573td:=9>50;3xL0573td:=9?50;3xL0573td:=9<50;3xL0573td:=9=50;3xL0573td:=9:50;3xL0573td:=9;50;3xL0573td:=9850;3xL0573td:=9950;3xL0573td:=9650;3xL0573td:=9750;3xL0573td:=9o50;3xL0573td:=9l50;3xL0573td:=9m50;3xL0573td:=9j50;3xL0573td:=9k50;3xL0573td:=9h50;3xL0573td:=8>50;3xL0573td:=8?50;3xL0573td:=8<50;3xL0573td:=8=50;3xL0573td:=8:50;3xL0573td:=8;50;3xL0573td:=8850;3xL0573td:=8950;3xL0573td:=8650;3xL0573td:=8750;3xL0573td:=8o50;3xL0573td:=8l50;3xL0573td:=8m50;3xL0573td:=8j50;3xL0573td:=8k50;3xL0573td:=8h50;3xL0573td:=;>50;3xL0573td:=;?50;3xL0573td:=;<50;3xL0573td:=;=50;3xL0573td:=;:50;3xL0573td:=;;50;3xL0573td:=;850;3xL0573td:=;950;3xL0573td:=;650;3xL0573td:=;750;3xL0573td:=;o50;3xL0573td:=;l50;3xL0573td:=;m50;3xL0573td:=;j50;3xL0573td:=;k50;3xL0573td:=;h50;3xL0573td:=:>50;3xL0573td:=:?50;3xL0573td:=:<50;3xL0573td:=:=50;3xL0573td:=::50;3xL0573td:=:;50;3xL0573td:=:850;3xL0573td:=:950;3xL0573td:=:650;3xL0573td:=:750;3xL0573td:=:o50;3xL0573td:=:l50;3xL0573td:=:m50;3xL0573td:=:j50;3xL0573td:=:k50;3xL0573td:=:h50;3xL0573td:=5>50;3xL0573td:=5?50;3xL0573td:=5<50;3xL0573td:=5=50;3xL0573td:=5:50;3xL0573td:=5;50;3xL0573td:=5850;3xL0573td:=5950;3xL0573td:=5650;3xL0573td:=5750;3xL0573td:=5o50;3xL0573td:=5l50;3xL0573td:=5m50;3xL0573td:=5j50;3xL0573td:=5k50;3xL0573td:=5h50;3xL0573td:=4>50;3xL0573td:=4?50;3xL0573td:=4<50;3xL0573td:=4=50;3xL0573td:=4:50;3xL0573td:=4;50;3xL0573td:=4850;3xL0573td:=4950;3xL0573td:=4650;3xL0573td:=4750;3xL0573td:=4o50;3xL0573td:=4l50;3xL0573td:=4m50;3xL0573td:=4j50;3xL0573td:=4k50;3xL0573td:=4h50;3xL0573td:=l>50;3xL0573td:=l?50;3xL0573td:=l<50;3xL0573td:=l=50;3xL0573td:=l:50;3xL0573td:=l;50;3xL0573td:=l850;3xL0573td:=l950;3xL0573td:=l650;3xL0573td:=l750;3xL0573td:=lo50;3xL0573td:=ll50;3xL0573td:=lm50;3xL0573td:=lj50;3xL0573td:=lk50;3xL0573td:=lh50;3xL0573td:=o>50;3xL0573td:=o?50;3xL0573td:=o<50;3xL0573td:=o=50;3xL0573td:=o:50;3xL0573td:=o;50;3xL0573td:=o850;3xL0573td:=o950;3xL0573td:=o650;3xL0573td:=o750;3xL0573td:=oo50;3xL0573td:=ol50;3xL0573td:=om50;3xL0573td:=oj50;3xL0573td:=ok50;3xL0573td:=oh50;3xL0573td:=n>50;3xL0573td:=n?50;3xL0573td:=n<50;3xL0573td:=n=50;3xL0573td:=n:50;3xL0573td:=n;50;3xL0573td:=n850;3xL0573td:=n950;3xL0573td:=n650;3xL0573td:=n750;3xL0573td:=no50;3xL0573td:=nl50;3xL0573td:=nm50;3xL0573td:=nj50;3xL0573td:=nk50;3xL0573td:=nh50;3xL0573td:=i>50;3xL0573td:=i?50;3xL0573td:=i<50;3xL0573td:=i=50;3xL0573td:=i:50;3xL0573td:=i;50;3xL0573td:=i850;3xL0573td:=i950;3xL0573td:=i650;3xL0573td:=i750;3xL0573td:=io50;3xL0573td:=il50;3xL0573td:=im50;3xL0573td:=ij50;3xL0573td:=ik50;3xL0573td:=ih50;3xL0573td:=h>50;3xL0573td:=h?50;3xL0573td:=h<50;3xL0573td:=h=50;3xL0573td:=h:50;3xL0573td:=h;50;3xL0573td:=h850;3xL0573td:=h950;3xL0573td:=h650;3xL0573td:=h750;3xL0573td:=ho50;3xL0573td:=hl50;3xL0573td:=hm50;3xL0573td:=hj50;3xL0573td:=hk50;3xL0573td:=hh50;3xL0573td:=k>50;3xL0573td:=k?50;3xL0573td:=k<50;3xL0573td:=k=50;3xL0573td:=k:50;3xL0573td:=k;50;3xL0573td:=k850;3xL0573td:=k950;3xL0573td:=k650;3xL0573td:=k750;3xL0573td:=ko50;3xL0573td:=kl50;3xL0573td:=km50;3xL0573td:=kj50;3xL0573td:=kk50;3xL0573td:=kh50;3xL0573td:>=>50;3xL0573td:>=?50;3xL0573td:>=<50;3xL0573td:>==50;3xL0573td:>=:50;3xL0573td:>=;50;3xL0573td:>=850;3xL0573td:>=950;3xL0573td:>=650;3xL0573td:>=750;3xL0573td:>=o50;3xL0573td:>=l50;3xL0573td:>=m50;3xL0573td:>=j50;3xL0573td:>=k50;3xL0573td:>=h50;3xL0573td:><>50;3xL0573td:><?50;3xL0573td:><<50;3xL0573td:><=50;3xL0573td:><:50;3xL0573td:><;50;3xL0573td:><850;3xL0573td:><950;3xL0573td:><650;3xL0573td:><750;3xL0573td:><o50;3xL0573td:><l50;3xL0573td:><m50;3xL0573td:><j50;3xL0573td:><k50;3xL0573td:><h50;3xL0573td:>?>50;3xL0573td:>??50;3xL0573td:>?<50;3xL0573td:>?=50;3xL0573td:>?:50;3xL0573td:>?;50;3xL0573td:>?850;3xL0573td:>?950;3xL0573td:>?650;3xL0573td:>?750;3xL0573td:>?o50;3xL0573td:>?l50;3xL0573td:>?m50;3xL0573td:>?j50;3xL0573td:>?k50;3xL0573td:>?h50;3xL0573td:>>>50;3xL0573td:>>?50;3xL0573td:>><50;3xL0573td:>>=50;3xL0573td:>>:50;3xL0573td:>>;50;3xL0573td:>>850;3xL0573td:>>950;3xL0573td:>>650;3xL0573td:>>750;3xL0573td:>>o50;3xL0573td:>>l50;3xL0573td:>>m50;3xL0573td:>>j50;3xL0573td:>>k50;3xL0573td:>>h50;3xL0573td:>9>50;3xL0573td:>9?50;3xL0573td:>9<50;3xL0573td:>9=50;3xL0573td:>9:50;3xL0573td:>9;50;3xL0573td:>9850;3xL0573td:>9950;3xL0573td:>9650;3xL0573td:>9750;3xL0573td:>9o50;3xL0573td:>9l50;3xL0573td:>9m50;3xL0573td:>9j50;3xL0573td:>9k50;3xL0573td:>9h50;3xL0573td:>8>50;3xL0573td:>8?50;3xL0573td:>8<50;3xL0573td:>8=50;3xL0573td:>8:50;3xL0573td:>8;50;3xL0573td:>8850;3xL0573td:>8950;3xL0573td:>8650;3xL0573td:>8750;3xL0573td:>8o50;3xL0573td:>8l50;3xL0573td:>8m50;3xL0573td:>8j50;3xL0573td:>8k50;3xL0573td:>8h50;3xL0573td:>;>50;3xL0573td:>;?50;3xL0573td:>;<50;3xL0573td:>;=50;3xL0573td:>;:50;3xL0573td:>;;50;3xL0573td:>;850;3xL0573td:>;950;3xL0573td:>;650;3xL0573td:>;750;3xL0573td:>;o50;3xL0573td:>;l50;3xL0573td:>;m50;3xL0573td:>;j50;3xL0573td:>;k50;3xL0573td:>;h50;3xL0573td:>:>50;3xL0573td:>:?50;3xL0573td:>:<50;3xL0573td:>:=50;3xL0573td:>::50;3xL0573td:>:;50;3xL0573td:>:850;3xL0573td:>:950;3xL0573td:>:650;3xL0573td:>:750;3xL0573td:>:o50;3xL0573td:>:l50;3xL0573td:>:m50;3xL0573td:>:j50;3xL0573td:>:k50;3xL0573td:>:h50;3xL0573td:>5>50;3xL0573td:>5?50;3xL0573td:>5<50;3xL0573td:>5=50;3xL0573td:>5:50;3xL0573td:>5;50;3xL0573td:>5850;3xL0573td:>5950;3xL0573td:>5650;3xL0573td:>5750;3xL0573td:>5o50;3xL0573td:>5l50;3xL0573td:>5m50;3xL0573td:>5j50;3xL0573td:>5k50;3xL0573td:>5h50;3xL0573td:>4>50;3xL0573td:>4?50;3xL0573td:>4<50;3xL0573td:>4=50;3xL0573td:>4:50;3xL0573td:>4;50;3xL0573td:>4850;3xL0573td:>4950;3xL0573td:>4650;3xL0573td:>4750;3xL0573td:>4o50;3xL0573td:>4l50;3xL0573td:>4m50;3xL0573td:>4j50;3xL0573td:>4k50;3xL0573td:>4h50;3xL0573td:>l>50;3xL0573td:>l?50;3xL0573td:>l<50;3xL0573td:>l=50;3xL0573td:>l:50;3xL0573td:>l;50;3xL0573td:>l850;3xL0573td:>l950;3xL0573td:>l650;3xL0573td:>l750;3xL0573td:>lo50;3xL0573td:>ll50;3xL0573td:>lm50;3xL0573td:>lj50;3xL0573td:>lk50;3xL0573td:>lh50;3xL0573td:>o>50;3xL0573td:>o?50;3xL0573td:>o<50;3xL0573td:>o=50;3xL0573td:>o:50;3xL0573td:>o;50;3xL0573td:>o850;3xL0573td:>o950;3xL0573td:>o650;3xL0573td:>o750;3xL0573td:>oo50;3xL0573td:>ol50;3xL0573td:>om50;3xL0573td:>oj50;3xL0573td:>ok50;3xL0573td:>oh50;3xL0573td:>n>50;3xL0573td:>n?50;3xL0573twvqMNL{0750?c6>1kn;<sO@Cy3yEFWstJK
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file fifo_from_sdram.vhd when simulating
+-- the core, fifo_from_sdram. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY fifo_from_sdram IS
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(11 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(11 downto 0));
+END fifo_from_sdram;
+
+ARCHITECTURE fifo_from_sdram_a OF fifo_from_sdram IS
+-- synthesis translate_off
+component wrapped_fifo_from_sdram
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(11 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(11 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_fifo_from_sdram use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 34,
+ c_has_wr_data_count => 1,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_use_embedded_reg => 0,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 1,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_msgon_val => 1,
+ c_rd_depth => 4096,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 12,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 12,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 12,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 12,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 12,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 4092,
+ c_wr_depth => 4096,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 4093,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "4kx9",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_fifo_from_sdram
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count,
+ wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END fifo_from_sdram_a;
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$56a\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0122?45<9'::j6??:HLSQQ<wzfmTi`~{y^vkv`uo400;2?84118JJUSS2yxdkRkbpu{\pmtb{aUj\7f~k}t=;94;4138:1EC^ZT;rqkbYbey~rSyf}erj\j`af|l626=0>e:33>LHW]]0{~biPftno[qnumzb757>124924?OIX\^1|\7fah_gwohZrozlycSl}|esv?=?69:<1:<7GAPTV9twi`Wo\7fg`Rzgrdqk[kc`i}o757>118924?IR\Y__6io{a^alqkr;13:5=n5>0;MVPUSS2{nThlzn_bmvjq:>294:o6??:NWWTPR=\7f{UomyoPcnwmp9?=87;?7<;5IORVP?GCL[K7=94?>06850<NFY__6LJKRC>20?69928?7?><000877<NFY__6IGN<2394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFK7?<4?>01877<H]]Z^X7J@B=12>586:29?6D@_UU8gmk:4;3:5=95<4;MVPUSS2me~x1=<:1<2?1763=0BB][[:EKSE95=87;:794FNQWW>AOWJ591<3??;58JJUSS2^OJ0>4?>0280?OIX\^1[HL33;2=57=32F__\XZ5DNRB86<7688087AZTQWW>AIWJ591<3:44G6@b>2qdq>;jk?>49/247=1==1=9>>>;968=56>:23J>6O=8:CG@WG;8730MIJ]A=33:<=FLMXJ0<?19:CG@WG;9;427LJKR@>27;d<IMNYM1?;:1<:?DBCZH6:8364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<:?DBCZK6:?3l4AEFQF97329427LJKRC>20;><IMNYN1?18:CG@WD;:720MIJ]B=1=<>GCL[H78364AEFQF93902KOH_L36?:8EABUJ5=546OKDS@?<;><IMNYN1719:CP]KEXZLM97OO<;CW1<>DR[VCEJB?4C`9@EVEFLLCEMl5LARAB@@OIJk1HM^MNDDMVPDd<KHYHMIK@UU@1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;ED1?AO13MCJ0=08;EKB8469?2NBM1?>>69GMD:6:7=0HDO312<4?AOF48>5;6JFA=36:2=CAH6::394DHC?52803MCJ0<617:FJE97>6?1OEL2>>69GMD:587=0HDO320<4?AOF4;85;6JFA=00:2=CAH698394DHC?60803MCJ0?817:FJE9406>1OEL2=8?58@LG;:04=7IGN<3<4?AOF4::556JFA=12>5803MCJ0>?16:FJE959>2NBM1:16:FJE939>2NBM1816:FJE919>2NBM1616:FJE9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;1<L@H7=;08;EKA8419?2NBN1?7>69GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1<L@H7>908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>6>1OE]O30?58@LVF484<7IG_A=0==>BNXH686=08;EKSE959?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>5;6J@A=36:2=CGH6::394DNC?52803MEJ0<617:FLE97>6?1OCL2>>69GKD:587=0HBO320<4?AIF4;85;6J@A=00:2=CGH698394DNC?60803MEJ0?817:FLE9406>1OCL2=8?58@JG;:04=7IAN<3<4?AIF4::556J@A=12>5803MEJ0>?16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9?2NDMR\JG79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;1<LFH7=808;EMA8409?2NDN1?8>69GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1<LFH7>>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?<LFH7?<4?>69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JDXZLM<7IA_A=2=3>BHXH6:2:5KOQC?6;?<LFZJ0>4?>69GKUG;;7=0HB^M<1<4?AIWJ5;5;6J@PC>1:<=CGYH7?7>17:FLTG:46=1NMN><;DLB7>CIJ<1MMA]J2:DG0>@CKL90JI^;;GFSA0=Aieyn=6I<;FLG6>O7:2C:>6G=2:K0<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41><AGC_\R>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1<AGC_S=H8;HLJPZ77?2CEEYQ>169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1<AGC_S<H8;HLJPZ47?2CEEYQ=169JJLRX:;=0ECG[_314?LHN\V8?;6GAIU]112=NF@^T>;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@1<AGC_S?H8;HLJPZ57?2CEEYQ<169JJLRX;;=0ECG[_214?LHN\V9?;6GAIU]012=NF@^T?;94IOKW[6103@DBXR=77:KMMQY41>1BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1<AGC_S>H9;HLJPZG13@DBXRL6;HLJPZ@NDL;97D@YESV\GIMXLFKT^HI>2:KMR@TSWJF@SIAM_SGD7>OHJ;1GE?5CO79OKDBBL>1GCJGLAM68HPR6<2F^X?84LTV1[I2<D\^8:6BZT2]O0>JR\=?0A^I@N49NQ]E^k2Gjfb|Yesqjkke<E`dd~[k}shmm7>H79?1E<Rgav29M552<F8::86@>0268J461;2D:=95A1027?K769=1E=<<;;O3271=I98>?7C?>559M54033G;:;95A10:7?K761:1E=?:4N0030>H6:8>0B<<=4:L2662<F88?86@>2468J441<2D:>::4N00;0>H6:090B<=;;O3041=I9:;?7C?<259M56533G;8895A1277?K74>=1E=>9;;O30<1=I9:387C?;4:L2052<F8>:86@>4368J424<2D:89:4N0660>H6<?>0B<:84:L20=2<F8>2?6@>559M50633G;>=95A1407?K72;=1E=8:;;O3616=I9?90B<9<;O3;7>H61;1E>>5A2118J7743G89?6@=329M615<F;?87C<93:L136=I:190B?7<;O137>H49:1E??=4N210?K53;2D89>5A3718J6143G93?6@<939M27=I?;1E4?5A9g9MFZDR[YCES]\@PR58J@RPG[A?7CAAE09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P48VDKE9?l0^LCPEYVFJVOHFVKm7_OB_DZWAKUNGGUIo6\JAE]TMAROWHi0^HOK_VKGPMYE>2XNKNKN6:PFCFCE92Y37^OYEECWE45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UC5k2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq4c3\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmp44b3\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmp465l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq45l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq55l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq25l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq35l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq05l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq15l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq>5l2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq?4:2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq5:5??5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Tot2>>208Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_b{?6;553\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZe~4:48>6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1339V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>6:64<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw[f\7f;>7997X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vir0:0<2:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=:=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\hpr;87987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vf~x1?1329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPltv?6;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZjr|595?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz34?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^nvp939;:1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXd|~7:3=<;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRbzt=5=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\hpr;07987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vf~x171329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?4;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZ~hz5;5?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|32?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^zlv959;:1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXpfx783=<;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRv`r=7=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\|jt;>7987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vrd~191329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?<;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZ~hz535>:5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!lotlw[5403\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'je~byQ>269V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsW;8<7X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#naznu]062=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)dg|d\7fS9<8;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/bmvjqY2:>1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%hcx`{_704?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+firf}U<>:5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!lotlw[=403\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'je~byQ62e9V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsWge\7f<=>>2d9V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsWge\7f<=>>13;8Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.abvw\7fim}6;2?74U1-dvc(un&mg<#|k/fppw+tt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'xo#j||s/pppv)d9&ij~\7fwaeu>1:7?<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,chs\7f&ngP=P hmr,nw7`<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(od\7fs"jcT3\,div(j{;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2?>368Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28586:?1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7<3?P1318Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28485<2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~86:2<<9;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=3=5Z75;2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~8692?:4U1-dvc(un&mg<#|k/fppw+tt|z%h="x><3<263=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;:7;T=?=4U1-dvc(un&mg<#|k/fppw+tt|z%h="x><2<10>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:4688=7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1=11^317>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:36;>0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:090>279V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/w3?0;7X9;30Y=!hrg,qb*ak8'xo#j||s/pppv)d:&ij~\7fwaeu>3:7?<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*efz{seiy2>>3;8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.abvw\7fim}692?74U1-dvc(un&mg<#|k/fppw+tt|z%h>"mnrs{maq:46;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$k`{w.foX5X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fppw+tt|z%h>"ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!re-dvvu)zz~x#n< glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.enq}(`eR>V"jc|.lq17>S7'nxm"\7fh gm2-va)`zzy%~~z|/b0,r4:76;90Y=!hrg,qb*ak8'xo#j||s/pppv)d:&|:0<0=3:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0>1:75<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p64:49?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<2;>3`8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0]2[dhc89:;=?l4U1-dvc(un&mg<#|k/fppw+tt|z%h>"x>_3]bja6789;9n6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&|:S9Qnne23457582_;#j|i.sd,ci6)zm%l~~}!rrvp+fijx98;7X> gsd-vc)`d9$yh"i}sr,qwqu(kfg{=?74U1-dvc(un&mg<#|k/fppw+tt|z%ym`Qjmqvz[cdXa:;0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\mZkrp9:;<>>4U1-dvc(un&mg<#|k/fppw+tt|z%ym`Qjmqvz[cdXaVey<=>?359V4*aun'xm#jb?.sf,cwut&{y\7f\7f"|nm^gntq\7fXnkUbSb|?012\WR65>2_;#j|i.sd,ci6)zm%l~~}!rrvp+wgjWlg{xtQf1e9V4*aun'xm#jb?.sf,vdkXzmUnbRg>229V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f=?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov161=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}9986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at507?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs=;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz9259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq15<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex5<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw=7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}979W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<3<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw33?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:36Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}939:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<7<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;?7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:?6;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>;:Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:36;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?1;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<7<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{632?o4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;178o7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8<8Xz};m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5:5=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=6=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?1;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9099o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;?7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|525=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~753?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR>>e:W3+bta&{l$ka>!re-qtkru'je~byQ>1d9V4*aun'xm#jb?.sf,vuhsz&idyczP20g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_23f?P6(o{l%~k!hl1,q`*twf}x$ob{at^62a>S7'nxm"\7fh gm2-va)uxg~y#naznu]65`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\24c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[27b3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ>6m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY>:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86;2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?31?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28785:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9595>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2;>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?1;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64?49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=191239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>;:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;178:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S=<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_002?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[7463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W:8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S9<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_402?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[3463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W>8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S5<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_80:?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-`ewt~fl~7<3<6;T2,cw`)zo%l`= xr.etwv(p{}y$o=!laspzj`r;97827X> gsd-vc)`d9$|~"ixsr,twqu(k9%hm\7f|vndv?6;4>3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)di{xrbhz33?0e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~yx"z}{s.a3+bkrp'mfW<S!glq-iv4a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&~x$kz}|.vqww*e7'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$|~"ixsr,twqu(k9%laxv!glY7Y+aj{'gx>45Z0.eqb+ta'nf;"z| gvqp*rus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&~x$kz}|.vqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= xr.etwv(p{}y$o<!hmtz-ch]6U'mf\7f#c|2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+bkrp'mfW9S!glq-iv443\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g4)q95:5>>5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#{?31?00?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-u5949::1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'\7f;7?3<<;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1=6=6g=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1^1\ekb789::>o5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#{?P4^cm`567888;7X> gsd-vc)`d9$|~"ixsr,twqu(kfg{<?>4U1-dvc(un&mg<#y}/fupw+qt|z%hc`~>299V4*aun'xm#jb?.vp,crut&~y\7f\7f"|nm^dvhiYdgdh9m6[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSk{cl^alig72:01^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVl~`aQlol`16d=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l=43;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXkfgi5?64U1-dvc(un&mg<#y}/fupw+qt|z%ym`Qiumn\bgYn:<1^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVl~`aQf259V4*aun'xm#jb?.vp,crut&~y\7f\7f"y}_sqw[a76l2_;#j|i.sd,ci6)\7f{%ym`Qxr^gm[l75;2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov261=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}8986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at207?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex:<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw<72<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~2>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}969:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=[wr512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<0<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7>3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;:7Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:46;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=6=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1:1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir080=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;>78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?2;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>4:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot28>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1612b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq525S\7fz=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5:5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:66;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?6;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<2<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1:12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6>2?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;>78j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8285i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=:=6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f26>3f8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx753Q}t0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<1<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>2:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8786n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:468l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4=4:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}6>2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0;0>f:W3+bta&{l$ka>!ws-ttkru'je~by28>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<9<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>::4c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[57b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ76m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY59l1^<"i}f/pe+bj7&~x${}`{r.alqkrX;8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW=;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V?:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U==h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T;<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS5?j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR7=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=2=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:66;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7>3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><2<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5929:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86>2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?36?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28285:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9525>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<26>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\477<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T>??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P3338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\077<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X=;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T:??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P7338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\<77<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X1><0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\8T$ym` }/w6\jjoia}$ym`!\DQ,PMKAKMVZYE@ \DQ55?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0_-vdk)z&|?Scafnhv-vdk([MZ%_D@HLD]SVLK)[MZ:n6[?/fpe*w`(elg$x`~Pr`o\vaYbf8i0Y=!hrg,qb*kbe&~f|R|nm^pg[`h69:1^<"i}f/pe+wgjW~xTic?k;T2,cw`)zo%y\7fylck.pg[wusWhyyij<?;T2,cw`)zo%y\7fylck.pg[wusWhyyijQk1328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim(zmUy\7fyQlol`2`>S7'nxm"\7fh rrvahn)ulVxxxRm`mc32a>S7'nxm"\7fh rrvahn)ulVxxxR|jg=2=5`=R8&myj#|i/sqwfim(zmUy\7fyQ}ef>1:4b<]9%l~k }f.pppgjl'{nT~~zPrde\44b<]9%l~k }f.pppgjl'{nT~~zPrde\64b<]9%l~k }f.pppgjl'~xT~~zParpfc76<]9%l~k }f.pppgjl'~xT~~zParpfcZb6:91^<"i}f/pe+wusjea${\7fQ}su]bwwc`Wm8:o6[?/fpe*w`(zz~i`f!xr^pppZehek;o7X> gsd-vc)u{}hgg"y}_sqw[fijj8;n7X> gsd-vc)u{}hgg"y}_sqw[wc`484:h6[?/fpe*w`(zz~i`f!xr^pppZtboV;j7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA3=PMH6;2;5XE@>2:3=PMH69255XE@>0>5813^OJ0>09;VGA85813^OI0<09;VGA878?3^OI0>4?>79TAG:46k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_Cf8SWOSMV]BHYFPAe9TVLRBW^COXEQM1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF5:ZLVF_13QY_@DL8;YQW[BHC?2RXXRXLCc9[[FIUMVCEJB84Xe`\Ma`<PmbT\\7fgbVdppmjh682RoaRCnjnpUawungg;;7Ujb_LkmkwPbzzcdbn5nllmppZcjx}si7lbborv\bpjk>2hjof{\7fd:`bgnswWdkygh|<;bnh`>bf|hUhcx`{(1+g?agsiVidycz'1(f8`drfWje~by&=)e9geqgXkf\7fex%=&d:fbpdYdg|d\7f$9'k;ecweZeh}g~#9$j4d`vb[firf}"=%i5kauc\gjsi|!="h6jnt`]`kphs 1#o7io{a^alqkr/1 n0hlzn_bmvjq:76m1omyoPcnwmp979l2njxlQlotlw878c3mk\7fmRm`uov?7;b<lh~jSnaznu>7:a=ci}kTob{at=7=`>bf|hUhcx`{<7<g?agsiVidycz37?f8`drfWje~by27>g9geqgXkf\7fex1750?f8`drfWje~by26>69gflrbz{<0hd`'0(48`lh/9 =0hd`'11+4?aoi 8;";6jfn)31-2=cag":?$94dhl+51/03mce$<;&7:fjj-71!>1oec&>7(58`lh/91#<7iga(0;*2>bnf!8";6jfn)03-2=cag"9=$94dhl+67/03mce$?=&7:fjj-43!>1oec&=5(58`lh/:?#<7iga(35*3>bnf!83%:5kio*1=,0<l`d#?$94dhl+75/03mce$>?&7:fjj-55!>1oec&<3(48`lh/< <0hd`'5(48`lh/> <0hd`'7(48`lh/0 <0hd`'9(48`lh;87=0hd`311<4?aoi48;5;6jfn=31:2=cag6:?394dhl?51803mce0<;17:fjj9716>1oec2>7?58`lh;914<7iga<0;=2>bnf5;5;6jfn=03:2=cag69=394dhl?67803mce0?=17:fjj9436>1oec2=5?58`lh;:?4<7iga<35=3>bnf5832:5kio>1=;0<l`d7>394dhl?75803mce0>?17:fjj955601oec2<3;2=3>bnf5982;5kio>0:3=cag6?2;5kio>6:3=cag6=2;5kio>4:3=cag632;5kio>::2=cg|~#<$94dnww,4/?3me~x%??)99gkpr/98#37iazt)31-==cg|~#=>'7;emvp-73!11ocxz'14+;?air|!;=%55kotv+52/?3me~x%?7)99gkpr/90#<7iazt)0*<>bh}}"9<$64dnww,77.02ndyy&=2(:8`jss ;9"46j`uu*10,><lf\7f\7f$?;&8:flqq.5> 20hb{{(35*<>bh}}"94$64dnww,7?.?2ndyy&<)99gkpr/;9#37iazt)12-==cg|~#??'7;emvp-54!>1ocxz'4(58`jss <#<7iazt)4*3>bh}}"<%:5kotv+<,1<lf\7f\7f$4'8;emvp96902ndyy2>0?:8`jss48;546j`uu>26;><lf\7f\7f0<=18:flqq:6<720hb{{<07=<>bh}}6::364dnww841902ndyy2>8?:8`jss4835;6j`uu>2:==cg|~7>=07;emvp946611ocxz323<;?air|588255kotv?618?3me~x1<:>99gkpr;:?437iazt=04:==cg|~7>507;emvp94>6>1ocxz32?:8`jss4::546j`uu>05;><lf\7f\7f0><1a:flqq:4;3:546j`uu>07;1<lf\7f\7f0>08;emvp929?2ndyy2:>69gkpr;>7=0hb{{<6<4?air|525;6j`uu>::==bl`l9bjj:;dosp|743ocmcRijndpbpjtX~=U??;5iigm\c`hbzh~d~Rx;_5.#\ljnfq*HC_K/Gdlfvdrhz);;"< ?3^ks767<n`ldSjkaescwkwYq<V>'jdh`_fgmawgsg{U}8R:#NNLF(KIIM:i<7kgio^efj`tf|fxTz9Q;,gkekZabflxjxb|Pv5]7(~eX`hyTeczPcmi>4)eX`hyT\7f\7f}{=1.`[wbXn{oz1<"l_icp[wbXlh~jSnaznu?3(fYcagU|eizg=26/gZnf{V}ySio{a^alqkr:8%iTjxbc_gnbcvYu{}U}ma3>,b]jiujbeld\7fmyg`n^vzt`;7$jU~\7fhQjmcgg``bXzlm6<!mPws]ev`w:9%iTy~kPdnww[wus58&hSx`kesdokrYkg~7; nQgar]lr`tadf}6<!mPpsgokdbXdh~nbnw21-a\`jssW~coxe3<4-a\ivahfV|j`0?#c^pg[acw|a7:<?:#c^jbwZsillxm`by20-a\lduXiegd\7fyQiumn>4)eX`hyT~~z21-a\`drfWje~byQxievk946+kVbj\7fRy}_`ah95*dW|ynShml=1.`[fijefdToaalk<3/gZquWhi`Saax=1.`[wbXxg~ySzgkti?24)eX}zoTjzh{_ecweZeh}g~6<!mPh`q\eikh{}Una}zv=1.`[wbXlh~jSnaznu]tmaro58:'oRayesdokrYkg~7; nQxr^rmpwYpam~c1<>#c^rqkbYbey~rSyw\7fe<2/gZquWmk\7fmRm`uov\slbs`4;; nQ\7frdnleaYumny6<!mPdnww[wusW\7fkg1="l_icp[agsiVidycz21-a\twi`Wo\7fg`Rzgrdqk[kc`i}oTzlb21102(fYpzVnn|yf21107(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>7)eXx{elShc\7ftx]wlwct`Vkx\7fh|{_wco97*dWakxS{ocie?3(fYwzfmTjxbc_ujqavnXizyn~yQyam?2474+kfg{cckat^lbi`;aaoeTkh`jr`vlvZp3W=&hS{ocie]okr;7$jU{~dcPfhdl[q\7fwm4;`t>8#c^rqkbYa}efTxt~j=1.`[hcjg{sTxt~j=0.~6a=aaoeTkh`jr`vlvZp3W=UmekaPgdlfvdrhzV|?S9Qwsu]20>`rde=0eczPcmi;?kadj|cgh55`vdpehjqf3yxdkRkbpu{20>vugnUna}zv_ujqavn/8 ;?7}|`g^gntq\7fX|axn\7fe&>)068twi`Wlg{xtQ{hsgpl-4.9=1{~biPelrw}Zrozlyc$>'>4:rqkbYbey~rSyf}erj+0,733yxdkRkbpu{\pmtb{a">%<:4psmd[`kw|pU\7fd\7fk|h)4*51=wzfmTi`~{y^vkv`uo >#:86~}of]fiur~W}byi~f'8(37?uthoVof|ywPtipfwm.>!8<0|\7fah_dosp|Ys`{oxd1750?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdz\7fuRzgrdqk[dutm{~#=$?m;qplcZcjx}sTxe|jsi]bwvcu|!8"=o5\7frne\ahvsqV~c~h}g_`qpawr/; ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-2.9k1{~biPelrw}ZrozlycSl}|esv+1,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)4*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'7(3a?uthoVof|ywPtipfwmYf{zoyx%6&1c9svjaXmdz\7fuRzgrdqk[dutm{~#5$?k;qplcZcjx}sTxe|jsi]bwvcu|531<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5\7frne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntq\7fX|axn\7feQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnk\7fi%8&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=o5\7frne\ahvsqV~c~h}g_ogdeqc/1 ;o7}|`g^gntq\7fX|axn\7feQaefcwa9?=8730|\7fah_gwoh45<x{elSk{cl^vkv`uo 9#:?6~}of]eqijX|axn\7fe&>)018twi`Wo\7fg`Rzgrdqk,7/6;2zycjQiumn\pmtb{a"8%<=4psmd[cskdV~c~h}g(5+27>vugnUmyabPtipfwm.2!890|\7fah_gwohZrozlyc$;'>3:rqkbYa}efTxe|jsi*4-45<x{elSk{cl^vkv`uo 1#:?6~}of]eqijX|axn\7fe&6)078twi`Wo\7fg`Rzgrdqk8<<768k0|\7fah_gwohZrozlycSl}|esv+4,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(0+2e>vugnUmyabPtipfwmYf{zoyx%<&1`9svjaXn|fgSyf}erj\evubz}"8%<o4psmd[cskdV~c~h}g_`qpawr/< ;j7}|`g^dvhiYs`{oxdRo|sdpw,0/6i2zycjQiumn\pmtb{aUj\7f~k}t)4*5d=wzfmTjxbc_ujqavnXizyn~y&8)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#4$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 0#:o6~}of]eqijX|axn\7feQnsrgqp9?=87;j7}|`g^dvhiYs`{oxdR`jg`vf,5/6i2zycjQiumn\pmtb{aUeijo{e)3*5d=wzfmTjxbc_ujqavnXflmjxh&=)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#?$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb =#:m6~}of]eqijX|axn\7feQaefcwa-3.9h1{~biPftno[qnumzbTbhintd*5-4g<x{elSk{cl^vkv`uoWgolmyk'7(3b?uthoVl~`aQ{hsgplZhboh~n$5'>a:rqkbYa}efTxe|jsi]mabgsm!3"=n5\7frne\bpjkW}byi~fPndebp`:>294=7\7fjPcmi24>tcWmk\7fmRm`uov+4,773{nThlzn_bmvjq.6!8:0~iQkauc\gjsi|!8"==5}d^fbpdYdg|d\7f$>'>0:pg[agsiVidycz'4(33?wbXlh~jSnaznu*6-46<zmUomyoPcnwmp-0.991yhRjnt`]`kphs >#:<6|k_ecweZeh}g~#4$??;sf\`drfWje~by&6)028vaYci}kTob{at=2=57=ulVnjxlQlotlw8<<76<1yhRka6:pg[wus;2xxx:5|bhvfvw2<{{y\7f=k5{mq-\gb)aaoeTkh`jr`vlvZp3W=$ekb:4tswf=>sillxm`by:;wcoma0<\7f{Ujof84ws]`hn773~xThlzn_bmvjq.7!8:0{\7fQkauc\gjsi|!;"==5xr^fbpdYdg|d\7f$?'>0:uq[agsiVidycz'3(33?rtXlh~jSnaznu*7-46<\7f{UomyoPcnwmp-3.991|~Rjnt`]`kphs ?#:<6y}_ecweZeh}g~#;$??;vp\`drfWje~by&7)028swYci}kTob{at);*57=pzVnjxlQlotlw8<<76<1|~Rka6:uq[wusuIJ{5<84@Az77=<A2=0:w^;6:2f4>0<6;;h=<:4>e6`4\7fk5483;0b>=>:59'77c=;;20q^;8:2f4>0<6;;h=<:4>e6`4?V72?39n<7>5120a251=9l=i46]:7;1f4?6=9:8i:=951d5ae>b4l00;6<4>{R7:>6b02<0:??l90682a2d03\7f^:oh4?:082>472sZ?26>j8:48277d18>0:i:l8;%12<?7202\8>k4={t355?7<}8<96=5r$0`e>77<j:n26=4:4;1911}O;8<0V9l53z3;>41=k3w/=i=53e;8 64c2:nj7d:<3;29?l2313:17b=ke;29?j5c03:17d=<6;29?l24=3:17b=nd;29 4e62:in7c?l0;28?j5fk3:1(<m>:2af?k7d83;07b=nb;29 4e62:in7c?l0;08?j5fi3:1(<m>:2af?k7d83907b=n8;29 4e62:in7c?l0;68?j5f?3:1(<m>:2af?k7d83?07b=n6;29 4e62:in7c?l0;48?j5f=3:1(<m>:2af?k7d83=07b=n4;29 4e62:in7c?l0;:8?j5f;3:1(<m>:2af?k7d83307b=n2;29 4e62:in7c?l0;c8?j5f93:1(<m>:2af?k7d83h07b=n0;29 4e62:in7c?l0;a8?j5>n3:1(<m>:2af?k7d83n07b=6d;29 4e62:in7c?l0;g8?j5>k3:1(<m>:2af?k7d83l07b=6b;29 4e62:in7c?l0;33?>i41h0;6)?l1;1`a>h6k90:=65`38;94?"6k808oh5a1b2957=<g:336=4+1b397fc<f8i;6<=4;n1:3?6=,8i:6>mj;o3`4?7332e85;4?:%3`5?5dm2d:o=4>5:9l7<3=83.:o<4<cd9m5f6=9?10c>7;:18'5f7=;jo0b<m?:058?j5e;3:1(<m>:2af?k7d83;376a<b383>!7d939hi6`>c182=>=h;k;1<7*>c080g`=i9j:1=l54o2`3>5<#9j;1?nk4n0a3>4d<3f9jj7>5$0a2>6eb3g;h<7?l;:m0e`<72-;h=7=le:l2g5<6l21d?l750;&2g4<4kl1e=n>51d98k6?b290/=n?53bg8j4e728l07b=63;29 4e62:in7c?l0;03?>i41;0;6)?l1;1`a>h6k909=65f37:94?"6k808445a1b294>=n;?=1<7*>c080<<=i9j:1=65f37494?"6k808445a1b296>=n;??1<7*>c080<<=i9j:1?65f37194?"6k808445a1b290>=n;?81<7*>c080<<=i9j:1965f37394?"6k808445a1b292>=n;?:1<7*>c080<<=i9j:1;65f34d94?"6k808445a1b29<>=n;<o1<7*>c080<<=i9j:1565f34f94?"6k808445a1b29e>=n;<i1<7*>c080<<=i9j:1n65f34`94?"6k808445a1b29g>=n;<k1<7*>c080<<=i9j:1h65f34:94?"6k808445a1b29a>=n;<=1<7*>c080<<=i9j:1j65f34494?"6k808445a1b2955=<a:?>6=4+1b397=?<f8i;6<?4;h160?6=,8i:6>66;o3`4?7532c89>4?:%3`5?5?12d:o=4>3:9j704=83.:o<4<889m5f6=9=10e>;>:18'5f7=;130b<m?:078?l5283:1(<m>:2::?k7d83;=76g<4g83>!7d939356`>c1823>=n;?o1<7*>c080<<=i9j:1=554i24g>5<#9j;1?574n0a3>4?<3`9=o7>5$0a2>6>>3g;h<7?n;:k02g<72-;h=7=79:l2g5<6j21b?;o50;&2g4<4001e=n>51b98m60>290/=n?539;8j4e728n07d=94;29 4e62:227c?l0;3f?>o4=00;6)?l1;1;=>h6k90:j65f35g94?"6k808445a1b2965=<a:>o6=4+1b397=?<f8i;6??4;n174?6=,8i:6>:n;o3`4?6<3f98j7>5$0a2>62f3g;h<7?4;n10a?6=,8i:6>:n;o3`4?4<3f98h7>5$0a2>62f3g;h<7=4;n10g?6=,8i:6>:n;o3`4?2<3f98n7>5$0a2>62f3g;h<7;4;n10e?6=,8i:6>:n;o3`4?0<3f9857>5$0a2>62f3g;h<794;n10<?6=,8i:6>:n;o3`4?><3f98;7>5$0a2>62f3g;h<774;c123?6=93:1<vF<179'5a5=;8=0c<lj:188yg7793:1=7>50zJ053=#9m91==?4o023>5<<uk>j6=4m6;ae>472sA9::6T;b;35\7f3<f2m0<6h46:0:952<e2j036n4j:0:9`?1=9>0i6l46:985>x"6l:08hi5+1469bc=#=;08ho5+5980`f=#9k31=oj4o211>5<<a=9?6=44i2g`>5<#9j;1?hj4n0a3>5=<a:oi6=4+1b397`b<f8i;6<54i2gb>5<#9j;1?hj4n0a3>7=<a:o26=4+1b397`b<f8i;6>54i2g;>5<#9j;1?hj4n0a3>1=<a:o<6=4+1b397`b<f8i;6854i2g5>5<#9j;1?hj4n0a3>3=<a:o>6=4+1b397`b<f8i;6:54i2g7>5<#9j;1?hj4n0a3>==<a:o86=4+1b397`b<f8i;6454o527>5<<a=9<6=44o51a>5<<a=;26=4+1b3904g<f8i;6=54i53;>5<#9j;18<o4n0a3>4=<a=;<6=4+1b3904g<f8i;6?54i535>5<#9j;18<o4n0a3>6=<a=;>6=4+1b3904g<f8i;6954i537>5<#9j;18<o4n0a3>0=<a=;86=4+1b3904g<f8i;6;54i531>5<#9j;18<o4n0a3>2=<a=;:6=4+1b3904g<f8i;6554i533>5<#9j;18<o4n0a3><=<a=986=44o2g2>5<<g:o96=44i216>5<<g=9;6=4+1b39064<f8i;6=54o50e>5<#9j;18><4n0a3>4=<g=8n6=4+1b39064<f8i;6?54o50g>5<#9j;18><4n0a3>6=<g=8h6=4+1b39064<f8i;6954o50a>5<#9j;18><4n0a3>0=<g=8j6=4+1b39064<f8i;6;54o50:>5<#9j;18><4n0a3>2=<g=836=4+1b39064<f8i;6554o504>5<#9j;18><4n0a3><=<a:l<6=4+1b397c><f8i;6=54i2d5>5<#9j;1?k64n0a3>4=<a:l>6=4+1b397c><f8i;6?54i2d7>5<#9j;1?k64n0a3>6=<a:l86=4+1b397c><f8i;6954i2d1>5<#9j;1?k64n0a3>0=<a:l:6=4+1b397c><f8i;6;54i2d3>5<#9j;1?k64n0a3>2=<a:om6=4+1b397c><f8i;6554i2gf>5<#9j;1?k64n0a3><=<a=>26=44o564>5<#9j;18964n0a3>5=<g=>=6=4+1b3901><f8i;6<54o566>5<#9j;18964n0a3>7=<g=>?6=4+1b3901><f8i;6>54o560>5<#9j;18964n0a3>1=<g=>96=4+1b3901><f8i;6854o562>5<#9j;18964n0a3>3=<g=>;6=4+1b3901><f8i;6:54o51e>5<#9j;18964n0a3>==<g=9n6=4+1b3901><f8i;6454o2ff>5<<g:n36=44i52f>5<#9j;18=h4n0a3>5=<a=:o6=4+1b3905`<f8i;6<54i52`>5<#9j;18=h4n0a3>7=<a=:i6=4+1b3905`<f8i;6>54i52b>5<#9j;18=h4n0a3>1=<a=:26=4+1b3905`<f8i;6854i52;>5<#9j;18=h4n0a3>3=<a=:<6=4+1b3905`<f8i;6:54i525>5<#9j;18=h4n0a3>==<a=:>6=4+1b3905`<f8i;6454i51g>5<<a:nm6=44i215>5<<a=>j6=44o515>5<<g=9h6=44o51b>5<<a=9>6=44o2cg>5<#9j;1?nk4n0a3>5=<g:kh6=4+1b397fc<f8i;6<54o2ca>5<#9j;1?nk4n0a3>7=<g:kj6=4+1b397fc<f8i;6>54o2c;>5<#9j;1?nk4n0a3>1=<g:k<6=4+1b397fc<f8i;6854o2c5>5<#9j;1?nk4n0a3>3=<g:k>6=4+1b397fc<f8i;6:54o2c7>5<#9j;1?nk4n0a3>==<g:k86=4+1b397fc<f8i;6454o2c1>5<#9j;1?nk4n0a3>d=<g:k:6=4+1b397fc<f8i;6o54o2c3>5<#9j;1?nk4n0a3>f=<g:3m6=4+1b397fc<f8i;6i54o2;g>5<#9j;1?nk4n0a3>`=<g:3h6=4+1b397fc<f8i;6k54o2;a>5<#9j;1?nk4n0a3>46<3f92m7>5$0a2>6eb3g;h<7?>;:m0=<<72-;h=7=le:l2g5<6:21d?4650;&2g4<4kl1e=n>51298k6?0290/=n?53bg8j4e728>07b=66;29 4e62:in7c?l0;36?>i41<0;6)?l1;1`a>h6k90::65`38694?"6k808oh5a1b2952=<g:h86=4+1b397fc<f8i;6<64;n1a6?6=,8i:6>mj;o3`4?7>32e8n<4?:%3`5?5dm2d:o=4>a:9l7g6=83.:o<4<cd9m5f6=9k10c>oi:18'5f7=;jo0b<m?:0a8?j5fm3:1(<m>:2af?k7d83;o76a<a883>!7d939hi6`>c182a>=h;0o1<7*>c080g`=i9j:1=k54o2;0>5<#9j;1?nk4n0a3>76<3f92>7>5$0a2>6eb3g;h<7<>;:k02=<72-;h=7=79:l2g5<732c8::4?:%3`5?5?12d:o=4>;:k023<72-;h=7=79:l2g5<532c8:84?:%3`5?5?12d:o=4<;:k026<72-;h=7=79:l2g5<332c8:?4?:%3`5?5?12d:o=4:;:k024<72-;h=7=79:l2g5<132c8:=4?:%3`5?5?12d:o=48;:k01c<72-;h=7=79:l2g5<?32c89h4?:%3`5?5?12d:o=46;:k01a<72-;h=7=79:l2g5<f32c89n4?:%3`5?5?12d:o=4m;:k01g<72-;h=7=79:l2g5<d32c89l4?:%3`5?5?12d:o=4k;:k01=<72-;h=7=79:l2g5<b32c89:4?:%3`5?5?12d:o=4i;:k013<72-;h=7=79:l2g5<6821b?8;50;&2g4<4001e=n>51098m633290/=n?539;8j4e728807d=:3;29 4e62:227c?l0;30?>o4=;0;6)?l1;1;=>h6k90:865f34394?"6k808445a1b2950=<a:?;6=4+1b397=?<f8i;6<84;h17b?6=,8i:6>66;o3`4?7032c8:h4?:%3`5?5?12d:o=4>8:9j73b=83.:o<4<889m5f6=9010e>8l:18'5f7=;130b<m?:0c8?l51j3:1(<m>:2::?k7d83;i76g<6`83>!7d939356`>c182g>=n;?31<7*>c080<<=i9j:1=i54i247>5<#9j;1?574n0a3>4c<3`9>57>5$0a2>6>>3g;h<7?i;:k00`<72-;h=7=79:l2g5<5821b?9j50;&2g4<4001e=n>52098k6542900e>=;:188m143290/=n?54378j4e72910e9<<:18'5f7=<;?0b<m?:098m145290/=n?54378j4e72;10e9<>:18'5f7=<;?0b<m?:298m147290/=n?54378j4e72=10e9?i:18'5f7=<;?0b<m?:498m17b290/=n?54378j4e72?10e9?k:18'5f7=<;?0b<m?:698m17d290/=n?54378j4e72110e9?m:18'5f7=<;?0b<m?:898k627290/=n?535c8j4e72910c>=i:18'5f7=;=k0b<m?:098k65b290/=n?535c8j4e72;10c>=k:18'5f7=;=k0b<m?:298k65d290/=n?535c8j4e72=10c>=m:18'5f7=;=k0b<m?:498k65f290/=n?535c8j4e72?10c>=6:18'5f7=;=k0b<m?:698k65?290/=n?535c8j4e72110c>=8:18'5f7=;=k0b<m?:898m165290/=n?54118j4e72910e9>>:18'5f7=<990b<m?:098m167290/=n?54118j4e72;10e>hi:18'5f7=<990b<m?:298m6`b290/=n?54118j4e72=10e>hk:18'5f7=<990b<m?:498m6`d290/=n?54118j4e72?10e>hm:18'5f7=<990b<m?:698m6`f290/=n?54118j4e72110e>h6:18'5f7=<990b<m?:898k62d2900e9<9:188f64e290:6=4?{%3g7?7792B8>l5G3048k4672900qo==c;295?6=8r.:h>4<169K77g<@:;=7b?me;29?xd48>0;6>4?:1y'5a5=nk1C??o4H235?M2?3-;>j7:<9:&24`<63`>h6=44i4c94?=h9j91<75rb233>5<4290;w)?k3;da?M55i2B8=;5G499'50`=<:30(<>j:09j0f<722c>m7>5;n3`7?6=3th8<;4?:283>5}#9m91jo5G33c8L6713A>37)?:f;60=>"68l0:7d:l:188m0g=831d=n=50;9~f66b290>6=4?{%3g7?`b3A99m6F<179K0==#9<l18>74$02f>4=n<j0;66g:1;29?l3f2900e<m=:188k4e42900qo=?f;297?6=8r.:h>4ib:J06d=O;8<0D964$07e>15>3-;;i7?4i5a94?=n=h0;66a>c283>>{e;9?1<7;50;2x 4b42oo0D><n;I122>N302.:9k4;389'55c=92c?o7>5;h72>5<<a<k1<75f1b094?=h9j91<75rb22g>5<3290;w)?k3;dg?M55i2B8=;5+11g95>o3k3:17d;n:188m4e52900c<m<:188yg57k3:187>50z&2`6<al2B8>l5G3048 46b281b8n4?::k6e?6=3`;h>7>5;n3`7?6=3th8=94?:583>5}#9m91ji5G33c8L6713-;;i7?4i5a94?=n=h0;66g>c383>>i6k:0;66sm22794?2=83:p(<j<:gf8L64f3A9::6*>0d87?l2d2900e8o50;9j5f4=831d=n=50;9~f75>290?6=4?{%3g7?`c3A99m6F<179'55c=<2c?o7>5;h7b>5<<a8i96=44o0a0>5<<uk8jj7>54;294~"6l:0mh6F<2`9K740<,8:n695f4b83>>o2i3:17d?l2;29?j7d;3:17pl=ad83>1<729q/=i=5fe9K77g<@:;=7)??e;38m1e=831b9l4?::k2g7<722e:o>4?::\7fa6db=83>1<7>t$0f0>cb<@:8j7E=>6:&24`<63`>h6=44i4c94?=n9j81<75`1b194?=zj8nm6=4;:183\7f!7c;3;=<6F<2`9K740<a<91<75f5b83>>o6kk0;66a>bb83>>{e9o81<7=50;2x 4b42:827E==a:J053=n=:0;66g>5483>>i6jj0;66sm1d294?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl>f283>6<729q/=i=533;8L64f3A9::6g:3;29?l72=3:17b?mc;29?xd6m80;694?:1y'5a5=9?:0D><n;I122>o2;3:17d;l:188m4ee2900c<ll:188yg7a<3:1?7>50z&2`6<4:01C??o4H235?l342900e<;::188k4dd2900qo?j2;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn<h::180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f4c4290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa5c0=8391<7>t$0f0>64>3A99m6F<179j16<722c:984?::m2ff<722wi=h:50;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th:j:4?:283>5}#9m91??74H20b?M56>2c>?7>5;h361?6=3f;io7>5;|`2a0<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c3e<?6=;3:1<v*>d2806<=O;;k0D>?9;h70>5<<a8?>6=44o0``>5<<uk;n:7>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj8l26=4<:183\7f!7c;39956F<2`9K740<a<91<75f14794?=h9ki1<75rb0g4>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm1gc94?5=83:p(<j<:20:?M55i2B8=;5f5283>>o6=<0;66a>bb83>>{e9oh1<7=50;2x 4b42:827E==a:J053=n=:0;66g>5483>>i6jj0;66sm1ga94?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th:ji4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg7am3:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb0de>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>=>50;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd5880;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c036?6==3:1<v*>d28eg>N4:h1C?<84$02f>4=n<j0;66g:1;29?l312900e8o50;9l5f5=831vn?><:186>5<7s-;o?7hl;I11e>N49?1/==k51:k7g?6=3`?:6=44i4494?=n=h0;66a>c283>>{e:9>1<7;50;2x 4b42oi0D><n;I122>"68l0:7d:l:188m07=831b9;4?::k6e?6=3f;h?7>5;|`140<72<0;6=u+1e19bf=O;;k0D>?9;%33a?7<a=i1<75f5083>>o2>3:17d;n:188k4e42900qo<>0;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?>i:187>5<7s-;o?7?90:J06d=O;8<0e8=50;9j1f<722c:oo4?::m2ff<722wi>=k50;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th9<i4?:583>5}#9m91=;>4H20b?M56>2c>?7>5;h7`>5<<a8ii6=44o0``>5<<uk8;o7>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj;836=4;:183\7f!7c;3;=<6F<2`9K740<a<91<75f5b83>>o6kk0;66a>bb83>>{e:;=1<7:50;2x 4b428<;7E==a:J053=n=:0;66g:c;29?l7dj3:17b?mc;29?xd5:?0;694?:1y'5a5=9?:0D><n;I122>o2;3:17d;l:188m4ee2900c<ll:188yg45=3:187>50z&2`6<6>91C??o4H235?l342900e8m50;9j5fd=831d=om50;9~f743290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa6<4=83>1<7>t$0f0>4073A99m6F<179j16<722c>o7>5;h3`f?6=3f;io7>5;|`1=4<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c0:4?6=<3:1<v*>d28225=O;;k0D>?9;h70>5<<a<i1<75f1b`94?=h9ki1<75rb3:e>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm29g94?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl=9c83>1<729q/=i=51728L64f3A9::6g:3;29?l3d2900e<mm:188k4dd2900qo<6a;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?76:187>5<7s-;o?7?90:J06d=O;8<0e8=50;9j1f<722c:oo4?::m2ff<722wi>4650;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th95:4?:583>5}#9m91=;>4H20b?M56>2c>?7>5;h7`>5<<a8ii6=44o0``>5<<uk8<97>55;294~"6l:0mo6F<2`9K740<,8:n6<5f4b83>>o293:17d;9:188m0g=831d=n=50;9~f713290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm26094?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9;<4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg40;3:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb353>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>;h50;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd5>m0;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c05g?6==3:1<v*>d28ea>N4:h1C?<84$02f>1=n<j0;66g:1;29?l3f2900e<m=:188k4e42900qo<9e;291?6=8r.:h>4ic:J06d=O;8<0(<>j:09j0f<722c>=7>5;h75>5<<a<k1<75`1b194?=zj;<;6=4<:183\7f!7c;39956F<2`9K740<a<91<75f14794?=h9ki1<75rb37e>5<4290;w)?k3;11=>N4:h1C?<84i4194?=n9<?1<75`1ca94?=zj;?>6=4;:183\7f!7c;3;=<6F<2`9K740<a<91<75f5b83>>o6kk0;66a>bb83>>{e:<o1<7=50;2x 4b42:827E==a:J053=n=:0;66g>5483>>i6jj0;66sm24194?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl=5e83>6<729q/=i=533;8L64f3A9::6g:3;29?l72=3:17b?mc;29?xd5=80;694?:1y'5a5=9?:0D><n;I122>o2;3:17d;l:188m4ee2900c<ll:188yg42k3:1?7>50z&2`6<4:01C??o4H235?l342900e<;::188k4dd2900qo<;f;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?;m:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f72c290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa60g=8391<7>t$0f0>64>3A99m6F<179j16<722c:984?::m2ff<722wi>9l50;694?6|,8n86<8?;I11e>N49?1b9>4?::k6g?6=3`;hn7>5;n3ag?6=3th9944?:283>5}#9m91??74H20b?M56>2c>?7>5;h361?6=3f;io7>5;|`10<<72=0;6=u+1e19536<@:8j7E=>6:k67?6=3`?h6=44i0aa>5<<g8hh6=44}c06<?6=;3:1<v*>d2806<=O;;k0D>?9;h70>5<<a8?>6=44o0``>5<<uk8?;7>54;294~"6l:0::=5G33c8L6713`?86=44i4a94?=n9jh1<75`1ca94?=zj;?<6=4<:183\7f!7c;39956F<2`9K740<a<91<75f14794?=h9ki1<75rb366>5<3290;w)?k3;354>N4:h1C?<84i4194?=n=j0;66g>cc83>>i6jj0;66sm29;94?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9454?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg4??3:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb3:5>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>5;50;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd50=0;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c0;7?6==3:1<v*>d28eg>N4:h1C?<84$02f>4=n<j0;66g:1;29?l312900e8o50;9l5f5=831vn?6=:186>5<7s-;o?7hl;I11e>N49?1/==k51:k7g?6=3`?:6=44i4494?=n=h0;66a>c283>>{e:1;1<7;50;2x 4b42oi0D><n;I122>"68l0:7d:l:188m07=831b9;4?::k6e?6=3f;h?7>5;|`1<5<72<0;6=u+1e19bf=O;;k0D>?9;%33a?7<a=i1<75f5083>>o2>3:17d;n:188k4e42900qo<jb;291?6=8r.:h>4ic:J06d=O;8<0(<>j:09j0f<722c>=7>5;h75>5<<a<k1<75`1b194?=zj;oj6=4::183\7f!7c;3lh7E==a:J053=#99o1=6g;c;29?l362900e8850;9j1d<722e:o>4?::\7fa6`>=83?1<7>t$0f0>ce<@:8j7E=>6:&24`<63`>h6=44i4394?=n=?0;66g:a;29?j7d;3:17pl=e683>0<729q/=i=5fb9K77g<@:;=7)??e;38m1e=831b9<4?::k62?6=3`?j6=44o0a0>5<<uk8n57>55;294~"6l:0mo6F<2`9K740<,8:n6<5f4b83>>o293:17d;9:188m0g=831d=n=50;9~f7c1290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm2d794?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9i>4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg4b:3:197>50z&2`6<am2B8>l5G3048 46b2=1b8n4?::k65?6=3`?j6=44i0a1>5<<g8i86=44}c0f0?6==3:1<v*>d28eg>N4:h1C?<84$02f>4=n<j0;66g:1;29?l312900e8o50;9l5f5=831vn?j9:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f7b229086=4?{%3g7?5512B8>l5G3048m05=831b=8;50;9l5ge=831vn?mm:187>5<7s-;o?7?90:J06d=O;8<0e8=50;9j1f<722c:oo4?::m2ff<722wi>i:50;194?6|,8n86><6;I11e>N49?1b9>4?::k210<722e:nn4?::\7fa6f?=83>1<7>t$0f0>4073A99m6F<179j16<722c>o7>5;h3`f?6=3f;io7>5;|`1`6<72:0;6=u+1e1977?<@:8j7E=>6:k67?6=3`;>97>5;n3ag?6=3th9o:4?:583>5}#9m91=;>4H20b?M56>2c>?7>5;h7`>5<<a8ii6=44o0``>5<<uk8o>7>53;294~"6l:08>45G33c8L6713`?86=44i076>5<<g8hh6=44}c0`1?6=<3:1<v*>d28225=O;;k0D>?9;h70>5<<a<i1<75f1b`94?=h9ki1<75rb3f2>5<4290;w)?k3;11=>N4:h1C?<84i4194?=n9<?1<75`1ca94?=zj;i86=4;:183\7f!7c;3;=<6F<2`9K740<a<91<75f5b83>>o6kk0;66a>bb83>>{e:m:1<7=50;2x 4b42:827E==a:J053=n=:0;66g>5483>>i6jj0;66sm2b394?2=83:p(<j<:043?M55i2B8=;5f5283>>o2k3:17d?lb;29?j7ek3:17pl=cg83>6<729q/=i=533;8L64f3A9::6g:3;29?l72=3:17b?mc;29?xd5jo0;694?:1y'5a5=9?:0D><n;I122>o2;3:17d;l:188m4ee2900c<ll:188yg4dm3:1?7>50z&2`6<4:01C??o4H235?l342900e<;::188k4dd2900qo<md;290?6=8r.:h>4>619K77g<@:;=7d;<:188m0e=831b=nl50;9l5ge=831vn?mk:180>5<7s-;o?7==9:J06d=O;8<0e8=50;9j503=831d=om50;9~f7de290?6=4?{%3g7?7182B8>l5G3048m05=831b9n4?::k2gg<722e:nn4?::\7fa6c`=83?1<7>t$0f0>ce<@:8j7E=>6:&24`<63`>h6=44i4394?=n=?0;66g:a;29?j7d;3:17pl=fd83>0<729q/=i=5fb9K77g<@:;=7)??e;38m1e=831b9<4?::k62?6=3`?j6=44o0a0>5<<uk8mh7>55;294~"6l:0mo6F<2`9K740<,8:n6<5f4b83>>o293:17d;9:188m0g=831d=n=50;9~f7`d290>6=4?{%3g7?`d3A99m6F<179'55c=92c?o7>5;h72>5<<a<<1<75f5`83>>i6k:0;66sm2g`94?3=83:p(<j<:ga8L64f3A9::6*>0d82?l2d2900e8?50;9j13<722c>m7>5;n3`7?6=3th9jl4?:483>5}#9m91jn5G33c8L6713-;;i7?4i5a94?=n=80;66g:6;29?l3f2900c<m<:188yg4a13:197>50z&2`6<ak2B8>l5G3048 46b281b8n4?::k65?6=3`?=6=44i4c94?=h9j91<75rb3d;>5<2290;w)?k3;d`?M55i2B8=;5+11g95>o3k3:17d;>:188m00=831b9l4?::m2g6<722wi>k950;794?6|,8n86km4H20b?M56>2.:<h4>;h6`>5<<a<;1<75f5783>>o2i3:17b?l3;29?xd5n?0;684?:1y'5a5=nj1C??o4H235?!77m3;0e9m50;9j14<722c>:7>5;h7b>5<<g8i86=44}c126?6=;3:1<v*>d2821d=O;;k0D>?9;%33a?7>3`;;>7>5;h337?6=3f;io7>5;|`04<<72:0;6=u+1e1950g<@:8j7E=>6:&24`<612c:<?4?::k246<722e:nn4?::\7fa6d3=83?1<7>t$0f0>43b3A99m6F<179'55c=:<1b==<50;9j555=831b==:50;9j553=831d=om50;9~f755290>6=4?{%3g7?72m2B8>l5G3048 46b2;?0e<>=:188m4642900e<>;:188m4622900c<ll:188yg4f<3:197>50z&2`6<6=l1C??o4H235?!77m38>7d??2;29?l77;3:17d??4;29?l77=3:17b?mc;29?xd5;80;684?:1y'5a5=9<o0D><n;I122>"68l0996g>0383>>o68:0;66g>0583>>o68<0;66a>bb83>>{e:h91<7;50;2x 4b428?n7E==a:J053=#99o1>85f11094?=n9991<75f11694?=n99?1<75`1ca94?=zj;9;6=4::183\7f!7c;3;>i6F<2`9K740<,8:n6?;4i021>5<<a8:86=44i027>5<<a8:>6=44o0``>5<<uk8j>7>55;294~"6l:0:9h5G33c8L6713-;;i7<:;h336?6=3`;;?7>5;h330?6=3`;;97>5;n3ag?6=3th9>k4?:483>5}#9m91=8k4H20b?M56>2.:<h4=5:k247<722c:<>4?::k241<722c:<84?::m2ff<722wi>o>50;194?6|,8n86<;n;I11e>N49?1/==k5109j554=831b===50;9l5ge=831vn?o>:186>5<7s-;o?7?:e:J06d=O;8<0(<>j:378m4652900e<><:188m4632900e<>::188k4dd2900qo<=e;291?6=8r.:h>4>5d9K77g<@:;=7)??e;06?l77:3:17d??3;29?l77<3:17d??5;29?j7ek3:17pl=1d83>0<729q/=i=514g8L64f3A9::6*>0d811>o68;0;66g>0283>>o68=0;66g>0483>>i6jj0;66sm20`94?3=83:p(<j<:07f?M55i2B8=;5+11g960=n9981<75f11194?=n99>1<75f11794?=h9ki1<75rb33;>5<2290;w)?k3;36a>N4:h1C?<84$02f>73<a8:96=44i020>5<<a8:?6=44i026>5<<g8hh6=44}c021?6==3:1<v*>d2821`=O;;k0D>?9;%33a?423`;;>7>5;h337?6=3`;;87>5;h331?6=3f;io7>5;|`157<72<0;6=u+1e1950c<@:8j7E=>6:&24`<5=2c:<?4?::k246<722c:<94?::k240<722e:nn4?::\7fa661=83?1<7>t$0f0>43b3A99m6F<179'55c=:91b==<50;9j555=831b==:50;9j553=831d=om50;9~f7g>290?6=4?{%3g7?72k2B8>l5G3048 46b2;=0e<>=:188m4642900e<>;:188k4dd2900qo<nb;291?6=8r.:h>4>5d9K77g<@:;=7)??e;d4?l77:3:17d??3;29?l77<3:17d??5;29?j7ek3:17pl=3`83>6<729q/=i=514c8L64f3A9::6*>0d825>o68;0;66g>0283>>i6jj0;66sm20d94?3=83:p(<j<:07f?M55i2B8=;5+11g960=n9981<75f11194?=n99>1<75f11794?=h9ki1<75rb33`>5<2290;w)?k3;36a>N4:h1C?<84$02f>73<a8:96=44i020>5<<a8:?6=44i026>5<<g8hh6=44}c02=?6==3:1<v*>d2821`=O;;k0D>?9;%33a?423`;;>7>5;h337?6=3`;;87>5;h331?6=3f;io7>5;|`153<72<0;6=u+1e1950c<@:8j7E=>6:&24`<5=2c:<?4?::k246<722c:<94?::k240<722e:nn4?::\7fa645=83?1<7>t$0f0>43b3A99m6F<179'55c=:<1b==<50;9j555=831b==:50;9j553=831d=om50;9~f73129096=4?{%3g7?7212B8>l5G3048 46b28;0e<>=:188k4dd2900qo<:4;296?6=8r.:h>4>589K77g<@:;=7)??e;32?l77:3:17b?mc;29?xd5=;0;6?4?:1y'5a5=9<30D><n;I122>"68l0:=6g>0383>>i6jj0;66sm24294?4=83:p(<j<:07:?M55i2B8=;5+11g954=n9981<75`1ca94?=zj;>n6=4=:183\7f!7c;3;>56F<2`9K740<,8:n6<?4i021>5<<g8hh6=44}c07g?6=:3:1<v*>d2821<=O;;k0D>?9;%33a?763`;;>7>5;n3ag?6=3th98l4?:383>5}#9m91=874H20b?M56>2.:<h4>1:k247<722e:nn4?::\7fa61>=8381<7>t$0f0>43>3A99m6F<179'55c=981b==<50;9l5ge=831vn?:9:181>5<7s-;o?7?:9:J06d=O;8<0(<>j:038m4652900c<ll:188yg4dk3:1>7>50z&2`6<6=01C??o4H235?!77m3;:7d??2;29?j7ek3:17pl=c`83>7<729q/=i=514;8L64f3A9::6*>0d825>o68;0;66a>bb83>>{e:j21<7<50;2x 4b428?27E==a:J053=#99o1=<5f11094?=h9ki1<75rb3a5>5<5290;w)?k3;36=>N4:h1C?<84$02f>47<a8:96=44o0``>5<<uk8h87>52;294~"6l:0:945G33c8L6713-;;i7?>;h336?6=3f;io7>5;|`1g7<72;0;6=u+1e1950?<@:8j7E=>6:&24`<692c:<?4?::m2ff<722wi>n>50;094?6|,8n86<;6;I11e>N49?1/==k5109j554=831d=om50;9~f7db29096=4?{%3g7?7212B8>l5G3048 46b28;0e<>=:188k4dd2900qo<mc;296?6=8r.:h>4>589K77g<@:;=7)??e;32?l77:3:17b?mc;29?xd5>80;6?4?:1y'5a5=9<30D><n;I122>"68l0:=6g>0383>>i6jj0;66sm2e594?4=83:p(<j<:07:?M55i2B8=;5+11g954=n9981<75`1ca94?=zj8o36=4;:183\7f!7c;3;>o6F<2`9K740<,8:n6?<4i021>5<<a8:86=44i027>5<<g8hh6=44}c3f=?6=<3:1<v*>d2821f=O;;k0D>?9;%33a?413`;;>7>5;h337?6=3`;;87>5;n3ag?6=3th:il4?:583>5}#9m91=8m4H20b?M56>2.:<h4=6:k247<722c:<>4?::k241<722e:nn4?::\7fa5`d=83>1<7>t$0f0>43d3A99m6F<179'55c=:?1b==<50;9j555=831b==:50;9l5ge=831vn<kl:187>5<7s-;o?7?:c:J06d=O;8<0(<>j:348m4652900e<><:188m4632900c<ll:188yg7bl3:187>50z&2`6<6=j1C??o4H235?!77m38=7d??2;29?l77;3:17d??4;29?j7ek3:17pl>ed83>1<729q/=i=514a8L64f3A9::6*>0d812>o68;0;66g>0283>>o68=0;66a>bb83>>{e9ll1<7:50;2x 4b428?h7E==a:J053=#99o1>;5f11094?=n9991<75f11694?=h9ki1<75rb0d3>5<3290;w)?k3;36g>N4:h1C?<84$02f>70<a8:96=44i020>5<<a8:?6=44o0``>5<<uk9;?7>54;294~"6l:0:9n5G33c8L6713-;;i7:6;h336?6=3`;;?7>5;h330?6=3f;io7>5;|`2b4<72=0;6=u+1e1950e<@:8j7E=>6:&24`<5>2c:<?4?::k246<722c:<94?::m2ff<722wi>lo50;794?6|,8n86<;j;I11e>N49?1/==k5139j554=831b===50;9j552=831b==;50;9l5ge=831vn?>8:186>5<7s-;o?7?:e:J06d=O;8<0(<>j:318m4652900e<><:188m4632900e<>::188k4dd2900qo=?0;29g7<729q/=i=51b68L64f3A9::6T;b;axb?772891=94>a;3a>4e=9m0:97?9:0g95c<zfjk1<6`jc;28 42a2;1/=8>52:&243<43-;;;7=4$02;>6=#9931?6*>0`80?!77j390(<ml:gc8 4ea2;1/==h53:&255<43-;:=7=4$031>6=#9891?6*>1580?!76=390(<?9:29'541=;2.:=54<;%32=?5<,8;j6>5+10`97>"69j087)?>d;18 47b2:1/=<h53:&265<43-;9=7=4$001>6=#9;91?6*>2580?!75=390(<<9:29'571=;2.:>54<;%31=?5<,88j6>5+13`97>"6:j087)?=d;18 44b2:1/=?h53:&275<43-;8=7=4$011>6=#9:91?6*>3580?!74=390(<=9:29'561=;2.:?54<;%30=?5<,89j6>5+12`97>"6;j087)?<d;18 45b2:1/=>h53:&205<43-;?=7=4$061>6=#9=91?6*>4580?!73=390(<:9:29'511=;2.:854<;%37=?5<,8>j6>5+15`97>"6<j087)?;d;18 42b2:1/=8?51c`8 4352ok0(<;<:gc8 4e228hi7)?l6;3af>h6k>0:>6`>c9826>"6km0:no5+11a97>"68m087)==4;117>"4:<08>>5a334957=i;;=1=?5+1e296>o3l3:17d:j:188m02=831b984?::ke<?6=3`;h57>5;h3`e?6=3`l26=44i0f2>5<<a8n96=44o5d94?=h=90;66g=e;29 4e62;n0b<m?:198m7e=83.:o<4=d:l2g5<632c9n7>5$0a2>7b<f8i;6?54i3c94?"6k809h6`>c180?>o513:1(<m>:3f8j4e72=10e>950;&2g4<5l2d:o=4:;:k02?6=,8i:6?j4n0a3>3=<a:?1<7*>c081`>h6k90<76g<4;29 4e62;n0b<m?:998m65=83.:o<4=d:l2g5<>32c8>7>5$0a2>7b<f8i;6l54i2394?"6k809h6`>c18a?>o483:1(<m>:3f8j4e72j10e?h50;&2g4<5l2d:o=4k;:k1<?6=,8i:6?j4n0a3>`=<a:o1<7*>c080`>h6k90;76g<c;29 4e62:n0b<m?:098m6d=83.:o<4<d:l2g5<532c8m7>5$0a2>6b<f8i;6>54i2;94?"6k808h6`>c187?>o3?3:1(<m>:2f8j4e72<10e9850;&2g4<4l2d:o=49;:k71?6=,8i:6>j4n0a3>2=<a=>1<7*>c080`>h6k90376g;3;29 4e62:n0b<m?:898m14=83.:o<4<d:l2g5<f32c?=7>5$0a2>6b<f8i;6o54i5294?"6k808h6`>c18`?>o4n3:1(<m>:2f8j4e72m10e>650;&2g4<4l2d:o=4j;:k42?6=,8i:6:;4n0a3>5=<a>>1<7*>c0841>h6k90:76g82;29 4e62>?0b<m?:398m27=83.:o<485:l2g5<432c<<7>5$0a2>23<f8i;6954i7d94?"6k80<96`>c186?>o1m3:1(<m>:678j4e72?10e;j50;&2g4<0=2d:o=48;:k5g?6=,8i:6:;4n0a3>==<a?h1<7*>c0841>h6k90276g9a;29 4e62>?0b<m?:`98m3?=83.:o<485:l2g5<e32c=;7>5$0a2>23<f8i;6n54i7494?"6k80<96`>c18g?>o1=3:1(<m>:678j4e72l10e;:50;&2g4<0=2d:o=4i;:k57?6=,8i:6:;4n0a3>46<3`<96=4+1b3930=i9j:1=<54i7394?"6k80<96`>c1826>=n>90;6)?l1;56?k7d83;876g:f;29 4e62>?0b<m?:068?l3b290/=n?5749m5f6=9<10e:j50;&2g4<0=2d:o=4>6:9j3f<72-;h=79:;o3`4?7032c<n7>5$0a2>23<f8i;6<64;h5b>5<#9j;1;85a1b295<=<a>31<7*>c0841>h6k90:m65f7983>!7d93=>7c?l0;3a?>o0?3:1(<m>:678j4e728i07d9<:18'5f7=?<1e=n>51e98m3>=83.:o<485:l2g5<6m21b9i4?:%3`5?123g;h<7?i;:k:3?6=,8i:6484n0a3>5=<a0?1<7*>c08:2>h6k90:76g63;29 4e620<0b<m?:398m<4=83.:o<466:l2g5<432c2=7>5$0a2><0<f8i;6954i8294?"6k802:6`>c186?>o?n3:1(<m>:848j4e72?10e5k50;&2g4<>>2d:o=48;:k;`?6=,8i:6484n0a3>==<a1i1<7*>c08:2>h6k90276g7b;29 4e620<0b<m?:`98m=g=83.:o<466:l2g5<e32c347>5$0a2><0<f8i;6n54i9594?"6k802:6`>c18g?>o?>3:1(<m>:848j4e72l10e5;50;&2g4<>>2d:o=4i;:k;0?6=,8i:6484n0a3>46<3`286=4+1b39=3=i9j:1=<54i9094?"6k802:6`>c1826>=n080;6)?l1;;5?k7d83;876g70;29 4e620<0b<m?:068?l1a290/=n?5979m5f6=9<10e4k50;&2g4<>>2d:o=4>6:9j=a<72-;h=779;o3`4?7032c2o7>5$0a2><0<f8i;6<64;h;a>5<#9j;15;5a1b295<=<a0k1<7*>c08:2>h6k90:m65f9883>!7d933=7c?l0;3a?>o>03:1(<m>:848j4e728i07d7;:18'5f7=1?1e=n>51e98m=?=83.:o<466:l2g5<6m21b;h4?:%3`5??13g;h<7?i;:kb7?6=,8i:6l<4n0a3>5=<ah;1<7*>c08b6>h6k90:76gn0;29 4e62h80b<m?:398m<`=83.:o<4n2:l2g5<432cj47>5$0a2>d1<f8i;6=54i`494?"6k80j;6`>c182?>of=3:1(<m>:`58j4e72;10el:50;&2g4<f?2d:o=4<;:k05a<72-;h=7=>c:l2g5<732c8=o4?:%3`5?56k2d:o=4>;:k05d<72-;h=7=>c:l2g5<532c8=44?:%3`5?56k2d:o=4<;:k067<72-;h=7==1:l2g5<732c8>=4?:%3`5?5592d:o=4>;:k05c<72-;h=7==1:l2g5<532c8=h4?:%3`5?5592d:o=4<;:m`6?6=,8i:6n?4n0a3>5=<gj:1<7*>c08`5>h6k90:76ame;29 4e62j;0b<m?:398kgb=83.:o<4l1:l2g5<432eio7>5$0a2>f7<f8i;6954oc`94?"6k80h=6`>c186?>iei3:1(<m>:b38j4e72?10co750;&2g4<d92d:o=48;:ma<?6=,8i:6n?4n0a3>==<gk=1<7*>c08`5>h6k90276am6;29 4e62j;0b<m?:`98kg3=83.:o<4l1:l2g5<e32ei?7>5$0a2>f7<f8i;6n54oc094?"6k80h=6`>c18g?>ie93:1(<m>:b38j4e72l10co>50;&2g4<d92d:o=4i;:mbb?6=,8i:6n?4n0a3>46<3fkn6=4+1b39g4=i9j:1=<54o`f94?"6k80h=6`>c1826>=hij0;6)?l1;a2?k7d83;876anb;29 4e62j;0b<m?:068?jgf290/=n?5c09m5f6=9<10cn750;&2g4<d92d:o=4>6:9lg=<72-;h=7m>;o3`4?7032eh;7>5$0a2>f7<f8i;6<64;na5>5<#9j;1o<5a1b295<=<gj?1<7*>c08`5>h6k90:m65`c583>!7d93i:7c?l0;3a?>id;3:1(<m>:b38j4e728i07bli:18'5f7=k81e=n>51e98kg2=83.:o<4l1:l2g5<6m21dm44?:%3`5?e63g;h<7?i;:mf0?6=,8i:6h=4n0a3>5=<gl81<7*>c08f7>h6k90:76aj0;29 4e62l90b<m?:398ka`=83.:o<4j3:l2g5<432eoi7>5$0a2>`5<f8i;6954oef94?"6k80n?6`>c186?>ick3:1(<m>:d18j4e72?10cil50;&2g4<b;2d:o=48;:mge?6=,8i:6h=4n0a3>==<gm31<7*>c08f7>h6k90276ak8;29 4e62l90b<m?:`98ka1=83.:o<4j3:l2g5<e32eo97>5$0a2>`5<f8i;6n54oe694?"6k80n?6`>c18g?>ic;3:1(<m>:d18j4e72l10ci<50;&2g4<b;2d:o=4i;:mg5?6=,8i:6h=4n0a3>46<3fn;6=4+1b39a6=i9j:1=<54obd94?"6k80n?6`>c1826>=hkl0;6)?l1;g0?k7d83;876ald;29 4e62l90b<m?:068?jed290/=n?5e29m5f6=9<10chl50;&2g4<b;2d:o=4>6:9lad<72-;h=7k<;o3`4?7032en57>5$0a2>`5<f8i;6<64;ng;>5<#9j;1i>5a1b295<=<gl=1<7*>c08f7>h6k90:m65`e783>!7d93o87c?l0;3a?>ib=3:1(<m>:d18j4e728i07bk>:18'5f7=m:1e=n>51e98ka0=83.:o<4j3:l2g5<6m21doo4?:%3`5?c43g;h<7?i;:me5?6=,8i:6k>4n0a3>5=<gll1<7*>c08e4>h6k90:76aje;29 4e62o:0b<m?:398k`b=83.:o<4i0:l2g5<432em:7>5$0a2>c3<f8i;6=54og694?"6k80m96`>c182?>ia;3:1(<m>:g78j4e72;10ck<50;&2g4<a=2d:o=4<;:\7fa757=83i96=4?{%3g7?7d<2B8>l5G3048^1d=krl1==4>3;37>4g=9k0:o7?k:07953<6m3;m6p`la;28j`e=82.:8k4=;%364?4<,8:=6>5+11597>"681087)??9;18 46f2:1/==l53:&2gf<ai2.:ok4=;%33b?5<,8;;6>5+10397>"69;087)?>3;18 4732:1/=<;53:&253<43-;:;7=4$03;>6=#9831?6*>1`80?!76j390(<?l:29'54b=;2.:=h4<;%32b?5<,88;6>5+13397>"6:;087)?=3;18 4432:1/=?;53:&263<43-;9;7=4$00;>6=#9;31?6*>2`80?!75j390(<<l:29'57b=;2.:>h4<;%31b?5<,89;6>5+12397>"6;;087)?<3;18 4532:1/=>;53:&273<43-;8;7=4$01;>6=#9:31?6*>3`80?!74j390(<=l:29'56b=;2.:?h4<;%30b?5<,8>;6>5+15397>"6<;087)?;3;18 4232:1/=9;53:&203<43-;?;7=4$06;>6=#9=31?6*>4`80?!73j390(<:l:29'51b=;2.:8h4<;%365?7ej2.:9?4ia:&216<ai2.:o84>bc9'5f0=9kh0b<m8:008j4e?2880(<mk:0`a?!77k390(<>k:29'772=;;90(><::200?k55>3;97c==7;31?!7c8380e9j50;9j0`<722c>87>5;h76>5<<ao21<75f1b;94?=n9jk1<75ff883>>o6l80;66g>d383>>i3n3:17b;?:188m7c=83.:o<4=d:l2g5<732c9o7>5$0a2>7b<f8i;6<54i3`94?"6k809h6`>c181?>o5i3:1(<m>:3f8j4e72:10e?750;&2g4<5l2d:o=4;;:k03?6=,8i:6?j4n0a3>0=<a:<1<7*>c081`>h6k90=76g<5;29 4e62;n0b<m?:698m62=83.:o<4=d:l2g5<?32c8?7>5$0a2>7b<f8i;6454i2094?"6k809h6`>c18b?>o493:1(<m>:3f8j4e72k10e>>50;&2g4<5l2d:o=4l;:k1b?6=,8i:6?j4n0a3>a=<a;21<7*>c081`>h6k90n76g<e;29 4e62:n0b<m?:198m6e=83.:o<4<d:l2g5<632c8n7>5$0a2>6b<f8i;6?54i2c94?"6k808h6`>c180?>o413:1(<m>:2f8j4e72=10e9950;&2g4<4l2d:o=4:;:k72?6=,8i:6>j4n0a3>3=<a=?1<7*>c080`>h6k90<76g;4;29 4e62:n0b<m?:998m15=83.:o<4<d:l2g5<>32c?>7>5$0a2>6b<f8i;6l54i5394?"6k808h6`>c18a?>o383:1(<m>:2f8j4e72j10e>h50;&2g4<4l2d:o=4k;:k0<?6=,8i:6>j4n0a3>`=<a><1<7*>c0841>h6k90;76g84;29 4e62>?0b<m?:098m24=83.:o<485:l2g5<532c<=7>5$0a2>23<f8i;6>54i6294?"6k80<96`>c187?>o1n3:1(<m>:678j4e72<10e;k50;&2g4<0=2d:o=49;:k5`?6=,8i:6:;4n0a3>2=<a?i1<7*>c0841>h6k90376g9b;29 4e62>?0b<m?:898m3g=83.:o<485:l2g5<f32c=57>5$0a2>23<f8i;6o54i7594?"6k80<96`>c18`?>o1>3:1(<m>:678j4e72m10e;;50;&2g4<0=2d:o=4j;:k50?6=,8i:6:;4n0a3>c=<a?91<7*>c0841>h6k90:<65f6383>!7d93=>7c?l0;32?>o193:1(<m>:678j4e728807d8?:18'5f7=?<1e=n>51298m0`=83.:o<485:l2g5<6<21b9h4?:%3`5?123g;h<7?:;:k4`?6=,8i:6:;4n0a3>40<3`=h6=4+1b3930=i9j:1=:54i6`94?"6k80<96`>c182<>=n?h0;6)?l1;56?k7d83;276g89;29 4e62>?0b<m?:0c8?l1?290/=n?5749m5f6=9k10e:950;&2g4<0=2d:o=4>c:9j36<72-;h=79:;o3`4?7c32c=47>5$0a2>23<f8i;6<k4;h7g>5<#9j;1;85a1b295c=<a0=1<7*>c08:2>h6k90;76g65;29 4e620<0b<m?:098m<5=83.:o<466:l2g5<532c2>7>5$0a2><0<f8i;6>54i8394?"6k802:6`>c187?>o>83:1(<m>:848j4e72<10e5h50;&2g4<>>2d:o=49;:k;a?6=,8i:6484n0a3>2=<a1n1<7*>c08:2>h6k90376g7c;29 4e620<0b<m?:898m=d=83.:o<466:l2g5<f32c3m7>5$0a2><0<f8i;6o54i9:94?"6k802:6`>c18`?>o??3:1(<m>:848j4e72m10e5850;&2g4<>>2d:o=4j;:k;1?6=,8i:6484n0a3>c=<a1>1<7*>c08:2>h6k90:<65f8283>!7d933=7c?l0;32?>o?:3:1(<m>:848j4e728807d6>:18'5f7=1?1e=n>51298m=6=83.:o<466:l2g5<6<21b;k4?:%3`5??13g;h<7?:;:k:a?6=,8i:6484n0a3>40<3`3o6=4+1b39=3=i9j:1=:54i8a94?"6k802:6`>c182<>=n1k0;6)?l1;;5?k7d83;276g6a;29 4e620<0b<m?:0c8?l?>290/=n?5979m5f6=9k10e4650;&2g4<>>2d:o=4>c:9j=1<72-;h=779;o3`4?7c32c357>5$0a2><0<f8i;6<k4;h5f>5<#9j;15;5a1b295c=<ah91<7*>c08b6>h6k90;76gn1;29 4e62h80b<m?:098md6=83.:o<4n2:l2g5<532c2j7>5$0a2>d4<f8i;6>54i`:94?"6k80j;6`>c183?>of>3:1(<m>:`58j4e72810el;50;&2g4<f?2d:o=4=;:kb0?6=,8i:6l94n0a3>6=<a:;o6=4+1b3974e<f8i;6=54i23a>5<#9j;1?<m4n0a3>4=<a:;j6=4+1b3974e<f8i;6?54i23:>5<#9j;1?<m4n0a3>6=<a:896=4+1b39777<f8i;6=54i203>5<#9j;1???4n0a3>4=<a:;m6=4+1b39777<f8i;6?54i23f>5<#9j;1???4n0a3>6=<gj81<7*>c08`5>h6k90;76al0;29 4e62j;0b<m?:098kgc=83.:o<4l1:l2g5<532eih7>5$0a2>f7<f8i;6>54oca94?"6k80h=6`>c187?>iej3:1(<m>:b38j4e72<10coo50;&2g4<d92d:o=49;:ma=?6=,8i:6n?4n0a3>2=<gk21<7*>c08`5>h6k90376am7;29 4e62j;0b<m?:898kg0=83.:o<4l1:l2g5<f32ei97>5$0a2>f7<f8i;6o54oc194?"6k80h=6`>c18`?>ie:3:1(<m>:b38j4e72m10co?50;&2g4<d92d:o=4j;:ma4?6=,8i:6n?4n0a3>c=<ghl1<7*>c08`5>h6k90:<65`ad83>!7d93i:7c?l0;32?>ifl3:1(<m>:b38j4e728807bol:18'5f7=k81e=n>51298kdd=83.:o<4l1:l2g5<6<21dml4?:%3`5?e63g;h<7?:;:m`=?6=,8i:6n?4n0a3>40<3fi36=4+1b39g4=i9j:1=:54ob594?"6k80h=6`>c182<>=hk?0;6)?l1;a2?k7d83;276al5;29 4e62j;0b<m?:0c8?je3290/=n?5c09m5f6=9k10cn=50;&2g4<d92d:o=4>c:9lfc<72-;h=7m>;o3`4?7c32ei87>5$0a2>f7<f8i;6<k4;nc:>5<#9j;1o<5a1b295c=<gl>1<7*>c08f7>h6k90;76aj2;29 4e62l90b<m?:098k`6=83.:o<4j3:l2g5<532eoj7>5$0a2>`5<f8i;6>54oeg94?"6k80n?6`>c187?>icl3:1(<m>:d18j4e72<10cim50;&2g4<b;2d:o=49;:mgf?6=,8i:6h=4n0a3>2=<gmk1<7*>c08f7>h6k90376ak9;29 4e62l90b<m?:898ka>=83.:o<4j3:l2g5<f32eo;7>5$0a2>`5<f8i;6o54oe794?"6k80n?6`>c18`?>ic<3:1(<m>:d18j4e72m10ci=50;&2g4<b;2d:o=4j;:mg6?6=,8i:6h=4n0a3>c=<gm;1<7*>c08f7>h6k90:<65`d183>!7d93o87c?l0;32?>idn3:1(<m>:d18j4e728807bmj:18'5f7=m:1e=n>51298kfb=83.:o<4j3:l2g5<6<21don4?:%3`5?c43g;h<7?:;:mff?6=,8i:6h=4n0a3>40<3foj6=4+1b39a6=i9j:1=:54od;94?"6k80n?6`>c182<>=hm10;6)?l1;g0?k7d83;276aj7;29 4e62l90b<m?:0c8?jc1290/=n?5e29m5f6=9k10ch;50;&2g4<b;2d:o=4>c:9la4<72-;h=7k<;o3`4?7c32eo:7>5$0a2>`5<f8i;6<k4;naa>5<#9j;1i>5a1b295c=<go;1<7*>c08e4>h6k90;76ajf;29 4e62o:0b<m?:098k`c=83.:o<4i0:l2g5<532enh7>5$0a2>c6<f8i;6>54og494?"6k80m96`>c183?>ia<3:1(<m>:g78j4e72810ck=50;&2g4<a=2d:o=4=;:me6?6=,8i:6k;4n0a3>6=<uk8847>53;294~"6l:0:9o5G33c8L6713-;;i7<;;h336?6=3`;;?7>5;n362?6=3th9mn4?:583>5}#9m91=8j4H20b?M56>2.:<h4:b:k247<722c:<>4?::k241<722e:9;4?::\7fp760=83>>wS=<6:?042<3k278==4;c:?043<3k278<h4;c:?04c<3k278<84;c:?04a<3k278<n4;c:?051<3k279?84;c:?17<<3k279mk4;c:?1e`<3k279mi4;c:?2bf<3k27:ji4;c:?2b`<3k27:jk4;c:?145<3k279<<4;c:?147<3k279<>4;c:?141<3k279<84;c:?130<3k279;94;c:?137<3k279;<4;c:?136<3k279;=4;c:?12c<3k279:i4;c:?12f<3k279:h4;c:?1<<<3k279454;c:?1<2<3k2794;4;c:?1<0<3k279494;c:?1<6<3k2794?4;c:?1<4<3k2794=4;c:?1ag<3k279il4;c:?1a=<3k279i:4;c:?1a<<3k279i;4;c:?1a0<3k279i>4;c:?1a7<3k279i94;c:?1bc<3k279jh4;c:?1ba<3k279jn4;c:?1bg<3k279jl4;c:?1b<<3k279j54;c:?1b2<3k279j;4;c:?045<2<278<=4:5:?044<2<278<<4:5:\7fp065=83;:wS:<3:?17d<68;16=h65116894c>28:?70?ja;330>;6mk0:<9521da9552<58oo6<>;;<3fa?77<27:ik4>059>5c6=99>01>><:027?87a93;;863=a`8240=::9=1==:4=31;>465348jo7??2:\7fp067=83nmwS=;c:\076=Y<:i0R9=n;_106>X3;?1U?h?4^51a?[5b:2T?<95Q4558Z1213W>?96P;459]015<V=>97S:;1:\705=Y<:l0R9=j;_604>X3:o1U8?k4^50g?[25k2T?>o5Q43c8Z14>3W>946P;269>77d=99:01>>j:4c896622<k01>>k:4c8966d2<k01>?;:4c897ga2<k01???:4a8976a2<i01?>j:4a8976c2<i01?>l:4a8974?2<i01?<8:4a897412<i01?<::4a897432<i01?7=:4a897?62<i01?7?:4a897>a2<i01?6j:4a897?e2<i01?7n:4a897?>2<i01?77:4a897?02<i01?;::4a897342<i01?;>:4a8972a2<i01?:k:4a8972e2<i01?:6:4a897202<i01?:::4a897ee2<i01?m6:4a897e02<i01?m::4a897e42<i01?m>:4a897da2<i01?lk:4a897de2<i01>>?:5f896672=o01>>?:0a:?85783;hm63<0182`4=:;9:1>h52312974=:;9:1?=5231296c=:;9:1>55231297`=:;9:18<52312905=:;9:1?k5231297==:;9:1;;52312931=:;9:1;?52312934=:;9:1;=5231292c=:;9:1:h5231292a=:;9:1:n5231292g=:;9:1:l5231292<=:;9:1::52312923=:;9:1:852312921=:;9:15:523129=0=:;9:15>523129=7=:;9:15<523129=5=:;9:14k523129<`=:;9:14i523129<f=:;9:14o523129<d=:;9:145523129<2=:;9:14;523129<0=:;9:149523129<6=:;9:14?523129<4=:;9:14=5231293c=:;9:15h523129=a=:;9:15n523129=g=:;9:15l523129=<=:;9:155523129=1=:;9:1445231293`=:;9:1m>523129e4=:;9:1m5523129e3=:;9:1m8523129e1=:;9:1??<4=223>647349;<7=>f:?045<49l16?=?54e9>757=<l16?=?51b;8966628ij70=?1;3g5>;48809i63<00805>;48808<63<0081b>;48809463<0080a>;4880?=63<00874>;48808j63<0080<>;4880<:63<00840>;4880<>63<00845>;4880<<63<0085b>;4880=i63<0085`>;4880=o63<0085f>;4880=m63<0085=>;4880=;63<00852>;4880=963<00850>;48802;63<008:1>;48802?63<008:6>;48802=63<008:4>;48803j63<008;a>;48803h63<008;g>;48803n63<008;e>;48803463<008;3>;48803:63<008;1>;48803863<008;7>;48803>63<008;5>;48803<63<0084b>;48802i63<008:`>;48802o63<008:f>;48802m63<008:=>;48802463<008:0>;48803563<0084a>;4880j?63<008b5>;4880j<63<008:b>;4880j463<008b2>;4880j963<008b0>;48808>?523139776<5:::6>?i;<135?56m2wx8>;50;1xZ152349;i7?l2:?040<6k;1v\7f>j7:181\7f[5c0279?44>c29~w12>290?wS:;9:?1f5<68;16>lo51168976028:>7p}<dd83>7}Y;mo01?oj:0a0?xu4<k0;6>uQ3528976228i870?i1;336>{t;=31<7:t^21e?87b?3?h70<?4;3`7>;6n90:<?5rs26;>5<3sW98i63>e786g>;58:0:o>521dd9554<uz9?;7>54z\07a=:9l?19n5221095f5<58on6<>=;|q003<72=qU?>m4=0g7>0e<5;::6<m<;<3f`?77:2wx?9;50;6xZ65e34;n?7;l;<034?7d;27:in4>039~w623290?wS=<a:?2a7<2k27:jk4>c29>5`d=9980q~=;3;290~X4;016=h?55b9>5cc=9j901<kn:021?xu4<;0;69uQ32:894c72<i01<hk:0a0?87b13;;>6s|35394?2|V:9<70?kf;7`?87ak3;h?63>e98247=z{:im6=4={_1b`>;4880o=6s|3bf94?4|V:kh70=?1;f3?xu4kj0;6?uQ3``896662jl0q~=lb;296~X4ih16?=?5cd9~w6e>2909wS=n8:?044<dl2wx?n650;0xZ6g0349;=7ml;|q0g2<72;qU?l84=222>`d<uz9h:7>52z\0e0=:;9;1il5rs2a6>5<5sW9j863<008f=>{t;j>1<7<t^2c0?85793o37p}<c283>7}Y;h801>>>:d58yv5d:3:1>vP<a09>757=m?1v\7f>m>:181\7f[5f8278<<4j5:\7fp7f6=838pR>7i;<135?c63ty8nh4?:3y]7<b<5:::6i84}r1a`?6=:rT85n523139gg=z{:hh6=4={_1:f>;4890m?6s|3c`94?4|V:3j70=?0;f2?xu4jh0;6?uQ38;896672m:0q~=m9;296~X41116?=>5cg9~w6d?2909wS=67:?045<dm2wx?o950;0xZ6?1349;<7mk;|q0f3<72;qU?4;4=223>fe<uz9i97>52z\0=1=:;9:1io5rs2f5>5<5sW9i?63<018fe>{t;m?1<7<t^2`1?85783l97p}<d583>7}Y;k;01>>?:d;8yv5c;3:1>vP<b19>756=m11v\7f>j=:181\7f[5fn278<=4j7:\7fp7a7=838pR>oj;<134?c13ty8h=4?:3y]7d?<5::;6h;4}r1`e?6=:rT85h523129a4=z{:hm6=4={_1:7>;4890o:6s|3c694?4|V:3970=?0;aa?xu40h0;6?uQ37:896662?90q~=78;296~X4>>16?=?5639~w6>02909wS=96:?044<192wx?5850;0xZ602349;=78?;|q0<1<72;qU?;=4=222>0`<uz93?7>52z\027=:;9;19h5rs2:1>5<5sW9==63<0084`>{t;1;1<7<t^243?85793=h7p}<8183>7}Y;<l01>>>:6`8yv50n3:1>vP<5d9>757=?h1v\7f>9j:181\7f[52l278<<489:\7fp72b=838pR>;l;<135?1?3ty8;n4?:3y]70d<5:::6:94}r14f?6=:rT89l52313936=z{:=26=4={_16<>;4880=46s|36:94?4|V:?<70=?1;7g?xu4?>0;6?uQ344896672h:0q~=86;296~X4=<16?=>5629~w6122909wS=:4:?045<1:2wx?::50;0xZ634349;<78>;|q036<72;qU?8<4=223>36<uz9<>7>52z\014=:;9:19k5rs252>5<5sW9><63<0186a>{t;>:1<7<t^26e?85783=o7p}<9083>7}Y;?o01>>?:6a8yv5>83:1>vP<6e9>756=1o1v\7f>6i:181\7f[51k278<=48b:\7fp7=c=838pR>8m;<134?1f3ty84i4?:3y]73g<5::;6:74}r1;g?6=:rT8:45231293==z{:2i6=4={_150>;4890<;6s|39794?4|V:?270=?0;50?xu4?h0;6?uQ35g896672?20q~=9f;296~X4<m16?=>55e9~w403290iw0==c;3aa>;58j0>?63=25867>;50l0>?63=96867>;5=>0>?63=44867>;5km0>?63=bc867>;4890m463<008e<>{t;9<1<7=t=224>0g<5::=6<m<;<131?363ty8<:4?:3y>751=9j901>>6:021?xu48o0;6>u230291d=:;9o19<5231d95f5<uz9:<7>52z?055<6k:16?<<51108yv57=3:1?v3<0786e>;48<0:o>5231;9555<uz9;i7>53z?04`<6k:16?=h55`9>744=9990q~=?8;297~;48m0:o?5231a95f4<5::26<ll;|q04g<72;;p1>>k:0a0?844=3;h>63=3882g7=:9oi19;521gf913=:9oo19;521gd913=::9:19;52213913=::9819;52211913=::9>19;52217913=::>?19;52266913=::>819;52263913=::>919;52262913=::?l19;5227f913=::?i1=n<4=34f>00<5;226884=3:;>00<5;2<6884=3:5>00<5;2>6884=3:7>00<5;286884=3:1>00<5;2:6884=3:3>00<uz9;m7>54z?04f<6k:16?==51108966728n970=?1;3g6>{t;8;1<7<t=237>4e5349:>7?mc:\7fp745=83;2w0=>4;3`7>;5io0:o?522`g95f4<5;ko6<m=;<0ff?31348nm7;9;<0f<?31348n;7;9;<0f=?31348n:7;9;<0f1?31348n?7;9;<0f6?7d:279i94:6:?1bc<2>279jh4:6:?1ba<2>279jn4:6:?1bg<2>279jl4:6:?1b<<2>279j54:6:?1b2<2>279j;4:6:?1e<<68=1v\7f?=9:180\7f844=3?j70<<9;7b?844?3;io6s|22794?76s48897?l3:?172<68:16>>o5111894c?28:870?j9;337>;6mh0:<>521d`9555<58oh6<><;<3f`?77;27:ih4>029>5``=99901<h?:020?857;3;;?63>f08246=::hk1==<4=324>464348jo7??3:\7fp6d`=838p1?oi:0a0?84f13;;?6s|2`:94?5|5;kn68o4=3cg>0g<5;ki6<ll;|q1ea<72<q6>lj51b1897d728:870<n9;336>;5ih0:<>522159554<uz;o87>516y>5a`==:16=k<5529>623==816>::5509>624==816>:?5509>625==816>:>5509>63`==816>;j5509>63e==816>;k5509>6=?==816>565509>6=1==816>585509>6=3==816>5:5509>6=5==816>5<5509>6=7==816>5>5509>66g=9ki0q~?j8;297~;6lo0:oo521g09503<58o36<ll;|q2`c<72:q6=ih51ca894c72<901<h<:418yv7c=3:1>v3>f382ff=:9oi19l5rs0g:>5<4s4;n<7?lb:?2b6<6=<16=h751ca8yv7b83:1?v3>e182ff=:9l;19>521g6916=z{8n<6=4={<3e7?7ek27:ji4:a:\7fp5`g=839p1<k>:0aa?87a<3;>963>e`82ff=z{8o:6=4<{<3f5?7ek27:i?4:3:?2b0<2;2wx=i650;0x94`328hh70?ie;7b?xu6mk0;6>u21d095fd<58l>6<;:;<3ff?7ek2wx=h<50;1x94c528hh70?j3;70?87a>3?87p}>d883>7}:9o?1=om4=0de>0g<uz;no7>53z?2a6<6kk16=k85147894cd28hh7p}>e283>6}:9l91=om4=0g7>05<58l<68=4}r3ge?6=:r7:j;4>bb9>656==h1v\7f<kk:180\7f87b<3;hn63>f68210=:9ln1=om4}r3f0?6=;r7:i94>bb9>5`3==:16=k65529~w4be2909w0?i7;3ag>;5880>m6s|1dg94?5|58o>6<mm;<3e<?72=27:ih4>bb9~w4c22908w0?j5;3ag>;6m?0>?63>f8867>{t9mi1<7<t=0d;>4dd348;>7;n;|q2ac<72:q6=h851b`894`>28?>70?jf;3ag>{t9l<1<7=t=0g5>4dd34;n;7;<;<3ee?343ty:hi4?:3y>5c?=9ki01?><:4c8yv7a83:1?v3>e682gg=:9ok1=8;4=0d3>4dd3ty:i:4?:3y>5`1=9ki01<hm:418yv7cm3:1>v3>f`82ff=::9>19l5rs0d2>5<5s4;mn7?:5:?2b4<6jj1v\7f<j9:181\7f87aj3;io63=0486e>{t:9<1<7lt=0d`>07<58lo68?4=0df>07<58lm68?4=323>07<5;::68?4=321>07<5;:868?4=327>07<5;:>68?4=324>4dd3ty9<o4?:3y>646==:16>=h51ca8yv46l3:1>v3=1182gg=::8o1=om4}r007?6=:r79==4>bb9>661=9980q~<?a;296~;58o0>?63=0d82ff=z{;;j6=4={<03b?7dj279=o4>bb9~w76>2909w0<?e;70?847l3;io6s|20594?4|5;:n6<mm;<02<?7ek2wx>=650;0x976c2<901?>l:0``?xu59=0;6?u221f95fd<5;;>6<ll;|q154<72;q6>=m51b`8977528hh7p}=2283>7}::;219>5223595ge<uz89h7>52z?16=<6kk16>><51ca8yv44<3:1>v3=2982ff=:::21===4}r016?6=:r79>:4:3:?163<6jj1v\7f?<l:181\7f845?3;hn63=3082ff=z{;8:6=4={<012?34348997?mc:\7fp67d=838p1?<9:0aa?84483;io6s|23294?4|5;8>68=4=307>4dd3ty9>l4?:3y>673=9jh01?<i:0``?xu5:00;6?u223695fd<5;8n6<ll;|q1<a<72;q6>4<5529>6<7=9ki0q~<>f;296~;51;0:oo5220d95ge<uz8j:7>52z?1=7<6jj16>lm51168yv4?k3:1>v3=90867>;5190:nn5rs33`>5<5s482=7?lb:?15f<6jj1v\7f?6m:181\7f84>83?870<7f;3ag>{t:831<7<t=3;3>4ee348:57?mc:\7fp6=g=838p1?6i:41897>b28hh7p}=1783>7}::1l1=nl4=335>4dd3ty9=>4?:3y>6=c=9jh01??<:0``?xu51?0;6?u228`916=::0k1=om4}r0b4?6=:r795o4>cc9>6d3=9ki0q~<n7;296~;51k0:nn522``9552<uz8297>52z?1=d<2;279544>bb9~w7?a2909w0<6a;3`f>;5i=0:nn5rs3;7>5<5s48257;<;<0:<?7ek2wx>4k50;0x97?>28ii70<n3;3ag>{t:091<7<t=3;;>05<5;3<6<ll;|q1=a<72;q6>4651b`897g528hh7p}=9b83>7}::0=1=nl4=3c2>4dd3ty9894?:3y>623==h16>;>51ca8yv41j3:18v3=7482g6=::1319l522209554<5;<:6<>=;|q106<72;q6>::55`9>60`=9ki0q~<9a;290~;5?=0:o>5229:91d=:::81==:4=375>4653ty98<4?:3y>624==h16>8j51ca8yv4103:18v3=7382g6=::1<19l522239552<5;?96<>=;|q105<72;q6>:?55`9>60e=9ki0q~<97;290~;5?80:o>5229791d=::::1==<4=373>4653ty98?4?:3y>625==h16>8k51ca8yv4113:18v3=7282g6=::1=19l522239554<5;??6<>=;|q17c<72;q6>:>55`9>60d=9ki0q~<96;290~;5?90:o>5229691d=::::1==:4=36f>4653ty9?h4?:3y>63`==h16>8o51ca8yv41=3:18v3=6g82g6=::1919l5223d9554<5;>h6<>=;|q17f<72;q6>;j55`9>60>=9ki0q~<93;290~;5>m0:o>5229391d=::;o1==<4=36;>4653ty9?o4?:3y>63e==h16>8951ca8yv41:3:18v3=6b82g6=::1:19l5223g9552<5;>=6<>=;|q17a<72;q6>;k55`9>60?=9ki0q~<94;290~;5>l0:o>5229091d=::;l1==:4=36b>4653ty9984?:3y>636==:16>8;51ca8yv4193:1>v3=618210=::?;1=om4}r067?6=;r799k4:3:?110<2;2799>4>bb9~w7312908w0<:f;361>;5=<0:oo5224495ge<uz8>=7>53z?11`<2;2799>4:3:?114<6jj1v\7f?;;:180\7f842m3;>963=5282gg=::<>1=om4}r07b?6=;r799i4:3:?114<2;2798k4>bb9~w7352908w0<:d;361>;5=80:oo5224095ge<uz8?h7>53z?11f<2;2798k4:3:?10a<6jj1v\7f?;?:180\7f842k3;>963=4g82gg=::<:1=om4}r07f?6=;r799o4:3:?10a<2;2798o4>bb9~w72b2908w0<:b;361>;5<m0:oo5225g95ge<uz8?57>53z?11d<2;2798o4:3:?10<<6jj1v\7f?:l:180\7f842i3;>963=4c82gg=::=i1=om4}r073?6=;r79944:3:?10<<2;2798:4>bb9~w72f2908w0<:9;361>;5<00:oo5225c95ge<uz8?97>53z?11=<2;2798:4:3:?100<6jj1v\7f?:7:180\7f84203;>963=4682gg=::=21=om4}r072?6=;r799:4>549>613=9jh01?:9:0``?xu5?o0;6;u229;95f5<5;k>6<><;<02a?77:279=k4>039>756=;j16?=?53b9~w71b290=w0<78;3`7>;5i<0:<85220g9552<5;;m6<>;;<134?5e349;=7=m;|q13a<72?q6>5951b1897g328:870<>b;336>;59j0:<?5231297d=:;9;1?l5rs35`>5<1s483:7?l3:?1e1<68<16><l51168977d28:?70=?0;1:?85793927p}=7c83>3}::1?1=n=4=3c0>464348:47??2:?15<<68;16?=>5469>757=<>1v\7f?9n:185\7f84?<3;h?63=a28240=::821==:4=33:>463349;<7:9;<135?213ty9;44?:7y>6=5=9j901?o=:020?846=3;;>63=178247=:;9:18852313900=z{;=36=49{<0;6?7d;279m?4>049>643=99>01??9:027?85783>?70=?1;67?xu5?>0;6;u229395f5<5;k:6<><;<026?77:279=>4>039>756=<:16?=?5429~w711290=w0<70;3`7>;5i80:<8522009552<5;;86<>;;<134?25349;=7:=;|q041<728op1?km:43897cf2<;01?k7:43897c02<;01?k6:43897c12<;01?k::43897c42<;01?k=:43897c32<;01?hi:43897`b2<;01?hk:43897`d2<;01?hm:43897`f2<;01?h6:43897`?2<;01?h8:43897`12<;01?l?:0``?844?3;;863<01805a=:;9:1?<l4=223>67f349;<7=>9:?044<49m16?=?530`896662:;j70=?1;12=>{t:kk1<7<t=3ga>0g<5;n=6<ll;|q1a4<72=q6>hl51b1897`a2<k01?o::021?84c?3;;>6s|2c;94?4|5;oj68o4=3f6>4dd3ty9i=4?:5y>6`g=9j901?hj:4c897g228:?70<lc;336>{t:k=1<7<t=3g;>0g<5;n86<ll;|q1``<72=q6>h651b1897`d2<k01?o;:027?84d03;;>6s|2c494?4|5;o<68o4=3f1>4dd3ty9hi4?:5y>6`1=9j901?hm:4c897g428:970<l6;336>{t:k21<7<t=3g:>0g<5;n?6<ll;|q1`c<72=q6>h751b1897`c2<k01?o;:021?84di3;;>6s|2c794?4|5;o=68o4=3f2>4dd3ty9hn4?:5y>6`0=9j901?hn:4c897g428:?70<l4;336>{t:k>1<7<t=3g6>0g<5;n;6<ll;|q1`g<72=q6>h;51b1897`>2<k01?o=:021?84d:3;;>6s|2c094?4|5;o868o4=3af>4dd3ty9h44?:5y>6`5=9j901?h8:4c897g628:970<me;336>{t:k;1<7<t=3g1>0g<5;io6<ll;|q1`=<72=q6>h<51b1897`12<k01?o>:027?84ek3;;>6s|2c194?4|5;o?68o4=3ae>4dd3ty9hl4?:5y>6`2=9j901?h7:4c897g528:?70<l0;336>{t:jh1<7<t=3f5>05<5;ii6<ll;|q1`2<72;q6>i85147897b028hh7p}=c883>6}::m?19>522b`916=::j31=om4}r0`g?6=;r79h84>549>6fd=9jh01?ml:0``?xu5k>0;6>u22e6916=::j319>522b595ge<uz8hm7>53z?1`1<6=<16>n751b`897ef28hh7p}=c483>6}::m919>522b5916=::j?1=om4}r0`<?6=;r79h>4>549>6f1=9jh01?m7:0``?xu5k:0;6>u22e0916=::j?19>522b195ge<uz8h:7>53z?1`7<6=<16>n;51b`897e128hh7p}=c083>6}::m;19>522b1916=::j;1=om4}r0`0?6=;r79h<4>549>6f5=9jh01?m;:0``?xu5jo0;6>u22e2916=::j;19>522cd95ge<uz8h>7>53z?1`5<6=<16>n?51b`897e528hh7p}=be83>6}::jl19>522cd916=::kn1=om4}r0`4?6=;r79ok4>549>6g`=9jh01?m?:0``?xu5jk0;6>u22bg916=::kn19>522c`95ge<uz8ii7>53z?1g`<6=<16>oj51b`897db28hh7p}=bb83>6}::jn1=8;4=3`a>4ee348io7?mc:\7fp6c3=83<p1?hi:0a0?844:3;;?63=1d8246=::8l1===4=223>7e<5:::6?m4}r0e0?6=>r79jh4>c29>664=99?01??j:026?846n3;;963<0181f>;48809n6s|2g194?0|5;lo6<m<;<005?77;279=o4>029>64e=99901>>?:3c896662;k0q~<i2;292~;5nj0:o>522239553<5;;i6<>:;<02g?77=278<=4=9:?044<512wx>k?50;4x97`e28i870<<0;337>;5910:<>5220;9555<5::;6>94=222>61<uz8m<7>56z?1bd<6k:16>>>51178977?28:>70<>9;331>;48908:63<00802>{t:ll1<78t=3d:>4e43489j7??3:?150<68:16><85111896672:?01>>>:278yv4bm3:1:v3=f982g6=::;l1==;4=336>462348::7??5:?045<4<278<<4<4:\7fp6`b=83<p1?h8:0a0?845m3;;?63=138246=::891===4=223>65<5:::6>=4}r0fg?6=>r79j;4>c29>67c=99?01??=:026?846;3;;963<01806>;48808>6s|17194?4|5;9<6<>:;<00<?72>2wx>l750;0x97g>28hh70<nb;336>{t:hk1<7<t=3ca>464348jm7?mc:\7fp6de=838p1?om:026?84fk3;>:6s|31094?5|5::86<ll;<134?`>349;=7h6;|\7fp760=838pR>=9;<6b>6513-9:97?n0:\7fp7=g=838pR>87;<6b>60?3-9:97?m5:\7fp7=>=838pR>88;<6b>6003-9:97?m6:\7fp7=1=838pR>89;<6b>6013-9:97?m7:\7fp7=0=838pR>8:;<6b>6023-9:97?m8:\7fp7=2=838pR>8<;<6b>6043-9:97?95:\7fp7=5=838pR>8=;<6b>6053-9:97?99:\7fp7=4=838pR>8>;<6b>6063-9:97?84:\7fp7=7=838pR>8?;<6b>6073-9:97?8f:\7fp7=6=838pR>;i;<6b>63a3-9:97?7a:\7fp72`=838pR>;j;<6b>63b3-9:97?65:\7fp72c=838pR>;k;<6b>63c3-9:97?6c:\7fp72b=838pR>;l;<6b>63d3-9:97?6d:\7fp72e=838pR>;m;<6b>63e3-9:97?6e:\7fp72d=838pR>;n;<6b>63f3-9:97?6f:\7fp72?=838pR>;7;<6b>63?3-9:97?n1:\7fp72>=838pR>;8;<6b>6303-9:97?n2:\7fp721=838pR>;9;<6b>6313-9:97?n3:\7fp720=838pR>;:;<6b>6323-9:97?n4:\7fp723=838pR>;;;<6b>6333-9:97?n5:\7fp722=838pR>;<;<6b>6343-9:97?n6:\7fp725=838pR>;=;<6b>6353-9:97?n7:\7fp724=838pR>;>;<6b>6363-9:97?n8:\7fp727=838pR>;?;<6b>6373-9:97?n9:\7fp726=838pR>:i;<6b>62a3-9:97?na:\7fp7<7=838pR>8j;<6b>60b3-9:97?nb:\7fp7<6=838pR>8k;<6b>60c3-9:97?nc:\7fp7=`=838pR>8l;<6b>60d3-9:97?nd:\7fp7=c=838pR>8m;<6b>60e3-9:97?ne:\7fp7=b=838pR>8n;<6b>60f3-9:97?nf:\7fp7=e=838pR>86;<6b>60>3-9:97?m0:\7fp7=d=838pR>8;;<6b>6033-9:97?m1:\7fp7=3=838pR>;6;<6b>63>3-9:97?m2:\7fp72g=838pR>:j;<6b>62b3-9:97?m3:\7fp73`=838pR>:k;<6b>62c3-9:97?m4:\7fp065=838pR9=<;<6b>1543-9:97?96:\7fp063=838pR9=:;<6b>1523-9:97?97:\7fp01?=838pR9:6;<6b>12>3-9:97?98:\7fp71d=838pR>:?;<6b>6273-9:97?9a:\7fp71?=838pR>=i;<6b>65a3-9:97?9b:\7fp71>=838pR>=j;<6b>65b3-9:97?9c:\7fp711=838pR>=k;<6b>65c3-9:97?9d:\7fp710=838pR>=l;<6b>65d3-9:97?9e:\7fp713=838pR>=m;<6b>65e3-9:97?9f:\7fp712=838pR>=n;<6b>65f3-9:97?80:\7fp715=838pR>=6;<6b>65>3-9:97?81:\7fp714=838pR>=7;<6b>65?3-9:97?82:\7fp717=838pR>=8;<6b>6503-9:97?83:\7fp7f`=838pR>ok;<6b>6gc3-9:97?85:\7fp7fb=838pR>ol;<6b>6gd3-9:97?86:\7fp7fe=838pR>om;<6b>6ge3-9:97?87:\7fp7fd=838pR>on;<6b>6gf3-9:97?88:\7fp7f?=838pR>o7;<6b>6g?3-9:97?89:\7fp7f>=838pR>o8;<6b>6g03-9:97?8a:\7fp7f1=838pR>o9;<6b>6g13-9:97?8b:\7fp7f0=838pR>o:;<6b>6g23-9:97?8c:\7fp7f3=838pR>o;;<6b>6g33-9:97?8d:\7fp7f2=838pR>o<;<6b>6g43-9:97?8e:\7fp7f5=838pR>o=;<6b>6g53-9:97?70:\7fp7f4=838pR>o>;<6b>6g63-9:97?71:\7fp7f7=838pR>o?;<6b>6g73-9:97?72:\7fp7f6=838pR>7i;<6b>6?a3-9:97?73:\7fp7gc=838pR>7k;<6b>6?c3-9:97?74:\7fp7gb=838pR>7l;<6b>6?d3-9:97?75:\7fp7ge=838pR>7m;<6b>6?e3-9:97?76:\7fp7gd=838pR>7n;<6b>6?f3-9:97?77:\7fp7gg=838pR>76;<6b>6?>3-9:97?78:\7fp7g?=838pR>77;<6b>6??3-9:97?79:\7fp7g>=838pR>78;<6b>6?03-9:97?7b:\7fp7g1=838pR>79;<6b>6?13-9:97?7c:\7fp7g0=838pR>7:;<6b>6?23-9:97?7d:\7fp7g3=838pR>7;;<6b>6?33-9:97?7e:\7fp7a0=838pR>l<;<6b>6d43-9:97?7f:\7fp7a3=838pR>l=;<6b>6d53-9:97?60:\7fp7a2=838pR>l>;<6b>6d63-9:97?61:\7fp7a5=838pR>l?;<6b>6d73-9:97?62:\7fp7a4=838pR>oi;<6b>6ga3-9:97?63:\7fp7a7=838pR>oj;<6b>6gb3-9:97?64:\7fp7a6=838pR>o6;<6b>6g>3-9:97?66:\7fp7fg=838pR>7j;<6b>6?b3-9:97?67:\7fp7g`=838pR>7<;<6b>6?43-9:97?68:\7fp7g2=838pR>7=;<6b>6?53-9:97?69:\7fp7a>=838pR>j7;<6b>6b?3-9:97?6a:\7fp7ac=838pR>jj;<6b>6bb3-9:97?6b:\7f~j2gc2909wE=>6:\7fm3dc=838pD>?9;|l4ec<72;qC?<84}o5a4?6=:rB8=;5rn6`2>5<5sA9::6sa7c094?4|@:;=7p`8b283>7}O;8<0qc9m4;296~N49?1vb:l::181\7fM56>2we;o850;0xL6713td<n:4?:3yK740<ug=i47>52zJ053=zf>h26=4={I122>{i?kk1<7<tH235?xh0jk0;6?uG3048yk1ek3:1>vF<179~j2dc2909wE=>6:\7fm3gc=838pD>?9;|l4fc<72;qC?<84}o5`4?6=:rB8=;5rn6a2>5<5sA9::6sa7b094?4|@:;=7p`8c283>7}O;8<0qc9l4;296~N49?1vb:m::181\7fM56>2we;n850;0xL6713td<o:4?:3yK740<ug=h47>52zJ053=zf>i26=4={I122>{i?jk1<7<tH235?xh0kk0;6?uG3048yk1dk3:1>vF<179~j2ec2909wE=>6:\7fm3fc=838pD>?9;|l4gc<72;qC?<84}o5g4?6=:rB8=;5rn6f2>5<5sA9::6sa7e094?4|@:;=7p`8d283>7}O;8<0qc9k4;296~N49?1vb:j::181\7fM56>2we;i850;0xL6713td<h:4?:3yK740<ug=o47>52zJ053=zf>n26=4={I122>{i?mk1<7<tH235?xh0lk0;6?uG3048yk1ck3:1>vF<179~j2bc2909wE=>6:\7fm3ac=838pD>?9;|l4`c<72;qC?<84}o5f4?6=:rB8=;5rn6g2>5<5sA9::6sa7d094?4|@:;=7p`8e283>7}O;8<0qc9j4;296~N49?1vb:k::181\7fM56>2we;h850;0xL6713td<i:4?:3yK740<ug=n47>52zJ053=zf>o26=4={I122>{i?lk1<7<tH235?xh0mk0;6?uG3048yk1bk3:1>vF<179~j2cc2909wE=>6:\7fm3`c=838pD>?9;|l4ac<72;qC?<84}o5e4?6=:rB8=;5rn6d2>5<5sA9::6sa7g094?4|@:;=7p`8f283>7}O;8<0qc9i4;296~N49?1vb:h::181\7fM56>2we;k850;0xL6713td<j:4?:3yK740<ug=m47>52zJ053=zf>l26=4={I122>{i?ok1<7<tH235?xh0nk0;6?uG3048yk1ak3:1>vF<179~j2`c2909wE=>6:\7fm3cc=838pD>?9;|l4bc<72;qC?<84}o:34?6=:rB8=;5rn7;b>5<6sA9::6sa6df94?7|@:;=7p`9ed83>4}O;8<0qc8jf;295~N49?1vb;h?:182\7fM56>2we:k?50;3xL6713td=j?4?:0yK740<ug<m?7>51zJ053=zf?l?6=4>{I122>{i>o?1<7?tH235?xh1n?0;6<uG3048yk0a?3:1=vF<179~j3`?290:wE=>6:\7fm2c?=83;pD>?9;|l5bd<728qC?<84}o4ef?6=9rB8=;5rn7d`>5<6sA9::6sa6gf94?7|@:;=7p`9fd83>4}O;8<0qc8if;295~N49?1vb:>?:182\7fM56>2we;=?50;3xL6713td<<?4?:0yK740<ug=;?7>51zJ053=zf>:?6=4>{I122>{i?9?1<7?tH235?xh08?0;6<uG3048yk17?3:1=vF<179~j26?290:wE=>6:\7fm35?=83;pD>?9;|l44d<728qC?<84}o53f?6=9rB8=;5rn62`>5<6sA9::6sa71f94?7|@:;=7p`80d83>4}O;8<0qc9?f;295~N49?1vb:??:182\7fM56>2we;<?50;3xL6713td<=?4?:0yK740<ug=:?7>51zJ053=zf>;?6=4>{I122>{i?8?1<7?tH235?xh09?0;6<uG3048yk16?3:1=vF<179~j27?290:wE=>6:\7fm34?=83;pD>?9;|l45d<728qC?<84}o52f?6=9rB8=;5rn63`>5<6sA9::6sa70f94?7|@:;=7p`81d83>4}O;8<0qc9>f;295~N49?1vb:<?:182\7fM56>2we;??50;3xL6713td<>?4?:0yK740<ug=9?7>51zJ053=zf>8?6=4>{I122>{i?;?1<7?tH235?xh0:?0;6<uG3048yk15?3:1=vF<179~j24?290:wE=>6:\7fm37?=83;pD>?9;|l46d<728qC?<84}o51f?6=9rB8=;5rn60`>5<6sA9::6sa73f94?7|@:;=7p`82d83>4}O;8<0qc9=f;295~N49?1vb:=?:182\7fM56>2we;>?50;3xL6713td<??4?:0yK740<ug=8?7>51zJ053=zf>9?6=4>{I122>{i?:?1<7?tH235?xh0;?0;6<uG3048yk14?3:1=vF<179~j25?290:wE=>6:\7fm36?=83;pD>?9;|l47d<728qC?<84}o50f?6=9rB8=;5rn61`>5<6sA9::6sa72f94?7|@:;=7p`83d83>4}O;8<0qc9<f;295~N49?1vb::?:182\7fM56>2we;9?50;3xL6713td<8?4?:0yK740<ug=??7>51zJ053=zf>>?6=4>{I122>{i?=?1<7?tH235?xh0<?0;6<uG3048yk13?3:1=vF<179~j22?290:wE=>6:\7fm31?=83;pD>?9;|l40d<728qC?<84}o57f?6=9rB8=;5rn66`>5<6sA9::6sa75f94?7|@:;=7p`84d83>4}O;8<0qc9;f;295~N49?1vb:;?:182\7fM56>2we;8?50;3xL6713td<9?4?:0yK740<ug=>?7>51zJ053=zf>??6=4>{I122>{i?<?1<7?tH235?xh0=?0;6<uG3048yk12?3:1=vF<179~j23?290:wE=>6:\7fm30?=83;pD>?9;|l41d<728qC?<84}o56f?6=9rB8=;5rn67`>5<6sA9::6sa74f94?7|@:;=7p`85d83>4}O;8<0qc9:f;295~N49?1vb:8?:182\7fM56>2we;;?50;3xL6713td<:?4?:0yK740<ug==?7>51zJ053=zf><?6=4>{I122>{i???1<7?tH235?xh0>?0;6<uG3048yk11?3:1=vF<179~j20?290:wE=>6:\7fm33?=83;pD>?9;|l42d<728qC?<84}o55f?6=9rB8=;5rn64`>5<6sA9::6sa77f94?7|@:;=7p`86d83>4}O;8<0qc99f;295~N49?1vb:9?:182\7fM56>2we;:?50;3xL6713td<;?4?:0yK740<ug=<?7>51zJ053=zf>=?6=4>{I122>{i?>?1<7?tH235?xh0??0;6<uG3048yk10?3:1=vF<179~j21?290:wE=>6:\7fm32?=83;pD>?9;|l43d<728qC?<84}o54f?6=9rB8=;5rn65`>5<6sA9::6sa76f94?7|@:;=7p`87d83>4}O;8<0qc98f;295~N49?1vb:6?:182\7fM56>2we;5?50;3xL6713td<4?4?:0yK740<ug=3?7>51zJ053=zf>2?6=4>{I122>{i?1?1<7?tH235?xh00?0;6<uG3048yk1??3:1=vF<179~j2>?290:wE=>6:\7fm3=?=83;pD>?9;|l4<d<728qC?<84}o5;f?6=9rB8=;5rn6:`>5<6sA9::6sa79f94?7|@:;=7p`88d83>4}O;8<0qc97f;295~N49?1vb:7?:182\7fM56>2we;4?50;3xL6713td<5?4?:0yK740<ug=2?7>51zJ053=zf>3?6=4>{I122>{i?0?1<7?tH235?xh01?0;6<uG3048yk1>?3:1=vF<179~j2??290:wE=>6:\7fm3<?=83;pD>?9;|l4=d<728qC?<84}o5:f?6=9rB8=;5rn6;`>5<6sA9::6sa78f94?7|@:;=7p`89d83>4}O;8<0qc96f;295~N49?1vb:o?:182\7fM56>2we;l?50;3xL6713td<m?4?:0yK740<ug=j?7>51zJ053=zf>k?6=4>{I122>{i?h?1<7?tH235?xh0i?0;6<uG3048yk1f?3:1=vF<179~j2g?290:wE=>6:\7fm3d?=83;pD>?9;|l4ed<728qC?<84}o5bf?6=9rB8=;5rn6c`>5<6sA9::6sr}|BCG~?6>3l3?:j;6|BCF~6zHIZpqMN
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file fifo_generator_v4_4.vhd when simulating
+-- the core, fifo_generator_v4_4. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY fifo_generator_v4_4 IS
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(9 downto 0);
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+END fifo_generator_v4_4;
+
+ARCHITECTURE fifo_generator_v4_4_a OF fifo_generator_v4_4 IS
+-- synthesis translate_off
+component wrapped_fifo_generator_v4_4
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(9 downto 0);
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+end component;
+
+-- Configuration specification
+ for all : wrapped_fifo_generator_v4_4 use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 0,
+ c_din_width => 34,
+ c_has_wr_data_count => 0,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 0,
+ c_family => "virtex4",
+ c_use_embedded_reg => 0,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 1,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_msgon_val => 1,
+ c_rd_depth => 1024,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 10,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 1,
+ c_rd_pntr_width => 10,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 10,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 10,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 10,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 1,
+ c_prog_full_thresh_negate_val => 1021,
+ c_wr_depth => 1024,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 1022,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "1kx36",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_fifo_generator_v4_4
+ port map (
+ clk => clk,
+ din => din,
+ rd_en => rd_en,
+ rst => rst,
+ wr_en => wr_en,
+ data_count => data_count,
+ dout => dout,
+ empty => empty,
+ full => full);
+-- synthesis translate_on
+
+END fifo_generator_v4_4_a;
+
--- /dev/null
+##############################################################
+#
+# Xilinx Core Generator version K.39
+# Date: Tue Jun 15 12:48:58 2010
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = False
+SET asysymbol = False
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = False
+SET designentry = VHDL
+SET device = xc4vlx40
+SET devicefamily = virtex4
+SET flowvendor = Other
+SET formalverification = False
+SET foundationsym = False
+SET implementationfiletype = Ngc
+SET package = ff1148
+SET removerpms = False
+SET simulationfiles = Behavioral
+SET speedgrade = -10
+SET verilogsim = False
+SET vhdlsim = True
+# END Project Options
+# BEGIN Select
+SELECT Fifo_Generator family Xilinx,_Inc. 4.4
+# END Select
+# BEGIN Parameters
+CSET almost_empty_flag=false
+CSET almost_full_flag=false
+CSET component_name=fifo_generator_v4_4
+CSET data_count=true
+CSET data_count_width=10
+CSET disable_timing_violations=false
+CSET dout_reset_value=0
+CSET empty_threshold_assert_value=2
+CSET empty_threshold_negate_value=3
+CSET enable_ecc=false
+CSET enable_int_clk=false
+CSET fifo_implementation=Common_Clock_Block_RAM
+CSET full_flags_reset_value=1
+CSET full_threshold_assert_value=1022
+CSET full_threshold_negate_value=1021
+CSET input_data_width=34
+CSET input_depth=1024
+CSET output_data_width=34
+CSET output_depth=1024
+CSET overflow_flag=false
+CSET overflow_sense=Active_High
+CSET performance_options=Standard_FIFO
+CSET programmable_empty_type=No_Programmable_Empty_Threshold
+CSET programmable_full_type=No_Programmable_Full_Threshold
+CSET read_clock_frequency=1
+CSET read_data_count=false
+CSET read_data_count_width=10
+CSET reset_pin=true
+CSET reset_type=Asynchronous_Reset
+CSET underflow_flag=false
+CSET underflow_sense=Active_High
+CSET use_dout_reset=true
+CSET use_embedded_registers=false
+CSET use_extra_logic=false
+CSET valid_flag=false
+CSET valid_sense=Active_High
+CSET write_acknowledge_flag=false
+CSET write_acknowledge_sense=Active_High
+CSET write_clock_frequency=1
+CSET write_data_count=false
+CSET write_data_count_width=10
+# END Parameters
+GENERATE
+# CRC: 8dc0132e
+
--- /dev/null
+library IEEE;
+use ieee.std_logic_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+use ieee.numeric_std.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library work;
+use work.all;
+use work.version.all;
+
+
+
+entity gps_m12 is
+
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLK200 : in std_logic;
+ TRIG_IN : in std_logic;
+ PPS_IN : in std_logic;
+ GPS_TIMING_OUT : out std_logic_vector(63 downto 0);
+ PPS_COUNTER_OUT : out std_logic_vector(31 downto 0);
+ RS232_COUNTER_OUT : out std_logic_vector(31 downto 0);
+ TRIG_COUNTER_OUT : out std_logic_vector(31 downto 0);
+ TX_OUT : out std_logic;
+ RX_IN : in std_logic;
+ RW_REG_IN : in std_logic_vector(255 downto 0);
+ R_REG_OUT : out std_logic_vector(255 downto 0)
+ );
+end gps_m12;
+
+architecture gps_m12 of gps_m12 is
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ signal rx_cntr, trig_cntr, pps_cntr : std_logic_vector(31 downto 0);
+ signal rx_bit_cntr_rst, rx_bit_cntr_rst_fsm, rx_fsm_reset_pulse, rs232_rx_9600_tick, bit_cntr_reset, bit_cntr_reset_fsm, byte_pulse, tx_out_fsm, tx_out_reg, trig_pulse, valid_msg_start, pps_pulse, rs232_9600_tick, new_tx_msg : std_logic;
+ signal rx_reg, not_rx_reg , rx_pulse : std_logic;
+ signal rs232_9600_cntr : std_logic_vector(31 downto 0);
+ signal saved_tx_msg : std_logic_vector(255 downto 0);
+ signal saved_tx_message_rsconv : std_logic_vector(255+32*3 downto 0);
+ signal saved_rx_msg : std_logic_vector(319 downto 0);
+ type TX_SEND is (IDLE, TX_SEND_BIT);
+ signal TX_SEND_CURRENT, TX_SEND_NEXT : TX_SEND;
+ signal bit_cntr : std_logic_vector(8 downto 0);
+ signal byte_cntr : std_logic_vector(3 downto 0);
+ signal global_counter : std_logic_vector(31 downto 0);
+ type RX_REC is (IDLE, RX_WAIT_HALF_9600, RX_REC_BIT);
+ signal RX_REC_CURRENT, RX_REC_NEXT : RX_REC;
+ signal rs232_rx_9600_cntr : std_logic_vector(15 downto 0);
+ signal rx_bit_cntr : std_logic_vector(9 downto 0);
+begin
+
+ -- rs232 message
+ -- free running cntr saved on trigger
+ -- on 1pps
+ -- beggining of RX
+
+ TRIG_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 32)
+ port map (
+ CLK => CLK200,
+ RESET => RESET,
+ COUNT_OUT => global_counter,
+ UP_IN => '1',
+ DOWN_IN => '0');
+
+ TRIG_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK200,
+ en_clk => '1',
+ signal_in => TRIG_IN,
+ pulse => trig_pulse);
+
+-- TRIG_CNTR: up_down_counter
+-- generic map (
+-- NUMBER_OF_BITS => 32)
+-- port map (
+-- CLK => CLK,
+-- RESET => reset,
+-- COUNT_OUT => TRIG_COUNTER_OUT,
+-- UP_IN => trig_pulse,
+-- DOWN_IN => '0');
+
+ SAVE_GLOBAL_CNTR_ON_TRIG : process (CLK200, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ trig_cntr <= (others => '0');
+ elsif trig_pulse = '1' then
+ trig_cntr <= global_counter(31 downto 0);
+ else
+ trig_cntr <= trig_cntr;
+ end if;
+ end if;
+ end process SAVE_GLOBAL_CNTR_ON_TRIG;
+ TRIG_COUNTER_OUT <= trig_cntr;
+
+ SAVE_GLOBAL_CNTR_ON_RX : process (CLK200, RESET)
+ begin
+ if rising_edge(CLK200) then
+ if RESET = '1' then
+ rx_cntr <= (others => '0');
+ elsif rx_pulse = '1' and RX_REC_CURRENT = IDLE then
+ rx_cntr <= global_counter(31 downto 0);
+ else
+ rx_cntr <= rx_cntr;
+ end if;
+ end if;
+ end process SAVE_GLOBAL_CNTR_ON_RX;
+
+ RS232_COUNTER_OUT <= rx_cntr;
+
+-- RS232_CNTR: up_down_counter
+-- generic map (
+-- NUMBER_OF_BITS => 32)
+-- port map (
+-- CLK => CLK,
+-- RESET => reset,
+-- COUNT_OUT => RS232_COUNTER_OUT,
+-- UP_IN => valid_msg_start,
+-- DOWN_IN => '0');
+
+ PPS_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK200,
+ en_clk => '1',
+ signal_in => PPS_IN,
+ pulse => pps_pulse);
+
+-- PPS_CNTR: up_down_counter
+-- generic map (
+-- NUMBER_OF_BITS => 32)
+-- port map (
+-- CLK => CLK,
+-- RESET => reset,
+-- COUNT_OUT => PPS_COUNTER_OUT,
+-- UP_IN => pps_pulse,
+-- DOWN_IN => '0');
+
+
+ SAVE_GLOBAL_CNTR_ON_PPS : process (CLK200, RESET)
+ begin
+ if rising_edge(CLK200) then
+ if RESET = '1' then
+ pps_cntr <= (others => '0');
+ elsif pps_pulse = '1' then
+ pps_cntr <= global_counter(31 downto 0);
+ else
+ pps_cntr <= pps_cntr;
+ end if;
+ end if;
+ end process SAVE_GLOBAL_CNTR_ON_PPS;
+
+ PPS_COUNTER_OUT <= pps_cntr;
+
+ SET_RS232_9600_TICK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or rs232_9600_cntr = 10415 or bit_cntr_reset = '1' then
+ rs232_9600_cntr <= (others => '0');
+ rs232_9600_tick <= '1' and (not(RESET)) and (not bit_cntr_reset);
+ else
+ rs232_9600_cntr <= rs232_9600_cntr + 1;
+ rs232_9600_tick <= '0';
+ end if;
+ end if;
+ end process SET_RS232_9600_TICK;
+
+ NEW_TX_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => RW_REG_IN(0),
+ pulse => new_tx_msg);
+
+ SAVE_TX_MSG : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ saved_tx_msg <= (others => '0');
+ elsif new_tx_msg = '1' then
+ saved_tx_msg <= RW_REG_IN;
+ else
+ saved_tx_msg <= saved_tx_msg;
+ end if;
+ end if;
+ end process SAVE_TX_MSG;
+
+-- SET_BYTE_WIDTH_REG: for i in 0 to 11 generate
+-- SET_BYTE_WIDTH_REG_PROC : process (CLK, RESET)
+-- begin
+-- if rising_edge(CLK) then
+-- if RESET = '1' then
+-- byte_width_reg(i) <= '0';
+-- elsif saved_tx_msg((i+1)*8-1 downto i) /= 0 then
+-- byte_width_reg(i) <= '1';
+-- else
+-- byte_width_reg(i) <= '0';
+-- end if;
+-- end if;
+-- end process SET_BYTE_WIDTH_REG_PROC;
+-- end generate SET_BYTE_WIDTH_REG;
+
+ TX_SEND_CLK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ TX_SEND_CURRENT <= IDLE;
+ tx_out_reg <= '1';
+ bit_cntr_reset <= '1';
+ else
+ TX_SEND_CURRENT <= TX_SEND_NEXT;
+ tx_out_reg <= tx_out_fsm;
+ bit_cntr_reset <= bit_cntr_reset_fsm;
+ end if;
+ end if;
+ end process TX_SEND_CLK;
+
+ NEGATE_TX_OUTPUT : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ TX_OUT <= '1';
+ else
+ TX_OUT <= tx_out_reg;
+ end if;
+ end if;
+ end process NEGATE_TX_OUTPUT;
+
+ SET_START_STOP_PARITY: for i in 0 to 31 generate
+
+ REAL_DATA: if i > 3 generate
+ saved_tx_message_rsconv(i*10) <= '1'; --STOP
+ saved_tx_message_rsconv(i*10+9) <= saved_tx_msg(i); --START
+-- saved_tx_message_rsconv(i*11+1) <= saved_tx_msg((i+1)*8-1) xor saved_tx_msg((i+1)*8-2) xor saved_tx_msg((i+1)*8-3) xor saved_tx_msg((i+1)*8-4) xor
+-- saved_tx_msg((i+1)*8-5) xor saved_tx_msg((i+1)*8-6) xor saved_tx_msg((i+1)*8-7) xor saved_tx_msg((i+1)*8-8);
+ saved_tx_message_rsconv(i*10+8 downto i*10+1) <= saved_tx_msg(i*8) & saved_tx_msg(i*8+1) & saved_tx_msg(i*8+2) & saved_tx_msg(i*8+3) &
+ saved_tx_msg(i*8+4) & saved_tx_msg(i*8+5) & saved_tx_msg(i*8+6) & saved_tx_msg(i*8+7);
+ end generate REAL_DATA;
+
+ CONTROL_DATA: if i < 4 generate
+ saved_tx_message_rsconv(i*10+9 downto i*10) <= (others => '1');
+ end generate CONTROL_DATA;
+
+ end generate SET_START_STOP_PARITY;
+
+
+ TX_SEND_PROC : process(CLK)
+ begin
+ tx_out_fsm <= '1';
+ bit_cntr_reset_fsm <= '1';
+ case (TX_SEND_CURRENT) is
+ when IDLE =>
+ if new_tx_msg = '1' then--and byte_width_reg(0) /= 0 then
+ TX_SEND_NEXT <= TX_SEND_BIT;
+ else
+ TX_SEND_NEXT <= IDLE;
+ end if;
+ when TX_SEND_BIT =>
+ bit_cntr_reset_fsm <= '0';
+ if bit_cntr = 320 then --or (byte_width_reg(conv_integer(byte_cntr)) = 0 and rs232_9600_tick = '1') then
+ tx_out_fsm <= '1';
+ TX_SEND_NEXT <= IDLE;
+ else
+ -- tx_out_fsm <= saved_tx_msg(255-conv_integer(bit_cntr));
+ tx_out_fsm <= saved_tx_message_rsconv(319 - conv_integer(bit_cntr));
+ TX_SEND_NEXT <= TX_SEND_BIT;
+ end if;
+ when others =>
+ TX_SEND_NEXT <= IDLE;
+ end case;
+ end process TX_SEND_PROC;
+
+ BIT_CNTR_INST: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 9)
+ port map (
+ CLK => CLK,
+ RESET => bit_cntr_reset,
+ COUNT_OUT => bit_cntr,
+ UP_IN => rs232_9600_tick,
+ DOWN_IN => '0');
+
+-- BYTE_TO_PULSE: edge_to_pulse
+-- port map (
+-- clock => CLK,
+-- en_clk => '1',
+-- signal_in => bit_cntr(3),
+-- pulse => byte_pulse);
+
+-- BYTE_CNTR_INST: up_down_counter
+-- generic map (
+-- NUMBER_OF_BITS => 4)
+-- port map (
+-- CLK => CLK,
+-- RESET => bit_cntr_reset,
+-- COUNT_OUT => byte_cntr,
+-- UP_IN => byte_pulse,
+-- DOWN_IN => '0');
+ -----------------------------------------------------------------------------
+ -- rx
+ -----------------------------------------------------------------------------
+
+ SYNC_RX : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ rx_reg <= '1';
+ not_rx_reg <= '0';
+ else
+ rx_reg <= RX_IN;
+ not_rx_reg <= not RX_IN;
+ end if;
+ end if;
+ end process SYNC_RX;
+
+ RX_TO_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_rx_reg,
+ pulse => rx_pulse);
+
+ RX_RESET_PULSE: edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => saved_tx_msg(1),
+ pulse => rx_fsm_reset_pulse);
+
+ RX_REC_CLK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ RX_REC_CURRENT <= IDLE;
+ rx_bit_cntr_rst <= '1';
+ else
+ RX_REC_CURRENT <= RX_REC_NEXT;
+ rx_bit_cntr_rst <= rx_bit_cntr_rst_fsm;
+ end if;
+ end if;
+ end process RX_REC_CLK;
+
+ RX_REC_PROC : process (CLK)
+ begin
+ rx_bit_cntr_rst_fsm <= '1';
+ case (RX_REC_CURRENT) is
+ when IDLE =>
+ if rx_pulse = '1' then
+ RX_REC_NEXT <= RX_REC_BIT;
+ else
+ RX_REC_NEXT <= IDLE;
+ end if;
+ when RX_REC_BIT =>
+ rx_bit_cntr_rst_fsm <= '0';
+ if pps_pulse = '1' or rx_fsm_reset_pulse = '1' then
+ RX_REC_NEXT <= IDLE;
+ else
+ RX_REC_NEXT <= RX_REC_BIT;
+ end if;
+ when others =>
+ RX_REC_NEXT <= IDLE;
+ end case;
+ end process RX_REC_PROC;
+
+ SET_RS232_RX_9600_TICK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or RX_REC_CURRENT = IDLE or rx_bit_cntr = 320 then
+ rs232_rx_9600_cntr <= conv_std_logic_vector(5207,16);
+ rs232_rx_9600_tick <= '0';
+ elsif rs232_rx_9600_cntr = 10415 then
+ rs232_rx_9600_cntr <= (others => '0');
+ rs232_rx_9600_tick <= '1';
+ else
+ rs232_rx_9600_cntr <= rs232_rx_9600_cntr + 1;
+ rs232_rx_9600_tick <= '0';
+ end if;
+ end if;
+ end process SET_RS232_RX_9600_TICK;
+
+ RX_BIT_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 10)
+ port map (
+ CLK => CLK,
+ RESET => rx_bit_cntr_rst,
+ COUNT_OUT => rx_bit_cntr,
+ UP_IN => rs232_rx_9600_tick,
+ DOWN_IN => '0');
+
+ SAVE_RX_DATA : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ saved_rx_msg <= (others => '1');
+ elsif rs232_rx_9600_tick = '1' and rx_bit_cntr < 320 then
+ saved_rx_msg(conv_integer(rx_bit_cntr)) <= rx_reg;
+ else
+ saved_rx_msg <= saved_rx_msg;
+ end if;
+ end if;
+ end process SAVE_RX_DATA;
+
+ REMOVE_START_STOP_BITS: for i in 0 to 31 generate
+ R_REG_OUT((i+1)*8-1 downto i*8) <= saved_rx_msg((i+1)*10-2 downto i*10+1);
+ end generate REMOVE_START_STOP_BITS;
+
+
+end gps_m12;
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$9c1\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:2E477<9:1:"=<?;009MKVR\3ZYCJQJMQVZ[QNUMZB7=<4?>35857<NFY__6]\@G^GNTQ_X\AXN_EQNSRGQP9762949;6?=:HLSQQ<WZFMTI@^[Y^VKV@UOWGOLMYK31083:4`<9;0BB][[:QPLCZ@RDEU_D_K\H=32>585>2;96D@_UU8SVJAXN\FGSYF]ERJ\EVUBZ]6:=7>127926?OIX\^1\_AH_GWOHZROZLYCSCKHAUG?54<76;:0=?4FNQWW>uthoVof|ywPtipfwm:693:5>:5>2;KMTPR=x{elShc\7ftx]wlwct`Vkx\7fh|{<0394;403881EC^ZT;rqkbYbey~rSyf}erj\j`af|l6:=7>11g926?OIX\^1|\7fah_gwohZrozlyc0<?50?05?44=AGZ^X7~}of]eqijX|axn\7feQnsrgqp9762949:6?=:HLSQQ<wzfmTjxbc_ujqavnXflmjxh2>1;2=5a=6:3E^X][[:EGAQBYULVZEX_2>1;2=5a=6:3E^X][[:EGAQBYPZVZEX_2>1;2=5a=6:3E^X][[:SF\@DRFWJE^BY2>1;2=5a=6:3E^X][[:VP\@DRFWJE^BY2>1;2=5d=6:3E^X][[:ecweZeh}g~7=<4?>37857<H]]Z^X7jjbte\vaYwf}xT|a{|1^p?54<768l0=?4@UURVP?bbj|mT~iQ\7fnup\v976294:j6?=:NWWTPR=llh~kR|k_qlwvZq;980;2?;4138LQQVR\3nnnxiPws]sjqtXxe\7fx=Ry31083:73<9;0DYY^ZT;fffpaX\7f{U{by|Ppmwp6Zq;980;2<h4138LQQVR\3nnnxiPws]sjqtXz5;:6=0>f:31>JSSX\^1hhlzg^uq[uhszV}7=<4?>0f857<H]]Z^X7|k_ecweZeh}g~7=<4?>0f857<H]]Z^X7y}_ecweZeh}g~7=<4?>06850<NFY__6LJKR@>20?699=1:97GAPTV9EABUJ5;?6=0>;331?64=AGZ^X7JFA=12>586:2996D@_UU8GMG:493:5=>5<2;MVPUSS2MEJ0>?50?30?64=G\^[YY4KOC>05?699;1887GAPTV9@LH;;:0;2<<4358JJUSS2mce0>=50?37?62=G\^[YY4KOTV?76<768>0?94@UURVP?bh}}68?7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294m79xcx52eb4730$;;=68=;7;7?3?>>=13>9?;;96154=><23;<4<49768=30>02KOH_O30?;8EABUI5;;245NDEPB847912KOH_O313<:?DBCZH6:?3l4AEFQE97329427LJKR@>20;><IMNYM1?18:CG@WG;:720MIJ]A=1=<>GCL[K78364AEFQE93902KOH_O36?:8EABUI5=546OKDSC?<;><IMNYM1718:CG@WD;8730MIJ]B=33:<=FLMXI0<?19:CG@WD;9;427LJKRC>27;d<IMNYN1?;:1<:?DBCZK6:8364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?9k2KGAB][_DOSP\d<IEGD_YQIUMN:?DU^FJUYIJ=4BT0;?GSTW@DMC<5La:ABWFGCM@DJm6MNSBCGALHEj2IJ_NOKENWWEg=DIZIJHHAZTC08G@4<K@>0OAEN4:AOOG5<KEX27NABMHVWAA1<K[OJXHJ>;Ed8@@DROVXJARKBPU[f?ACE]NUYM@QIUMNe?ACE]NUYM@Q]D^GMb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f3ME^X1=<:1<;?AIR\5982:5KOTV?7;1<LF__0908;EMVP939?2NDYY29>69GKPR;?7=0HB[[<9<4?AIR\535>6K;5:GNTQ_43LDJ?6KAB59E47653O>>7KOCSD18BAE33ONHI>5IDD18BAV33ON[I95IF327?CSKD<1Mma}j1:E0?BHC92C97D>=;H31?L453@937D@FTQ]34==NF@^[S=?7;HLJPUY7:11BBDZ__11;?LHN\YU;855FNHVS[53?3@DBX]Q?699JJLRWW9=27D@FTRVBP@1<AGC_S=>8;HLJPZ66?2CEEYQ?269JJLRX8:=0ECG[_164?LHN\V:>;6GAIU]322=NF@^T<:94IOKW[5>03@DBXR>67:KMMQY7I>1BBDZP0C58MKOSW9I<7D@FT^2G3>OIA]U;I:5FNHV\4C1<AGC_S<>8;HLJPZ76?2CEEYQ>269JJLRX9:=0ECG[_064?LHN\V;>;6GAIU]222=NF@^T=:94IOKW[4>03@DBXR?67:KMMQY6I>1BBDZP1C58MKOSW8I<7D@FT^3G3>OIA]U:I:5FNHV\5C1<AGC_S?>8;HLJPZ46?2CEEYQ=269JJLRX::=0ECG[_364?LHN\V8>;6GAIU]122=NF@^T>:94IOKW[7>03@DBXR<67:KMMQY5I>1BBDZP2C58MKOSW;I<7D@FT^0G3>OIA]U9I:5FNHV\6C1<AGC_S>>8;HLJPZ56?2CEEYQ<269JJLRX;:=0ECG[_264?LHN\V9>;6GAIU]022=NF@^T?:94IOKW[6>03@DBXR=67:KMMQY4I>1BBDZP3C58MKOSW:I<7D@FT^1G3>OIA]U8I:5FNHV\7C0<AGC_SL84IOKW[G?<AGC_SKGCE29JJS753@D]I_ZPCMI\@JGXZLM:>6GAVDPW[FJLWMEIS_KH3:KLF7=KA;1GC;5CO@FF@2=KGNCHMA:4LTV20>JR\;>0@XZ<6:NVP6YK<2F^X984LTV7[A3<E\RHUn5BakmqR`ttafdh7@gaosTfvvohf:1E===4N037?K769=1E=<<;;O3201=I98<?7C?>729M572<F88886@>2468J440<2D:>4=4N017?K749=1E=>=;;O3001=I9:??7C?<659M56133G;8495A12;0?K73<2D:8=:4N0620>H6<;>0B<:<4:L2012<F8>>86@>4768J420<2D:85:4N06:7>H6==1E=8>;;O3651=I9<8?7C?:359M50233G;>995A1447?K72?=1E=86;;O36=6=I9?>0B<8?4:L2242<F8<986@>6268J403<2D::8:4N0450>H6>>>0B<873:L231=I9>9?7C?8459M52333G;<:95A1657?K700=1E=:7<;O3;0>H609>0B<6>4:L2<72<F82886@>8568J4>0<2D:45:4N0::7>H61=1E=4>;;O3:51=I908?7C?6359M5<233G;2995A1847?K7>?=1E=46=;O00?K47;2D9=>5A2318J7543G8??6@=529M635<F;=87C<73:L1=6=I;990B>?<;O117>H4;:1E?9=4N270?K51;2D8;>5A3908J04<F?80B:<4N908J<`<FKUIY^^FN^RQKUU03GO_[B\D4:LLJ@7<G;1DG55@VDPEHJQ63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Ho4PSMD[@KW\P;?7]\@G^GNTQ_X\AXN_E2?>078TWI@WLG[XTQ[HSGPL97768=0\_AH_DOSP\YS@[OXD1?>:1<21>VUGNUNA]ZV_UJQAVN;984:86^]OF]FIUR^W]BYI^F31?37?UTHOVOF\YWPTIPFWM:568>0\_AH_DOSP\YS@[OXD1=1159SVJAXMDZ_URZGRDQK8186<2ZYCJQJMQVZ[QNUMZB793?;;QPLCZCJX]STXE\JSI>5:42<X[ELSHC_TX]WLWCT@5=5=95_RNE\AHVSQV^C^H]G<9<20>VUGNUNA]ZV_UJQAVN;17;i7]\@G^GNTQ_X\AXN_EQNSRGQP9699j1[^BIPELRW]ZROZLYCSL]\ESV?5586m2ZYCJQJMQVZ[QNUMZBTM^]JRU>25?699j1[^BIPELRW]ZROZLYCSL]\ESV?5486j2ZYCJQJMQVZ[QNUMZBTM^]JRU>2:4d<X[ELSHC_TX]WLWCT@VKX_H\[<3<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y2<>0`8TWI@WLG[XTQ[HSGPLZGT[LX_090>b:RQKBYBEY^RSYF]ERJ\EVUBZ]6>2<l4PSMD[@KW\PU_D_K\H^CPW@TS4?4:n6^]OF]FIUR^W]BYI^FPARQFVQ:068h0\_AH_DOSP\YS@[OXDRO\SDPW8=86j2ZYCJQJMQVZ[QNUMZBTM^]JRU>::4d<X[ELSHC_TX]WLWCT@VDNKLZJ<1<2g>VUGNUNA]ZV_UJQAVNXFLMJXH2>0?3f?UTHOVOF\YWPTIPFWMYIMNK_I1?>:1<2g>VUGNUNA]ZV_UJQAVNXFLMJXH2>1?3a?UTHOVOF\YWPTIPFWMYIMNK_I1?11c9SVJAXMDZ_URZGRDQK[KC@I]O7>3?m;QPLCZCJX]STXE\JSI]MABGSM595=o5_RNE\AHVSQV^C^H]G_OGDEQC;<7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9399k1[^BIPELRW]ZROZLYCSCKHAUG?2;7e3YXDKRKBPU[\PMTB[AUEIJO[E=5=5g=WZFMTI@^[Y^VKV@UOWGOLMYK38?3a?UTHOVOF\YWPTIPFWMYIMNK_I1719:RQKBYA]EF:?6^]OF]EQIJX\AXN_E2?>068TWI@WO_G@RZGRDQK84699?1[^BIPFTNO[QNUMZB7=<4?>068TWI@WO_G@RZGRDQK84799:1[^BIPFTNO[QNUMZB7=3?<;QPLCZ@RDEU_D_K\H=0=56=WZFMTJXBC_UJQAVN;;7;87]\@G^DVHIYS@[OXD1:1129SVJAXN\FGSYF]ERJ?1;743YXDKRHZLM]WLWCT@5<5=>5_RNE\BPJKW]BYI^F37?30?UTHOVL^@AQ[HSGPL9>99:1[^BIPFTNO[QNUMZB753?n;QPLCZ@RDEU_D_K\H^CPW@TS494:n6^]OF]EQIJX\AXN_EQNSRGQP97768n0\_AH_GWOHZROZLYCSL]\ESV?54<768h0\_AH_GWOHZROZLYCSL]\ESV?5486i2ZYCJQIUMN\PMTB[AUJ_^K]T=3=5d=WZFMTJXBC_UJQAVNXIZYN^Y2=>0c8TWI@WO_G@RZGRDQK[DUTM[^7?3?n;QPLCZ@RDEU_D_K\H^CPW@TS4=4:m6^]OF]EQIJX\AXN_EQNSRGQP9399h1[^BIPFTNO[QNUMZBTM^]JRU>5:4g<X[ELSK[CL^VKV@UOWHYXI_Z37?3b?UTHOVL^@AQ[HSGPLZGT[LX_050>a:RQKBYA]EFTXE\JSI]BWVCU\535=l5_RNE\BPJKW]BYI^FPNDEBP@:768h0\_AH_GWOHZROZLYCSCKHAUG?5586l2ZYCJQIUMN\PMTB[AUEIJO[E=32>586j2ZYCJQIUMN\PMTB[AUEIJO[E=32:4g<X[ELSK[CL^VKV@UOWGOLMYK31?3b?UTHOVL^@AQ[HSGPLZHBOH^N0?0>a:RQKBYA]EFTXE\JSI]MABGSM595=l5_RNE\BPJKW]BYI^FPNDEBP@:368k0\_AH_GWOHZROZLYCSCKHAUG?1;7f3YXDKRHZLM]WLWCT@VDNKLZJ<7<2e>VUGNUMYABPTIPFWMYIMNK_I1911`9SVJAXN\FGSYF]ERJ\J@AF\L632<o4PSMD[CSKDV^C^H]G_OGDEQC;17;0];5]AL@22c=UIDUNTYKASHMM[D`<ZHGTIUZJNRKLJZD13[NTOAE>0:PG[AGSIVIDYCZ30?32?WBXLH^JSNAZNU>24;743[NTHLZN_BMVJQ:693:5=<5]D^FBPDYDG\D_0<?1119Q@ZBF\HUHCX@[<0<24>TCWMK_MRM@UOV?6;773[NTHLZN_BMVJQ:468:0^IQKAUC\GJSI\5>5==5]D^FBPDYDG\D_080>0:PG[AGSIVIDYCZ36?33?WBXLH^JSNAZNU>4:46<ZMUOMYOPCNWMP9>9991YHRJNT@]@KPHS404>7_JPEOa8V@GCW^COXEQNc:PFEAYPAM^CSO84RDE@AD0<ZLMHIO=4RRV2?V><[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPDg8Q5)`mgUj\7f#hhar;8QKBBZOFD[l5ZSDP\EIOF[j1^_H\PVHQJFIC23_KGEI=4VBA:?SOB_V^R\H84WDC?4;0<_LK7=384WDC?6;><_LK7?7>16:UFE959>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?`8SWOSMVLB^^Zl;VPJP@YJGMOTMn5XRHVF[HICMVHo7Z\FTD]TMAROWHn0[_G[E^UJ@QNXJ?1\^ROLK79TVZEKC8:0[_QKAUC\GJSI\5:5=<5XR^FBPDYDG\D_0<>1129TVZBF\HUHCX@[<0394;763^XTHLZN_BMVJQ:697;;7Z\PD@VB[FIRF]6:2<>4WS]GEQGXKF_EX1<1119TVZBF\HUHCX@[<2<24>QUWMK_MRM@UOV?0;773^XTHLZN_BMVJQ:268:0[_QKAUC\GJSI\5<5==5XR^FBPDYDG\D_0:0>0:UQ[AGSIVIDYCZ38?33?RTXLH^JSNAZNU>::0=PZVOE=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB96V@RB[5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF;;7UQLOSG\MK@HWECXX;5Wdc]J`c=_laU[~dcYesqjkk773QnfS@oeosTfvvohf8:0TicPMhllvScu{`eeo6ocmnqw[`kw|ph0mac`su]eqij13kkhgx~k;cc`opvXehx`i\7f=4cmi1g>ehzlmnb"zbp.]`c*nbimoySljk_ecweZ`nnfU?gZ agnf8`drfWje~by&?)e9geqgXkf\7fex%?&e:fbpdYdg|d\7f$<>&e:fbpdYdg|d\7f$<?&d:fbpdYdg|d\7f$?'k;ecweZeh}g~#?$j4d`vb[firf}"?%i5kauc\gjsi|!?"h6jnt`]`kphs ?#o7io{a^alqkr/? n0hlzn_bmvjq.?!m1omyoPcnwmp-?.l2njxlQlotlw858682njxlQlotlw847=87=0hog{esp2<>bbj|mT~iQ\7fnup\tist9Vx7<3?6;egaqbYulVzex\7fQ\7fltq2[w:687;i7ikmuf]q`Zvi|{U{`x}>_s>25?69901oio{h_sf\tkruWyf~\7f<Q}<03=5==cmk\7flS\7fjPpovq[ujr{8Uy0<0>8:fffpaXzmU{by|Ppmwp5Zt;:7;37ikmuf]q`Zvi|{U{`x}>_s>0:4><llh~kR|k_qlwvZvk}z;T~1:1199gags`W{nT|cz}_qnvw4Yu4<4:46jjbte\vaYwf}xT|a{|1^p?2;7?3moiyjQ}d^rmpwYwd|y:S\7f28>0:8``droVxoS}`{r^roqv7Xz525=55kecwd[wbXxg~yS}bzs0]q8<86:2nnnxiPre]sjqtXz5:5=>5kecwd[wbXxg~yS\7f2>0?36?ace}nUyhR~ats]q847=87;87ikmuf]q`Zvi|{Uy0<?1139gags`W{nT|cz}_s>2:44<llh~kR|k_qlwvZt;:7;97ikmuf]q`Zvi|{Uy0>0>2:fffpaXzmU{by|Pr=6=57=cmk\7flS\7fjPpovq[w:26880hhlzg^pg[uhszVx7:3?=;egaqbYulVzex\7fQ}<6<26>bbj|mT~iQ\7fnup\v9>99;1oio{h_sf\tkruW{622<<4dd`vcZtcWyd\7f~Ry30?30?ace}nUyhR~ats]t84699<1oio{h_sf\tkruW~6:=7>1129gags`W{nT|cz}_v>25;753moiyjQ}d^rmpwYp484:>6jjbte\vaYwf}xT{1<1139gags`W{nT|cz}_v>0:44<llh~kR|k_qlwvZq;<7;97ikmuf]q`Zvi|{U|080>2:fffpaXzmU{by|Pw=4=57=cmk\7flS\7fjPpovq[r:06880hhlzg^pg[uhszV}743?=;egaqbYulVzex\7fQx<8<2<>bbj|mT{\7fQ\7fnup\tist9V}7<3?6;egaqbYpzVzex\7fQ\7fltq2[r:687;i7ikmuf]tvZvi|{U{`x}>_v>25?69901oio{h_vp\tkruWyf~\7f<Qx<03=5==cmk\7flSz|Ppovq[ujr{8U|0<0>8:fffpaX\7f{U{by|Ppmwp5Zq;:7;37ikmuf]tvZvi|{U{`x}>_v>0:4><llh~kRy}_qlwvZvk}z;T{1:1199gags`W~xT|cz}_qnvw4Yp4<4:46jjbte\swYwf}xT|a{|1^u?2;7?3moiyjQxr^rmpwYwd|y:Sz28>0:8``droV}yS}`{r^roqv7X\7f525=55kecwd[rtXxg~yS}bzs0]t8<8602nnnxiPws]sjqtXxe\7fx>Ry30?3:?ace}nU|~R~ats]shpu5W~6:<3?m;egaqbYpzVzex\7fQ\7fltq1[r:693:5=45kecwd[rtXxg~yS}bzs3]t8479911oio{h_vp\tkruWyf~\7f?Qx<0<2<>bbj|mT{\7fQ\7fnup\tist:V}7>3?7;egaqbYpzVzex\7fQ\7fltq1[r:46820hhlzg^uq[uhszVzgy~<Pw=6=5==cmk\7flSz|Ppovq[ujr{;U|080>8:fffpaX\7f{U{by|Ppmwp6Zq;>7;37ikmuf]tvZvi|{U{`x}=_v>4:4><llh~kRy}_qlwvZvk}z8T{161199gags`W~xT|cz}_qnvw7Yp404:>6jjbte\swYwf}xT~1>1129gags`W~xT|cz}_s>24;723moiyjQxr^rmpwYu48;1<3?<;egaqbYpzVzex\7fQ}<03=57=cmk\7flSz|Ppovq[w:66880hhlzg^uq[uhszVx7>3?=;egaqbYpzVzex\7fQ}<2<26>bbj|mT{\7fQ\7fnup\v9299;1oio{h_vp\tkruW{6>2<<4dd`vcZquWyd\7f~R|36?31?ace}nU|~R~ats]q8286:2nnnxiPws]sjqtXz525=?5kecwd[rtXxg~yS\7f26>008``droV}yS}`{r^u?4;743moiyjQxr^rmpwYp48:5=85kecwd[rtXxg~ySz2>1;2=56=cmk\7flSz|Ppovq[r:697;97ikmuf]tvZvi|{U|0<0>2:fffpaX\7f{U{by|Pw=0=57=cmk\7flSz|Ppovq[r:46880hhlzg^uq[uhszV}783?=;egaqbYpzVzex\7fQx<4<26>bbj|mT{\7fQ\7fnup\s9099;1oio{h_vp\tkruW~6<2<<4dd`vcZquWyd\7f~Ry38?31?ace}nU|~R~ats]t8<813mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'8;ekm,4>.?2nbb%?6)79gmk.5!>1oec&=0(58`lh/:8#<7iga(30*3>bnf!88%:5kio*10,1<l`d#>8'8;ekm,70.?2nbb%<8)69gmk.50 =0hd`'28+5?aoi :#<7iga(22*3>bnf!9:%:5kio*06,1<l`d#?>'9;ekm,1/13mce$8'9;ekm,3/13mce$:'9;ekm,=/13mce$4'9;ekm85803mce0<>17:fjj9766>1oec2>2?58`lh;9:4<7iga<06=3>bnf5;>2:5kio>22;1<l`d7=:08;ekm84>9?2nbb1?6>79gmk:66>1oec2=0?58`lh;:84<7iga<30=3>bnf5882:5kio>10;1<l`d7>808;ekm8709?2nbb1<8>69gmk:507=0hd`328<5?aoi4;4<7iga<22=3>bnf59:2:5kio>06;?<l`d7?>4?>69gmk:4;7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf\7f\7f$<;&8:flqq.6> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,1<lf\7f\7f$9'8;emvp-3.?2ndyy&9)69gkpr/? =0hb{{(9+4?air|!3";6j`uu>3:==cg|~7==07;emvp976611ocxz313<;?air|5;8255kotv?518?3me~x1?:>99gkpr;9?437iazt=34:==cg|~7=507;emvp97>6>1ocxz31?:8`jss4;:546j`uu>15;><lf\7f\7f0?<18:flqq:5;720hb{{<36=<>bh}}699364dnww870902ndyy2=7?:8`jss4;2546j`uu>1=;1<lf\7f\7f0?07;emvp957611ocxz330<;?air|5992l5kotv?76<7611ocxz332<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{15>`nnfUlick}aumq[s5X;%*Hc\7fkheo":*7o6>2lbjbQheogqeqiuW\7f9T?Ro|4:dvhi7?3aojhh|Paef\`drfWocmcR:dW208l`gcm{UjhiQkauc\bl`hW=a\Skgio^efj`tf|fxTz>Q<_yqw[4><fniiydbk6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<46>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66>;0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>39>;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0?0Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929?81ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2:?4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs793Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<7<\vq0b3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828092dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919W{~=i6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525;<5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632R|{6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>638jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw39?]qp23<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_mww858XAG\T<:>4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<>1719mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|310<47>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>25;Yu|?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu484=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0>09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2;>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<4<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8281n2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?6?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu404=96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<1<52>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48:5:;5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=32:33<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:2;;4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>1:33<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}682;;4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>7:33<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6>2;;4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>5:33<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6<2;;4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>;:33<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}622;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^257>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9?>0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR??659mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[471;2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T>;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^157>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<?90bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR;93:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\235<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V==?6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP8718jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ?0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7<39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;1?3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979?>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw34?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5;:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<4<\vq133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2:94nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;398;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir05087:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?<;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu171769mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8<8Xz}=i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~T`xz30?]JJSY7??1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>648jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:697=27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|310<\vq123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?6;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7?39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?0;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7939:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?2;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7;39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?<;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7538m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5:5:n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;994=o6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:697<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979>k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?6;0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=2g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;16c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5=5:o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9>01ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]32<=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>6`9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<;o4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[70>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_24:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS986;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[30>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_64:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS586;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2:<4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7==0Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<0<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}949?81ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?3Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw34?52?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<\vq0b3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az808092dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939W{~=i6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5<5;<5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2R|{6d9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>638jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?<;163geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~404<=6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535S\7fz85:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Ugyy2?>^KMRZ6082dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:687=;7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;:2:=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<?1_sv5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8781n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:46?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6>2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0;09f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f28>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<9<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::33<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6;2;84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>24;013geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=<095:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8481=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0?095:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8681=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f09095:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8081=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0;095:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8281=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f05095:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8<81;2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T<;=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^350>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX99<?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>1718jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ41;2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T?;=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^657>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX=?90bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR893:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\335<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V2=?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP9668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}969?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1799mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8469W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;103geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8380?2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:?6>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;17=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>^pw3g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZjr|5:5SD@Y_155?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;994<:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<03=3<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;:2R|{749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv979?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1<1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv959?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1:1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv939?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~181749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv919?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~161749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9?9>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?4;0d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=33:3e<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<03=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz31?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1<16c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7?38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5>5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?3;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=:=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz39?4:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[461i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^322<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ=689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]62<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ9689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;994T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;103geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692R|{759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8680?2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir090Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;>7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp622:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=[wr0j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWe\7f\7f0=0PIOT\420<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:<399;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?548012dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<?1_sv41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu484<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<3<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4:4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<5<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<7<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4>4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<9<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu404=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:76?i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8469>j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5481j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>2:3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<3<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2<>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0909b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6>2;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4?4=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:06?h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8=81j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>::3?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP07;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX99<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[47112dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^05=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR=99:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V>=56``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ3112dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^45=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR999:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V2=56``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ?4;2dd~`ijn/dofim(EhnoSlb{_sf\`drfWje~byQf_`fgwpd789::<>=4nnpnc`h)nehgg"Cnde]bhqYulVnjxlQlotlw[lYflmy~n=>?00007>hhzdmnb#hcbmi,IdbcWhf\7fS\7fjPd`vb[firf}UbSljkst`34566<:90bb|bgdl-bidkc&GjhiQnlu]q`Zbf|hUhcx`{_h]b`aurj9:;<<8<3:llvhabf'lgnae M`fg[djsW{nThlzn_bmvjqYnWhno\7fxl?0122<64<ffxfkh`!fm`oo*KflmUj`yQ}d^fbpdYdg|d\7fSdQndeqvf5678;987ca}mfgm*cjedb%FmijPamv\vaYci}kTob{at^k\eabt}k:;<=<?339mkwk`mg$m`obd/Lcg`Zgk|VxoSio{a^alqkrXaVkoh~{m0123064<ffxfkh`!fm`oo*KflmUj`yQ}d^fbpdYdg|d\7fSdQndeqvf5678?997ca}mfgm*cjedb%FmijPamv\vaYci}kTob{at^k\eabt}k:;<=6<7:llvhabf'lgnae M`fg[djsW{nThlzn_bmvjqYnWhno\7fxl?012\g|:66::0bb|bgdl-bidkc&GjhiQnlu]tvZbf|hUhcx`{_h]b`a6789;;?=5aosodak(adkf`#@okd^copZquWmk\7fmRm`uov\mZgcl9:;<<<<0:llvhabf'lgnae M`fg[djsW~xThlzn_bmvjqYnWhno<=>?1513?kiuenoe"kbmlj-NeabXie~T{\7fQkauc\gjsi|VcTmij?0122266<ffxfkh`!fm`oo*KflmUj`yQxr^fbpdYdg|d\7fSdQnde23457?:o1ec\7fcheo,ehgjl'DkohRoct^uq[agsiVidyczPi^cg`5678;9;7ca}mfgm*cjedb%FmijPamv\swYci}kTob{at^k\eab789:9<?h4nnpnc`h)nehgg"Cnde]bhqYpzVnjxlQlotlw[lYflm:;<=:=f:llvhabf'lgnae M`fg[djsW~xThlzn_bmvjqYnWhno<=>?63d8jjtjold%jalck.Ob`aYfd}U|~Rjnt`]`kphsW`Ujhi>?01:00>hhzdmnb#hcbmi,IdbcWhf\7fSz|Pd`vb[firf}UbSljk0123[f\7f;97837ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>3:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1??>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq5;5>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az878502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSnw33?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp6?2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}939:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<7<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWjs7;3<7;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\g|:?6;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQly=;=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1>12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5;;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]oqq:697827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?5;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolT`xz32?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xd|~7?3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\hpr;<7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?1;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolT`xz36?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xd|~7;3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\hpr;07827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?=;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|30?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xpfx7==0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu48;5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv979:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=0=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~1=1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz5>5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv939:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=4=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~191289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz525>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9?9:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRmv<1<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWjs7==0=8:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;97837ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>1:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu1=1299mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~4=4946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?1;4?3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot29>3:8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYdq5=5>55aosodak(adkf`#@}zb^vntZvi|{U|Sigif^az8=8502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSnw39?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xd|~7<3<n;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\hpr;9949m6``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww8479:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=3=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1<1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|595>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp929:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=7=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x181289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|5=5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp9>9:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=;=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVrd~1>12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz5;;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:697827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPxnp?5;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|32?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx7?3<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\|jt;<7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPxnp?1;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|36?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx7;3<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\|jt;07827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPxnp?=;763geyajka.gnahn)I99;:7ca}mfgm*cjedb%E=<?>;omqibci&ofi`f!A1332?kiuenoe"kbmlj-M56763geyajka.gnahn)I9=;:7ca}mfgm*cjedb%E=8?>;omqibci&ofi`f!A1732?kiuenoe"kbmlj-M52763geyajka.gnahn)I91;:7ca}mfgm*cjedb%E=4?>;omqibci&ofi`f!A2132?kiuenoe"kbmlj-M64763geyajka.gnahn)I:;;:7ca}mfgm*cjedb%E>>?>;omqibci&ofi`f!A2532?kiuenoe"kbmlj-M60763geyajka.gnahn)I:?;:7ca}mfgm*cjedb%E>:?>;omqibci&ofi`f!A2932?kiuenoe"kbmlj-M6<773geyajka.gnahn)I>8:0bb|bgdl-bidkc&D<==5aosodak(adkf`#C6>0:llvhabf'lgnae N814?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZVI\[UISua}0123[Wct}e~:?:5aosodak(adkf`#nbdmnf,g}(ulq%Ftb|PPOVQ[GY\7fg{:;<<Q]erwop4503geyajka.gnahn)ddbgdh"mw.sf{+H~hzVZEX_QM_ymq4565W[oxyaz>369mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\TKRUWKUsc\7f>?02]Qavsk|89<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R^ATS]A[}iu89:?S_k|umv272=ig{glic ilcnh+fjlefn$ou }dy-N|jtXXG^YSOQwos2340YUmz\7fgx<=m;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^RMPWYEWqey<=>:_Sgpqir6WZ];?o5aosodak(adkf`#nbdmnf,g}(ulq%Ftb|PPOVQ[GY\7fg{:;<8Q]erwop4YT_89i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R^ATS]A[}iu89:>S_k|umv2[VQ5;k1ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT\CZ]_C]{kw678<UYi~{ct0]PS65e3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVZEX_QM_ymq4562W[oxyaz>_RU772=ig{glic ilcnh+fjlefn$ou }dy-N|jtXXG^YSOQwos2343YUmz\7fgx<=8;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^RMPWYEWqey<=>8_Sgpqir6;k1ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT\CZ]_C]{kw678>UYi~{ct0]PS55e3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVZEX_QM_ymq4560W[oxyaz>_RU272=ig{glic ilcnh+fjlefn$ou }dy-N|jtXXG^YSOQwos234=YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>?0^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89::S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3454XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc\7f>?02]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R~ats]dgZ~hz9:;8R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!rez,I}iuWyd\7f~Ril_ymq4562W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?014\V`urd};846``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ\7fnup\cfY\7fg{:;<:Q]erwop45?3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVzex\7fQhc^zlv5670VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%~iv Mymq[uhszVmhSua}012:[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(ulq%Ftb|Ppovq[beXpfx;<<>PRdqvhq74l2dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U\7fa}Qyamwf2Z6Xpfx;<=>PRdqvhq74l2dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U\7fa}Qyamwf2Z7Xpfx;<=>PRdqvhq75<2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS=<;;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\573<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU:<?;4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]2572<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU9>95aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^110>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW=8?7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP5368jjtjold%jalck.aoohic'jr%~iv POVQ[GY1:=1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNR9=4:llvhabf'lgnae cminka)dp'xot"^ATS]A[=433geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHT5?o4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw67898j7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLPxnp34575i2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}01216d=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?33c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<9<n;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789?9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4561:h1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r12337g<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?0905?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad4949;6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=33:71<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni7=<0=6:llvhabf'lgnae cminka)dp'xot"~ats]dg979:?1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTkn2=>348jjtjold%jalck.aoohic'jr%~iv povq[be;;78=7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril<5<12>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`k5?5>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>5:70<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni7;3<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8=85>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo171249mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY7:<1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ>279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY68;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmP1006?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW;8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_206?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW=8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_406?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW?8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_606?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW18>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_80:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87<3<n;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;9949m6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z18479:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=3=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>1<1289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:595>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6929:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=7=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>181289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:5=5>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{69>9:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=;=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R>=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W8827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\554>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P100;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8T>?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7Y4:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2^61<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9S8<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X>;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]46==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R6=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W0837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y>3:7?<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt1??>3;8jjtjold%jalck.aoohic'jr%~iv povq[beXp5;:2?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|979:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<3<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr7?3<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}:36;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=7=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0;0=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;?7837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y>;:7><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt171269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW98<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]26==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<>=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X988<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]162=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS><8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y3:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_404?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU=>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[2403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ7269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW08i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4567:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23444e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01216g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?020a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>;2c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<8<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:=>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw678>8i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq456?:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos234<4e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}013375=ig{glic ilcnh+fjlefn$ou }dy-wiuYqie\7fn:R>Pxnp3456482dd~`ijn/dofim(keafci!lx/pg|*rjxV|j`xk9_0]{kw67899<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'Drd~R^ATS]A[}iu89:;S_k|umv272=ig{glic ilcnh+fjlefn$ou xry-N|jtXXG^YSOQwos2344YUmz\7fgx<=8;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^RMPWYEWqey<=>=_Sgpqir6;>1ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT\CZ]_C]{kw678:UYi~{ct014?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZVI\[UISua}0127[Wct}e~:?:5aosodak(adkf`#nbdmnf,g}(pzq%Ftb|PPOVQ[GY\7fg{:;<8Q]erwop45e3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVZEX_QM_ymq4562W[oxyaz>_RU37g=ig{glic ilcnh+fjlefn$ou xry-N|jtXXG^YSOQwos2340YUmz\7fgx<Q\W01a?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZVI\[UISua}0126[Wct}e~:S^Y=3c9mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\TKRUWKUsc\7f>?04]Qavsk|8UX[>=m;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^RMPWYEWqey<=>:_Sgpqir6WZ]??:5aosodak(adkf`#nbdmnf,g}(pzq%Ftb|PPOVQ[GY\7fg{:;<;Q]erwop4503geyajka.gnahn)ddbgdh"mw.vp{+H~hzVZEX_QM_ymq4560W[oxyaz>3c9mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\TKRUWKUsc\7f>?06]Qavsk|8UX[==m;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^RMPWYEWqey<=>8_Sgpqir6WZ]:?:5aosodak(adkf`#nbdmnf,g}(pzq%Ftb|PPOVQ[GY\7fg{:;<5Q]erwop45?3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVzex\7fQhc^zlv5678VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%{\7fv Mymq[uhszVmhSua}0122[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=<PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw678:UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1230ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=>:_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt789<T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2342YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^rmpwY`kVrd~=>?8^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:2S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3446XZly~`y?<d:llvhabf'lgnae cminka)dp'}yt"Cwos]wiuYqie\7fn:R>Pxnp3456XZly~`y?<d:llvhabf'lgnae cminka)dp'}yt"Cwos]wiuYqie\7fn:R?Pxnp3456XZly~`y?=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[5433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=?;4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]2473<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKU:=?:4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]161=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV9986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_507?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX=;>0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ9259mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ15<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS5<;;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\=7g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?010b?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=?=a:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:9>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567;;k0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos23414f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?0171e>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>92`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;;?o4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw67818=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<1<13>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k5;;2?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa?5485>2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo1?1279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:56;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm33?05?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad4=49:6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc=7=63=ig{glic ilcnh+fjlefn$ou xry-sjqtXoj6=2?84nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa?3;413geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh050=6:llvhabf'lgnae cminka)dp'}yt"~ats]dg9?9:<1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQ?249mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY6:?1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQ>0348jjtjold%jalck.aoohic'jr%{\7fv povq[beX988>7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_306?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW:8>7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_506?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW<8>7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_706?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW>8>7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_906?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW0827ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0?4;4f3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<311<1e>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90<?1289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:5;5>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6949:01ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2=1=6<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>1:1289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:5?5>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6909:01ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2=5=6<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>161289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:535>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6Z6502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_00:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T==<6;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X98837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0\67><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q<299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:V>946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[04?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<P63:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;U<>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6Z>502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_80;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6;2?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|9776;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=32:7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt1?1299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f4;4946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z?7;4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2;>3:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp5?5>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{838502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv37?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq632?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|9?9:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_104?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU:>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{[46502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP1004?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU9>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{[6403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ;269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fW<8<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y]562=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsS:<8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}Y?:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_80a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>?2c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;<<<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89:9>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw678:8i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq4563:k1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23404e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}01256g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc\7f>?060a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>72c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;<4<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89;;?=5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z6Xpfx;<=><0:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W8Usc\7f>?013e?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h]35c=ig{glic ilcnh+wbXlh~jSnaznu]j[4473geyajka.gnahn)ulVnjxlQlotlw[lY68;:0bb|bgdl-bidkc&xoSio{a^alqkrXaV;:=k5aosodak(adkf`#\7fjPd`vb[firf}UbS??i;omqibci&ofi`f!}d^fbpdYdg|d\7fSdQ<1g9mkwk`mg$m`obd/sf\`drfWje~byQf_53e?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h]65c=ig{glic ilcnh+wbXlh~jSnaznu]j[37a3geyajka.gnahn)ulVnjxlQlotlw[lY09o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW1;m7ca}mfgm*cjedb%yhRjnt`]`kphsW`U2>=5aosodak(adkf`#\7f~}elmg+WGJW[NTIC?=d:llvhabf'lgnae tipnka)fmeelen!lad3,gdtuqgo\7f0=0=d:llvhabf'lgnae tipnka)fmeelen!lad3,gdtuqgo\7f0<0=d:llvhabf'lgnae tipnka)fmeelen!lad3,gdtuqgo\7f0?0=d:llvhabf'lgnae tipnka)fmeelen!lad3,gdtuqgo\7f0>0=d:llvhabf'lgnae tipnka)fmeelen!lad3,gdtuqgo\7f090<e:llvhabf'lgnae tipnka)fmeelen!lad3,atef{l$iej blqY3Y+`t|'gme\7f}{3c9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+`wdizo%ndi!mmrX5X(jam$fot=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-fufgtm'hbk#ccpZ0^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk>/ds`evc)j`m%aa~T3\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!jqbcpa+dno'gg|V:R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP9P bie,ng|403geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=1>1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7;978<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1=0=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;7?3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5929:>1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?35?0e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;T=Road123444a3geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=R<Paof34566:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?P3^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1^6\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3\1Zgil9:;<<<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7<3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7=3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7>3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7?3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~783=j;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ2^*cus&dlb~~z<b:llvhabf'lgnae tipnka)fmeelen!lad0,atef{l$iej blqY2Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[3_-ilb)ejs8n6``rlefj+`kjea$xe|boe-baii`aj%hmh< epabw`(ean$f`}U<]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"k~c`qf*go`&df{W9S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nemmdmf)dil8$i|mnsd,amb(jdyQ>Q#cfd/o`}71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:0=0=7:llvhabf'lgnae tipnka)fmeelen!lad0,r4:66;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x><3<13>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8682?94nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t28185?2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<2:>3d8jjtjold%jalck.vkvhic'hogcjgl/bcf6*p6W9Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8U:Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:S?Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<Q<_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x>_5]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0]6[dhc89:;=?j4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.abvw\7fim}6;2?j4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.abvw\7fim}6:2?j4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.abvw\7fim}692?j4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.abvw\7fim}682?j4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.abvw\7fim}6?2>k4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.grgdub&kcl"`b\7f[1_-bvr)eocy\7fy=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-fufgtm'hbk#ccpZ3^*hoc&dir?o5aosodak(adkf`#yf}mnf,ecjho`i$olh>/ds`evc)j`m%aa~T2\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!jqbcpa+dno'gg|V=R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#h\7flarg-fla)eezP8P bie,ng|5e3geyajka.gnahn)s`{gdh"oilnejg*efn8%n}no|e/`jc+kkxR?V"`gk.laz62=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'\7f;7<3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-u5979:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#{?32?04?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)q9595>:5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3?0;403geyajka.gnahn)s`{gdh"oilnejg*efn8%}=1;12g9mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+s7X8Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)q9V;Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'\7f;T>Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn8%}=R=Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#{?P4^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!y1^7\ekb789::>i5aosodak(adkf`#yf}mnf,ecjho`i$olh=/bcqv|hb|5:5>i5aosodak(adkf`#yf}mnf,ecjho`i$olh=/bcqv|hb|5;5>i5aosodak(adkf`#yf}mnf,ecjho`i$olh=/bcqv|hb|585>i5aosodak(adkf`#yf}mnf,ecjho`i$olh=/bcqv|hb|595>i5aosodak(adkf`#yf}mnf,ecjho`i$olh=/bcqv|hb|5>5?h5aosodak(adkf`#yf}mnf,ecjho`i$olh=/ds`evc)j`m%aa~T0\,ewq(jn`xxx>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.grgdub&kcl"`b\7f[0_-ilb)ejs8n6``rlefj+`kjea$xe|boe-bbii`aj%hmk< epabw`(ean$f`}U=]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"k~c`qf*go`&df{W>S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$i|mnsd,amb(jdyQ?Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ozol}j.ckd*hjwS<W%adj!mb{13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~86;2?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t28485?2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<2=>358jjtjold%jalck.vkvhic'hlgcjgl/bce6*p64:49;6``rlefj+`kjea$xe|boe-bbii`aj%hmk< v0>7:71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&|:080=f:llvhabf'lgnae tipnka)fneelen!lag0,r4Y7Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce6*p6W8Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~8U9Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&|:S>Qnne234575n2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<Q;_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"x>_4]bja6789;946``rlefj+`kjea$xe|boe-fhjank&OF\YWPNNLQAB4e3geyajka.gnahn)s`{gdh"kcofk`+@KW\PUECC\JG^k262=ig{glic ilcnh+qnuefn$iaahib-QEHYBEY^RSd<n;omqibci&ofi`f!{hsol`*ckgnch#n? c`pq}kcs4949m6``rlefj+`kjea$xe|boe-fhjank&i:#no}rxlfp979:h1ec\7fcheo,ehgjl'}byabj emmdmf)d9&ij~\7fwaeu>1:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g4)di{xrbhz33?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,gdtuqgo\7f090<b:llvhabf'lgnae tipnka)bdfmbo"m>/ds`evc)j`m%aa~T0\,ewq(jn`xxx>64nnpnc`h)nehgg"zgrlmg+`jho`i$o<!jqbcpa+dno'gg|V?R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d9&ozol}j.ckd*hjwS;W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX7X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n? epabw`(ean$f`}U;]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k8%n}no|e/`jc+kkxR?V"`gk.laz61=ig{glic ilcnh+qnuefn$iaahib-`5*p6494986``rlefj+`kjea$xe|boe-fhjank&i:#{?31?07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4:56;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=1=1259mkwk`mg$m`obd/ujqijb(meelen!l1.t28185<2dd~`ijn/dofim(|axfci!jlnejg*e6'\7f;793<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]3[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^3\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_3]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i:#{?P3^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<Q;_`lg45679;i0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=R;Paof34566:h1ec\7fcheo,ehgjl'}byabj emmdmf)d:&ij~\7fwaeu>3:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)di{xrbhz31?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,gdtuqgo\7f0?0=a:llvhabf'lgnae tipnka)bdfmbo"m=/bcqv|hb|595>l5aosodak(adkf`#yf}mnf,aii`aj%h>"mnrs{maq:36:h0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%n}no|e/`jc+kkxR:V"k}{.ldjvvr402dd~`ijn/dofim(|axfci!jlnejg*e5'l{hm~k!bhe-iiv\9T$fei bcx1;?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,atef{l$iej blqY1Y+knl'ghu>64nnpnc`h)nehgg"zgrlmg+`jho`i$o?!jqbcpa+dno'gg|V=R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d:&ozol}j.ckd*hjwS=W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+`wdizo%ndi!mmrX1X(jam$fot<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>3:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q95;5>95aosodak(adkf`#yf}mnf,aii`aj%h>"x><3<10>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7;;78?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<2;>368jjtjold%jalck.vkvhic'lfdkdm c3-u5939:j1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:S=Qnne234575k2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;T=Road123444d3geyajka.gnahn)s`{gdh"kcofk`+f4(~8U9Sl`k012357e<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9V9Tmcj?01226f=ig{glic ilcnh+qnuefn$iaahib-`6*p6W=Ujbi>?0131g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X=Vkeh=>?0007?kiuenoe"kbmlj-wlwkhl&ogcjgl/bmnt4ir|;>0bb|bgdl-bidkc&~c~`ak/dnlcle(kfg{>b{{269mkwk`mg$m`obd/ujqijb(neelen!IUMN\JJHUMN8j7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'O_G@R@@NSGD[l75>2dd~`ijn/dofim(|axfci!ilnejg*TFEVL^@AQf2e9mkwk`mg$m`obd/ujqijb(neelen!lolr2+fguzpdnx1>12e9mkwk`mg$m`obd/ujqijb(neelen!lolr2+fguzpdnx1?12e9mkwk`mg$m`obd/ujqijb(neelen!lolr2+fguzpdnx1<12e9mkwk`mg$m`obd/ujqijb(neelen!lolr2+fguzpdnx1=12e9mkwk`mg$m`obd/ujqijb(neelen!lolr2+fguzpdnx1:13d9mkwk`mg$m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX4X(a{}$fjd||t2`8jjtjold%jalck.vkvhic'ofdkdm cnos5*cvkhyn"ogh.lns_4[)e`n%anw<b:llvhabf'lgnae tipnka)adfmbo"m`mq3,atef{l$iej blqY1Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.grgdub&kcl"`b\7f[2_-ilb)ejs8n6``rlefj+`kjea$xe|boe-ehjank&ida}? epabw`(ean$f`}U;]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="k~c`qf*go`&df{W8S!mhf-if\7f5?2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<2?>358jjtjold%jalck.vkvhic'ofdkdm cnos5*p64849;6``rlefj+`kjea$xe|boe-ehjank&ida}? v0>1:71<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&|:0>0=7:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4:36;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x><4<1b>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}? v0]7[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.t2[0Yffm:;<=?=d:llvhabf'lgnae tipnka)adfmbo"m`mq0,gdtuqgo\7f0=0=d:llvhabf'lgnae tipnka)adfmbo"m`mq0,gdtuqgo\7f0<0=d:llvhabf'lgnae tipnka)adfmbo"m`mq0,gdtuqgo\7f0?0=d:llvhabf'lgnae tipnka)adfmbo"m`mq0,gdtuqgo\7f0>0=d:llvhabf'lgnae tipnka)adfmbo"m`mq0,gdtuqgo\7f090<e:llvhabf'lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY3Y+`t|'gme\7f}{3c9mkwk`mg$m`obd/ujqijb(neelen!lolr1+`wdizo%ndi!mmrX5X(jam$fot=m;omqibci&ofi`f!{hsol`*`kgnch#nabp3-fufgtm'hbk#ccpZ0^*hoc&dir?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/ds`evc)j`m%aa~T3\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V:R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#h\7flarg-fla)eezP9P bie,ng|403geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=1>1269mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7;978<7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!y1=0=62=ig{glic ilcnh+qnuefn$jaahib-`khv5'\7f;7?3<8;omqibci&ofi`f!{hsol`*`kgnch#nabp3-u5929:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#{?35?0e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv5'\7f;T=Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=R<Paof34566:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#{?P3^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!y1^6\ekb789::>k5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/w3\1Zgil9:;<<<9;omqibci&ofi`f!{hsol`*`kgnch#nabp^dvhi75>2dd~`ijn/dofim(|axfci!ilnejg*eheyUmyab=219mkwk`mg$m`obd/uos[uhszVxThdhi<1<14>hhzdmnb#hcbmi,phvXxg~ySzQkigd?4;473geyajka.gnahn)px{ofci!]AL]TVZCI98l0bb|bgdl-bidkc&}ySio{a^alqkrXaV::j6``rlefj+`kjea${\7fQkauc\gjsi|VcT=?>4nnpnc`h)nehgg"y}_ecweZeh}g~TeR??219mkwk`mg$m`obd/vp\`drfWje~byQf_032b>hhzdmnb#hcbmi,swYci}kTob{at^k\64`<ffxfkh`!fm`oo*quWmk\7fmRm`uov\mZ56n2dd~`ijn/dofim(\7f{UomyoPcnwmpZoX<8l0bb|bgdl-bidkc&}ySio{a^alqkrXaV?:j6``rlefj+`kjea${\7fQkauc\gjsi|VcT:<h4nnpnc`h)nehgg"y}_ecweZeh}g~TeR9>f:llvhabf'lgnae ws]geqgXkf\7fexRgP80d8jjtjold%jalck.uq[agsiVidyczPi^;23>hhzdmnb#gabmi,vaYu{}Umn<o4nnpnc`h)aghgg"|k_sqw[lhsW9;37ca}mfgm*lhedb%yhR||t^pfc41<ffxfkh`!io`oo*quW{y\7fSkl>8:llvhabf'cenae ws]qwqYumn<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX8Vg~t=>?00]JJSY7><1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvpZ6Xe|r;<=>>649mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~xR?Pmtz34566<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>3:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw30?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0<0:7:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{84099<=0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*bh}}Ufyu2>7?363>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L dnww[hs\7f4825=894nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&ndyyQbuy>2=;72?2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,`jssWd\7fs0?>11458jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"j`uu]nq}:597;>;6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(lf\7f\7fS`{w<30=501<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q69?3?:7:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{87299<=0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*bh}}Ufyu2=5?363>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L dnww[hs\7f4;<5=894nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&ndyyQbuy>13;72?2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,`jssWd\7fs0?611458jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"j`uu]nq}:517;>;6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(lf\7f\7fS`{w<22=501<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.flqqYj}q68=3?:7:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$hb{{_lw{86499<=0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*bh}}Ufyu2<3?361>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L sdn\tlvb494>86``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D({lfT|d~j_16a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?1>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k97=3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;5858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5;;7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva7929<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1?1;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm3=4=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=37?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?1614c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>7<3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<5;58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;:7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0959<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?0;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=7=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:36?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i81914c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>743:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5:58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;97>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1949<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?7;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=6=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;35?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i91814c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?7;3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5258o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;87>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2979<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?6;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=1=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo834?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:1;14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<7:3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5=58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;07>>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qjn`4:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ;Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY1Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U<]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ?Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]2U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY5Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ3`?kiuenoe"`kbbmi,phvXzhgT~iQjn0f8jjtjold%ahcmlj-wiuYuidUyhRka199lr`tadf}j7}|`g^gntq\7f6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-43<x{elShc\7ftx]wlwct`!;;%<;4psmd[`kw|pU\7fd\7fk|h)32-42<x{elShc\7ftx]wlwct`!8"=95\7frne\ahvsqV~c~h}g(2+20>vugnUna}zv_ujqavn/< ;?7}|`g^gntq\7fX|axn\7fe&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,733yxdkRkbpu{\pmtb{a"2%<:4psmd[`kw|pU\7fd\7fk|h=2=50=wzfmTi`~{y^vkv`uo48:5=:5\7frne\ahvsqV~c~h}g<0394;723yxdkRkbpu{\pmtb{a6:=3?;;qplcZcjx}sTxe|jsi>2:42<x{elShc\7ftx]wlwct`585=95\7frne\ahvsqV~c~h}g<2<20>vugnUna}zv_ujqavn;<7;?7}|`g^gntq\7fX|axn\7fe2:>068twi`Wlg{xtQ{hsgpl9099=1{~biPelrw}Zrozlyc0:0>4:rqkbYbey~rSyf}erj?<;733yxdkRkbpu{\pmtb{a622<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 9#:n6~}of]fiur~W}byi~fParqfvq.6!8i0|\7fah_dosp|Ys`{oxdRo|sdpw,46.9j1{~biPelrw}ZrozlycSl}|esv+54/6j2zycjQjmqvz[qnumzbTm~}jru*1-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(2+2f>vugnUna}zv_ujqavnXizyn~y&;)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$8'>b:rqkbYbey~rSyf}erj\evubz}"=%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts >#:n6~}of]fiur~W}byi~fParqfvq.?!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,</6j2zycjQjmqvz[qnumzbTm~}jru>3:4e<x{elShc\7ftx]wlwct`Vkx\7fh|{<02=5`=wzfmTi`~{y^vkv`uoWhyxi\7fz31083:4e<x{elShc\7ftx]wlwct`Vkx\7fh|{<03=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz31?3a?uthoVof|ywPtipfwmYf{zoyx1<11c9svjaXmdz\7fuRzgrdqk[dutm{~7?3?m;qplcZcjx}sTxe|jsi]bwvcu|5>5=o5\7frne\ahvsqV~c~h}g_`qpawr;=7;i7}|`g^gntq\7fX|axn\7feQnsrgqp9099k1{~biPelrw}ZrozlycSl}|esv?3;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=:=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz39?3a?uthoVof|ywPtipfwmYimnk\7fi%>&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#=$?l;qplcZcjx}sTxe|jsi]mabgsm!;;%<m4psmd[`kw|pU\7fd\7fk|h^lfcdrb 8;"=o5\7frne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntq\7fX|axn\7feQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnk\7fi%9&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#4$?m;qplcZcjx}sTxe|jsi]mabgsm!3"=o5\7frne\ahvsqV~c~h}g_ogdeqc;87;h7}|`g^gntq\7fX|axn\7feQaefcwa97768o0|\7fah_dosp|Ys`{oxdR`jg`vf847=87;h7}|`g^gntq\7fX|axn\7feQaefcwa97668h0|\7fah_dosp|Ys`{oxdR`jg`vf8486j2zycjQjmqvz[qnumzbTbhintd>1:4d<x{elShc\7ftx]wlwct`Vdnklzj<2<2f>vugnUna}zv_ujqavnXflmjxh2;>0`8twi`Wlg{xtQ{hsgplZhboh~n080>b:rqkbYbey~rSyf}erj\j`af|l6=2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb4>4:n6~}of]fiur~W}byi~fPndebp`:?68h0|\7fah_dosp|Ys`{oxdR`jg`vf8<8>3yxdkRhzlm30?uthoVl~`aQ{hsgpl-6.9:1{~biPftno[qnumzb#=$?;;qplcZ`rdeU\7fd\7fk|h)33-42<x{elSk{cl^vkv`uo 8;"=>5\7frne\bpjkW}byi~f'2(30?uthoVl~`aQ{hsgpl-5.9:1{~biPftno[qnumzb#8$?<;qplcZ`rdeU\7fd\7fk|h)7*56=wzfmTjxbc_ujqavn/> ;87}|`g^dvhiYs`{oxd%9&129svjaXn|fgSyf}erj+<,743yxdkRhzlm]wlwct`!3"=>5\7frne\bpjkW}byi~f30?37?uthoVl~`aQ{hsgpl97768<0|\7fah_gwohZrozlyc0<?50?37?uthoVl~`aQ{hsgpl9766890|\7fah_gwohZrozlyc0<0>3:rqkbYa}efTxe|jsi>1:45<x{elSk{cl^vkv`uo4:4:?6~}of]eqijX|axn\7fe2;>018twi`Wo\7fg`Rzgrdqk8086;2zycjQiumn\pmtb{a6=2<=4psmd[cskdV~c~h}g<6<27>vugnUmyabPtipfwm:?6890|\7fah_gwohZrozlyc040>a:rqkbYa}efTxe|jsi]bwvcu|!:"=l5\7frne\bpjkW}byi~fParqfvq.6!8h0|\7fah_gwohZrozlycSl}|esv+55/6j2zycjQiumn\pmtb{aUj\7f~k}t)32-4g<x{elSk{cl^vkv`uoWhyxi\7fz'2(3b?uthoVl~`aQ{hsgplZgt{lx\7f$>'>a:rqkbYa}efTxe|jsi]bwvcu|!>"=l5\7frne\bpjkW}byi~fParqfvq.2!8k0|\7fah_gwohZrozlycSl}|esv+2,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(6+2e>vugnUmyabPtipfwmYf{zoyx%6&1`9svjaXn|fgSyf}erj\evubz}"2%<o4psmd[cskdV~c~h}g_`qpawr;87;i7}|`g^dvhiYs`{oxdRo|sdpw84699m1{~biPftno[qnumzbTm~}jru>25?699k1{~biPftno[qnumzbTm~}jru>25;7f3yxdkRhzlm]wlwct`Vkx\7fh|{<0<2e>vugnUmyabPtipfwmYf{zoyx1<11`9svjaXn|fgSyf}erj\evubz}682<o4psmd[cskdV~c~h}g_`qpawr;<7;j7}|`g^dvhiYs`{oxdRo|sdpw8086i2zycjQiumn\pmtb{aUj\7f~k}t=4=5d=wzfmTjxbc_ujqavnXizyn~y28>0c8twi`Wo\7fg`Rzgrdqk[dutm{~743?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts404:m6~}of]eqijX|axn\7feQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4d<x{elSk{cl^vkv`uoWgolmyk'11+2f>vugnUmyabPtipfwmYimnk\7fi%?>)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#>$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb :#:m6~}of]eqijX|axn\7feQaefcwa-2.9h1{~biPftno[qnumzbTbhintd*6-4g<x{elSk{cl^vkv`uoWgolmyk'6(3b?uthoVl~`aQ{hsgplZhboh~n$:'>a:rqkbYa}efTxe|jsi]mabgsm!2"=l5\7frne\bpjkW}byi~fPndebp`.>!8k0|\7fah_gwohZrozlycSckhaug?4;7e3yxdkRhzlm]wlwct`Vdnklzj<02=5a=wzfmTjxbc_ujqavnXflmjxh2>1;2=5g=wzfmTjxbc_ujqavnXflmjxh2>1?3b?uthoVl~`aQ{hsgplZhboh~n0<0>a:rqkbYa}efTxe|jsi]mabgsm585=l5\7frne\bpjkW}byi~fPndebp`:468k0|\7fah_gwohZrozlycSckhaug?0;7f3yxdkRhzlm]wlwct`Vdnklzj<4<2e>vugnUmyabPtipfwmYimnk\7fi1811`9svjaXn|fgSyf}erj\j`af|l6<2<o4psmd[cskdV~c~h}g_ogdeqc;07;j7}|`g^dvhiYs`{oxdR`jg`vf8<813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-47<zmUomyoPcnwmp-77!8;0~iQkauc\gjsi|!;:%<>4re]geqgXkf\7fex%<&119q`Zbf|hUhcx`{(2+24>tcWmk\7fmRm`uov+0,773{nThlzn_bmvjq.2!8:0~iQkauc\gjsi|!<"==5}d^fbpdYdg|d\7f$:'>0:pg[agsiVidycz'8(33?wbXlh~jSnaznu*:-46<zmUomyoPcnwmp969981yhRjnt`]`kphs48:5=>5}d^fbpdYdg|d\7f0<?50?32?wbXlh~jSnaznu>25;773{nThlzn_bmvjq:668:0~iQkauc\gjsi|585==5}d^fbpdYdg|d\7f0>0>0:pg[agsiVidycz34?33?wbXlh~jSnaznu>6:46<zmUomyoPcnwmp909991yhRjnt`]`kphs4>4:<6|k_ecweZeh}g~743??;sf\`drfWje~by26>49q`Zci>2xoS\7f}{3:ppp2=tj`~n~\7f:4ssqw=>sillxm`by:;wcoma0<\7f{Ujof84ws]`hn773~xThlzn_bmvjq.7!8:0{\7fQkauc\gjsi|!;"=<5xr^fbpdYdg|d\7f$<>&109tvZbf|hUhcx`{(03*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkf\7fex%;&119tvZbf|hUhcx`{(7+24>quWmk\7fmRm`uov+3,773~xThlzn_bmvjq.?!8:0{\7fQkauc\gjsi|!3"==5xr^fbpdYdg|d\7f0=0>1:uq[agsiVidycz311<27>quWmk\7fmRm`uov?54<768;0{\7fQkauc\gjsi|5;:2<>4ws]geqgXkf\7fex1?1119tvZbf|hUhcx`{<3<24>quWmk\7fmRm`uov?7;773~xThlzn_bmvjq:368:0{\7fQkauc\gjsi|5?5==5xr^fbpdYdg|d\7f0;0>0:uq[agsiVidycz37?33?rtXlh~jSnaznu>;:46<\7f{UomyoPcnwmp9?9=2}ySh`9;vp\vvr692ÉÀ±°È±×ÍÆ½Ã¹¹×°º°n7Ṵ̈ÐͼÔÁ¾ÏÇ´ÌÏvLM~>a`08DE~5nj0M694>{R4g>6`62?0:??6ja382f035sg8m97?4n3d5>1=#:o91>hm4}R4`>6`62?0:??6ja382f0353Z;o:7=i8;29564?mh81=o;:2:Q5g?5a03:1=><7e`095g32=2n8j;4?:082\7fV0c2:l:6;4>33:fe7<6j<?97)<i1;1e7>pS:j:1<7?51;130~U1l39m=785120;ad4=9k?>>6*=cb82`2=Q:o>1>v{>dg82?p7b83:0q)<:1;10?g5a>3:1:>4<:71xL7e>3S<:69u>f;03>7d=:00v(?m::2d5?!4a939m?6T=f381\7f6`42:l86pg77b83>>i?>?0;6)<:3;:45>h5=;0;76a76483>!42;32<=6`=5382?>i?>l0;6)<:3;:45>h5=;0976a76e83>!42;32<=6`=5380?>i?>j0;6)<:3;:45>h5=;0?76a76c83>!42;32<=6`=5386?>i?>h0;6)<:3;:45>h5=;0=76a76883>!42;32<=6`=5384?>i?>10;6)<:3;:45>h5=;0376a76683>!42;32<=6`=538:?>i?>=0;6)<:3;:45>h5=;0j76a76283>!42;32<=6`=538a?>o?1l0;66a78983>!42;322?6`=5383?>i?0>0;6)<:3;::7>h5=;0:76a79183>!42;322?6`=5381?>i?0o0;6)<:3;::7>h5=;0876a78d83>!42;322?6`=5387?>i?0m0;6)<:3;::7>h5=;0>76a78b83>!42;322?6`=5385?>i?0k0;6)<:3;::7>h5=;0<76a78`83>!42;322?6`=538;?>i?000;6)<:3;::7>h5=;0276a78783>!42;322?6`=538b?>i?0<0;6)<:3;::7>h5=;0i76a<f483>>i4n;0;66g78583>>o??l0;66a<c683>!42;39n46`=5383?>i4k?0;6)<:3;1f<>h5=;0:76a<c483>!42;39n46`=5381?>i4k=0;6)<:3;1f<>h5=;0876a<c383>!42;39n46`=5387?>i4k80;6)<:3;1f<>h5=;0>76a<c183>!42;39n46`=5385?>i4jo0;6)<:3;1f<>h5=;0<76a<bd83>!42;39n46`=538;?>i4jm0;6)<:3;1f<>h5=;0276a<bb83>!42;39n46`=538b?>i4jk0;6)<:3;1f<>h5=;0i76a<b`83>!42;39n46`=538`?>i4j00;6)<:3;1f<>h5=;0o76a<b683>!42;39n46`=538f?>i4j?0;6)<:3;1f<>h5=;0m76a<b483>!42;39n46`=53824>=h;k>1<7*=5280a==i:<81=<54o2`0>5<#:<91?h64n371>44<3f9i>7>5$370>6c?3g8>>7?<;:m0f4<72-8>?7=j8:l117<6<21d?o>50;&116<4m11e>8<51498k6ga290/>8=53d:8j73528<07b=ne;29 7342:o37c<:2;34?>i4km0;6)<:3;1f<>h5=;0:465`3ba94?"5=:08i55a24095<=<g:ii6=4+24197`><f;?96<o4;n1`e?6=,;?86>k7;o066?7e32e8o44?:%067?5b02d99?4>c:9l7f>=83.99>4<e99m604=9m10c>m<:18'605=;l20b?;=:0g8?j5e03:1(?;<:2g;?k42:3;m76a<ae83>!42;39n46`=53814>=h;hi1<7*=5280a==i:<81><54i2:1>5<#:<91?l=4n371>5=<a:2:6=4+24197d5<f;?96<54i2:3>5<#:<91?l=4n371>7=<a:=m6=4+24197d5<f;?96>54i25g>5<#:<91?l=4n371>1=<a:=h6=4+24197d5<f;?96854i25a>5<#:<91?l=4n371>3=<a:=j6=4+24197d5<f;?96:54i25:>5<#:<91?l=4n371>==<a:=36=4+24197d5<f;?96454i254>5<#:<91?l=4n371>d=<a:==6=4+24197d5<f;?96o54i256>5<#:<91?l=4n371>f=<a:=?6=4+24197d5<f;?96i54i251>5<#:<91?l=4n371>`=<a:=:6=4+24197d5<f;?96k54i253>5<#:<91?l=4n371>46<3`9=j7>5$370>6g43g8>>7?>;:k02`<72-8>?7=n3:l117<6:21b?;j50;&116<4i:1e>8<51298m60d290/>8=53`18j73528>07d=9b;29 7342:k87c<:2;36?>o4>h0;6)<:3;1b7>h5=;0::65f37;94?"5=:08m>5a240952=<a:236=4+24197d5<f;?96<64;h1;3?6=,;?86>o<;o066?7>32c84;4?:%067?5f;2d99?4>a:9j7=3=83.99>4<a29m604=9k10e>6;:18'605=;h90b?;=:0a8?l5?;3:1(?;<:2c0?k42:3;o76g<7d83>!42;39j?6`=5382a>=n;>91<7*=5280e6=i:<81=k54i24;>5<#:<91?l=4n371>76<3`9=;7>5$370>6g43g8>>7<>;:k;27<722h9oo4?:083>5}O:j30(?m::3aa?j4283:17pl>7d83>4<729qC>n74$3a6>41b3f;<h7>5;|`54?6=k>086o;tH3a:?_0628<ph7?>:0d9a?4728:1>o4=9;31>41=n3;<6?>52c82b?c=:00:>7?>:029b?b=u-8h97=i7:&2`6<6?j1/>k?53g18 72f2;>n7b<i7;29?l>0l3:17d9le;29 7342>n27c<:2;28?l1dl3:1(?;<:6f:?k42:3;07d9k6;29 7342>n27c<:2;08?l1c=3:1(?;<:6f:?k42:3907d9k4;29 7342>n27c<:2;68?l1c;3:1(?;<:6f:?k42:3?07d9k2;29 7342>n27c<:2;48?l1c93:1(?;<:6f:?k42:3=07d9k0;29 7342>n27c<:2;:8?l1dn3:1(?;<:6f:?k42:3307d9lc;29 7342>n27c<:2;c8?l1dj3:1(?;<:6f:?k42:3h07b6>6;29?l>?83:17b672;29?l>4;3:1(?;<:91f?k42:3:07d6<2;29 734219n7c<:2;38?l>4j3:1(?;<:91f?k42:3807d6<a;29 734219n7c<:2;18?l>413:1(?;<:91f?k42:3>07d6<8;29 734219n7c<:2;78?l>4?3:1(?;<:91f?k42:3<07d6<6;29 734219n7c<:2;58?l>4=3:1(?;<:91f?k42:3207d6<4;29 734219n7c<:2;;8?l>493:1(?;<:91f?k42:3k07d6<0;29 734219n7c<:2;`8?l>0k3:17b9la;29?j1d13:17d<ia;29?j>1>3:1(?;<:952?k42:3:07b695;29 73421=:7c<:2;38?j>1m3:1(?;<:952?k42:3807b69d;29 73421=:7c<:2;18?j>1k3:1(?;<:952?k42:3>07b69b;29 73421=:7c<:2;78?j>1i3:1(?;<:952?k42:3<07b699;29 73421=:7c<:2;58?j>103:1(?;<:952?k42:3207b697;29 73421=:7c<:2;;8?j>1<3:1(?;<:952?k42:3k07b693;29 73421=:7c<:2;`8?l1b?3:1(?;<:6d1?k42:3:07d9j6;29 7342>l97c<:2;38?l1bn3:1(?;<:6d1?k42:3807d9je;29 7342>l97c<:2;18?l1bl3:1(?;<:6d1?k42:3>07d9jc;29 7342>l97c<:2;78?l1bj3:1(?;<:6d1?k42:3<07d9ja;29 7342>l97c<:2;58?l1b13:1(?;<:6d1?k42:3207d9j8;29 7342>l97c<:2;;8?l1b=3:1(?;<:6d1?k42:3k07d9j4;29 7342>l97c<:2;`8?l>>m3:17b678;29 73421387c<:2;28?j>??3:1(?;<:9;0?k42:3;07b660;29 73421387c<:2;08?j>?n3:1(?;<:9;0?k42:3907b67e;29 73421387c<:2;68?j>?l3:1(?;<:9;0?k42:3?07b67c;29 73421387c<:2;48?j>?j3:1(?;<:9;0?k42:3=07b67a;29 73421387c<:2;:8?j>?13:1(?;<:9;0?k42:3307b676;29 73421387c<:2;c8?j>?=3:1(?;<:9;0?k42:3h07b=i5;29?j5a:3:17d674;29?l>6i3:1(?;<:906?k42:3:07d6>9;29 734218>7c<:2;38?l>5:3:1(?;<:906?k42:3807d6=1;29 734218>7c<:2;18?l>583:1(?;<:906?k42:3>07d6>f;29 734218>7c<:2;78?l>6m3:1(?;<:906?k42:3<07d6>d;29 734218>7c<:2;58?l>6k3:1(?;<:906?k42:3207d6>b;29 734218>7c<:2;;8?l>603:1(?;<:906?k42:3k07d6>7;29 734218>7c<:2;`8?l4aj3:17d66f;29?j>0n3:17b673;29?j>?93:17d68e;29?j5d?3:1(?;<:2g;?k42:3:07b=l6;29 7342:o37c<:2;38?j5d=3:1(?;<:2g;?k42:3807b=l4;29 7342:o37c<:2;18?j5d:3:1(?;<:2g;?k42:3>07b=l1;29 7342:o37c<:2;78?j5d83:1(?;<:2g;?k42:3<07b=mf;29 7342:o37c<:2;58?j5em3:1(?;<:2g;?k42:3207b=md;29 7342:o37c<:2;;8?j5ek3:1(?;<:2g;?k42:3k07b=mb;29 7342:o37c<:2;`8?j5ei3:1(?;<:2g;?k42:3i07b=m9;29 7342:o37c<:2;f8?j5e?3:1(?;<:2g;?k42:3o07b=m6;29 7342:o37c<:2;d8?j5e=3:1(?;<:2g;?k42:3;;76a<b583>!42;39n46`=53825>=h;k91<7*=5280a==i:<81=?54o2`1>5<#:<91?h64n371>45<3f9i=7>5$370>6c?3g8>>7?;;:m0f5<72-8>?7=j8:l117<6=21d?lh50;&116<4m11e>8<51798k6gb290/>8=53d:8j73528=07b=ld;29 7342:o37c<:2;3;?>i4kj0;6)<:3;1f<>h5=;0:565`3b`94?"5=:08i55a24095d=<g:ij6=4+24197`><f;?96<l4;n1`=?6=,;?86>k7;o066?7d32e8o54?:%067?5b02d99?4>d:9l7f5=83.99>4<e99m604=9l10c>l7:18'605=;l20b?;=:0d8?j5fl3:1(?;<:2g;?k42:38;76a<ab83>!42;39n46`=53815>=n;181<7*=5280e6=i:<81<65f39394?"5=:08m>5a24095>=n;1:1<7*=5280e6=i:<81>65f36d94?"5=:08m>5a24097>=n;>n1<7*=5280e6=i:<81865f36a94?"5=:08m>5a24091>=n;>h1<7*=5280e6=i:<81:65f36c94?"5=:08m>5a24093>=n;>31<7*=5280e6=i:<81465f36:94?"5=:08m>5a2409=>=n;>=1<7*=5280e6=i:<81m65f36494?"5=:08m>5a2409f>=n;>?1<7*=5280e6=i:<81o65f36694?"5=:08m>5a2409`>=n;>81<7*=5280e6=i:<81i65f36394?"5=:08m>5a2409b>=n;>:1<7*=5280e6=i:<81==54i24e>5<#:<91?l=4n371>47<3`9=i7>5$370>6g43g8>>7?=;:k02a<72-8>?7=n3:l117<6;21b?;m50;&116<4i:1e>8<51598m60e290/>8=53`18j73528?07d=9a;29 7342:k87c<:2;35?>o4>00;6)<:3;1b7>h5=;0:;65f39:94?"5=:08m>5a24095==<a:2<6=4+24197d5<f;?96<74;h1;2?6=,;?86>o<;o066?7f32c8484?:%067?5f;2d99?4>b:9j7=2=83.99>4<a29m604=9j10e>6<:18'605=;h90b?;=:0f8?l50m3:1(?;<:2c0?k42:3;n76g<7283>!42;39j?6`=5382b>=n;?21<7*=5280e6=i:<81>=54i244>5<#:<91?l=4n371>77<3f8m47>5;h0e=?6=3`2?o7>5$370>=303g8>>7>4;h:7f?6=,;?865;8;o066?7<3`2>87>5$370>=303g8>>7<4;h:67?6=,;?865;8;o066?5<3`2>>7>5$370>=303g8>>7:4;h:65?6=,;?865;8;o066?3<3`2><7>5$370>=303g8>>784;h:7b?6=,;?865;8;o066?1<3`2?i7>5$370>=303g8>>764;h:7`?6=,;?865;8;o066??<3`2?m7>5$370>=303g8>>7o4;h:7=?6=,;?865;8;o066?d<3f9;<7>5$370>66f3g8>>7>4;n0eb?6=,;?86>>n;o066?7<3f9;47>5$370>66f3g8>>7<4;n133?6=,;?86>>n;o066?5<3f9;:7>5$370>66f3g8>>7:4;n131?6=,;?86>>n;o066?3<3f9;87>5$370>66f3g8>>784;n137?6=,;?86>>n;o066?1<3f9;>7>5$370>66f3g8>>764;n135?6=,;?86>>n;o066??<3f8mi7>5$370>66f3g8>>7o4;n0e`?6=,;?86>>n;o066?d<3f9;n7>5;h:34?6=,;?865>m;o066?6<3`=mj7>5$370>=6e3g8>>7?4;h:3<?6=,;?865>m;o066?4<3`2;;7>5$370>=6e3g8>>7=4;h:32?6=,;?865>m;o066?2<3`2;97>5$370>=6e3g8>>7;4;h:30?6=,;?865>m;o066?0<3`2;?7>5$370>=6e3g8>>794;h:36?6=,;?865>m;o066?><3`2;=7>5$370>=6e3g8>>774;h5ea?6=,;?865>m;o066?g<3`=mh7>5$370>=6e3g8>>7l4;h:56?6=3k8nj7>51;294~"5k<0:;h5G2dg8L7e>3f;<h7>5;|`1g3<728886?86:3`b\7fM4d12.9o84<f59Y24<6;r;o6<k51982=?7f28>1=;4>b;30>4e=:h0:9765298`>g<f200<6p*7a08;e5=h?80;66a80;29?l4e?3:17b;j:188m7dd2900c;h50;9l37<722e9hn4?::m22c<722c9io4?::m1g2<722e>h7>5;h0b=?6=3f8?j7>5;n0`e?6=3f;<n7>5;n061?6=3`8oh7>5;n04`?6=3f9><7>5$370>62a3g8>>7>4;n17a?6=,;?86>:i;o066?7<3f9>57>5$370>62a3g8>>7<4;n16<?6=,;?86>:i;o066?5<3f9>;7>5$370>62a3g8>>7:4;n162?6=,;?86>:i;o066?3<3f9>97>5$370>62a3g8>>784;n160?6=,;?86>:i;o066?1<3f9>?7>5$370>62a3g8>>764;n166?6=,;?86>:i;o066??<3f9>=7>5$370>62a3g8>>7o4;n17`?6=,;?86>:i;o066?d<3f9>h7>5$370>63d3g8>>7>4;n16f?6=,;?86>;l;o066?7<3f9=:7>5$370>63d3g8>>7<4;n151?6=,;?86>;l;o066?5<3f9=87>5$370>63d3g8>>7:4;n157?6=,;?86>;l;o066?3<3f9=>7>5$370>63d3g8>>784;n155?6=,;?86>;l;o066?1<3f9=<7>5$370>63d3g8>>764;n16b?6=,;?86>;l;o066??<3f9>i7>5$370>63d3g8>>7o4;n16e?6=,;?86>;l;o066?d<3f9;j7>5$370>66b3g8>>7>4;n13`?6=,;?86>>j;o066?7<3f9:47>5$370>66b3g8>>7<4;n123?6=,;?86>>j;o066?5<3f9::7>5$370>66b3g8>>7:4;n121?6=,;?86>>j;o066?3<3f9:87>5$370>66b3g8>>784;n127?6=,;?86>>j;o066?1<3f9:>7>5$370>66b3g8>>764;n125?6=,;?86>>j;o066??<3f9:<7>5$370>66b3g8>>7o4;n13g?6=,;?86>>j;o066?d<3f9:o7>5$370>67e3g8>>7>4;n12e?6=,;?86>?m;o066?7<3f9997>5$370>67e3g8>>7<4;n110?6=,;?86>?m;o066?5<3f99?7>5$370>67e3g8>>7:4;n116?6=,;?86>?m;o066?3<3f99=7>5$370>67e3g8>>784;n114?6=,;?86>?m;o066?1<3f9:j7>5$370>67e3g8>>764;n12a?6=,;?86>?m;o066??<3f9:h7>5$370>67e3g8>>7o4;n12=?6=,;?86>?m;o066?d<3f9957>5$370>64?3g8>>7>4;n113?6=,;?86><7;o066?7<3f98>7>5$370>64?3g8>>7<4;n105?6=,;?86><7;o066?5<3f98<7>5$370>64?3g8>>7:4;n11b?6=,;?86><7;o066?3<3f99i7>5$370>64?3g8>>784;n11`?6=,;?86><7;o066?1<3f99o7>5$370>64?3g8>>764;n11f?6=,;?86><7;o066??<3f99m7>5$370>64?3g8>>7o4;n112?6=,;?86><7;o066?d<3f286=4+2419<7=i:<81<65`8083>!42;3297c<:2;38?j>d290/>8=5839m604=:21d4o4?:%067?>53g8>>7=4;n:b>5<#:<914?5a24090>=h000;6)<:3;:1?k42:3?07b67:18'605=0;1e>8<56:9l<2<72-8>?76=;o066?1<3f2=6=4+2419<7=i:<81465`8483>!42;3297c<:2;;8?j>3290/>8=5839m604=i21d4=4?:%067?>53g8>>7l4;n0f5?6=,;?86?k?;o066?6<3f8oj7>5$370>7c73g8>>7?4;n0fe?6=,;?86?k?;o066?4<3f8n57>5$370>7c73g8>>7=4;n0f<?6=,;?86?k?;o066?2<3f8n;7>5$370>7c73g8>>7;4;n0f2?6=,;?86?k?;o066?0<3f8n97>5$370>7c73g8>>794;n0f0?6=,;?86?k?;o066?><3f8n?7>5$370>7c73g8>>774;n0f6?6=,;?86?k?;o066?g<3f8oi7>5$370>7c73g8>>7l4;n102?6=,;?86>=:;o066?6<3f9887>5$370>6523g8>>7?4;n10b?6=,;?86>=:;o066?4<3f98i7>5$370>6523g8>>7=4;n10`?6=,;?86>=:;o066?2<3f98o7>5$370>6523g8>>7;4;n10f?6=,;?86>=:;o066?0<3f98m7>5$370>6523g8>>794;n10=?6=,;?86>=:;o066?><3f9847>5$370>6523g8>>774;n103?6=,;?86>=:;o066?g<3f98?7>5$370>6523g8>>7l4;n55>5<#:<91;85a24094>=h?=0;6)<:3;56?k42:3;07b9i:18'605=?<1e>8<52:9l3`<72-8>?79:;o066?5<3f=o6=4+241930=i:<81865`7b83>!42;3=>7c<:2;78?j1e290/>8=5749m604=>21d;l4?:%067?123g8>>794;n5:>5<#:<91;85a2409<>=h?10;6)<:3;56?k42:3307b98:18'605=?<1e>8<5a:9l36<72-8>?79:;o066?d<3f9??7>5$370>6253g8>>7>4;n175?6=,;?86>:=;o066?7<3f9?o7>5$370>6253g8>>7<4;n17f?6=,;?86>:=;o066?5<3f9?m7>5$370>6253g8>>7:4;n17=?6=,;?86>:=;o066?3<3f9?47>5$370>6253g8>>784;n173?6=,;?86>:=;o066?1<3f9?:7>5$370>6253g8>>764;n171?6=,;?86>:=;o066??<3f9?87>5$370>6253g8>>7o4;n174?6=,;?86>:=;o066?d<3f;=:7>5$370>4023g8>>7>4;n350?6=,;?86<8:;o066?7<3f;=?7>5$370>4023g8>>7<4;n356?6=,;?86<8:;o066?5<3f;=<7>5$370>4023g8>>7:4;n36b?6=,;?86<8:;o066?3<3f;>i7>5$370>4023g8>>784;n36`?6=,;?86<8:;o066?1<3f;>o7>5$370>4023g8>>764;n36f?6=,;?86<8:;o066??<3f;>m7>5$370>4023g8>>7o4;n36=?6=,;?86<8:;o066?d<3f;>47>5$370>4023g8>>7m4;n363?6=,;?86<8:;o066?b<3f;>97>5$370>4023g8>>7k4;n360?6=,;?86<8:;o066?`<3f;>?7>5$370>4023g8>>7??;:m217<72-8>?7?95:l117<6921d=8?50;&116<6><1e>8<51398k437290/>8=51778j73528907b?;f;29 73428<>7c<:2;37?>i6<l0;6)<:3;351>h5=;0:965`15f94?"5=:0::85a240953=<g8>h6=4+2419533<f;?96<94;n35`?6=,;?86<8:;o066?7?32e::n4?:%067?71=2d99?4>9:9l53d=83.99>4>649m604=9h10c<8n:18'605=9??0b?;=:0`8?j7113:1(?;<:046?k42:3;h76a>6983>!42;3;=96`=5382`>=h9?=1<7*=528220=i:<81=h54o042>5<#:<91=;;4n371>4`<3f;>:7>5$370>4023g8>>7<?;:m20g<72-8>?7?95:l117<5921d>lj50;&116<5ij1e>8<50:9l6dd=83.99>4=ab9m604=921d>o850;&116<5ij1e>8<52:9l6g3=83.99>4=ab9m604=;21d>o:50;&116<5ij1e>8<54:9l6g5=83.99>4=ab9m604==21d>o<50;&116<5ij1e>8<56:9l6g7=83.99>4=ab9m604=?21d>o>50;&116<5ij1e>8<58:9l6d`=83.99>4=ab9m604=121d>lk50;&116<5ij1e>8<5a:9l6dg=83.99>4=ab9m604=j21b>;850;&116<5><1e>8<50:9j632=83.99>4=649m604=921b>;h50;&116<5><1e>8<52:9j63c=83.99>4=649m604=;21b>;j50;&116<5><1e>8<54:9j63e=83.99>4=649m604==21b>;l50;&116<5><1e>8<56:9j63g=83.99>4=649m604=?21b>;750;&116<5><1e>8<58:9j63>=83.99>4=649m604=121b>;950;&116<5><1e>8<5a:9j635=83.99>4=649m604=j21bio4?:%067?cf3g8>>7>4;hg:>5<#:<91il5a24095>=nm10;6)<:3;gb?k42:3807dk8:18'605=mh1e>8<53:9ja0<72-8>?7kn;o066?2<3`o?6=4+2419ad=i:<81965fe283>!42;3oj7c<:2;48?lc5290/>8=5e`9m604=?21bi<4?:%067?cf3g8>>764;hg3>5<#:<91il5a2409=>=nlo0;6)<:3;gb?k42:3k07djj:18'605=mh1e>8<5b:9j`a<72-8>?7kn;o066?e<3`nh6=4+2419ad=i:<81h65fd`83>!42;3oj7c<:2;g8?lb>290/>8=5e`9m604=n21bh54?:%067?cf3g8>>7??;:kg3?6=,;?86ho4n371>47<3`n=6=4+2419ad=i:<81=?54ie794?"5=:0nm6`=53827>=nl=0;6)<:3;gb?k42:3;?76gk3;29 7342lk0b?;=:078?lb5290/>8=5e`9m604=9?10ei?50;&116<bi2d99?4>7:9jb7<72-8>?7kn;o066?7?32cm=7>5$370>`g<f;?96<74;hd3>5<#:<91il5a24095d=<all1<7*=528fe>h5=;0:n65fed83>!42;3oj7c<:2;3`?>obl3:1(?;<:dc8j73528n07dkl:18'605=mh1e>8<51d98m`0=83.99>4ja:l117<6n21bho4?:%067?cf3g8>>7<?;:kg4?6=,;?86ho4n371>77<3`82n7>5$370>7?f3g8>>7>4;h0:=?6=,;?86?7n;o066?7<3`8j87>5$370>7?f3g8>>7<4;h0b7?6=,;?86?7n;o066?5<3`8j>7>5$370>7?f3g8>>7:4;h0b5?6=,;?86?7n;o066?3<3`8j<7>5$370>7?f3g8>>784;h0:b?6=,;?86?7n;o066?1<3`82i7>5$370>7?f3g8>>764;h0:`?6=,;?86?7n;o066??<3`82o7>5$370>7?f3g8>>7o4;h0:<?6=,;?86?7n;o066?d<3`83i7>5$370>7>c3g8>>7>4;h0;g?6=,;?86?6k;o066?7<3`82;7>5$370>7>c3g8>>7<4;h0:2?6=,;?86?6k;o066?5<3`8297>5$370>7>c3g8>>7:4;h0:0?6=,;?86?6k;o066?3<3`82?7>5$370>7>c3g8>>784;h0:6?6=,;?86?6k;o066?1<3`82=7>5$370>7>c3g8>>764;h0:4?6=,;?86?6k;o066??<3`83j7>5$370>7>c3g8>>7o4;h0;f?6=,;?86?6k;o066?d<3`83=7>5$370>7>73g8>>7>4;h04b?6=,;?86?6?;o066?7<3`83m7>5$370>7>73g8>>7<4;h0;=?6=,;?86?6?;o066?5<3`8347>5$370>7>73g8>>7:4;h0;3?6=,;?86?6?;o066?3<3`83:7>5$370>7>73g8>>784;h0;1?6=,;?86?6?;o066?1<3`8387>5$370>7>73g8>>764;h0;7?6=,;?86?6?;o066??<3`83>7>5$370>7>73g8>>7o4;h04a?6=,;?86?6?;o066?d<3`8<?7>5$370>7153g8>>7>4;h045?6=,;?86?9=;o066?7<3`8<o7>5$370>7153g8>>7<4;h04f?6=,;?86?9=;o066?5<3`8<m7>5$370>7153g8>>7:4;h04=?6=,;?86?9=;o066?3<3`8<47>5$370>7153g8>>784;h043?6=,;?86?9=;o066?1<3`8<:7>5$370>7153g8>>764;h041?6=,;?86?9=;o066??<3`8<87>5$370>7153g8>>7o4;h044?6=,;?86?9=;o066?d<3`8>57>5$370>73?3g8>>7>4;h063?6=,;?86?;7;o066?7<3`8=>7>5$370>73?3g8>>7<4;h055?6=,;?86?;7;o066?5<3`8=<7>5$370>73?3g8>>7:4;h06b?6=,;?86?;7;o066?3<3`8>i7>5$370>73?3g8>>784;h06`?6=,;?86?;7;o066?1<3`8>o7>5$370>73?3g8>>764;h06f?6=,;?86?;7;o066??<3`8>m7>5$370>73?3g8>>7o4;h062?6=,;?86?;7;o066?d<3k8nj7>51;294~"5k<0:;h5G2dg8L7e>3f;<h7>5;|`1b5<7280;6=u+2b796fd<@;on7E<l9:m115<722wi;:m50;694?6|,;i>6<97;I0fa>N5k01C9k5+19a95>o1:3:17d8j:188m7332900c?o::188yg10n3:197>50z&1g0<6?01C>hk4H3a:?M3a3-;3o7?4i7094?=n>>0;66g9e;29?l42<3:17b<n5;29?xd0?l0;694?:1y'6f3=9>20D?kj;I0`=>N2n2.:4n4>;h41>5<<a?o1<75f24694?=h:h?1<75rb65g>5<2290;w)<l5;34=>N5ml1C>n74H4d8 4>d281b:?4?::k53?6=3`<n6=44i377>5<<g;k>6=44}c73b?6==3:1<v*=c48233=O:lo0D?m6;%3;g?7<a?81<75f6683>>o1j3:17d8j:188k7g22900qo;>0;291?6=8r.9o84>789K6`c<@;i27)?7c;78m34=831b::4?::k5a?6=3`8>87>5;n0b1?6=3th>=>4?:483>5}#:j?1=:84H3gf?M4d12.:4n4>;h41>5<<a?=1<75f6c83>>o1m3:17b<n5;29?xd29?0;684?:1y'6f3=9><0D?kj;I0`=>"60j0:7d8=:188m31=831b:o4?::k5a?6=3f8j97>5;|`651<72<0;6=u+2b79520<@;on7E<l9:&2<f<63`<96=44i7594?=n>k0;66g9e;29?j4f=3:17pl:1483>0<729q/>n;51648L7cb3A8h56*>8b82?l052900e;950;9j2g<722c=i7>5;n0b1?6=3th>=44?:483>5}#:j?1=:84H3gf?M4d12.:4n4>;h41>5<<a?=1<75f6c83>>o1m3:17b<n5;29?xd29>0;684?:1y'6f3=9><0D?kj;I0`=>"60j0:7d8=:188m31=831b:o4?::k5a?6=3f8j97>5;|`65=<72<0;6=u+2b79520<@;on7E<l9:&2<f<63`<96=44i7594?=n>k0;66g9e;29?j4f=3:17pl:1383>0<729q/>n;51648L7cb3A8h56*>8b82?l052900e;950;9j2g<722c=i7>5;n0b1?6=3th>=l4?:483>5}#:j?1=:84H3gf?M4d12.:4n4>;h41>5<<a?=1<75f6c83>>o1m3:17b<n5;29?xd2980;684?:1y'6f3=9><0D?kj;I0`=>"60j0:7d8=:188m31=831b:o4?::k5a?6=3f8j97>5;|`7a4<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c6f0?6=<3:1<v*=c482``=O:lo0D?m6;h4;>5<<a1n1<75f2cf94?=h:=n1<75rb5db>5<4290;w)<l5;0f`>N5ml1C>n74i7:94?=n9m>1<75`25f94?=zj=o=6=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e<oh1<7=50;2x 7e22;oo7E<je:J1g<=n>10;66g>d583>>i5<m0;66sm4d:94?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl;fb83>6<729q/>n;52df8L7cb3A8h56g98;29?l7c<3:17b<;d;29?xd3mh0;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg2al3:1?7>50z&1g0<5mm1C>hk4H3a:?l0?2900e<j;:188k72c2900qo:jc;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn9hj:180>5<7s-8h97<jd:J1a`=O:j30e;650;9j5a2=831d>9j50;9~f1cb290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa0c`=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi8k>50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th><=4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`7b7<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c735?6=;3:1<v*=c481aa=O:lo0D?m6;h4;>5<<a8n?6=44o36g>5<<uk>m87>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj<:96=4<:183\7f!4d=38nh6F=ed9K6f?<a?21<75f1e694?=h:=n1<75rb5g1>5<3290;w)<l5;3ga>N5ml1C>n74i7:94?=n0m0;66g=be83>>i5<m0;66sm4g594?5=83:p(?m::3gg?M4bm2B9o45f6983>>o6l=0;66a=4e83>>{e<o21<7=50;2x 7e22;oo7E<je:J1g<=n>10;66g>d583>>i5<m0;66sm4e794?3=83:p(?m::05:?M4bm2B9o45+19a91>o1:3:17d88:188m3c=831b>8:50;9l6d3=831vn9j9:186>5<7s-8h97?86:J1a`=O:j30(<6l:09j27<722c=;7>5;h4a>5<<a?o1<75`2`794?=zj=n26=4::183\7f!4d=3;<:6F=ed9K6f?<,82h6<5f6383>>o1?3:17d8m:188m3c=831d>l;50;9~f1bd290>6=4?{%0`1?70>2B9ih5G2b;8 4>d281b:?4?::k53?6=3`<i6=44i7g94?=h:h?1<75rb5fb>5<2290;w)<l5;342>N5ml1C>n74$0:`>4=n>;0;66g97;29?l0e2900e;k50;9l6d3=831vn9jm:186>5<7s-8h97?86:J1a`=O:j30(<6l:09j27<722c=;7>5;h4a>5<<a?o1<75`2`794?=zj=nm6=4::183\7f!4d=3;<:6F=ed9K6f?<,82h6<5f6383>>o1?3:17d8m:188m3c=831d>l;50;9~f1bc290>6=4?{%0`1?70>2B9ih5G2b;8 4>d281b:?4?::k53?6=3`<i6=44i7g94?=h:h?1<75rb5ff>5<2290;w)<l5;342>N5ml1C>n74$0:`>4=n>;0;66g97;29?l0e2900e;k50;9l6d3=831vn9j7:186>5<7s-8h97?86:J1a`=O:j30(<6l:09j27<722c=;7>5;h4a>5<<a?o1<75`2`794?=zj=o;6=4::183\7f!4d=3;<:6F=ed9K6f?<,82h6<5f6383>>o1?3:17d8m:188m3c=831d>l;50;9~f1b0290>6=4?{%0`1?70>2B9ih5G2b;8 4>d281b:?4?::k53?6=3`<i6=44i7g94?=h:h?1<75rb5c4>5<3290;w)<l5;3ga>N5ml1C>n74i7:94?=n0m0;66g=be83>>i5<m0;66sm4`c94?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl;c183>6<729q/>n;52df8L7cb3A8h56g98;29?l7c<3:17b<;d;29?xd3ij0;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg2d93:1?7>50z&1g0<5mm1C>hk4H3a:?l0?2900e<j;:188k72c2900qo:ne;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn9m=:180>5<7s-8h97<jd:J1a`=O:j30e;650;9j5a2=831d>9j50;9~f1d7290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa0f5=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi8o<50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th?o94?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`7f1<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c6`1?6=;3:1<v*=c481aa=O:lo0D?m6;h4;>5<<a8n?6=44o36g>5<<uk>i:7>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj=i=6=4<:183\7f!4d=38nh6F=ed9K6f?<a?21<75f1e694?=h:=n1<75rb5`;>5<3290;w)<l5;3ga>N5ml1C>n74i7:94?=n0m0;66g=be83>>i5<m0;66sm4b594?5=83:p(?m::3gg?M4bm2B9o45f6983>>o6l=0;66a=4e83>>{e<kk1<7:50;2x 7e228nn7E<je:J1g<=n>10;66g7d;29?l4el3:17b<;d;29?xd3k10;6>4?:1y'6f3=:ln0D?kj;I0`=>o103:17d?k4;29?j43l3:17pl;a983>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo:md;297?6=8r.9o84=ee9K6`c<@;i27d87:188m4b32900c?:k:188yg2em3:1?7>50z&1g0<5mm1C>hk4H3a:?l0?2900e<j;:188k72c2900qo:91;291?6=8r.9o84>789K6`c<@;i27)?7c;78m34=831b::4?::k5a?6=3`8>87>5;n0b1?6=3th?:?4?:483>5}#:j?1=:84H3gf?M4d12.:4n4>;h41>5<<a?=1<75f6c83>>o1m3:17b<n5;29?xd3><0;684?:1y'6f3=9><0D?kj;I0`=>"60j0:7d8=:188m31=831b:o4?::k5a?6=3f8j97>5;|`72=<72<0;6=u+2b79520<@;on7E<l9:&2<f<63`<96=44i7594?=n>k0;66g9e;29?j4f=3:17pl;6783>0<729q/>n;51648L7cb3A8h56*>8b82?l052900e;950;9j2g<722c=i7>5;n0b1?6=3th?::4?:483>5}#:j?1=:84H3gf?M4d12.:4n4>;h41>5<<a?=1<75f6c83>>o1m3:17b<n5;29?xd3>k0;684?:1y'6f3=9><0D?kj;I0`=>"60j0:7d8=:188m31=831b:o4?::k5a?6=3f8j97>5;|`72<<72<0;6=u+2b79520<@;on7E<l9:&2<f<63`<96=44i7594?=n>k0;66g9e;29?j4f=3:17pl;6`83>0<729q/>n;51648L7cb3A8h56*>8b82?l052900e;950;9j2g<722c=i7>5;n0b1?6=3th?:94?:483>5}#:j?1=:84H3gf?M4d12.:4n4>;h41>5<<a?=1<75f6c83>>o1m3:17b<n5;29?xd3>j0;684?:1y'6f3=9><0D?kj;I0`=>"60j0:7d8=:188m31=831b:o4?::k5a?6=3f8j97>5;|`726<72<0;6=u+2b79520<@;on7E<l9:&2<f<63`<96=44i7594?=n>k0;66g9e;29?j4f=3:17pl;3283>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo:<6;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn9:l:180>5<7s-8h97<jd:J1a`=O:j30e;650;9j5a2=831d>9j50;9~f15?290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa01b=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi8>o50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th?8h4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`77f<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c67b?6=;3:1<v*=c481aa=O:lo0D?m6;h4;>5<<a8n?6=44o36g>5<<uk>8i7>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj=?;6=4<:183\7f!4d=38nh6F=ed9K6f?<a?21<75f1e694?=h:=n1<75rb563>5<3290;w)<l5;3ga>N5ml1C>n74i7:94?=n0m0;66g=be83>>i5<m0;66sm44394?5=83:p(?m::3gg?M4bm2B9o45f6983>>o6l=0;66a=4e83>>{e<=81<7:50;2x 7e228nn7E<je:J1g<=n>10;66g7d;29?l4el3:17b<;d;29?xd3=;0;6>4?:1y'6f3=:ln0D?kj;I0`=>o103:17d?k4;29?j43l3:17pl;4583>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo::3;297?6=8r.9o84=ee9K6`c<@;i27d87:188m4b32900c?:k:188yg23>3:187>50z&1g0<6ll1C>hk4H3a:?l0?2900e5j50;9j6gb=831d>9j50;9~f13329086=4?{%0`1?4bl2B9ih5G2b;8m3>=831b=i:50;9l61b=831vn9=;:187>5<7s-8h97?ke:J1a`=O:j30e;650;9j<a<722c9ni4?::m10a<722wi89750;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa01g=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi84l50;794?6|,;i>6<99;I0fa>N5k01/=5m51:k56?6=3`<<6=44i7`94?=n>l0;66a=a483>>{e<0i1<7;50;2x 7e228==7E<je:J1g<=#91i1=6g92;29?l002900e;l50;9j2`<722e9m84?::\7fa0<`=83?1<7>t$3a6>4113A8ni6F=c89'5=e=92c=>7>5;h44>5<<a?h1<75f6d83>>i5i<0;66sm4`294?3=83:p(?m::055?M4bm2B9o45+19a95>o1:3:17d88:188m3d=831b:h4?::m1e0<722wi8l?50;794?6|,;i>6<99;I0fa>N5k01/=5m51:k56?6=3`<<6=44i7`94?=n>l0;66a=a483>>{e<h81<7;50;2x 7e228==7E<je:J1g<=#91i1=6g92;29?l002900e;l50;9j2`<722e9m84?::\7fa0d5=83?1<7>t$3a6>4113A8ni6F=c89'5=e=92c=>7>5;h44>5<<a?h1<75f6d83>>i5i<0;66sm4`694?3=83:p(?m::055?M4bm2B9o45+19a95>o1:3:17d88:188m3d=831b:h4?::m1e0<722wi8l;50;794?6|,;i>6<99;I0fa>N5k01/=5m51:k56?6=3`<<6=44i7`94?=n>l0;66a=a483>>{e<h<1<7;50;2x 7e228==7E<je:J1g<=#91i1=6g92;29?l002900e;l50;9j2`<722e9m84?::\7fa0<b=83?1<7>t$3a6>4113A8ni6F=c89'5=e=92c=>7>5;h44>5<<a?h1<75f6d83>>i5i<0;66sm48g94?3=83:p(?m::055?M4bm2B9o45+19a95>o1:3:17d88:188m3d=831b:h4?::m1e0<722wi8;j50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th?;=4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk>3:7>53;294~"5k<09ii5G2dg8L7e>3`<36=44i0f7>5<<g;>o6=44}c646?6=<3:1<v*=c482``=O:lo0D?m6;h4;>5<<a1n1<75f2cf94?=h:=n1<75rb5:4>5<4290;w)<l5;0f`>N5ml1C>n74i7:94?=n9m>1<75`25f94?=zj==?6=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e<121<7=50;2x 7e22;oo7E<je:J1g<=n>10;66g>d583>>i5<m0;66sm46494?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl;8883>6<729q/>n;52df8L7cb3A8h56g98;29?l7c<3:17b<;d;29?xd3?10;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg2?i3:1?7>50z&1g0<5mm1C>hk4H3a:?l0?2900e<j;:188k72c2900qo:8a;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn96m:180>5<7s-8h97<jd:J1a`=O:j30e;650;9j5a2=831d>9j50;9~f11d290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa0=e=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi8:k50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th?4i4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`7<5<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c6;a?6=;3:1<v*=c481aa=O:lo0D?m6;h4;>5<<a8n?6=44o36g>5<<uk>=i7>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj=286=4<:183\7f!4d=38nh6F=ed9K6f?<a?21<75f1e694?=h:=n1<75rb5:7>5<4290;w)<l5;0f`>N5ml1C>n74i7:94?=n9m>1<75`25f94?=zj=8<6=4::183\7f!4d=3;<:6F=ed9K6f?<,82h6<5f6383>>o1?3:17d8m:188m3c=831d>l;50;9~f14?290>6=4?{%0`1?70>2B9ih5G2b;8 4>d281b:?4?::k53?6=3`<i6=44i7g94?=h:h?1<75rb50a>5<2290;w)<l5;342>N5ml1C>n74$0:`>4=n>;0;66g97;29?l0e2900e;k50;9l6d3=831vn9<l:186>5<7s-8h97?86:J1a`=O:j30(<6l:09j27<722c=;7>5;h4a>5<<a?o1<75`2`794?=zj=8o6=4::183\7f!4d=3;<:6F=ed9K6f?<,82h6<5f6383>>o1?3:17d8m:188m3c=831d>l;50;9~f14b290>6=4?{%0`1?70>2B9ih5G2b;8 4>d281b:?4?::k53?6=3`<i6=44i7g94?=h:h?1<75rb50e>5<2290;w)<l5;342>N5ml1C>n74$0:`>4=n>;0;66g97;29?l0e2900e;k50;9l6d3=831vn9=?:186>5<7s-8h97?86:J1a`=O:j30(<6l:09j27<722c=;7>5;h4a>5<<a?o1<75`2`794?=zj=9:6=4::183\7f!4d=3;<:6F=ed9K6f?<,82h6<5f6383>>o1?3:17d8m:188m3c=831d>l;50;9~f155290>6=4?{%0`1?70>2B9ih5G2b;8 4>d281b:?4?::k53?6=3`<i6=44i7g94?=h:h?1<75rb50:>5<2290;w)<l5;342>N5ml1C>n74$0:`>4=n>;0;66g97;29?l0e2900e;k50;9l6d3=831vn9<n:186>5<7s-8h97?86:J1a`=O:j30(<6l:09j27<722c=;7>5;h4a>5<<a?o1<75`2`794?=zj:l26=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e;oi1<7:50;2x 7e228nn7E<je:J1g<=n>10;66g7d;29?l4el3:17b<;d;29?xd39;0;6>4?:1y'6f3=:ln0D?kj;I0`=>o103:17d?k4;29?j43l3:17pl<fd83>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo:>3;297?6=8r.9o84=ee9K6`c<@;i27d87:188m4b32900c?:k:188yg2783:187>50z&1g0<6ll1C>hk4H3a:?l0?2900e5j50;9j6gb=831d>9j50;9~f17329086=4?{%0`1?4bl2B9ih5G2b;8m3>=831b=i:50;9l61b=831vn9>=:187>5<7s-8h97?ke:J1a`=O:j30e;650;9j<a<722c9ni4?::m10a<722wi8<;50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa052=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`753<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th?<;4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk>:;7>53;294~"5k<09ii5G2dg8L7e>3`<36=44i0f7>5<<g;>o6=44}c63<?6=<3:1<v*=c482``=O:lo0D?m6;h4;>5<<a1n1<75f2cf94?=h:=n1<75rb53;>5<4290;w)<l5;0f`>N5ml1C>n74i7:94?=n9m>1<75`25f94?=zj=:j6=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e<831<7=50;2x 7e22;oo7E<je:J1g<=n>10;66g>d583>>i5<m0;66sm41a94?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl;1`83>6<729q/>n;52df8L7cb3A8h56g98;29?l7c<3:17b<;d;29?xd4nh0;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg27n3:1?7>50z&1g0<5mm1C>hk4H3a:?l0?2900e<j;:188k72c2900qo:>0;297?6=8r.9o84=ee9K6`c<@;i27d87:188m4b32900c?:k:188yg17m3:187>50z&1g0<6ll1C>hk4H3a:?l0?2900e5j50;9j6gb=831d>9j50;9~f26a290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa346=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`454<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c526?6=<3:1<v*=c482``=O:lo0D?m6;h4;>5<<a1n1<75f2cf94?=h:=n1<75rb630>5<3290;w)<l5;3ga>N5ml1C>n74i7:94?=n0m0;66g=be83>>i5<m0;66sm73794?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl82783>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo9=7;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn:<7:187>5<7s-8h97?ke:J1a`=O:j30e;650;9j<a<722c9ni4?::m10a<722wi;?750;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th<>l4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk=;47>54;294~"5k<0:;55G2dg8L7e>3-;3o7;4i7094?=n>l0;66g=5583>>i5i<0;66sm75394?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl84383>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo9;3;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn::;:187>5<7s-8h97?ke:J1a`=O:j30e;650;9j<a<722c9ni4?::m10a<722wi;9;50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th<8;4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk=>47>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj>?26=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e?<k1<7:50;2x 7e228nn7E<je:J1g<=n>10;66g7d;29?l4el3:17b<;d;29?xd0=k0;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg12k3:187>50z&1g0<6ll1C>hk4H3a:?l0?2900e5j50;9j6gb=831d>9j50;9~f23c290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa36d=83>1<7>t$3a6>41?3A8ni6F=c89'5=e=92c=>7>5;h4f>5<<a;??6=44o3c6>5<<uk<jj7>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj?h;6=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e>k;1<7:50;2x 7e228nn7E<je:J1g<=n>10;66g7d;29?l4el3:17b<;d;29?xd1j;0;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg0e;3:187>50z&1g0<6ll1C>hk4H3a:?l0?2900e5j50;9j6gb=831d>9j50;9~f3d3290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa2f0=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`5g2<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c4`<?6=<3:1<v*=c482``=O:lo0D?m6;h4;>5<<a1n1<75f2cf94?=h:=n1<75rb7a:>5<3290;w)<l5;3ga>N5ml1C>n74i7:94?=n0m0;66g=be83>>i5<m0;66sm6bc94?2=83:p(?m::0ff?M4bm2B9o45f6983>>o?l3:17d<md;29?j43l3:17pl9cc83>1<729q/>n;51eg8L7cb3A8h56g98;29?l>c2900e?lk:188k72c2900qo8kd;290?6=8r.9o84>dd9K6`c<@;i27d87:188m=b=831b>oj50;9l61b=831vn;jj:187>5<7s-8h97?ke:J1a`=O:j30e;650;9j<a<722c9ni4?::m10a<722wi:ih50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th=i=4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk<n=7>54;294~"5k<0:hh5G2dg8L7e>3`<36=44i9f94?=n:kn1<75`25f94?=zj?o96=4;:183\7f!4d=3;oi6F=ed9K6f?<a?21<75f8e83>>o5jm0;66a=4e83>>{e>o>1<7:50;2x 7e228nn7E<je:J1g<=n>10;66g7d;29?l4el3:17b<;d;29?xd1n<0;694?:1y'6f3=9mo0D?kj;I0`=>o103:17d6k:188m7dc2900c?:k:188yg0a>3:187>50z&1g0<6ll1C>hk4H3a:?l0?2900e5j50;9j6gb=831d>9j50;9~f3`0290?6=4?{%0`1?7cm2B9ih5G2b;8m3>=831b4i4?::k1fa<722e98i4?::\7fa2c>=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`5b<<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c7bb?6=<3:1<v*=c48230=O:lo0D?m6;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd2il0;694?:1y'6f3=9>?0D?kj;I0`=>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi9o950;694?6|,;i>6<9:;I0fa>N5k01/=5m51:k56?6=3`<i6=44i7g94?=h:h?1<75rb4`5>5<3290;w)<l5;341>N5ml1C>n74$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg3e=3:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th>n94?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm5c194?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f0d5290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk?i=7>54;294~"5k<0:;85G2dg8L7e>3-;3o7?4i7094?=n>k0;66g9e;29?j4f=3:17pl:b183>1<729q/>n;51678L7cb3A8h56*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa1db=83>1<7>t$3a6>4123A8ni6F=c89'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj<kh6=4;:183\7f!4d=3;<96F=ed9K6f?<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo;j8;290?6=8r.9o84>749K6`c<@;i27)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`6a2<72=0;6=u+2b79523<@;on7E<l9:&2<f<63`<96=44i7`94?=n>l0;66a=a483>>{e=o:1<7:50;2x 7e228=>7E<je:J1g<=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn8ki:187>5<7s-8h97?85:J1a`=O:j30(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c7fa?6=<3:1<v*=c48230=O:lo0D?m6;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd2mm0;694?:1y'6f3=9>?0D?kj;I0`=>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi9hm50;694?6|,;i>6<9:;I0fa>N5k01/=5m51:k56?6=3`<i6=44i7g94?=h:h?1<75rb4ga>5<3290;w)<l5;341>N5ml1C>n74$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg3bi3:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th>i44?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm5d494?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f0c2290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk<;<7>54;294~"5k<0:;85G2dg8L7e>3A?m7)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`6bc<72=0;6=u+2b79523<@;on7E<l9:J6b>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi:=650;694?6|,;i>6<9:;I0fa>N5k01C9k5+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f360290?6=4?{%0`1?70=2B9ih5G2b;8L0`<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo8?6;290?6=8r.9o84>749K6`c<@;i27E;i;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd18<0;694?:1y'6f3=9>?0D?kj;I0`=>N2n2.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm61694?2=83:p(?m::056?M4bm2B9o45G5g9'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj?:86=4;:183\7f!4d=3;<96F=ed9K6f?<@<l0(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c436?6=<3:1<v*=c48230=O:lo0D?m6;I7e?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th=<<4?:583>5}#:j?1=:;4H3gf?M4d12B>j6*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa1cc=83>1<7>t$3a6>4123A8ni6F=c89K1c=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn8hk:187>5<7s-8h97?85:J1a`=O:j30D8h4$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg3c83:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th>ok4?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm5e:94?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f0b0290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk?o:7>54;294~"5k<0:;85G2dg8L7e>3-;3o7?4i7094?=n>k0;66g9e;29?j4f=3:17pl:d483>1<729q/>n;51678L7cb3A8h56*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa1a2=83>1<7>t$3a6>4123A8ni6F=c89'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj<n86=4;:183\7f!4d=3;<96F=ed9K6f?<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo;k2;290?6=8r.9o84>749K6`c<@;i27)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`6`4<72=0;6=u+2b79523<@;on7E<l9:&2<f<63`<96=44i7`94?=n>l0;66a=a483>>{e=jo1<7:50;2x 7e228=>7E<je:J1g<=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn8mk:187>5<7s-8h97?85:J1a`=O:j30(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c402?6=<3:1<v*=c48230=O:lo0D?m6;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd1;<0;694?:1y'6f3=9>?0D?kj;I0`=>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi:>k50;694?6|,;i>6<9:;I0fa>N5k01/=5m51:k56?6=3`<i6=44i7g94?=h:h?1<75rb71g>5<3290;w)<l5;341>N5ml1C>n74$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg04k3:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th=?o4?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm62c94?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f35>290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk<847>54;294~"5k<0:;85G2dg8L7e>3-;3o7?4i7094?=n>k0;66g9e;29?j4f=3:17pl93683>1<729q/>n;51678L7cb3A8h56*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa262=83>1<7>t$3a6>4123A8ni6F=c89'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj?986=4;:183\7f!4d=3;<96F=ed9K6f?<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo89f;290?6=8r.9o84>749K6`c<@;i27)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`52`<72=0;6=u+2b79523<@;on7E<l9:&2<f<63`<96=44i7`94?=n>l0;66a=a483>>{e>>=1<7:50;2x 7e228=>7E<je:J1g<=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn;99:187>5<7s-8h97?85:J1a`=O:j30(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c441?6=<3:1<v*=c48230=O:lo0D?m6;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd1?=0;694?:1y'6f3=9>?0D?kj;I0`=>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi::=50;694?6|,;i>6<9:;I0fa>N5k01/=5m51:k56?6=3`<i6=44i7g94?=h:h?1<75rb751>5<3290;w)<l5;341>N5ml1C>n74$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg0093:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th=;=4?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm67f94?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f30d290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk<3;7>54;294~"5k<0:;85G2dg8L7e>3A?m7)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`5<3<72=0;6=u+2b79523<@;on7E<l9:J6b>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi:5h50;694?6|,;i>6<9:;I0fa>N5k01C9k5+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f3>b290?6=4?{%0`1?70=2B9ih5G2b;8L0`<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo87d;290?6=8r.9o84>749K6`c<@;i27E;i;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd10j0;694?:1y'6f3=9>?0D?kj;I0`=>N2n2.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm69`94?2=83:p(?m::056?M4bm2B9o45G5g9'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj?2j6=4;:183\7f!4d=3;<96F=ed9K6f?<@<l0(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c4;=?6=<3:1<v*=c48230=O:lo0D?m6;I7e?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th=454?:583>5}#:j?1=:;4H3gf?M4d12B>j6*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa2=3=83>1<7>t$3a6>4123A8ni6F=c89K1c=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn;6;:187>5<7s-8h97?85:J1a`=O:j30D8h4$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg02?3:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th=9;4?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm64d94?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f33b290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk<>h7>54;294~"5k<0:;85G2dg8L7e>3-;3o7?4i7094?=n>k0;66g9e;29?j4f=3:17pl95b83>1<729q/>n;51678L7cb3A8h56*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa20d=83>1<7>t$3a6>4123A8ni6F=c89'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj??j6=4;:183\7f!4d=3;<96F=ed9K6f?<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo8:9;290?6=8r.9o84>749K6`c<@;i27)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`51=<72=0;6=u+2b79523<@;on7E<l9:&2<f<63`<96=44i7`94?=n>l0;66a=a483>>{e><?1<7:50;2x 7e228=>7E<je:J1g<=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn;;;:187>5<7s-8h97?85:J1a`=O:j30(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c77e?6=;3:1<v*=c481aa=O:lo0D?m6;h4;>5<<a8n?6=44o36g>5<<uk?8i7>53;294~"5k<0:h45G2dg8L7e>3-;3o7=6;h3;4?6=3`;3=7>5;n07`?6=3th>844?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`677<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c70`?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?5>3`;3<7>5;h3;5?6=3f8?h7>5;|`616<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th>?o4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk??;7>53;294~"5k<0:h45G2dg8L7e>3-;3o7=6;h3;4?6=3`;3=7>5;n07`?6=3th>9?4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`67d<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c772?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?5>3`;3<7>5;h3;5?6=3f8?h7>5;|`614<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th>?44?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk??97>53;294~"5k<0:h45G2dg8L7e>3-;3o7=6;h3;4?6=3`;3=7>5;n07`?6=3th>9=4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`67=<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c770?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?5>3`;3<7>5;h3;5?6=3f8?h7>5;|`60c<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th>?:4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk???7>53;294~"5k<0:h45G2dg8L7e>3-;3o7=6;h3;4?6=3`;3=7>5;n07`?6=3th>8h4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`673<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c776?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?5>3`;3<7>5;h3;5?6=3f8?h7>5;|`60a<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th>?84?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk??=7>53;294~"5k<0:h45G2dg8L7e>3-;3o7=6;h3;4?6=3`;3=7>5;n07`?6=3th>8n4?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`671<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c774?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?5>3`;3<7>5;h3;5?6=3f8?h7>5;|`60g<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th>?>4?:583>5}#:j?1=ik4H3gf?M4d12c=47>5;h:g>5<<a;ho6=44o36g>5<<uk?8j7>53;294~"5k<0:h45G2dg8L7e>3-;3o7=6;h3;4?6=3`;3=7>5;n07`?6=3th>854?:283>5}#:j?1>hj4H3gf?M4d12c=47>5;h3g0?6=3f8?h7>5;|`674<72=0;6=u+2b795ac<@;on7E<l9:k5<?6=3`2o6=44i3`g>5<<g;>o6=44}c70g?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?5>3`;3<7>5;h3;5?6=3f8?h7>5;|`62a<72:0;6=u+2b796`b<@;on7E<l9:k5<?6=3`;o87>5;n07`?6=3th>:<4?:283>5}#:j?1=i74H3gf?M4d12.:4n4<9:k2<5<722c:4<4?::m10a<722wi9;m50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa103=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`625<72:0;6=u+2b795a?<@;on7E<l9:&2<f<412c:4=4?::k2<4<722e98i4?::\7fa120=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi98k50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th>:l4?:283>5}#:j?1=i74H3gf?M4d12.:4n4<9:k2<5<722c:4<4?::m10a<722wi9:;50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa10b=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`62<<72:0;6=u+2b795a?<@;on7E<l9:&2<f<412c:4=4?::k2<4<722e98i4?::\7fa122=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi98m50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th>:54?:283>5}#:j?1=i74H3gf?M4d12.:4n4<9:k2<5<722c:4<4?::m10a<722wi9:=50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa10d=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`622<72:0;6=u+2b795a?<@;on7E<l9:&2<f<412c:4=4?::k2<4<722e98i4?::\7fa124=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi98o50;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th>:;4?:283>5}#:j?1=i74H3gf?M4d12.:4n4<9:k2<5<722c:4<4?::m10a<722wi9:?50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa10?=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`620<72:0;6=u+2b795a?<@;on7E<l9:&2<f<412c:4=4?::k2<4<722e98i4?::\7fa126=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi98650;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th>:94?:283>5}#:j?1=i74H3gf?M4d12.:4n4<9:k2<5<722c:4<4?::m10a<722wi9;h50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa101=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`626<72:0;6=u+2b795a?<@;on7E<l9:&2<f<412c:4=4?::k2<4<722e98i4?::\7fa13c=8391<7>t$3a6>7cc3A8ni6F=c89j2=<722c:h94?::m10a<722wi98850;694?6|,;i>6<jj;I0fa>N5k01b:54?::k;`?6=3`8ih7>5;n07`?6=3th>:?4?:283>5}#:j?1=i74H3gf?M4d12.:4n4<9:k2<5<722c:4<4?::m10a<722wi9;l50;194?6|,;i>6?kk;I0fa>N5k01b:54?::k2`1<722e98i4?::\7fa102=83>1<7>t$3a6>4bb3A8ni6F=c89j2=<722c3h7>5;h0a`?6=3f8?h7>5;|`61c<72:0;6=u+2b795a?<@;on7E<l9:&2<f<412c:4=4?::k2<4<722e98i4?::\7fa2d6=83>1<7>t$3a6>4123A8ni6F=c89'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj?3m6=4;:183\7f!4d=3;<96F=ed9K6f?<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo8n8;290?6=8r.9o84>749K6`c<@;i27)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`5e2<72=0;6=u+2b79523<@;on7E<l9:&2<f<63`<96=44i7`94?=n>l0;66a=a483>>{e>h<1<7:50;2x 7e228=>7E<je:J1g<=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn;o::187>5<7s-8h97?85:J1a`=O:j30(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c4b0?6=<3:1<v*=c48230=O:lo0D?m6;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd1i:0;694?:1y'6f3=9>?0D?kj;I0`=>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi:l<50;694?6|,;i>6<9:;I0fa>N5k01/=5m51:k56?6=3`<i6=44i7g94?=h:h?1<75rb7c2>5<3290;w)<l5;341>N5ml1C>n74$0:`>4=n>;0;66g9b;29?l0b2900c?o::188yg0>m3:187>50z&1g0<6?<1C>hk4H3a:?!7?k3;0e;<50;9j2g<722c=i7>5;n0b1?6=3th=5i4?:583>5}#:j?1=:;4H3gf?M4d12.:4n4>;h41>5<<a?h1<75f6d83>>i5i<0;66sm76094?2=83:p(?m::056?M4bm2B9o45+19a95>o1:3:17d8m:188m3c=831d>l;50;9~f216290?6=4?{%0`1?70=2B9ih5G2b;8 4>d281b:?4?::k5f?6=3`<n6=44o3c6>5<<uk=<m7>54;294~"5k<0:;85G2dg8L7e>3-;3o7?4i7094?=n>k0;66g9e;29?j4f=3:17pl87883>1<729q/>n;51678L7cb3A8h56*>8b82?l052900e;l50;9j2`<722e9m84?::\7fa32>=83>1<7>t$3a6>4123A8ni6F=c89'5=e=92c=>7>5;h4a>5<<a?o1<75`2`794?=zj>=<6=4;:183\7f!4d=3;<96F=ed9K6f?<,82h6<5f6383>>o1j3:17d8j:188k7g22900qo986;290?6=8r.9o84>749K6`c<@;i27)?7c;38m34=831b:o4?::k5a?6=3f8j97>5;|`430<72=0;6=u+2b79523<@;on7E<l9:&2<f<63`<96=44i7`94?=n>l0;66a=a483>>{e?>>1<7:50;2x 7e228=>7E<je:J1g<=#91i1=6g92;29?l0e2900e;k50;9l6d3=831vn:9<:187>5<7s-8h97?85:J1a`=O:j30(<6l:09j27<722c=n7>5;h4f>5<<g;k>6=44}c544?6=<3:1<v*=c48230=O:lo0D?m6;%3;g?7<a?81<75f6c83>>o1m3:17b<n5;29?xd0>o0;694?:1y'6f3=9>?0D?kj;I0`=>"60j0:7d8=:188m3d=831b:h4?::m1e0<722wi;4750;694?6|,;i>6<98;I0fa>N5k01/=5m51:k56?6=3`<<6=44i7g94?=h:h?1<75rb626>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb627>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb620>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb621>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb622>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb623>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb7f4>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb7f5>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb7f6>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb7f7>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb7f0>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb7f1>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>0=n91:1<75f19394?=h:=n1<75rb6;4>5<3290;w)<l5;3ge>N5ml1C>n74$0:`>40b3`;3<7>5;h3;5?6=3`;3>7>5;n07`?6=3th<5;4?:583>5}#:j?1=io4H3gf?M4d12.:4n4>6d9j5=6=831b=5?50;9j5=4=831d>9j50;9~f2?2290?6=4?{%0`1?7ci2B9ih5G2b;8 4>d28<n7d?70;29?l7?93:17d?72;29?j43l3:17pl89583>1<729q/>n;51ec8L7cb3A8h56*>8b822`=n91:1<75f19394?=n9181<75`25f94?=zj>386=4;:183\7f!4d=3;om6F=ed9K6f?<,82h6<8j;h3;4?6=3`;3=7>5;h3;6?6=3f8?h7>5;|`4=7<72=0;6=u+2b795ag<@;on7E<l9:&2<f<6>l1b=5>50;9j5=7=831b=5<50;9l61b=831vn:7>:187>5<7s-8h97?ka:J1a`=O:j30(<6l:04f?l7?83:17d?71;29?l7?:3:17b<;d;29?xd0190;694?:1y'6f3=9mk0D?kj;I0`=>"60j0::h5f19294?=n91;1<75f19094?=h:=n1<75rb6:e>5<3290;w)<l5;3ge>N5ml1C>n74$0:`>40b3`;3<7>5;h3;5?6=3`;3>7>5;n07`?6=3th<4h4?:583>5}#:j?1=io4H3gf?M4d12.:4n4>6d9j5=6=831b=5?50;9j5=4=831d>9j50;9~f2>c290?6=4?{%0`1?7ci2B9ih5G2b;8 4>d28<n7d?70;29?l7?93:17d?72;29?j43l3:17pl88b83>1<729q/>n;51ec8L7cb3A8h56*>8b822`=n91:1<75f19394?=n9181<75`25f94?=zj>2i6=4;:183\7f!4d=3;om6F=ed9K6f?<,82h6<8j;h3;4?6=3`;3=7>5;h3;6?6=3f8?h7>5;|`4<d<72=0;6=u+2b795ag<@;on7E<l9:&2<f<6>l1b=5>50;9j5=7=831b=5<50;9l61b=831vn:66:187>5<7s-8h97?ka:J1a`=O:j30(<6l:04f?l7?83:17d?71;29?l7?:3:17b<;d;29?xd0010;694?:1y'6f3=9mk0D?kj;I0`=>"60j0::h5f19294?=n91;1<75f19094?=h:=n1<75rb6:4>5<3290;w)<l5;3ge>N5ml1C>n74$0:`>40b3`;3<7>5;h3;5?6=3`;3>7>5;n07`?6=3th<4;4?:583>5}#:j?1=io4H3gf?M4d12.:4n4>6d9j5=6=831b=5?50;9j5=4=831d>9j50;9~f3cb290>6=4?{%0`1?7ck2B9ih5G2b;8 4>d2:k0e<6?:188m4>62900e<6=:188m4>42900c?:k:188yg0bl3:197>50z&1g0<6lj1C>hk4H3a:?!7?k39j7d?70;29?l7?93:17d?72;29?l7?;3:17b<;d;29?xd1mj0;684?:1y'6f3=9mi0D?kj;I0`=>"60j08m6g>8183>>o6080;66g>8383>>o60:0;66a=4e83>>{e>lh1<7;50;2x 7e228nh7E<je:J1g<=#91i1?l5f19294?=n91;1<75f19094?=n9191<75`25f94?=zj?oj6=4::183\7f!4d=3;oo6F=ed9K6f?<,82h6>o4i0:3>5<<a82:6=44i0:1>5<<a8286=44o36g>5<<uk<n57>55;294~"5k<0:hn5G2dg8L7e>3-;3o7=n;h3;4?6=3`;3=7>5;h3;6?6=3`;3?7>5;n07`?6=3th=o=4?:483>5}#:j?1=im4H3gf?M4d12.:4n4<a:k2<5<722c:4<4?::k2<7<722c:4>4?::m10a<722wi:oh50;794?6|,;i>6<jl;I0fa>N5k01/=5m53`9j5=6=831b=5?50;9j5=4=831b=5=50;9l61b=831vn;lj:186>5<7s-8h97?kc:J1a`=O:j30(<6l:2c8m4>72900e<6>:188m4>52900e<6<:188k72c2900qo8md;291?6=8r.9o84>db9K6`c<@;i27)?7c;1b?l7?83:17d?71;29?l7?:3:17d?73;29?j43l3:17pl9bb83>0<729q/>n;51ea8L7cb3A8h56*>8b80e>o6090;66g>8083>>o60;0;66g>8283>>i5<m0;66sm6c`94?3=83:p(?m::0f`?M4bm2B9o45+19a97d=n91:1<75f19394?=n9181<75f19194?=h:=n1<75rb713>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>62<a82;6=44i0:2>5<<g;>o6=44}c41b?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?533`;3<7>5;h3;5?6=3f8?h7>5;|`56`<72:0;6=u+2b795a?<@;on7E<l9:&2<f<4<2c:4=4?::k2<4<722e98i4?::\7fa27b=8391<7>t$3a6>4b>3A8ni6F=c89'5=e=;=1b=5>50;9j5=7=831d>9j50;9~f34d29086=4?{%0`1?7c12B9ih5G2b;8 4>d2:>0e<6?:188m4>62900c?:k:188yg05j3:1?7>50z&1g0<6l01C>hk4H3a:?!7?k39?7d?70;29?l7?93:17b<;d;29?xd1:h0;6>4?:1y'6f3=9m30D?kj;I0`=>"60j0886g>8183>>o6080;66a=4e83>>{e>;31<7=50;2x 7e228n27E<je:J1g<=#91i1?95f19294?=n91;1<75`25f94?=zj?836=4<:183\7f!4d=3;o56F=ed9K6f?<,82h6>:4i0:3>5<<a82:6=44o36g>5<<uk<9;7>53;294~"5k<0:h45G2dg8L7e>3-;3o7=;;h3;4?6=3`;3=7>5;n07`?6=3th=>;4?:283>5}#:j?1=i74H3gf?M4d12.:4n4<4:k2<5<722c:4<4?::m10a<722wi9l750;194?6|,;i>6<j6;I0fa>N5k01/=5m5359j5=6=831b=5?50;9l61b=831vn8o7:180>5<7s-8h97?k9:J1a`=O:j30(<6l:268m4>72900e<6>:188k72c2900qo;n7;297?6=8r.9o84>d89K6`c<@;i27)?7c;17?l7?83:17d?71;29?j43l3:17pl:a783>6<729q/>n;51e;8L7cb3A8h56*>8b800>o6090;66g>8083>>i5<m0;66sm5`794?5=83:p(?m::0f:?M4bm2B9o45+19a971=n91:1<75f19394?=h:=n1<75rb4c7>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>62<a82;6=44i0:2>5<<g;>o6=44}c7b7?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?533`;3<7>5;h3;5?6=3f8?h7>5;|`6e7<72:0;6=u+2b795a?<@;on7E<l9:&2<f<4<2c:4=4?::k2<4<722e98i4?::\7fa1d7=8391<7>t$3a6>4b>3A8ni6F=c89'5=e=;=1b=5>50;9j5=7=831d>9j50;9~f0g729086=4?{%0`1?7c12B9ih5G2b;8 4>d2:>0e<6?:188m4>62900c?:k:188yg3>n3:1?7>50z&1g0<6l01C>hk4H3a:?!7?k39?7d?70;29?l7?93:17b<;d;29?xd0>l0;6>4?:1y'6f3=9m30D?kj;I0`=>"60j09o6g>8183>>o6080;66a=4e83>>{e??31<7;50;2x 7e228nh7E<je:J1g<=#91i1?l5f19294?=n91;1<75f19094?=n9191<75`25f94?=zj>?96=4::183\7f!4d=3;oo6F=ed9K6f?<,82h6>o4i0:3>5<<a82:6=44i0:1>5<<a8286=44o36g>5<<uk=8:7>55;294~"5k<0:hn5G2dg8L7e>3-;3o7=n;h3;4?6=3`;3=7>5;h3;6?6=3`;3?7>5;n07`?6=3th<=k4?:483>5}#:j?1=im4H3gf?M4d12.:4n4<8:k2<5<722c:4<4?::k2<7<722c:4>4?::m10a<722wi;;650;794?6|,;i>6<jl;I0fa>N5k01/=5m53`9j5=6=831b=5?50;9j5=4=831b=5=50;9l61b=831vn:;>:186>5<7s-8h97?kc:J1a`=O:j30(<6l:2c8m4>72900e<6>:188m4>52900e<6<:188k72c2900qo9<5;291?6=8r.9o84>db9K6`c<@;i27)?7c;1b?l7?83:17d?71;29?l7?:3:17d?73;29?j43l3:17pl81d83>0<729q/>n;51ea8L7cb3A8h56*>8b80<>o6090;66g>8083>>o60;0;66g>8283>>i5<m0;66sm77594?3=83:p(?m::0f`?M4bm2B9o45+19a97d=n91:1<75f19394?=n9181<75f19194?=h:=n1<75rb673>5<2290;w)<l5;3gg>N5ml1C>n74$0:`>6g<a82;6=44i0:2>5<<a8296=44i0:0>5<<g;>o6=44}c500?6==3:1<v*=c482`f=O:lo0D?m6;%3;g?5f3`;3<7>5;h3;5?6=3`;3>7>5;h3;7?6=3f8?h7>5;|`45a<72<0;6=u+2b795ae<@;on7E<l9:&2<f<402c:4=4?::k2<4<722c:4?4?::k2<6<722e98i4?::\7fa330=83?1<7>t$3a6>4bd3A8ni6F=c89'5=e=;h1b=5>50;9j5=7=831b=5<50;9j5=5=831d>9j50;9~f22a290>6=4?{%0`1?7ck2B9ih5G2b;8 4>d2:k0e<6?:188m4>62900e<6=:188m4>42900c?:k:188yg14;3:197>50z&1g0<6lj1C>hk4H3a:?!7?k39j7d?70;29?l7?93:17d?72;29?l7?;3:17b<;d;29?xd09j0;684?:1y'6f3=9mi0D?kj;I0`=>"60j0846g>8183>>o6080;66g>8383>>o60:0;66a=4e83>>{e???1<7;50;2x 7e228nh7E<je:J1g<=#91i1?l5f19294?=n91;1<75f19094?=n9191<75`25f94?=zj>>n6=4::183\7f!4d=3;oo6F=ed9K6f?<,82h6>o4i0:3>5<<a82:6=44i0:1>5<<a8286=44o36g>5<<uk=8>7>55;294~"5k<0:hn5G2dg8L7e>3-;3o7=n;h3;4?6=3`;3=7>5;h3;6?6=3`;3?7>5;n07`?6=3th<=o4?:483>5}#:j?1=im4H3gf?M4d12.:4n4<8:k2<5<722c:4<4?::k2<7<722c:4>4?::m10a<722wi;;:50;794?6|,;i>6<jl;I0fa>N5k01/=5m53`9j5=6=831b=5?50;9j5=4=831b=5=50;9l61b=831vn::k:186>5<7s-8h97?kc:J1a`=O:j30(<6l:2c8m4>72900e<6>:188m4>52900e<6<:188k72c2900qo9<1;291?6=8r.9o84>db9K6`c<@;i27)?7c;1b?l7?83:17d?71;29?l7?:3:17d?73;29?j43l3:17pl81`83>0<729q/>n;51ea8L7cb3A8h56*>8b803>o6090;66g>8083>>o60;0;66g>8283>>i5<m0;66sm6`;94?5=83:p(?m::0f:?M4bm2B9o45+19a96f=n91:1<75f19394?=h:=n1<75rb735>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>62<a82;6=44i0:2>5<<g;>o6=44}c7;b?6=;3:1<v*=c482`<=O:lo0D?m6;%3;g?533`;3<7>5;h3;5?6=3f8?h7>5;|`7a0<72;0;6=u+2b795a><@;on7E<l9:&2<f<5;2c:4=4?::m10a<722wi8h950;094?6|,;i>6<j7;I0fa>N5k01/=5m5229j5=6=831d>9j50;9~f1c>29096=4?{%0`1?7c02B9ih5G2b;8 4>d2;90e<6?:188k72c2900qo:jb;296?6=8r.9o84>d99K6`c<@;i27)?7c;00?l7?83:17b<;d;29?xd3mm0;6?4?:1y'6f3=9m20D?kj;I0`=>"60j09?6g>8183>>i5<m0;66sm4dd94?4=83:p(?m::0f;?M4bm2B9o45+19a966=n91:1<75`25f94?=zj=l:6=4=:183\7f!4d=3;o46F=ed9K6f?<,82h6?=4i0:3>5<<g;>o6=44}c6e7?6=:3:1<v*=c482`==O:lo0D?m6;%3;g?443`;3<7>5;n07`?6=3th?j84?:383>5}#:j?1=i64H3gf?M4d12.:4n4=3:k2<5<722e98i4?::\7fa0`5=8381<7>t$3a6>4b?3A8ni6F=c89'5=e=::1b=5>50;9l61b=831vn9om:181>5<7s-8h97?k8:J1a`=O:j30(<6l:318m4>72900c?:k:188yg2fl3:1>7>50z&1g0<6l11C>hk4H3a:?!7?k3887d?70;29?j43l3:17pl;ag83>7<729q/>n;51e:8L7cb3A8h56*>8b817>o6090;66a=4e83>>{e<k;1<7<50;2x 7e228n37E<je:J1g<=#91i1>>5f19294?=h:=n1<75rb5`0>5<5290;w)<l5;3g<>N5ml1C>n74$0:`>75<a82;6=44o36g>5<<uk>i97>52;294~"5k<0:h55G2dg8L7e>3-;3o7<<;h3;4?6=3f8?h7>5;|`7f2<72;0;6=u+2b795a><@;on7E<l9:&2<f<5;2c:4=4?::m10a<722wi8o750;094?6|,;i>6<j7;I0fa>N5k01/=5m5229j5=6=831d>9j50;9~f1de29096=4?{%0`1?7c02B9ih5G2b;8 4>d2;90e<6?:188k72c2900qo:n9;296?6=8r.9o84>d99K6`c<@;i27)?7c;00?l7?83:17b<;d;29?xd3;>0;6?4?:1y'6f3=9m20D?kj;I0`=>"60j09?6g>8183>>i5<m0;66sm42;94?4=83:p(?m::0f;?M4bm2B9o45+19a966=n91:1<75`25f94?=zj=9i6=4=:183\7f!4d=3;o46F=ed9K6f?<,82h6?=4i0:3>5<<g;>o6=44}c60`?6=:3:1<v*=c482`==O:lo0D?m6;%3;g?443`;3<7>5;n07`?6=3th??k4?:383>5}#:j?1=i64H3gf?M4d12.:4n4=3:k2<5<722e98i4?::\7fa017=8381<7>t$3a6>4b?3A8ni6F=c89'5=e=::1b=5>50;9l61b=831vn9:<:181>5<7s-8h97?k8:J1a`=O:j30(<6l:318m4>72900c?:k:188yg23=3:1>7>50z&1g0<6l11C>hk4H3a:?!7?k3887d?70;29?j43l3:17pl;4683>7<729q/>n;51e:8L7cb3A8h56*>8b817>o6090;66a=4e83>>{e<:?1<7<50;2x 7e228n37E<je:J1g<=#91i1>>5f19294?=h:=n1<75rb552>5<5290;w)<l5;3g<>N5ml1C>n74$0:`>75<a82;6=44o36g>5<<uk><?7>52;294~"5k<0:h55G2dg8L7e>3-;3o7<<;h3;4?6=3f8?h7>5;|`730<72;0;6=u+2b795a><@;on7E<l9:&2<f<5;2c:4=4?::m10a<722wi8:950;094?6|,;i>6<j7;I0fa>N5k01/=5m5229j5=6=831d>9j50;9~f11>29096=4?{%0`1?7c02B9ih5G2b;8 4>d2;90e<6?:188k72c2900qo:8b;296?6=8r.9o84>d99K6`c<@;i27)?7c;00?l7?83:17b<;d;29?xd3?m0;6?4?:1y'6f3=9m20D?kj;I0`=>"60j09?6g>8183>>i5<m0;66sm46d94?4=83:p(?m::0f;?M4bm2B9o45+19a966=n91:1<75`25f94?=zj=2:6=4=:183\7f!4d=3;o46F=ed9K6f?<,82h6?=4i0:3>5<<g;>o6=44}c65b?6=:3:1<v*=c482`==O:lo0D?m6;%3;g?443`;3<7>5;n07`?6=3th8ji4?:383>5}#:j?1=i64H3gf?M4d12.:4n4=3:k2<5<722e98i4?::\7fa7c`=8381<7>t$3a6>4b?3A8ni6F=c89'5=e=::1b=5>50;9l61b=831vn9>>:181>5<7s-8h97?k8:J1a`=O:j30(<6l:318m4>72900c?:k:188yg27;3:1>7>50z&1g0<6l11C>hk4H3a:?!7?k3887d?70;29?j43l3:17pl;0483>7<729q/>n;51e:8L7cb3A8h56*>8b817>o6090;66a=4e83>>{e<9=1<7<50;2x 7e228n37E<je:J1g<=#91i1>>5f19294?=h:=n1<75rb52:>5<5290;w)<l5;3g<>N5ml1C>n74$0:`>75<a82;6=44o36g>5<<uk>;n7>52;294~"5k<0:h55G2dg8L7e>3-;3o7<<;h3;4?6=3f8?h7>5;|`74a<72;0;6=u+2b795a><@;on7E<l9:&2<f<5;2c:4=4?::m10a<722wi?kl50;094?6|,;i>6<j7;I0fa>N5k01/=5m5229j5=6=831d>9j50;9~f1`>29096=4?{%0`1?7c02B9ih5G2b;8 4>d2;90e<6?:188k72c2900qo:mf;296?6=8r.9o84>d99K6`c<@;i27)?7c;00?l7?83:17b<;d;29?xd3<k0;6?4?:1y'6f3=9m20D?kj;I0`=>"60j09?6g>8183>>i5<m0;66sm49794?4=83:p(?m::0f;?M4bm2B9o45+19a966=n91:1<75`25f94?=zj=;:6=4=:183\7f!4d=3;o46F=ed9K6f?<,82h6?=4i0:3>5<<g;>o6=44}c423?6=<3:1<v*=c482`d=O:lo0D?m6;%3;g?5e3`;3<7>5;h3;5?6=3`;3>7>5;n07`?6=3th>5=4?:583>5}#:j?1=io4H3gf?M4d12.:4n4<b:k2<5<722c:4<4?::k2<7<722e98i4?::\7fa24?=83>1<7>t$3a6>4bf3A8ni6F=c89'5=e=;k1b=5>50;9j5=7=831b=5<50;9l61b=831vn87=:187>5<7s-8h97?ka:J1a`=O:j30(<6l:2`8m4>72900e<6>:188m4>52900c?:k:188yg1d03:187>50z&1g0<6lh1C>hk4H3a:?!7?k3;<?6g>8183>>o6080;66g>8383>>i5<m0;66sm58a94?5=83:p(?m::0f:?M4bm2B9o45+19a971=n91:1<75f19394?=h:=n1<75rb700>5<4290;w)<l5;3g=>N5ml1C>n74$0:`>62<a82;6=44i0:2>5<<g;>o6=44}c42f?6==3:1<v*=c482`f=O:lo0D?m6;%3;g?513`;3<7>5;h3;5?6=3`;3>7>5;h3;7?6=3f8?h7>5;|`6=1<72<0;6=u+2b795ae<@;on7E<l9:&2<f<4>2c:4=4?::k2<4<722c:4?4?::k2<6<722e98i4?::\7fa24g=83?1<7>t$3a6>4bd3A8ni6F=c89'5=e=;?1b=5>50;9j5=7=831b=5<50;9j5=5=831d>9j50;9~f0?4290>6=4?{%0`1?7ck2B9ih5G2b;8 4>d2:<0e<6?:188m4>62900e<6=:188m4>42900c?:k:188yg1?:3:197>50z&1g0<6lj1C>hk4H3a:?!7?k3;<>6g>8183>>o6080;66g>8383>>o60:0;66a=4e83>>{e?1;1<7;50;2x 7e228nh7E<je:J1g<=#91i186g>8183>>o6080;66g>8383>>o60:0;66a=4e83>>{e=0<1<7=50;2x 7e228n27E<je:J1g<=#91i1?95f19294?=n91;1<75`25f94?=zj<3j6=4::183\7f!4d=3;oo6F=ed9K6f?<,82h6>84i0:3>5<<a82:6=44i0:1>5<<a8286=44o36g>5<<uk?2;7>54;294~"5k<0:hl5G2dg8L7e>3-;3o7=:;h3;4?6=3`;3=7>5;h3;6?6=3f8?h7>5;|`6=g<72<0;6=u+2b795ae<@;on7E<l9:&2<f<4k2c:4=4?::k2<4<722c:4?4?::k2<6<722e98i4?::\7fa1<>=83?1<7>t$3a6>4bd3A8ni6F=c89'5=e=;?1b=5>50;9j5=7=831b=5<50;9j5=5=831d>9j50;9~f0?b290>6=4?{%0`1?7ck2B9ih5G2b;8 4>d2:<0e<6?:188m4>62900e<6=:188m4>42900c?:k:188yg3>13:197>50z&1g0<6lj1C>hk4H3a:?!7?k39=7d?70;29?l7?93:17d?72;29?l7?;3:17b<;d;29?xd2ik0;684?:1y'6f3=9mi0D?kj;I0`=>"60j08:6g>8183>>o6080;66g>8383>>o60:0;66a=4e83>>{e=hk1<7;50;2x 7e228nh7E<je:J1g<=#91i1?n5f19294?=n91;1<75f19094?=n9191<75`25f94?=zj?;o6=4<:183\7f!4d=3;o56F=ed9K6f?<,82h6>:4i0:3>5<<a82:6=44o36g>5<<uk<9=7>55;294~"5k<0:hn5G2dg8L7e>3-;3o7=9;h3;4?6=3`;3=7>5;h3;6?6=3`;3?7>5;n07`?6=3th==h4?:583>5}#:j?1=io4H3gf?M4d12.:4n4<5:k2<5<722c:4<4?::k2<7<722e98i4?::\7fa274=83?1<7>t$3a6>4bd3A8ni6F=c89'5=e=;j1b=5>50;9j5=7=831b=5<50;9j5=5=831d>9j50;9~f37a290>6=4?{%0`1?7ck2B9ih5G2b;8 4>d2:<0e<6?:188m4>62900e<6=:188m4>42900c?:k:188yg05=3:197>50z&1g0<6lj1C>hk4H3a:?!7?k39=7d?70;29?l7?93:17d?72;29?l7?;3:17b<;d;29?xd1:90;684?:1y'6f3=9mi0D?kj;I0`=>"60j08:6g>8183>>o6080;66g>8383>>o60:0;66a=4e83>>{e>:81<7;50;2x 7e228nh7E<je:J1g<=#91i1?;5f19294?=n91;1<75f19094?=n9191<75`25f94?=zj?9:6=4::183\7f!4d=3;oo6F=ed9K6f?<,82h6>m4i0:3>5<<a82:6=44i0:1>5<<a8286=44o36g>5<<uk=8m7>55;294~"5k<0:hn5G2dg8L7e>3-;3o7?8a:k2<5<722c:4<4?::k2<7<722c:4>4?::m10a<722wi;=950;794?6|,;i>6<jl;I0fa>N5k01/=5m516c8m4>72900e<6>:188m4>52900e<6<:188k72c2900qo8>8;291?6=8r.9o84>db9K6`c<@;i27)?7c;15?l7?83:17d?71;29?l7?:3:17d?73;29?j43l3:17pl:9083>0<729q/>n;51ea8L7cb3A8h56*>8b802>o6090;66g>8083>>o60;0;66g>8283>>i5<m0;66sm4g494?4=83:p(?m::0f3?M4bm2B9o45f16d94?=h:=n1<75rb5``>5<5290;w)<l5;3g4>N5ml1C>n74i05e>5<<g;>o6=44}c67<?6=:3:1<v*=c482`5=O:lo0D?m6;h34b?6=3f8?h7>5;|`7<7<72;0;6=u+2b795a6<@;on7E<l9:k23c<722e98i4?::\7fa05c=8381<7>t$3a6>4b73A8ni6F=c89j52`=831d>9j50;9~f2>729096=4?{%0`1?7c82B9ih5G2b;8m41a2900c?:k:188yg1en3:1o?4?:1y'6f3=:h<0D?kj;I0`=>\193ip><4=2;07>73=:m09i7<i:22963<5?39:6><5}%3:0?5<,83>6>5+18497>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=i98o1<6`>4183?!4em3;<86*=c081?!7?l390(<6j:29'5=`=;2.:5=4<;%3:5?5<,8396>5+18197>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bd961e<,8io6?5+1bg96>"60=087)?75;18 4>12:1/=5953:&2<=<43-;357=4$0f2>4133-;o>7?84:&1e2<5<j1/>l6525a8j7d?2=1e>o754:&1fc<5<j1/=5o53:&2<g<43-8o47<k7:&1`<<5l>1e>io54:l1`g<33-8h>7<4i7194?=n>=0;66g99;29?l0f2900e<9?:188m7df2900e?lm:188m4162900e?m<:188m7e32900c;;50;9l23<722c??7>5$370>14<f;?96=54i5394?"5=:0?>6`=5382?>o383:1(?;<:508j7352;10e>h50;&116<3:2d99?4<;:k0a?6=,;?869<4n371>1=<a=i1<7*=52876>h5=;0>76g;b;29 7342=80b?;=:798m1g=83.99>4;2:l117<032c?57>5$370>14<f;?96554i5:94?"5=:0?>6`=538:?>o3?3:1(?;<:508j7352h10e9850;&116<3:2d99?4m;:k71?6=,;?869<4n371>f=<a=>1<7*=52876>h5=;0o76g<d;29 7342=80b?;=:d98m05=83.99>4:2:l117<732c>=7>5$370>04<f;?96<54i4294?"5=:0>>6`=5381?>o3n3:1(?;<:408j7352:10e9k50;&116<2:2d99?4;;:k6g?6=,;?868<4n371>0=<a<h1<7*=52866>h5=;0=76g:a;29 7342<80b?;=:698m0?=83.99>4:2:l117<?32c>47>5$370>04<f;?96454i4594?"5=:0>>6`=538b?>o2>3:1(?;<:408j7352k10e8;50;&116<2:2d99?4l;:k60?6=,;?868<4n371>a=<a=n1<7*=52866>h5=;0n76gn7;29 7342h<0b?;=:198md3=83.99>4n6:l117<632cj?7>5$370>d0<f;?96?54i`094?"5=:0j:6`=5380?>of93:1(?;<:`48j7352=10el>50;&116<f>2d99?4:;:k:b?6=,;?86l84n371>3=<a0o1<7*=528b2>h5=;0<76g6d;29 7342h<0b?;=:998m<e=83.99>4n6:l117<>32c2n7>5$370>d0<f;?96l54i8c94?"5=:0j:6`=538a?>o>03:1(?;<:`48j7352j10e4950;&116<f>2d99?4k;:k:2?6=,;?86l84n371>`=<a0?1<7*=528b2>h5=;0m76g64;29 7342h<0b?;=:028?l?4290/>8=5a79m604=9810e4<50;&116<f>2d99?4>2:9j=4<72-8>?7o9;o066?7432c2<7>5$370>d0<f;?96<:4;h:e>5<#:<91m;5a240950=<aho1<7*=528b2>h5=;0::65fae83>!42;3k=7c<:2;34?>ofk3:1(?;<:`48j73528207dom:18'605=i?1e>8<51898mdg=83.99>4n6:l117<6i21bm44?:%067?g13g8>>7?m;:kb<?6=,;?86l84n371>4e<3`k?6=4+2419e3=i:<81=i54i8;94?"5=:0j:6`=5382a>=n0l0;6)<:3;c5?k42:3;m76gl8;29 7342j=0b?;=:198mf0=83.99>4l7:l117<632ch87>5$370>f1<f;?96?54ib194?"5=:0h;6`=5380?>od:3:1(?;<:b58j7352=10en?50;&116<d?2d99?4:;:k`4?6=,;?86n94n371>3=<akl1<7*=528`3>h5=;0<76gme;29 7342j=0b?;=:998mgb=83.99>4l7:l117<>32cio7>5$370>f1<f;?96l54ic`94?"5=:0h;6`=538a?>oe13:1(?;<:b58j7352j10eo650;&116<d?2d99?4k;:ka3?6=,;?86n94n371>`=<ak<1<7*=528`3>h5=;0m76gm5;29 7342j=0b?;=:028?ld3290/>8=5c69m604=9810eo=50;&116<d?2d99?4>2:9jf7<72-8>?7m8;o066?7432ci=7>5$370>f1<f;?96<:4;h`3>5<#:<91o:5a240950=<ajl1<7*=528`3>h5=;0::65fcd83>!42;3i<7c<:2;34?>odl3:1(?;<:b58j73528207dml:18'605=k>1e>8<51898mfd=83.99>4l7:l117<6i21bol4?:%067?e03g8>>7?m;:k`=?6=,;?86n94n371>4e<3`i>6=4+2419g2=i:<81=i54icc94?"5=:0h;6`=5382a>=nio0;6)<:3;a4?k42:3;m76gi7;29 7342o<0b?;=:198mc3=83.99>4i6:l117<632cm87>5$370>c0<f;?96?54ig194?"5=:0m:6`=5380?>oak3:1(?;<:g`8j7352910eko50;&116<aj2d99?4>;:ke=?6=,;?86kl4n371>7=<ao21<7*=528ef>h5=;0876g=d083>!42;38o<6`=5383?>o5ko0;6)<:3;0g4>h5=;0:76g=cd83>!42;38o<6`=5381?>o5km0;6)<:3;0g4>h5=;0876g=d783>!42;38o96`=5383?>o5l=0;6)<:3;0g1>h5=;0:76g=d283>!42;38o96`=5381?>o5l;0;6)<:3;0g1>h5=;0876a>1783>!42;3;:96`=5383?>i69=0;6)<:3;321>h5=;0:76a>1383>!42;3;:96`=5381?>i6980;6)<:3;321>h5=;0876a>1183>!42;3;:96`=5387?>i68o0;6)<:3;321>h5=;0>76a>0d83>!42;3;:96`=5385?>i68m0;6)<:3;321>h5=;0<76a>0b83>!42;3;:96`=538;?>i68k0;6)<:3;321>h5=;0276a>0`83>!42;3;:96`=538b?>i6800;6)<:3;321>h5=;0i76a>0683>!42;3;:96`=538`?>i68?0;6)<:3;321>h5=;0o76a>0483>!42;3;:96`=538f?>i68=0;6)<:3;321>h5=;0m76a>0283>!42;3;:96`=53824>=h9981<7*=528250=i:<81=<54o022>5<#:<91=<;4n371>44<3f;;<7>5$370>4723g8>>7?<;:meb?6=,;?86<?:;o066?7332emi7>5$370>4723g8>>7?:;:m25a<72-8>?7?>5:l117<6>21d=<m50;&116<69<1e>8<51698k47e290/>8=51078j73528207b?>a;29 73428;>7c<:2;3:?>i6900;6)<:3;321>h5=;0:m65`10:94?"5=:0:=85a24095g=<g8;<6=4+2419543<f;?96<m4;n327?6=,;?86<?:;o066?7c32e:<54?:%067?76=2d99?4>e:9lba<72-8>?7?>5:l117<6n21d=>650;&116<6;>1e>8<50:9l560=83.99>4>369m604=921d=>:50;&116<6;>1e>8<52:9l565=83.99>4>369m604=;21d=><50;&116<6;>1e>8<54:9l567=83.99>4>369m604==21d=>>50;&116<6;>1e>8<56:9l57`=83.99>4>369m604=?21d=?k50;&116<6;>1e>8<58:9l57b=83.99>4>369m604=121d=?m50;&116<6;>1e>8<5a:9l57d=83.99>4>369m604=j21d=?750;&116<6;>1e>8<5c:9l57>=83.99>4>369m604=l21d=?950;&116<6;>1e>8<5e:9l570=83.99>4>369m604=n21d=?;50;&116<6;>1e>8<51198k443290/>8=51258j73528;07b?=3;29 734289<7c<:2;31?>i6:;0;6)<:3;303>h5=;0:?65`13394?"5=:0:?:5a240951=<g88;6=4+2419561<f;?96<;4;n30b?6=,;?86<=8;o066?7132e:?h4?:%067?74?2d99?4>7:9l56b=83.99>4>369m604=9110c<=l:18'605=9:=0b?;=:0;8?j74j3:1(?;<:014?k42:3;j76a>3`83>!42;3;8;6`=5382f>=h9:31<7*=528272=i:<81=n54o016>5<#:<91=>94n371>4b<3f;9m7>5$370>4503g8>>7?j;:m25c<72-8>?7?<7:l117<6n21d=9;50;&116<6<=1e>8<50:9l515=83.99>4>459m604=921d=9<50;&116<6<=1e>8<52:9l517=83.99>4>459m604=;21d=9o50;&116<6<01e>8<50:9l51>=83.99>4>489m604=921d=9950;&116<6<01e>8<52:9l510=83.99>4>489m604=;21vn:m?:18`6?6=8r.9o84=a79K6`c<@;i27W8>:by15?452;>1>84=d;0f>7`=;909:7<8:23977<z,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6`>1d83?k7383:0(?lj:057?!4d9380(<6k:29'5=c=;2.:4k4<;%3:4?5<,83:6>5+18097>"61:087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6ko098n5+1bf96>"6kl097)?74;18 4>22:1/=5853:&2<2<43-;347=4$0::>6=#9m;1=::4$0f1>4133-8j;7<;c:&1e=<5<j1e>o654:l1f<<33-8ij7<;c:&2<d<43-;3n7=4$3f;>7b03-8o57<k7:l1`d<33g8on7:4$3a1>7=n>:0;66g94;29?l0>2900e;o50;9j526=831b>oo50;9j6gd=831b=:?50;9j6f5=831b>n:50;9l20<722e=:7>5;h60>5<#:<918?5a24094>=n<80;6)<:3;61?k42:3;07d:?:18'605=<;1e>8<52:9j7c<72-8>?7:=;o066?5<3`9n6=4+241907=i:<81865f4b83>!42;3>97c<:2;78?l2e290/>8=5439m604=>21b8l4?:%067?253g8>>794;h6:>5<#:<918?5a2409<>=n<10;6)<:3;61?k42:3307d:8:18'605=<;1e>8<5a:9j03<72-8>?7:=;o066?d<3`>>6=4+241907=i:<81o65f4583>!42;3>97c<:2;f8?l5c290/>8=5439m604=m21b9>4?:%067?353g8>>7>4;h72>5<#:<919?5a24095>=n=90;6)<:3;71?k42:3807d:i:18'605==;1e>8<53:9j0`<72-8>?7;=;o066?2<3`?h6=4+241917=i:<81965f5c83>!42;3?97c<:2;48?l3f290/>8=5539m604=?21b944?:%067?353g8>>764;h7;>5<#:<919?5a2409=>=n=>0;6)<:3;71?k42:3k07d;9:18'605==;1e>8<5b:9j10<72-8>?7;=;o066?e<3`??6=4+241917=i:<81h65f4e83>!42;3?97c<:2;g8?lg0290/>8=5a79m604=821bm84?:%067?g13g8>>7?4;hc0>5<#:<91m;5a24096>=ni;0;6)<:3;c5?k42:3907do>:18'605=i?1e>8<54:9je5<72-8>?7o9;o066?3<3`3m6=4+2419e3=i:<81:65f9d83>!42;3k=7c<:2;58?l?c290/>8=5a79m604=021b5n4?:%067?g13g8>>774;h;a>5<#:<91m;5a2409e>=n1h0;6)<:3;c5?k42:3h07d77:18'605=i?1e>8<5c:9j=2<72-8>?7o9;o066?b<3`3=6=4+2419e3=i:<81i65f9483>!42;3k=7c<:2;d8?l?3290/>8=5a79m604=9910e4=50;&116<f>2d99?4>1:9j=7<72-8>?7o9;o066?7532c2=7>5$370>d0<f;?96<=4;h;3>5<#:<91m;5a240951=<a1l1<7*=528b2>h5=;0:965fad83>!42;3k=7c<:2;35?>ofl3:1(?;<:`48j73528=07dol:18'605=i?1e>8<51998mdd=83.99>4n6:l117<6121bml4?:%067?g13g8>>7?n;:kb=?6=,;?86l84n371>4d<3`k36=4+2419e3=i:<81=n54i`694?"5=:0j:6`=5382`>=n100;6)<:3;c5?k42:3;n76g7e;29 7342h<0b?;=:0d8?le?290/>8=5c69m604=821bo;4?:%067?e03g8>>7?4;ha7>5<#:<91o:5a24096>=nk:0;6)<:3;a4?k42:3907dm=:18'605=k>1e>8<54:9jg4<72-8>?7m8;o066?3<3`i;6=4+2419g2=i:<81:65fbg83>!42;3i<7c<:2;58?ldb290/>8=5c69m604=021bni4?:%067?e03g8>>774;h``>5<#:<91o:5a2409e>=njk0;6)<:3;a4?k42:3h07dl6:18'605=k>1e>8<5c:9jf=<72-8>?7m8;o066?b<3`h<6=4+2419g2=i:<81i65fb783>!42;3i<7c<:2;d8?ld2290/>8=5c69m604=9910eo:50;&116<d?2d99?4>1:9jf6<72-8>?7m8;o066?7532ci>7>5$370>f1<f;?96<=4;h`2>5<#:<91o:5a240951=<ak:1<7*=528`3>h5=;0:965fcg83>!42;3i<7c<:2;35?>odm3:1(?;<:b58j73528=07dmk:18'605=k>1e>8<51998mfe=83.99>4l7:l117<6121boo4?:%067?e03g8>>7?n;:k`e?6=,;?86n94n371>4d<3`i26=4+2419g2=i:<81=n54ib794?"5=:0h;6`=5382`>=njh0;6)<:3;a4?k42:3;n76gnf;29 7342j=0b?;=:0d8?l`0290/>8=5f79m604=821bj84?:%067?`13g8>>7?4;hd7>5<#:<91j;5a24096>=nn:0;6)<:3;d5?k42:3907dhl:18'605=nk1e>8<50:9jbd<72-8>?7hm;o066?7<3`l26=4+2419bg=i:<81>65ff983>!42;3li7c<:2;18?l4c93:1(?;<:3f3?k42:3:07d<lf;29 7342;n;7c<:2;38?l4dm3:1(?;<:3f3?k42:3807d<ld;29 7342;n;7c<:2;18?l4c>3:1(?;<:3f6?k42:3:07d<k4;29 7342;n>7c<:2;38?l4c;3:1(?;<:3f6?k42:3807d<k2;29 7342;n>7c<:2;18?j76>3:1(?;<:036?k42:3:07b?>4;29 73428;>7c<:2;38?j76:3:1(?;<:036?k42:3807b?>1;29 73428;>7c<:2;18?j7683:1(?;<:036?k42:3>07b??f;29 73428;>7c<:2;78?j77m3:1(?;<:036?k42:3<07b??d;29 73428;>7c<:2;58?j77k3:1(?;<:036?k42:3207b??b;29 73428;>7c<:2;;8?j77i3:1(?;<:036?k42:3k07b??9;29 73428;>7c<:2;`8?j77?3:1(?;<:036?k42:3i07b??6;29 73428;>7c<:2;f8?j77=3:1(?;<:036?k42:3o07b??4;29 73428;>7c<:2;d8?j77;3:1(?;<:036?k42:3;;76a>0383>!42;3;:96`=53825>=h99;1<7*=528250=i:<81=?54o023>5<#:<91=<;4n371>45<3flm6=4+2419543<f;?96<:4;ndf>5<#:<91=<;4n371>43<3f;:h7>5$370>4723g8>>7?9;:m25f<72-8>?7?>5:l117<6?21d=<l50;&116<69<1e>8<51998k47f290/>8=51078j73528307b?>9;29 73428;>7c<:2;3b?>i6910;6)<:3;321>h5=;0:n65`10594?"5=:0:=85a24095f=<g8;86=4+2419543<f;?96<j4;n33<?6=,;?86<?:;o066?7b32emh7>5$370>4723g8>>7?i;:m27=<72-8>?7?<7:l117<732e:?;4?:%067?74?2d99?4>;:m271<72-8>?7?<7:l117<532e:?>4?:%067?74?2d99?4<;:m277<72-8>?7?<7:l117<332e:?<4?:%067?74?2d99?4:;:m275<72-8>?7?<7:l117<132e:>k4?:%067?74?2d99?48;:m26`<72-8>?7?<7:l117<?32e:>i4?:%067?74?2d99?46;:m26f<72-8>?7?<7:l117<f32e:>o4?:%067?74?2d99?4m;:m26<<72-8>?7?<7:l117<d32e:>54?:%067?74?2d99?4k;:m262<72-8>?7?<7:l117<b32e:>;4?:%067?74?2d99?4i;:m260<72-8>?7?<7:l117<6821d=?:50;&116<6;>1e>8<51098k444290/>8=51258j73528807b?=2;29 734289<7c<:2;30?>i6:80;6)<:3;303>h5=;0:865`13294?"5=:0:?:5a240950=<g89m6=4+2419561<f;?96<84;n30a?6=,;?86<=8;o066?7032e:?i4?:%067?74?2d99?4>8:9l56e=83.99>4>369m604=9010c<=m:18'605=9:=0b?;=:0c8?j74i3:1(?;<:014?k42:3;i76a>3883>!42;3;8;6`=5382g>=h9:?1<7*=528272=i:<81=i54o00b>5<#:<91=>94n371>4c<3f;:j7>5$370>4503g8>>7?i;:m200<72-8>?7?;4:l117<732e:8>4?:%067?73<2d99?4>;:m207<72-8>?7?;4:l117<532e:8<4?:%067?73<2d99?4<;:m20d<72-8>?7?;9:l117<732e:854?:%067?7312d99?4>;:m202<72-8>?7?;9:l117<532e:8;4?:%067?7312d99?4<;:\7fa3f7=83i96=4?{%0`1?4f>2B9ih5G2b;8^37=kr8:6?<525811?4c2;o1>k4<0;05>71=;808>7s+18697>"61<087)?66;18 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?k76m3:0b<:?:19'6gc=9>>0(?m>:39'5=b=;2.:4h4<;%3;b?5<,83;6>5+18397>"61;087)?63;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?lf;07g>"6km097)?le;08 4>32:1/=5;53:&2<3<43-;3;7=4$0:;>6=#9131?6*>d08231=#9m81=::4$3c4>72d3-8j47<;c:l1f=<33g8i57:4$3`e>72d3-;3m7=4$0:a>6=#:m21>i94$3f:>7b03g8om7:4n3fa>1=#:j81>6g93;29?l032900e;750;9j2d<722c:;=4?::k1fd<722c9no4?::k234<722c9o>4?::k1g1<722e=97>5;n45>5<<a=91<7*=52876>h5=;0;76g;1;29 7342=80b?;=:098m16=83.99>4;2:l117<532c8j7>5$370>14<f;?96>54i2g94?"5=:0?>6`=5387?>o3k3:1(?;<:508j7352<10e9l50;&116<3:2d99?49;:k7e?6=,;?869<4n371>2=<a=31<7*=52876>h5=;0376g;8;29 7342=80b?;=:898m11=83.99>4;2:l117<f32c?:7>5$370>14<f;?96o54i5794?"5=:0?>6`=538`?>o3<3:1(?;<:508j7352m10e>j50;&116<3:2d99?4j;:k67?6=,;?868<4n371>5=<a<;1<7*=52866>h5=;0:76g:0;29 7342<80b?;=:398m1`=83.99>4:2:l117<432c?i7>5$370>04<f;?96954i4a94?"5=:0>>6`=5386?>o2j3:1(?;<:408j7352?10e8o50;&116<2:2d99?48;:k6=?6=,;?868<4n371>==<a<21<7*=52866>h5=;0276g:7;29 7342<80b?;=:`98m00=83.99>4:2:l117<e32c>97>5$370>04<f;?96n54i4694?"5=:0>>6`=538g?>o3l3:1(?;<:408j7352l10el950;&116<f>2d99?4?;:kb1?6=,;?86l84n371>4=<ah91<7*=528b2>h5=;0976gn2;29 7342h<0b?;=:298md7=83.99>4n6:l117<332cj<7>5$370>d0<f;?96854i8d94?"5=:0j:6`=5385?>o>m3:1(?;<:`48j7352>10e4j50;&116<f>2d99?47;:k:g?6=,;?86l84n371><=<a0h1<7*=528b2>h5=;0j76g6a;29 7342h<0b?;=:c98m<>=83.99>4n6:l117<d32c2;7>5$370>d0<f;?96i54i8494?"5=:0j:6`=538f?>o>=3:1(?;<:`48j7352o10e4:50;&116<f>2d99?4>0:9j=6<72-8>?7o9;o066?7632c2>7>5$370>d0<f;?96<<4;h;2>5<#:<91m;5a240956=<a0:1<7*=528b2>h5=;0:865f8g83>!42;3k=7c<:2;36?>ofm3:1(?;<:`48j73528<07dok:18'605=i?1e>8<51698mde=83.99>4n6:l117<6021bmo4?:%067?g13g8>>7?6;:kbe?6=,;?86l84n371>4g<3`k26=4+2419e3=i:<81=o54i`:94?"5=:0j:6`=5382g>=ni=0;6)<:3;c5?k42:3;o76g69;29 7342h<0b?;=:0g8?l>b290/>8=5a79m604=9o10en650;&116<d?2d99?4?;:k`2?6=,;?86n94n371>4=<aj>1<7*=528`3>h5=;0976gl3;29 7342j=0b?;=:298mf4=83.99>4l7:l117<332ch=7>5$370>f1<f;?96854ib294?"5=:0h;6`=5385?>oen3:1(?;<:b58j7352>10eok50;&116<d?2d99?47;:ka`?6=,;?86n94n371><=<aki1<7*=528`3>h5=;0j76gmb;29 7342j=0b?;=:c98mg?=83.99>4l7:l117<d32ci47>5$370>f1<f;?96i54ic594?"5=:0h;6`=538f?>oe>3:1(?;<:b58j7352o10eo;50;&116<d?2d99?4>0:9jf1<72-8>?7m8;o066?7632ci?7>5$370>f1<f;?96<<4;h`1>5<#:<91o:5a240956=<ak;1<7*=528`3>h5=;0:865fb183>!42;3i<7c<:2;36?>odn3:1(?;<:b58j73528<07dmj:18'605=k>1e>8<51698mfb=83.99>4l7:l117<6021bon4?:%067?e03g8>>7?6;:k`f?6=,;?86n94n371>4g<3`ij6=4+2419g2=i:<81=o54ib;94?"5=:0h;6`=5382g>=nk<0;6)<:3;a4?k42:3;o76gma;29 7342j=0b?;=:0g8?lga290/>8=5c69m604=9o10ek950;&116<a>2d99?4?;:ke1?6=,;?86k84n371>4=<ao>1<7*=528e2>h5=;0976gi3;29 7342o<0b?;=:298mce=83.99>4ib:l117<732cmm7>5$370>cd<f;?96<54ig;94?"5=:0mn6`=5381?>oa03:1(?;<:g`8j7352:10e?j>:18'605=:m:0b?;=:198m7ea290/>8=52e28j7352810e?mj:18'605=:m:0b?;=:398m7ec290/>8=52e28j7352:10e?j9:18'605=:m?0b?;=:198m7b3290/>8=52e78j7352810e?j<:18'605=:m?0b?;=:398m7b5290/>8=52e78j7352:10c<?9:18'605=98?0b?;=:198k473290/>8=51078j7352810c<?=:18'605=98?0b?;=:398k476290/>8=51078j7352:10c<??:18'605=98?0b?;=:598k46a290/>8=51078j7352<10c<>j:18'605=98?0b?;=:798k46c290/>8=51078j7352>10c<>l:18'605=98?0b?;=:998k46e290/>8=51078j7352010c<>n:18'605=98?0b?;=:`98k46>290/>8=51078j7352k10c<>8:18'605=98?0b?;=:b98k461290/>8=51078j7352m10c<>::18'605=98?0b?;=:d98k463290/>8=51078j7352o10c<><:18'605=98?0b?;=:028?j77:3:1(?;<:036?k42:3;:76a>0083>!42;3;:96`=53826>=h99:1<7*=528250=i:<81=>54ogd94?"5=:0:=85a240951=<goo1<7*=528250=i:<81=854o03g>5<#:<91=<;4n371>40<3f;:o7>5$370>4723g8>>7?8;:m25g<72-8>?7?>5:l117<6021d=<o50;&116<69<1e>8<51898k47>290/>8=51078j73528k07b?>8;29 73428;>7c<:2;3a?>i69>0;6)<:3;321>h5=;0:o65`10194?"5=:0:=85a24095a=<g8:36=4+2419543<f;?96<k4;ndg>5<#:<91=<;4n371>4`<3f;847>5$370>4503g8>>7>4;n302?6=,;?86<=8;o066?7<3f;887>5$370>4503g8>>7<4;n307?6=,;?86<=8;o066?5<3f;8>7>5$370>4503g8>>7:4;n305?6=,;?86<=8;o066?3<3f;8<7>5$370>4503g8>>784;n31b?6=,;?86<=8;o066?1<3f;9i7>5$370>4503g8>>764;n31`?6=,;?86<=8;o066??<3f;9o7>5$370>4503g8>>7o4;n31f?6=,;?86<=8;o066?d<3f;957>5$370>4503g8>>7m4;n31<?6=,;?86<=8;o066?b<3f;9;7>5$370>4503g8>>7k4;n312?6=,;?86<=8;o066?`<3f;997>5$370>4503g8>>7??;:m261<72-8>?7?<7:l117<6921d=?=50;&116<6;>1e>8<51398k445290/>8=51258j73528907b?=1;29 734289<7c<:2;37?>i6:90;6)<:3;303>h5=;0:965`12d94?"5=:0:?:5a240953=<g89n6=4+2419561<f;?96<94;n30`?6=,;?86<=8;o066?7?32e:?n4?:%067?74?2d99?4>9:9l56d=83.99>4>369m604=9h10c<=n:18'605=9:=0b?;=:0`8?j7413:1(?;<:014?k42:3;h76a>3483>!42;3;8;6`=5382`>=h9;k1<7*=528272=i:<81=h54o03e>5<#:<91=>94n371>4`<3f;?97>5$370>4233g8>>7>4;n377?6=,;?86<:;;o066?7<3f;?>7>5$370>4233g8>>7<4;n375?6=,;?86<:;;o066?5<3f;?m7>5$370>42>3g8>>7>4;n37<?6=,;?86<:6;o066?7<3f;?;7>5$370>42>3g8>>7<4;n372?6=,;?86<:6;o066?5<3th<o?4?:b094?6|,;i>6?o9;I0fa>N5k01Q:<4l{33967<5<38>6?j52d81b?572;<1>:4<1;11>x"61=087)?65;18 4?12:1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390b<?j:19m516=82.9nh4>759'6f7=:2.:4i4<;%3;a?5<,82m6>5+18297>"618087)?62;18 4?42:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ea2;>h7)?ld;08 4eb2;1/=5:53:&2<0<43-;3:7=4$0:4>6=#9121?6*>8880?!7c93;<86*>d38231=#:h=1>9m4$3c;>72d3g8i47:4n3`:>1=#:kl1>9m4$0:b>6=#91h1?6*=d981`2=#:m31>i94n3fb>1=i:mh186*=c381?l042900e;:50;9j2<<722c=m7>5;h344?6=3`8im7>5;h0af?6=3`;<=7>5;h0`7?6=3`8h87>5;n46>5<<g?<1<75f4283>!42;3>97c<:2;28?l26290/>8=5439m604=921b8=4?:%067?253g8>>7<4;h1e>5<#:<918?5a24097>=n;l0;6)<:3;61?k42:3>07d:l:18'605=<;1e>8<55:9j0g<72-8>?7:=;o066?0<3`>j6=4+241907=i:<81;65f4883>!42;3>97c<:2;:8?l2?290/>8=5439m604=121b8:4?:%067?253g8>>7o4;h65>5<#:<918?5a2409f>=n<<0;6)<:3;61?k42:3i07d:;:18'605=<;1e>8<5d:9j7a<72-8>?7:=;o066?c<3`?86=4+241917=i:<81<65f5083>!42;3?97c<:2;38?l37290/>8=5539m604=:21b8k4?:%067?353g8>>7=4;h6f>5<#:<919?5a24090>=n=j0;6)<:3;71?k42:3?07d;m:18'605==;1e>8<56:9j1d<72-8>?7;=;o066?1<3`?26=4+241917=i:<81465f5983>!42;3?97c<:2;;8?l30290/>8=5539m604=i21b9;4?:%067?353g8>>7l4;h76>5<#:<919?5a2409g>=n==0;6)<:3;71?k42:3n07d:k:18'605==;1e>8<5e:9je2<72-8>?7o9;o066?6<3`k>6=4+2419e3=i:<81=65fa283>!42;3k=7c<:2;08?lg5290/>8=5a79m604=;21bm<4?:%067?g13g8>>7:4;hc3>5<#:<91m;5a24091>=n1o0;6)<:3;c5?k42:3<07d7j:18'605=i?1e>8<57:9j=a<72-8>?7o9;o066?><3`3h6=4+2419e3=i:<81565f9c83>!42;3k=7c<:2;c8?l?f290/>8=5a79m604=j21b554?:%067?g13g8>>7m4;h;4>5<#:<91m;5a2409`>=n1?0;6)<:3;c5?k42:3o07d7::18'605=i?1e>8<5f:9j=1<72-8>?7o9;o066?7732c2?7>5$370>d0<f;?96<?4;h;1>5<#:<91m;5a240957=<a0;1<7*=528b2>h5=;0:?65f9183>!42;3k=7c<:2;37?>o?n3:1(?;<:`48j73528?07doj:18'605=i?1e>8<51798mdb=83.99>4n6:l117<6?21bmn4?:%067?g13g8>>7?7;:kbf?6=,;?86l84n371>4?<3`kj6=4+2419e3=i:<81=l54i`;94?"5=:0j:6`=5382f>=ni10;6)<:3;c5?k42:3;h76gn4;29 7342h<0b?;=:0f8?l?>290/>8=5a79m604=9l10e5k50;&116<f>2d99?4>f:9jg=<72-8>?7m8;o066?6<3`i=6=4+2419g2=i:<81=65fc583>!42;3i<7c<:2;08?le4290/>8=5c69m604=;21bo?4?:%067?e03g8>>7:4;ha2>5<#:<91o:5a24091>=nk90;6)<:3;a4?k42:3<07dli:18'605=k>1e>8<57:9jf`<72-8>?7m8;o066?><3`ho6=4+2419g2=i:<81565fbb83>!42;3i<7c<:2;c8?lde290/>8=5c69m604=j21bn44?:%067?e03g8>>7m4;h`;>5<#:<91o:5a2409`>=nj>0;6)<:3;a4?k42:3o07dl9:18'605=k>1e>8<5f:9jf0<72-8>?7m8;o066?7732ci87>5$370>f1<f;?96<?4;h`0>5<#:<91o:5a240957=<ak81<7*=528`3>h5=;0:?65fb083>!42;3i<7c<:2;37?>oe83:1(?;<:b58j73528?07dmi:18'605=k>1e>8<51798mfc=83.99>4l7:l117<6?21boi4?:%067?e03g8>>7?7;:k`g?6=,;?86n94n371>4?<3`ii6=4+2419g2=i:<81=l54ibc94?"5=:0h;6`=5382f>=nk00;6)<:3;a4?k42:3;h76gl5;29 7342j=0b?;=:0f8?ldf290/>8=5c69m604=9l10elh50;&116<d?2d99?4>f:9jb2<72-8>?7h9;o066?6<3`l>6=4+2419b3=i:<81=65ff583>!42;3l=7c<:2;08?l`4290/>8=5f79m604=;21bjn4?:%067?`e3g8>>7>4;hdb>5<#:<91jo5a24095>=nn00;6)<:3;da?k42:3807dh7:18'605=nk1e>8<53:9j6a7=83.99>4=d19m604=821b>nh50;&116<5l91e>8<51:9j6fc=83.99>4=d19m604=:21b>nj50;&116<5l91e>8<53:9j6a0=83.99>4=d49m604=821b>i:50;&116<5l<1e>8<51:9j6a5=83.99>4=d49m604=:21b>i<50;&116<5l<1e>8<53:9l540=83.99>4>149m604=821d=<:50;&116<69<1e>8<51:9l544=83.99>4>149m604=:21d=<?50;&116<69<1e>8<53:9l546=83.99>4>149m604=<21d==h50;&116<69<1e>8<55:9l55c=83.99>4>149m604=>21d==j50;&116<69<1e>8<57:9l55e=83.99>4>149m604=021d==l50;&116<69<1e>8<59:9l55g=83.99>4>149m604=i21d==750;&116<69<1e>8<5b:9l551=83.99>4>149m604=k21d==850;&116<69<1e>8<5d:9l553=83.99>4>149m604=m21d==:50;&116<69<1e>8<5f:9l555=83.99>4>149m604=9910c<>=:18'605=98?0b?;=:038?j7793:1(?;<:036?k42:3;976a>0183>!42;3;:96`=53827>=hno0;6)<:3;321>h5=;0:865`fd83>!42;3;:96`=53821>=h98n1<7*=528250=i:<81=;54o03`>5<#:<91=<;4n371>41<3f;:n7>5$370>4723g8>>7?7;:m25d<72-8>?7?>5:l117<6121d=<750;&116<69<1e>8<51`98k47?290/>8=51078j73528h07b?>7;29 73428;>7c<:2;3`?>i69:0;6)<:3;321>h5=;0:h65`11:94?"5=:0:=85a24095`=<gon1<7*=528250=i:<81=k54o01;>5<#:<91=>94n371>5=<g89=6=4+2419561<f;?96<54o017>5<#:<91=>94n371>7=<g8986=4+2419561<f;?96>54o011>5<#:<91=>94n371>1=<g89:6=4+2419561<f;?96854o013>5<#:<91=>94n371>3=<g88m6=4+2419561<f;?96:54o00f>5<#:<91=>94n371>==<g88o6=4+2419561<f;?96454o00`>5<#:<91=>94n371>d=<g88i6=4+2419561<f;?96o54o00:>5<#:<91=>94n371>f=<g8836=4+2419561<f;?96i54o004>5<#:<91=>94n371>`=<g88=6=4+2419561<f;?96k54o006>5<#:<91=>94n371>46<3f;987>5$370>4503g8>>7?>;:m266<72-8>?7?<7:l117<6:21d=?<50;&116<6;>1e>8<51298k446290/>8=51258j73528>07b?=0;29 734289<7c<:2;36?>i6;o0;6)<:3;303>h5=;0::65`12g94?"5=:0:?:5a240952=<g89o6=4+2419561<f;?96<64;n30g?6=,;?86<=8;o066?7>32e:?o4?:%067?74?2d99?4>a:9l56g=83.99>4>369m604=9k10c<=6:18'605=9:=0b?;=:0a8?j74=3:1(?;<:014?k42:3;o76a>2`83>!42;3;8;6`=5382a>=h98l1<7*=528272=i:<81=k54o066>5<#:<91=9:4n371>5=<g8>86=4+2419512<f;?96<54o061>5<#:<91=9:4n371>7=<g8>:6=4+2419512<f;?96>54o06b>5<#:<91=974n371>5=<g8>36=4+241951?<f;?96<54o064>5<#:<91=974n371>7=<g8>=6=4+241951?<f;?96>54}c5`7?6=k;0;6=u+2b796d0<@;on7E<l9:X55?e|:809>7<;:3796a<5m38m6>>527813?562:81q)?n3;18 4g32:1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5f`=:=i0(<mk:39'5fc=:2.:494<;%3;1?5<,82=6>5+19597>"601087)?79;18 4b628=?7)?k2;340>"5i>098n5+2`:961e<f;h3645a2c;9=>"5jo098n5+19c97>"60k087)<k8;0g3>"5l009h:5a2ec9=>h5lk027)?7e;18 4>a2:1/=4>53:&2=4<43-;2>7=4$0;0>6=#90>1?6*>9480?!7>>390(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4n03f>5=i9=:1<6*=bd8231=#:j;1>6*>8e80?!4d:380e;=50;9j21<722c=57>5;h4b>5<<a8=;6=44i3`b>5<<a;hi6=44i052>5<<a;i86=44i3a7>5<<g??1<75`6783>>o3;3:1(?;<:508j7352910e9?50;&116<3:2d99?4>;:k74?6=,;?869<4n371>7=<a:l1<7*=52876>h5=;0876g<e;29 7342=80b?;=:598m1e=83.99>4;2:l117<232c?n7>5$370>14<f;?96;54i5c94?"5=:0?>6`=5384?>o313:1(?;<:508j7352110e9650;&116<3:2d99?46;:k73?6=,;?869<4n371>d=<a=<1<7*=52876>h5=;0i76g;5;29 7342=80b?;=:b98m12=83.99>4;2:l117<c32c8h7>5$370>14<f;?96h54i4194?"5=:0>>6`=5383?>o293:1(?;<:408j7352810e8>50;&116<2:2d99?4=;:k7b?6=,;?868<4n371>6=<a=o1<7*=52866>h5=;0?76g:c;29 7342<80b?;=:498m0d=83.99>4:2:l117<132c>m7>5$370>04<f;?96:54i4;94?"5=:0>>6`=538;?>o203:1(?;<:408j7352010e8950;&116<2:2d99?4n;:k62?6=,;?868<4n371>g=<a<?1<7*=52866>h5=;0h76g:4;29 7342<80b?;=:e98m1b=83.99>4:2:l117<b32cj;7>5$370>d0<f;?96=54i`794?"5=:0j:6`=5382?>of;3:1(?;<:`48j7352;10el<50;&116<f>2d99?4<;:kb5?6=,;?86l84n371>1=<ah:1<7*=528b2>h5=;0>76g6f;29 7342h<0b?;=:798m<c=83.99>4n6:l117<032c2h7>5$370>d0<f;?96554i8a94?"5=:0j:6`=538:?>o>j3:1(?;<:`48j7352h10e4o50;&116<f>2d99?4m;:k:<?6=,;?86l84n371>f=<a0=1<7*=528b2>h5=;0o76g66;29 7342h<0b?;=:d98m<3=83.99>4n6:l117<a32c287>5$370>d0<f;?96<>4;h;0>5<#:<91m;5a240954=<a081<7*=528b2>h5=;0:>65f9083>!42;3k=7c<:2;30?>o>83:1(?;<:`48j73528>07d6i:18'605=i?1e>8<51498mdc=83.99>4n6:l117<6>21bmi4?:%067?g13g8>>7?8;:kbg?6=,;?86l84n371>4><3`ki6=4+2419e3=i:<81=454i`c94?"5=:0j:6`=5382e>=ni00;6)<:3;c5?k42:3;i76gn8;29 7342h<0b?;=:0a8?lg3290/>8=5a79m604=9m10e4750;&116<f>2d99?4>e:9j<`<72-8>?7o9;o066?7a32ch47>5$370>f1<f;?96=54ib494?"5=:0h;6`=5382?>od<3:1(?;<:b58j7352;10en=50;&116<d?2d99?4<;:k`6?6=,;?86n94n371>1=<aj;1<7*=528`3>h5=;0>76gl0;29 7342j=0b?;=:798mg`=83.99>4l7:l117<032cii7>5$370>f1<f;?96554icf94?"5=:0h;6`=538:?>oek3:1(?;<:b58j7352h10eol50;&116<d?2d99?4m;:ka=?6=,;?86n94n371>f=<ak21<7*=528`3>h5=;0o76gm7;29 7342j=0b?;=:d98mg0=83.99>4l7:l117<a32ci97>5$370>f1<f;?96<>4;h`7>5<#:<91o:5a240954=<ak91<7*=528`3>h5=;0:>65fb383>!42;3i<7c<:2;30?>oe93:1(?;<:b58j73528>07dl?:18'605=k>1e>8<51498mf`=83.99>4l7:l117<6>21boh4?:%067?e03g8>>7?8;:k``?6=,;?86n94n371>4><3`ih6=4+2419g2=i:<81=454ib`94?"5=:0h;6`=5382e>=nkh0;6)<:3;a4?k42:3;i76gl9;29 7342j=0b?;=:0a8?le2290/>8=5c69m604=9m10eoo50;&116<d?2d99?4>e:9jec<72-8>?7m8;o066?7a32cm;7>5$370>c0<f;?96=54ig794?"5=:0m:6`=5382?>oa<3:1(?;<:g48j7352;10ek=50;&116<a>2d99?4<;:keg?6=,;?86kl4n371>5=<aok1<7*=528ef>h5=;0:76gi9;29 7342oh0b?;=:398mc>=83.99>4ib:l117<432c9h<4?:%067?4c82d99?4?;:k1gc<72-8>?7<k0:l117<632c9oh4?:%067?4c82d99?4=;:k1ga<72-8>?7<k0:l117<432c9h;4?:%067?4c=2d99?4?;:k1`1<72-8>?7<k5:l117<632c9h>4?:%067?4c=2d99?4=;:k1`7<72-8>?7<k5:l117<432e:=;4?:%067?76=2d99?4?;:m251<72-8>?7?>5:l117<632e:=?4?:%067?76=2d99?4=;:m254<72-8>?7?>5:l117<432e:==4?:%067?76=2d99?4;;:m24c<72-8>?7?>5:l117<232e:<h4?:%067?76=2d99?49;:m24a<72-8>?7?>5:l117<032e:<n4?:%067?76=2d99?47;:m24g<72-8>?7?>5:l117<>32e:<l4?:%067?76=2d99?4n;:m24<<72-8>?7?>5:l117<e32e:<:4?:%067?76=2d99?4l;:m243<72-8>?7?>5:l117<c32e:<84?:%067?76=2d99?4j;:m241<72-8>?7?>5:l117<a32e:<>4?:%067?76=2d99?4>0:9l554=83.99>4>149m604=9810c<>>:18'605=98?0b?;=:008?j7783:1(?;<:036?k42:3;876aif;29 73428;>7c<:2;37?>iam3:1(?;<:036?k42:3;>76a>1e83>!42;3;:96`=53822>=h98i1<7*=528250=i:<81=:54o03a>5<#:<91=<;4n371>4><3f;:m7>5$370>4723g8>>7?6;:m25<<72-8>?7?>5:l117<6i21d=<650;&116<69<1e>8<51c98k470290/>8=51078j73528i07b?>3;29 73428;>7c<:2;3g?>i6810;6)<:3;321>h5=;0:i65`fe83>!42;3;:96`=5382b>=h9:21<7*=528272=i:<81<65`12494?"5=:0:?:5a24095>=h9:>1<7*=528272=i:<81>65`12194?"5=:0:?:5a24097>=h9:81<7*=528272=i:<81865`12394?"5=:0:?:5a24091>=h9::1<7*=528272=i:<81:65`13d94?"5=:0:?:5a24093>=h9;o1<7*=528272=i:<81465`13f94?"5=:0:?:5a2409=>=h9;i1<7*=528272=i:<81m65`13`94?"5=:0:?:5a2409f>=h9;31<7*=528272=i:<81o65`13:94?"5=:0:?:5a2409`>=h9;=1<7*=528272=i:<81i65`13494?"5=:0:?:5a2409b>=h9;?1<7*=528272=i:<81==54o007>5<#:<91=>94n371>47<3f;9?7>5$370>4503g8>>7?=;:m267<72-8>?7?<7:l117<6;21d=??50;&116<6;>1e>8<51598k447290/>8=51258j73528?07b?<f;29 734289<7c<:2;35?>i6;l0;6)<:3;303>h5=;0:;65`12f94?"5=:0:?:5a24095==<g89h6=4+2419561<f;?96<74;n30f?6=,;?86<=8;o066?7f32e:?l4?:%067?74?2d99?4>b:9l56?=83.99>4>369m604=9j10c<=::18'605=9:=0b?;=:0f8?j75i3:1(?;<:014?k42:3;n76a>1g83>!42;3;8;6`=5382b>=h9=?1<7*=528201=i:<81<65`15194?"5=:0:895a24095>=h9=81<7*=528201=i:<81>65`15394?"5=:0:895a24097>=h9=k1<7*=52820<=i:<81<65`15:94?"5=:0:845a24095>=h9==1<7*=52820<=i:<81>65`15494?"5=:0:845a24097>=zj>i?6=4l2;294~"5k<09m;5G2dg8L7e>3S<:6nu=1;01>72=:<09h7<j:3d975<5>38<6>?5338~ 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:ok4=4b9'5fb=:2.:oh4=;%3;0?5<,82>6>5+19497>"60>087)?78;18 4>>2:1/=i?51668 4b528=?7)<n7;07g>"5i1098n5a2c:9=>h5j0027)<mf;07g>"60h087)?7b;18 7b?2;n<7)<k9;0g3>h5lh027c<kb;;8 4>b2:1/=5h53:&2=5<43-;2=7=4$0;1>6=#9091?6*>9580?!7>=390(<79:29'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=i98o1<6`>4183?!4em3;<86*=c081?!7?l390(?m=:39j26<722c=87>5;h4:>5<<a?k1<75f16294?=n:kk1<75f2c`94?=n9>;1<75f2b194?=n:j>1<75`6483>>i1>3:17d:<:18'605=<;1e>8<50:9j04<72-8>?7:=;o066?7<3`>;6=4+241907=i:<81>65f3g83>!42;3>97c<:2;18?l5b290/>8=5439m604=<21b8n4?:%067?253g8>>7;4;h6a>5<#:<918?5a24092>=n<h0;6)<:3;61?k42:3=07d:6:18'605=<;1e>8<58:9j0=<72-8>?7:=;o066??<3`><6=4+241907=i:<81m65f4783>!42;3>97c<:2;`8?l22290/>8=5439m604=k21b894?:%067?253g8>>7j4;h1g>5<#:<918?5a2409a>=n=:0;6)<:3;71?k42:3:07d;>:18'605==;1e>8<51:9j15<72-8>?7;=;o066?4<3`>m6=4+241917=i:<81?65f4d83>!42;3?97c<:2;68?l3d290/>8=5539m604==21b9o4?:%067?353g8>>784;h7b>5<#:<919?5a24093>=n=00;6)<:3;71?k42:3207d;7:18'605==;1e>8<59:9j12<72-8>?7;=;o066?g<3`?=6=4+241917=i:<81n65f5483>!42;3?97c<:2;a8?l33290/>8=5539m604=l21b8i4?:%067?353g8>>7k4;hc4>5<#:<91m;5a24094>=ni<0;6)<:3;c5?k42:3;07do<:18'605=i?1e>8<52:9je7<72-8>?7o9;o066?5<3`k:6=4+2419e3=i:<81865fa183>!42;3k=7c<:2;78?l?a290/>8=5a79m604=>21b5h4?:%067?g13g8>>794;h;g>5<#:<91m;5a2409<>=n1j0;6)<:3;c5?k42:3307d7m:18'605=i?1e>8<5a:9j=d<72-8>?7o9;o066?d<3`336=4+2419e3=i:<81o65f9683>!42;3k=7c<:2;f8?l?1290/>8=5a79m604=m21b584?:%067?g13g8>>7h4;h;7>5<#:<91m;5a240955=<a091<7*=528b2>h5=;0:=65f9383>!42;3k=7c<:2;31?>o>93:1(?;<:`48j73528907d7?:18'605=i?1e>8<51598m=`=83.99>4n6:l117<6=21bmh4?:%067?g13g8>>7?9;:kb`?6=,;?86l84n371>41<3`kh6=4+2419e3=i:<81=554i``94?"5=:0j:6`=5382=>=nih0;6)<:3;c5?k42:3;j76gn9;29 7342h<0b?;=:0`8?lg?290/>8=5a79m604=9j10el:50;&116<f>2d99?4>d:9j=<<72-8>?7o9;o066?7b32c3i7>5$370>d0<f;?96<h4;ha;>5<#:<91o:5a24094>=nk?0;6)<:3;a4?k42:3;07dm;:18'605=k>1e>8<52:9jg6<72-8>?7m8;o066?5<3`i96=4+2419g2=i:<81865fc083>!42;3i<7c<:2;78?le7290/>8=5c69m604=>21bnk4?:%067?e03g8>>794;h`f>5<#:<91o:5a2409<>=njm0;6)<:3;a4?k42:3307dll:18'605=k>1e>8<5a:9jfg<72-8>?7m8;o066?d<3`h26=4+2419g2=i:<81o65fb983>!42;3i<7c<:2;f8?ld0290/>8=5c69m604=m21bn;4?:%067?e03g8>>7h4;h`6>5<#:<91o:5a240955=<ak>1<7*=528`3>h5=;0:=65fb283>!42;3i<7c<:2;31?>oe:3:1(?;<:b58j73528907dl>:18'605=k>1e>8<51598mg6=83.99>4l7:l117<6=21bok4?:%067?e03g8>>7?9;:k`a?6=,;?86n94n371>41<3`io6=4+2419g2=i:<81=554iba94?"5=:0h;6`=5382=>=nkk0;6)<:3;a4?k42:3;j76gla;29 7342j=0b?;=:0`8?le>290/>8=5c69m604=9j10en;50;&116<d?2d99?4>d:9jfd<72-8>?7m8;o066?7b32cjj7>5$370>f1<f;?96<h4;hd4>5<#:<91j;5a24094>=nn<0;6)<:3;d5?k42:3;07dh;:18'605=n?1e>8<52:9jb6<72-8>?7h9;o066?5<3`lh6=4+2419bg=i:<81<65ff`83>!42;3li7c<:2;38?l`>290/>8=5fc9m604=:21bj54?:%067?`e3g8>>7=4;h0g5?6=,;?86?j?;o066?6<3`8hj7>5$370>7b73g8>>7?4;h0`a?6=,;?86?j?;o066?4<3`8hh7>5$370>7b73g8>>7=4;h0g2?6=,;?86?j:;o066?6<3`8o87>5$370>7b23g8>>7?4;h0g7?6=,;?86?j:;o066?4<3`8o>7>5$370>7b23g8>>7=4;n322?6=,;?86<?:;o066?6<3f;:87>5$370>4723g8>>7?4;n326?6=,;?86<?:;o066?4<3f;:=7>5$370>4723g8>>7=4;n324?6=,;?86<?:;o066?2<3f;;j7>5$370>4723g8>>7;4;n33a?6=,;?86<?:;o066?0<3f;;h7>5$370>4723g8>>794;n33g?6=,;?86<?:;o066?><3f;;n7>5$370>4723g8>>774;n33e?6=,;?86<?:;o066?g<3f;;57>5$370>4723g8>>7l4;n333?6=,;?86<?:;o066?e<3f;;:7>5$370>4723g8>>7j4;n331?6=,;?86<?:;o066?c<3f;;87>5$370>4723g8>>7h4;n337?6=,;?86<?:;o066?7732e:<?4?:%067?76=2d99?4>1:9l557=83.99>4>149m604=9;10c<>?:18'605=98?0b?;=:018?j`a290/>8=51078j73528>07bhj:18'605=98?0b?;=:078?j76l3:1(?;<:036?k42:3;=76a>1b83>!42;3;:96`=53823>=h98h1<7*=528250=i:<81=554o03b>5<#:<91=<;4n371>4?<3f;:57>5$370>4723g8>>7?n;:m25=<72-8>?7?>5:l117<6j21d=<950;&116<69<1e>8<51b98k474290/>8=51078j73528n07b??8;29 73428;>7c<:2;3f?>ial3:1(?;<:036?k42:3;m76a>3983>!42;3;8;6`=5383?>i6;?0;6)<:3;303>h5=;0:76a>3583>!42;3;8;6`=5381?>i6;:0;6)<:3;303>h5=;0876a>3383>!42;3;8;6`=5387?>i6;80;6)<:3;303>h5=;0>76a>3183>!42;3;8;6`=5385?>i6:o0;6)<:3;303>h5=;0<76a>2d83>!42;3;8;6`=538;?>i6:m0;6)<:3;303>h5=;0276a>2b83>!42;3;8;6`=538b?>i6:k0;6)<:3;303>h5=;0i76a>2883>!42;3;8;6`=538`?>i6:10;6)<:3;303>h5=;0o76a>2683>!42;3;8;6`=538f?>i6:?0;6)<:3;303>h5=;0m76a>2483>!42;3;8;6`=53824>=h9;>1<7*=528272=i:<81=<54o000>5<#:<91=>94n371>44<3f;9>7>5$370>4503g8>>7?<;:m264<72-8>?7?<7:l117<6<21d=?>50;&116<6;>1e>8<51498k45a290/>8=51258j73528<07b?<e;29 734289<7c<:2;34?>i6;m0;6)<:3;303>h5=;0:465`12a94?"5=:0:?:5a24095<=<g89i6=4+2419561<f;?96<o4;n30e?6=,;?86<=8;o066?7e32e:?44?:%067?74?2d99?4>c:9l563=83.99>4>369m604=9m10c<<n:18'605=9:=0b?;=:0g8?j76n3:1(?;<:014?k42:3;m76a>4483>!42;3;?86`=5383?>i6<:0;6)<:3;370>h5=;0:76a>4383>!42;3;?86`=5381?>i6<80;6)<:3;370>h5=;0876a>4`83>!42;3;?56`=5383?>i6<10;6)<:3;37=>h5=;0:76a>4683>!42;3;?56`=5381?>i6<?0;6)<:3;37=>h5=;0876sm7b794?e5290;w)<l5;0b2>N5ml1C>n74Z739g~462;81>94=5;0g>7c=:o08<7<9:35974<4:3w/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3`b?43k2.:oi4=;%3`a?4<,82?6>5+19797>"60?087)?77;18 4>?2:1/=5753:&2`4<6?=1/=i<51668 7g02;>h7)<n8;07g>h5j1027c<m9;;8 7da2;>h7)?7a;18 4>e2:1/>i652e58 7b>2;n<7c<ka;;8j7be201/=5k53:&2<c<43-;2<7=4$0;2>6=#9081?6*>9280?!7><390(<7::29'5<0=;2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6`>1d83?k7383:0(?lj:057?!4d9380(<6k:29'6f4=:2c=?7>5;h47>5<<a?31<75f6`83>>o6?90;66g=b`83>>o5jk0;66g>7083>>o5k:0;66g=c583>>i1=3:17b89:188m15=83.99>4;2:l117<732c?=7>5$370>14<f;?96<54i5294?"5=:0?>6`=5381?>o4n3:1(?;<:508j7352:10e>k50;&116<3:2d99?4;;:k7g?6=,;?869<4n371>0=<a=h1<7*=52876>h5=;0=76g;a;29 7342=80b?;=:698m1?=83.99>4;2:l117<?32c?47>5$370>14<f;?96454i5594?"5=:0?>6`=538b?>o3>3:1(?;<:508j7352k10e9;50;&116<3:2d99?4l;:k70?6=,;?869<4n371>a=<a:n1<7*=52876>h5=;0n76g:3;29 7342<80b?;=:198m07=83.99>4:2:l117<632c><7>5$370>04<f;?96?54i5d94?"5=:0>>6`=5380?>o3m3:1(?;<:408j7352=10e8m50;&116<2:2d99?4:;:k6f?6=,;?868<4n371>3=<a<k1<7*=52866>h5=;0<76g:9;29 7342<80b?;=:998m0>=83.99>4:2:l117<>32c>;7>5$370>04<f;?96l54i4494?"5=:0>>6`=538a?>o2=3:1(?;<:408j7352j10e8:50;&116<2:2d99?4k;:k7`?6=,;?868<4n371>`=<ah=1<7*=528b2>h5=;0;76gn5;29 7342h<0b?;=:098md5=83.99>4n6:l117<532cj>7>5$370>d0<f;?96>54i`394?"5=:0j:6`=5387?>of83:1(?;<:`48j7352<10e4h50;&116<f>2d99?49;:k:a?6=,;?86l84n371>2=<a0n1<7*=528b2>h5=;0376g6c;29 7342h<0b?;=:898m<d=83.99>4n6:l117<f32c2m7>5$370>d0<f;?96o54i8:94?"5=:0j:6`=538`?>o>?3:1(?;<:`48j7352m10e4850;&116<f>2d99?4j;:k:1?6=,;?86l84n371>c=<a0>1<7*=528b2>h5=;0:<65f9283>!42;3k=7c<:2;32?>o>:3:1(?;<:`48j73528807d7>:18'605=i?1e>8<51298m<6=83.99>4n6:l117<6<21b4k4?:%067?g13g8>>7?:;:kba?6=,;?86l84n371>40<3`ko6=4+2419e3=i:<81=:54i`a94?"5=:0j:6`=5382<>=nik0;6)<:3;c5?k42:3;276gna;29 7342h<0b?;=:0c8?lg>290/>8=5a79m604=9k10el650;&116<f>2d99?4>c:9je1<72-8>?7o9;o066?7c32c257>5$370>d0<f;?96<k4;h:f>5<#:<91m;5a24095c=<aj21<7*=528`3>h5=;0;76gl6;29 7342j=0b?;=:098mf2=83.99>4l7:l117<532ch?7>5$370>f1<f;?96>54ib094?"5=:0h;6`=5387?>od93:1(?;<:b58j7352<10en>50;&116<d?2d99?49;:kab?6=,;?86n94n371>2=<ako1<7*=528`3>h5=;0376gmd;29 7342j=0b?;=:898mge=83.99>4l7:l117<f32cin7>5$370>f1<f;?96o54ic;94?"5=:0h;6`=538`?>oe03:1(?;<:b58j7352m10eo950;&116<d?2d99?4j;:ka2?6=,;?86n94n371>c=<ak?1<7*=528`3>h5=;0:<65fb583>!42;3i<7c<:2;32?>oe;3:1(?;<:b58j73528807dl=:18'605=k>1e>8<51298mg7=83.99>4l7:l117<6<21bn=4?:%067?e03g8>>7?:;:k`b?6=,;?86n94n371>40<3`in6=4+2419g2=i:<81=:54ibf94?"5=:0h;6`=5382<>=nkj0;6)<:3;a4?k42:3;276glb;29 7342j=0b?;=:0c8?lef290/>8=5c69m604=9k10en750;&116<d?2d99?4>c:9jg0<72-8>?7m8;o066?7c32cim7>5$370>f1<f;?96<k4;hce>5<#:<91o:5a24095c=<ao=1<7*=528e2>h5=;0;76gi5;29 7342o<0b?;=:098mc2=83.99>4i6:l117<532cm?7>5$370>c0<f;?96>54iga94?"5=:0mn6`=5383?>oai3:1(?;<:g`8j7352810ek750;&116<aj2d99?4=;:ke<?6=,;?86kl4n371>6=<a;n:6=4+24196a6<f;?96=54i3ae>5<#:<91>i>4n371>4=<a;in6=4+24196a6<f;?96?54i3ag>5<#:<91>i>4n371>6=<a;n=6=4+24196a3<f;?96=54i3f7>5<#:<91>i;4n371>4=<a;n86=4+24196a3<f;?96?54i3f1>5<#:<91>i;4n371>6=<g8;=6=4+2419543<f;?96=54o037>5<#:<91=<;4n371>4=<g8;96=4+2419543<f;?96?54o032>5<#:<91=<;4n371>6=<g8;;6=4+2419543<f;?96954o02e>5<#:<91=<;4n371>0=<g8:n6=4+2419543<f;?96;54o02g>5<#:<91=<;4n371>2=<g8:h6=4+2419543<f;?96554o02a>5<#:<91=<;4n371><=<g8:j6=4+2419543<f;?96l54o02:>5<#:<91=<;4n371>g=<g8:<6=4+2419543<f;?96n54o025>5<#:<91=<;4n371>a=<g8:>6=4+2419543<f;?96h54o027>5<#:<91=<;4n371>c=<g8:86=4+2419543<f;?96<>4;n336?6=,;?86<?:;o066?7632e:<<4?:%067?76=2d99?4>2:9l556=83.99>4>149m604=9:10ckh50;&116<69<1e>8<51598kcc=83.99>4>149m604=9<10c<?k:18'605=98?0b?;=:048?j76k3:1(?;<:036?k42:3;<76a>1c83>!42;3;:96`=5382<>=h98k1<7*=528250=i:<81=454o03:>5<#:<91=<;4n371>4g<3f;:47>5$370>4723g8>>7?m;:m252<72-8>?7?>5:l117<6k21d=<=50;&116<69<1e>8<51e98k46?290/>8=51078j73528o07bhk:18'605=98?0b?;=:0d8?j7403:1(?;<:014?k42:3:07b?<6;29 734289<7c<:2;38?j74<3:1(?;<:014?k42:3807b?<3;29 734289<7c<:2;18?j74:3:1(?;<:014?k42:3>07b?<1;29 734289<7c<:2;78?j7483:1(?;<:014?k42:3<07b?=f;29 734289<7c<:2;58?j75m3:1(?;<:014?k42:3207b?=d;29 734289<7c<:2;;8?j75k3:1(?;<:014?k42:3k07b?=b;29 734289<7c<:2;`8?j7513:1(?;<:014?k42:3i07b?=8;29 734289<7c<:2;f8?j75?3:1(?;<:014?k42:3o07b?=6;29 734289<7c<:2;d8?j75=3:1(?;<:014?k42:3;;76a>2583>!42;3;8;6`=53825>=h9;91<7*=528272=i:<81=?54o001>5<#:<91=>94n371>45<3f;9=7>5$370>4503g8>>7?;;:m265<72-8>?7?<7:l117<6=21d=>h50;&116<6;>1e>8<51798k45b290/>8=51258j73528=07b?<d;29 734289<7c<:2;3;?>i6;j0;6)<:3;303>h5=;0:565`12`94?"5=:0:?:5a24095d=<g89j6=4+2419561<f;?96<l4;n30=?6=,;?86<=8;o066?7d32e:?84?:%067?74?2d99?4>d:9l57g=83.99>4>369m604=9l10c<?i:18'605=9:=0b?;=:0d8?j73=3:1(?;<:067?k42:3:07b?;3;29 73428>?7c<:2;38?j73:3:1(?;<:067?k42:3807b?;1;29 73428>?7c<:2;18?j73i3:1(?;<:06:?k42:3:07b?;8;29 73428>27c<:2;38?j73?3:1(?;<:06:?k42:3807b?;6;29 73428>27c<:2;18?xd0k?0;6n<50;2x 7e22;k=7E<je:J1g<=]>80hw??523810?422;n1>h4=f;13>70=:>08=7==:|&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8im6?:l;%3``?4<,8in6?5+19697>"60<087)?76;18 4>02:1/=5653:&2<<<43-;o=7?84:&2`7<6?=1/>l9525a8 7g?2;>h7c<m8;;8j7d>201/>oh525a8 4>f2:1/=5l53:&1`=<5l>1/>i752e58j7bf201e>il59:&2<`<43-;3j7=4$0;3>6=#90;1?6*>9380?!7>;390(<7;:29'5<3=;2.:5;4<;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?k76m3:0b<:?:19'6gc=9>>0(?m>:39'5=b=;2.9o?4=;h40>5<<a?>1<75f6883>>o1i3:17d?80;29?l4ei3:17d<mb;29?l7093:17d<l3;29?l4d<3:17b8::188k30=831b8>4?:%067?253g8>>7>4;h62>5<#:<918?5a24095>=n<90;6)<:3;61?k42:3807d=i:18'605=<;1e>8<53:9j7`<72-8>?7:=;o066?2<3`>h6=4+241907=i:<81965f4c83>!42;3>97c<:2;48?l2f290/>8=5439m604=?21b844?:%067?253g8>>764;h6;>5<#:<918?5a2409=>=n<>0;6)<:3;61?k42:3k07d:9:18'605=<;1e>8<5b:9j00<72-8>?7:=;o066?e<3`>?6=4+241907=i:<81h65f3e83>!42;3>97c<:2;g8?l34290/>8=5539m604=821b9<4?:%067?353g8>>7?4;h73>5<#:<919?5a24096>=n<o0;6)<:3;71?k42:3907d:j:18'605==;1e>8<54:9j1f<72-8>?7;=;o066?3<3`?i6=4+241917=i:<81:65f5`83>!42;3?97c<:2;58?l3>290/>8=5539m604=021b954?:%067?353g8>>774;h74>5<#:<919?5a2409e>=n=?0;6)<:3;71?k42:3h07d;::18'605==;1e>8<5c:9j11<72-8>?7;=;o066?b<3`>o6=4+241917=i:<81i65fa683>!42;3k=7c<:2;28?lg2290/>8=5a79m604=921bm>4?:%067?g13g8>>7<4;hc1>5<#:<91m;5a24097>=ni80;6)<:3;c5?k42:3>07do?:18'605=i?1e>8<55:9j=c<72-8>?7o9;o066?0<3`3n6=4+2419e3=i:<81;65f9e83>!42;3k=7c<:2;:8?l?d290/>8=5a79m604=121b5o4?:%067?g13g8>>7o4;h;b>5<#:<91m;5a2409f>=n110;6)<:3;c5?k42:3i07d78:18'605=i?1e>8<5d:9j=3<72-8>?7o9;o066?c<3`3>6=4+2419e3=i:<81j65f9583>!42;3k=7c<:2;33?>o>;3:1(?;<:`48j73528;07d7=:18'605=i?1e>8<51398m<7=83.99>4n6:l117<6;21b5=4?:%067?g13g8>>7?;;:k;b?6=,;?86l84n371>43<3`kn6=4+2419e3=i:<81=;54i`f94?"5=:0j:6`=53823>=nij0;6)<:3;c5?k42:3;376gnb;29 7342h<0b?;=:0;8?lgf290/>8=5a79m604=9h10el750;&116<f>2d99?4>b:9je=<72-8>?7o9;o066?7d32cj87>5$370>d0<f;?96<j4;h;:>5<#:<91m;5a24095`=<a1o1<7*=528b2>h5=;0:j65fc983>!42;3i<7c<:2;28?le1290/>8=5c69m604=921bo94?:%067?e03g8>>7<4;ha0>5<#:<91o:5a24097>=nk;0;6)<:3;a4?k42:3>07dm>:18'605=k>1e>8<55:9jg5<72-8>?7m8;o066?0<3`hm6=4+2419g2=i:<81;65fbd83>!42;3i<7c<:2;:8?ldc290/>8=5c69m604=121bnn4?:%067?e03g8>>7o4;h`a>5<#:<91o:5a2409f>=nj00;6)<:3;a4?k42:3i07dl7:18'605=k>1e>8<5d:9jf2<72-8>?7m8;o066?c<3`h=6=4+2419g2=i:<81j65fb483>!42;3i<7c<:2;33?>oe<3:1(?;<:b58j73528;07dl<:18'605=k>1e>8<51398mg4=83.99>4l7:l117<6;21bn<4?:%067?e03g8>>7?;;:ka4?6=,;?86n94n371>43<3`im6=4+2419g2=i:<81=;54ibg94?"5=:0h;6`=53823>=nkm0;6)<:3;a4?k42:3;376glc;29 7342j=0b?;=:0;8?lee290/>8=5c69m604=9h10eno50;&116<d?2d99?4>b:9jg<<72-8>?7m8;o066?7d32ch97>5$370>f1<f;?96<j4;h`b>5<#:<91o:5a24095`=<ahl1<7*=528`3>h5=;0:j65ff683>!42;3l=7c<:2;28?l`2290/>8=5f79m604=921bj94?:%067?`13g8>>7<4;hd0>5<#:<91j;5a24097>=nnj0;6)<:3;da?k42:3:07dhn:18'605=nk1e>8<51:9jb<<72-8>?7hm;o066?4<3`l36=4+2419bg=i:<81?65f2e394?"5=:09h=5a24094>=n:jl1<7*=5281`5=i:<81=65f2bg94?"5=:09h=5a24096>=n:jn1<7*=5281`5=i:<81?65f2e494?"5=:09h85a24094>=n:m>1<7*=5281`0=i:<81=65f2e194?"5=:09h85a24096>=n:m81<7*=5281`0=i:<81?65`10494?"5=:0:=85a24094>=h98>1<7*=528250=i:<81=65`10094?"5=:0:=85a24096>=h98;1<7*=528250=i:<81?65`10294?"5=:0:=85a24090>=h99l1<7*=528250=i:<81965`11g94?"5=:0:=85a24092>=h99n1<7*=528250=i:<81;65`11a94?"5=:0:=85a2409<>=h99h1<7*=528250=i:<81565`11c94?"5=:0:=85a2409e>=h9931<7*=528250=i:<81n65`11594?"5=:0:=85a2409g>=h99<1<7*=528250=i:<81h65`11794?"5=:0:=85a2409a>=h99>1<7*=528250=i:<81j65`11194?"5=:0:=85a240955=<g8:96=4+2419543<f;?96<?4;n335?6=,;?86<?:;o066?7532e:<=4?:%067?76=2d99?4>3:9lbc<72-8>?7?>5:l117<6<21djh4?:%067?76=2d99?4>5:9l54b=83.99>4>149m604=9?10c<?l:18'605=98?0b?;=:058?j76j3:1(?;<:036?k42:3;376a>1`83>!42;3;:96`=5382=>=h9831<7*=528250=i:<81=l54o03;>5<#:<91=<;4n371>4d<3f;:;7>5$370>4723g8>>7?l;:m256<72-8>?7?>5:l117<6l21d==650;&116<69<1e>8<51d98kcb=83.99>4>149m604=9o10c<=7:18'605=9:=0b?;=:198k451290/>8=51258j7352810c<=;:18'605=9:=0b?;=:398k454290/>8=51258j7352:10c<==:18'605=9:=0b?;=:598k456290/>8=51258j7352<10c<=?:18'605=9:=0b?;=:798k44a290/>8=51258j7352>10c<<j:18'605=9:=0b?;=:998k44c290/>8=51258j7352010c<<l:18'605=9:=0b?;=:`98k44e290/>8=51258j7352k10c<<6:18'605=9:=0b?;=:b98k44?290/>8=51258j7352m10c<<8:18'605=9:=0b?;=:d98k441290/>8=51258j7352o10c<<::18'605=9:=0b?;=:028?j75<3:1(?;<:014?k42:3;:76a>2283>!42;3;8;6`=53826>=h9;81<7*=528272=i:<81=>54o002>5<#:<91=>94n371>42<3f;9<7>5$370>4503g8>>7?:;:m27c<72-8>?7?<7:l117<6>21d=>k50;&116<6;>1e>8<51698k45c290/>8=51258j73528207b?<c;29 734289<7c<:2;3:?>i6;k0;6)<:3;303>h5=;0:m65`12c94?"5=:0:?:5a24095g=<g8926=4+2419561<f;?96<m4;n301?6=,;?86<=8;o066?7c32e:>l4?:%067?74?2d99?4>e:9l54`=83.99>4>369m604=9o10c<:::18'605=9=>0b?;=:198k424290/>8=51568j7352810c<:=:18'605=9=>0b?;=:398k426290/>8=51568j7352:10c<:n:18'605=9=30b?;=:198k42?290/>8=515;8j7352810c<:8:18'605=9=30b?;=:398k421290/>8=515;8j7352:10qo;6d;290?6=8r.9o84>dc9K6`c<@;i27)?7c;16?l7?83:17d?71;29?l7?:3:17b?k5;29?xd1:=0;694?:1y'6f3=9mh0D?kj;I0`=>"60j0896g>8183>>o6080;66g>8383>>i6l<0;66sm60a94?0=83:p(?m::0fg?M4bm2B9o45+19a973=n91:1<75f19394?=n9181<75f19194?=h9m?1<75`25f94?=zj<3>6=49:183\7f!4d=3;oh6F=ed9K6f?<,82h6>84i0:3>5<<a82:6=44i0:1>5<<a8286=44o0f6>5<<g;>o6=44}r52>5<6irT<=63;60853>;3>;0=;63;64853>;3>10=;63;67853>;3>>0=;63;6c853>;3>00=;63;6`853>;3>=0=;63;6b853>;3>:0=;63;26853>;3:10=;63;2c853>;3:j0=;63;2e853>;3:l0=;63;2g853>;3;90=;63;30853>;3;;0=;63;28853>;3:h0=;639a8810a=z{8=i6=4:{_53?[70j27<?o4=a49>33c=91:01:=n:0:2?xu5j>0;6;uQ2c5893g>282:709l8;3;5>;00;0:4=5279395=6<5>:<6<6?;|q13a<72;l=wS;j;_0gg>X5k>1U9i5Q25d8Z7ef3W8>96P=7e9>6``=9>n01:9i:7g8921c2?o019k>:7:891c321n019k9:9f891c?21n019kn:9f891cd21n019kj:9f891`721n019h=:9f891`321n019k=:9f891g02?2019on:9f891gd21n019oj:9f891d721n019l=:9f891d321n019l9:9f891d?21n019ln:9f891g?21n019=<:7:8915121n019=7:9f8915f21n019=l:9f8915b21n019:?:9f8912521n019:;:9f8912121n019=;:9f8910c2?20199?:9f8911521n0199;:9f8911121n01997:9f8911f21n0199l:9f8911b21n0196?:9f8910b21n01>h6:7:896`d21n01>hj:9f8916721n019>=:9f8916321n019>9:9f8916?21n019>n:9f8916d21n01>hn:9f8926b21n01:>i:9f8927721n01:?>:9f8927521n01:?<:9f8924221n01:<9:9f8924021n01:<7:9f8924>21n01:<n:9f8922621n01::=:9f8922421n01::;:9f8922221n01::9:9f8923?21n01:;6:9f8923f21n01:;m:9f8923d21n01:;k:9f893ga21n01;l?:9f893d621n01;l=:9f893d421n01;l;:9f893e121n01;m8:9f893e?21n01;m6:9f893ef21n01;mm:9f893bc21n01;jj:9f893ba21n01;k?:9f893c621n01;k=:9f893`321n01;h::9f893`121n01;h8:9f893`?21n01;h6:9f892da2?901:li:76892da2;hj709mf;0af>;0jo0??638bg870>;0jo08h638bg867>;0jo0>8638bg87`>;0jo0j;638bg8b1>;0jo0j?638bg8b6>;0jo0j=638bg8b4>;0jo02j638bg8:a>;0jo02h638bg8:g>;0jo02n638bg8:e>;0jo024638bg8:3>;0jo02:638bg8:1>;0jo028638bg8:7>;0jo02>638bg8:5>;0jo02<638bg8;b>;0jo0ji638bg8b`>;0jo0jo638bg8bf>;0jo0jm638bg8b=>;0jo0h4638bg8`2>;0jo0h8638bg8`7>;0jo0h>638bg8`5>;0jo0h<638bg8ab>;0jo0ii638bg8a`>;0jo0io638bg8af>;0jo0i5638bg8a<>;0jo0i;638bg8a2>;0jo0i9638bg8a0>;0jo0i?638bg8a6>;0jo0i=638bg8a4>;0jo0hj638bg8`a>;0jo0hh638bg8`g>;0jo0hn638bg8`e>;0jo0h5638bg8`1>;0jo0im638bg8bb>;0jo0m;638bg8e1>;0jo0m8638bg8e7>;0jo0mo638bg8ee>;0jo0m5638bg8e<>;0jo09h;527cd96a2<5>hm6?j<;<5ab?4c:27<o=493:?4g5<1<27<o=4=b`9>3f6=:kh01:m?:51892e72=>01:m?:2f892e72<901:m?:46892e72=n01:m?:`5892e72h?01:m?:`1892e72h801:m?:`3892e72h:01:m?:8d892e720o01:m?:8f892e720i01:m?:8`892e720k01:m?:8:892e720=01:m?:84892e720?01:m?:86892e720901:m?:80892e720;01:m?:82892e721l01:m?:`g892e72hn01:m?:`a892e72hh01:m?:`c892e72h301:m?:b:892e72j<01:m?:b6892e72j901:m?:b0892e72j;01:m?:b2892e72kl01:m?:cg892e72kn01:m?:ca892e72kh01:m?:c;892e72k201:m?:c5892e72k<01:m?:c7892e72k>01:m?:c1892e72k801:m?:c3892e72k:01:m?:bd892e72jo01:m?:bf892e72ji01:m?:b`892e72jk01:m?:b;892e72j?01:m?:cc892e72hl01:m?:g5892e72o?01:m?:g6892e72o901:m?:ga892e72ok01:m?:g;892e72o201:m?:3f5?81d838o8638c181`6=:?j:1>i<4=6a2>35<5>i:6;:4=6a2>7df34=h=7<mb:?4g4<3;27<o<4;4:?4g4<4l27<o<4:3:?4g4<2<27<o<4;d:?4g4<f?27<o<4n5:?4g4<f;27<o<4n2:?4g4<f927<o<4n0:?4g4<>n27<o<46e:?4g4<>l27<o<46c:?4g4<>j27<o<46a:?4g4<>027<o<467:?4g4<>>27<o<465:?4g4<><27<o<463:?4g4<>:27<o<461:?4g4<>827<o<47f:?4g4<fm27<o<4nd:?4g4<fk27<o<4nb:?4g4<fi27<o<4n9:?4g4<d027<o<4l6:?4g4<d<27<o<4l3:?4g4<d:27<o<4l1:?4g4<d827<o<4mf:?4g4<em27<o<4md:?4g4<ek27<o<4mb:?4g4<e127<o<4m8:?4g4<e?27<o<4m6:?4g4<e=27<o<4m4:?4g4<e;27<o<4m2:?4g4<e927<o<4m0:?4g4<dn27<o<4le:?4g4<dl27<o<4lc:?4g4<dj27<o<4la:?4g4<d127<o<4l5:?4g4<ei27<o<4nf:?4g4<a?27<o<4i5:?4g4<a<27<o<4i3:?4g4<ak27<o<4ia:?4g4<a127<o<4i8:?4g4<5l?16;n?52e6892e62;n8709l1;0g6>;0k;0=?638c3850>;0k;09nl527b096gd<5>i969=4=6a1>12<5>i96>j4=6a1>05<5>i968:4=6a1>1b<5>i96l94=6a1>d3<5>i96l=4=6a1>d4<5>i96l?4=6a1>d6<5>i964h4=6a1><c<5>i964j4=6a1><e<5>i964l4=6a1><g<5>i96464=6a1><1<5>i96484=6a1><3<5>i964:4=6a1><5<5>i964<4=6a1><7<5>i964>4=6a1>=`<5>i96lk4=6a1>db<5>i96lm4=6a1>dd<5>i96lo4=6a1>d?<5>i96n64=6a1>f0<5>i96n:4=6a1>f5<5>i96n<4=6a1>f7<5>i96n>4=6a1>g`<5>i96ok4=6a1>gb<5>i96om4=6a1>gd<5>i96o74=6a1>g><5>i96o94=6a1>g0<5>i96o;4=6a1>g2<5>i96o=4=6a1>g4<5>i96o?4=6a1>g6<5>i96nh4=6a1>fc<5>i96nj4=6a1>fe<5>i96nl4=6a1>fg<5>i96n74=6a1>f3<5>i96oo4=6a1>d`<5>i96k94=6a1>c3<5>i96k:4=6a1>c5<5>i96km4=6a1>cg<5>i96k74=6a1>c><5>i96?j9;<5`6?4c<27<o?4=d29>3f4=:m801:m<:71892e42?>01:m<:3`b?81d;38in638c2877>;0k:0?9638c2870>;0k:08h638c2867>;0k:0>9638c2860>;0k:0?h638c28b3>;0k:0j9638c28b7>;0k:0j>638c28b5>;0k:0j<638c28:b>;0k:02i638c28:`>;0k:02o638c28:f>;0k:02m638c28:<>;0k:02;638c28:2>;0k:029638c28:0>;0k:02?638c28:6>;0k:02=638c28:4>;0k:03j638c28ba>;0k:0jh638c28`<>;0k:0h:638c28`0>;0k:0h?638c28`6>;0k:0h=638c28`4>;0k:0ij638c28aa>;0k:0ih638c28ag>;0k:0in638c28a=>;0k:0i4638c28a3>;0k:0i:638c28a1>;0k:0i8638c28a7>;0k:0i>638c28a5>;0k:0i<638c28`b>;0k:0hi638c28``>;0k:0ho638c28`f>;0k:0hm638c28`=>;0k:0h9638c28ae>;0k:0jj638c28e3>;0k:0m9638c28e0>;0k:0mo638c28ee>;0k:0m5638c28e<>;0k:09h;527b196a2<5>i86?j<;<5`7?4c:27<o9493:?4g1<1<27<o94=b`9>3f2=:kh01:m;:51892e32=?01:m;:56892e32:n01:m;:41892e32<?01:m;:46892e32=n01:m;:`5892e32h?01:m;:`1892e32h801:m;:`3892e32h:01:m;:8d892e320o01:m;:8f892e320i01:m;:8`892e320k01:m;:8:892e320=01:m;:84892e320?01:m;:86892e320901:m;:80892e320;01:m;:82892e321l01:m;:`g892e32hn01:m;:b:892e32j<01:m;:b6892e32j901:m;:b0892e32j;01:m;:b2892e32kl01:m;:cg892e32kn01:m;:ca892e32kh01:m;:c;892e32k201:m;:c5892e32k<01:m;:c7892e32k>01:m;:c1892e32k801:m;:c3892e32k:01:m;:bd892e32jo01:m;:bf892e32ji01:m;:b`892e32jk01:m;:b;892e32j?01:m;:cc892e32hl01:m;:g5892e32o?01:m;:g6892e32oi01:m;:gc892e32o301:m;:g:892e32;n=709l4;0g0>;0k=09h>527b696a4<5>i>6;=4=6a6>32<5>i>6?ln;<5`1?4ej27<o84;3:?4g0<3=27<o84;4:?4g0<4l27<o84:3:?4g0<2=27<o84:4:?4g0<3l27<o84n7:?4g0<f=27<o84n3:?4g0<f:27<o84n1:?4g0<f827<o846f:?4g0<>m27<o846d:?4g0<>k27<o846b:?4g0<>i27<o8468:?4g0<>?27<o8466:?4g0<>=27<o8464:?4g0<>;27<o8462:?4g0<>927<o8460:?4g0<?n27<o84ne:?4g0<fl27<o84l8:?4g0<d>27<o84l4:?4g0<d;27<o84l2:?4g0<d927<o84l0:?4g0<en27<o84me:?4g0<el27<o84mc:?4g0<ej27<o84m9:?4g0<e027<o84m7:?4g0<e>27<o84m5:?4g0<e<27<o84m3:?4g0<e:27<o84m1:?4g0<e827<o84lf:?4g0<dm27<o84ld:?4g0<dk27<o84lb:?4g0<di27<o84l9:?4g0<d=27<o84ma:?4g0<fn27<o84i7:?4g0<a=27<o84i4:?4g0<ak27<o84ia:?4g0<a127<o84i8:?4g0<5l?16;n;52e6892e22;n8709l5;0g6>;0k?0=?638c7850>;0k?09nl527b496gd<5>i=69=4=6a5>13<5>i=69:4=6a5>6b<5>i=68=4=6a5>03<5>i=68:4=6a5>1b<5>i=6l94=6a5>d3<5>i=6l=4=6a5>d4<5>i=6l?4=6a5>d6<5>i=64h4=6a5><c<5>i=64j4=6a5><e<5>i=64l4=6a5><g<5>i=6464=6a5><1<5>i=6484=6a5><3<5>i=64:4=6a5><5<5>i=64<4=6a5><7<5>i=64>4=6a5>=`<5>i=6lk4=6a5>db<5>i=6n64=6a5>f0<5>i=6n:4=6a5>f5<5>i=6n<4=6a5>f7<5>i=6n>4=6a5>g`<5>i=6ok4=6a5>gb<5>i=6om4=6a5>gd<5>i=6o74=6a5>g><5>i=6o94=6a5>g0<5>i=6o;4=6a5>g2<5>i=6o=4=6a5>g4<5>i=6o?4=6a5>g6<5>i=6nh4=6a5>fc<5>i=6nj4=6a5>fe<5>i=6nl4=6a5>fg<5>i=6n74=6a5>f3<5>i=6oo4=6a5>d`<5>i=6k94=6a5>c3<5>i=6k:4=6a5>ce<5>i=6ko4=6a5>c?<5>i=6k64=6a5>7b134=h:7<k4:?4g3<5l:16;n852e08yv4ek3:19vP=bb9>32e=:<>01:9i:377?810m38>86387e8111=z{8<m6=47{_4e?[71n27<<54=a49>2d?=91:01:m7:0:3?81?:3;3=6388082<4=:?9=1=5?4}r51>5<3>rT<>63:0g853>;2990=;63:12853>;29?0=;63:15853>;29<0=;63:18853>;29>0=;63:19853>;29;0=;63:1`853>;2980=;63;d4853>;3l?0=;63;d8853>;3lj0=;63;d`853>;3lk0=;63;dg853>;3lm0=;63;dd853>;3l10=;63;e1853>;3l>0=;63;9c853>;31j0=;63;9g853>;3i90=;63;a0853>;3i;0=;63;a2853>;3i=0=;63;a4853>;3i?0=;63;9e853>;31l0=;6386d810a=:?kl1>i?4=6`e>7ea34=ij7<le:?4fc<5km16;n>52e3892e72;im709l0;0`a>;0k909oi527b396a7<5>i:6?mi;<5`5?4dm27<o<4=ce9>3f4=:m;01:m=:3ae?81d:38hi638c381ga=:?j91>i?4=6a0>7ea34=h?7<le:?4g6<5km16;n:52e3892e32;im709l4;0`a>;0k=09oi527b796a7<5>i>6?mi;<5`1?4dm27<o84=ce9>3f0=:m;01:m9:3ae?81d>38hi638c781ga=z{;oi6=4<{_0ff>;0>l0:4<5272c95=6<uz8j57>560y]6d?<5>=h6;<4=65g>34<5=<:6;<4=541>34<5=<>6;<4=54;>34<5=<=6;<4=544>34<5=<i6;<4=54:>34<5=<j6;<4=547>34<5=<h6;<4=540>34<5=8<6;<4=50;>34<5=8i6;<4=50`>34<5=8o6;<4=50f>34<5=8m6;<4=513>34<5=9:6;<4=511>34<5=826;<4=50b>34<5>:36;<4=4f3>34<5<im6;<4=4f;>34<5<n<6;<4=4f5>34<5<n>6;<4=4f7>34<5<n86;<4=4f1>34<5<n:6;<4=4af>34<5<io6;<4=715>34<5?9>6;<4=71f>34<5?9o6;<4=71`>34<5?9i6;<4=71b>34<5?926;<4=71;>34<5?9<6;<4=717>34<5?986;<4=74e>34<5?<n6;<4=754>34<5?==6;<4=756>34<5?=?6;<4=750>34<5?=96;<4=752>34<5?=;6;<4=74g>34<5?<h6;<4=7:4>34<5?2=6;<4=7:e>34<5?2n6;<4=7:g>34<5?2h6;<4=7:a>34<5?2j6;<4=7::>34<5?236;<4=7:6>34<5?2?6;<4=7c3>34<5?3m6;<4=7c;>34<5?k<6;<4=7c5>34<5?k>6;<4=7c7>34<5?k86;<4=7c1>34<5?k:6;<4=7;f>34<5?3o6;<4=6;:>34<5>hm6;o4=6a3>3g<5>i:6;o4=6a1>3g<5>i86;o4=6a7>3g<5>i>6;o4=6a5>3g<uz8oh7>56by]6ab<5>=m6;<4=65f>34<5<:m6;<4=433>34<5<;86;<4=435>34<5<;?6;<4=436>34<5<;26;<4=434>34<5<;36;<4=431>34<5<;j6;<4=432>34<5=n>6;<4=5f5>34<5=n26;<4=5f`>34<5=nj6;<4=5fa>34<5=nm6;<4=5fg>34<5=nn6;<4=5f;>34<5=o;6;<4=5f4>34<5=3i6;<4=5;`>34<5=3m6;<4=5c3>34<5=k:6;<4=5c1>34<5=k86;<4=5c7>34<5=k>6;<4=5c5>34<5=3o6;<4=5;f>34<5>9i6;<4=4ce>34<5<kn6;<4=4`4>34<5<h=6;<4=4`6>34<5<h?6;<4=4`0>34<5<h96;<4=4`2>34<5<h;6;<4=4cg>34<5<kh6;<4=4g;>34<5<o<6;<4=4d3>34<5<om6;<4=4gf>34<5<oo6;<4=4g`>34<5<oi6;<4=4gb>34<5<o26;<4=4g5>34<5<o>6;<4=723>34<5<lm6;<4=72;>34<5?:<6;<4=725>34<5?:>6;<4=727>34<5?:86;<4=721>34<5?::6;<4=4df>34<5<lo6;<4=774>34<5??=6;<4=77e>34<5??n6;<4=77g>34<5??h6;<4=77a>34<5??j6;<4=77:>34<5??36;<4=776>34<5???6;<4=651>34<5>=:6;<4=65b>34<5>=26;<4=65;>34<5>=<6;<4=655>34<5>=>6;<4=657>34<5>=86;<4=653>34<5><m6;<4=6`e>3?<5>i;6;74=6a2>3?<5>i96;74=6a0>3?<5>i?6;74=6a6>3?<5>i=6;74}r164?6=?rT89=5262496d3<5<9n6<6?;<4g3?7?927=o=4>829>360=91801:?i:0:3?xu4<l0;65uQ35g893522;k>70;<2;:g?834l3;3<639d682<5=:>j:1=5?4=615>4>734=:j7?71:\7fp70?=832pR>;6;<40a?4f=27>?o47d:?602<60916:i85193893da2828709<5;3;6>;09l0:4=5rs27;>5<?sW9>46393e81e0=:=:k14i5255495=6<5?n=6<6?;<4ab?7?927<?84>819>34c=91;0q~=:7;29<~X4=>16:>m52`78905>21n018:::0:3?80c=3;3=639bd82<6=:?:>1=5<4=63g>4>73ty89;4?:9y]700<5?9i6?o:;<70<?>c34??87?70:?5`0<60916:ok519389253282;709>d;3;5>{t;<?1<76t^276?804i38j963:368;`>;2<:0:4=526e695=7<5?ho6<6<;<507?7?:27<=n4>819~w6332903wS=:4:?57<<5i<169>858e9>114=91:01;j;:0:3?80el3;3=6383282<5=:?8i1=5?4}r167?6=0rT89>5262:96d3<5<9>65j4=462>4>734<o?7?71:?5ff<60:16;><51908927e282;7p}<5383>=}Y;<801;=8:3c6?834<32o70;;0;3;4>;1l:0:4=526ca95=7<5>996<6?;<52f?7?92wx?8?50;:xZ63634<887<n5:?676<?l27>?k4>819>2a4=91;01;lm:0:0?81493;3>6381`82<5=z{:>o6=47{_17`>;1;:09m8525239<a=:=:i1=5>4=7f1>4>734<in7?71:?474<60916;<o51938yv>4290nwS=:d:\;7>;31l09m85264592`=:=?;1=5>4=705>4>734>397?70:?4<5<6?o16;oh5409>3f6=<816;n?5409>3f4=<816;n:5162892e128=;7p}71;2955}Y;<h0R5?4=5;g>7g234?>976k;<754?7?827=>:4>819>270=91;0198i:0:3?81en3>;709l0;63?81d93>;709l2;63?81d;3>:709l4;62?81d=3>:709l6;62?xu?k3:1==uQ3748Z=e<5=k=6?o:;<76a?>c34?=m7?70:?56=<60916:?95193891>6282;709mf;1e?81d839m709l1;1e?81d:39m709l3;63?81d<3>;709l5;63?81d>3>;7p}7b;2955}Y;??0R5l4=5c6>7g234?>h76k;<75=?7?827=>44>819>27>=91;0199i:0:3?81en39n709l0;1f?81d939n709l2;1f?81d;39m709l4;1e?81d=39m709l6;1e?xu?i3:1==uQ3768Z=g<5=k?6?o:;<76g?>c34?=47?70:?56d<60916:?751938911c282;709mf;6`?81d83>h709l1;6`?81d:3>h709l3;1f?81d<39n709l5;1f?81d>39n7p}79;2955}Y;?90R574=5c0>7g234?>n76k;<753?7?827=>o4>819>27g=91;0199m:0:3?81en3>i709l0;6a?81d93>i709l2;6a?81d;3>h709l4;6`?81d=3>h709l6;6`?xu?03:1==uQ3708Z=><5=k96?o:;<76e?>c34?=:7?70:?56f<60916:?l51938911>282;709mf;6b?81d83>j709l1;6b?81d:3>j709l3;6a?81d<3>i709l5;6a?81d>3>i7p}77;2955}Y;?;0R594=5c2>7g234?>576k;<751?7?827=>i4>819>27e=91;01998:0:3?81en3>2709l0;6:?81d93>2709l2;6:?81d;3>j709l4;6b?81d=3>j709l6;6b?xu?>3:1==uQ3728Z=0<5=k;6?o:;<76<?>c34?=87?70:?56`<60916:?j519389112282;709mf;6;?81d83>3709l1;6;?81d:3>3709l3;6:?81d<3>2709l5;6:?81d>3>27p}75;2955}Y;<l0R5;4=5;e>7g234?>;76k;<757?7?827=>k4>819>27c=91;0199<:0:3?81en3><709l0;64?81d93><709l2;64?81d;3>3709l4;6;?81d=3>3709l6;6;?xu?<3:1==uQ34g8Z=2<5=3h6?o:;<762?>c34?=>7?70:?575<60916:?h519389116282;709mf;65?81d83>=709l1;65?81d:3>=709l3;64?81d<3><709l5;64?81d>3><7p}70;29b~X4=h1U4=5248`96d3<5<??65j4=47e>4>734<8<7?71:?7<7<6?o16;oh5449>3f6=<<16;n?5449>3f4=<<16;n=5479>3f2=<?16;n;5479>3f0=<?1v\7f>>i:186\7f[57n27?:94=a49>2f6=91801:=9:0:0?823j3;3<6s|31f94?3|V::o70:93;0b1>;1k90:4=5272495=7<5=9>6<6?;|q05=<72<qU?<64=54`>7g234<ij7?72:?470<60:1689951928yv56?3:19vP<169>03d=:h?01;li:0:3?814=3;3=63;4482<5=z{:;=6=4:{_122>;3>h09m8526cg95=4<5>9?6<6<;<677?7?82wx?<;50;7xZ67234>=57<n5:?5f`<60916;>:519389126282;7p}<1583>0}Y;8>01987:3c6?80el3;3>6383282<6=:<:l1=5>4}r127?6==rT8=>5247596d3<5?ho6<6?;<507?7?927??i4>819~w675290>wS=>2:?723<5i<16:om519089255282870:<b;3;4>{t;8;1<7;t^232?821=38j9639bb82<5=:?:81=5?4=51:>4>73ty8==4?:4y]746<5=<96?o:;<4af?7?:27<?<4>829>061=91:0q~=?c;291~X48j168;?52`7893de282;709<1;3;5>;3<10:;k5rs6494?`|V:;h7S99;<61e?4f=27>h=49e:?67`<60816;4756d9>1<`=91:01:?i:0:1?82693;3<6388382<7=:?1;1=5<4=6`e>07<5>i;68?4=6a2>07<5>i968?4}r57>5<68rT8=l5Q759>07?=:h?018=k:0:2?83f83;3<63:9g82<4=:?8l1=5=4=2da>4>734=ij7;?;<5`4?3734=h=7;?;<5`6?3734=h?7;>;<5`0?3634=h97;>;<5`2?363ty<j7>511y]773<V>l019==:3c6?833?3;3=63:a082<5=:=h:1=5?4=63f>4>534>;h7?70:?4fc<3n27<o=4;f:?4g4<3n27<o?4;f:?4g6<2827<o94:0:?4g0<2827<o;4:0:\7fp3`<728:pR><;;_5f?824938j963:4782<4=:=h81=5>4=4c2>4>634=:i7?73:?74g<60916;oh54d9>3f6=<l16;n?54d9>3f4=<l16;n=54g9>3f2=<o16;n;54g9>3f0=<o1v\7f:j50;33\7f[55;2T<h63;3181e0=:==?1=5?4=4c0>4>734?j>7?71:?45a<60;168=75192892da2<i01:m?:4a892e62<i01:m=:4a892e42=o01:m;:5g892e22=o01:m9:5g8yv1d290:<vP<239]3f=:<;l1>l;4=467>4>634?j87?70:?6e6<60816;<j519189160282;709mf;7a?81d83?i709l1;7a?81d:3?i709l3;7`?81d<3?h709l5;7`?81d>3?h7p}8b;2955}Y;;;0R:l4=50f>7g234???7?71:?6e0<609169l:51938927d282970:?5;3;4>;0jo0>m638c186e>;0k80>m638c386e>;0k:0>n638c586f>;0k<0>n638c786f>{t?h0;6<>t^203?[1f34>9h7<n5:?607<608169l85192890g2282:709>c;3;7>;38:0:4=527cd91<=:?j:194527b391<=:?j8194527b191d=:?j>19l527b791d=:?j<19l5rs6;94?77sW9:j6P89:?76f<5i<1699?5193890g0282;70;n6;3;5>;09k0:4?5241395=6<5>hm6864=6a3>0><5>i:6864=6a1>0><5>i86874=6a7>0?<5>i>6874=6a5>0?<uz=36=4>0z\05`=Y?1168?l52`789027282:70;n8;3;4>;2i>0:4<5270`95=5<5:lm6<6?;<5ab?3034=h<7;8;<5`5?3034=h>7;8;<5`7?3?34=h87;7;<5`1?3?34=h:7;7;|q43?6=99qU?<j4^658914?2;k>70;<f;3;5>;2i00:4=525`:95=7<5>;j6<6<;<1e`?7?827<nk4:6:?4g5<2>27<o<4:6:?4g7<2>27<o>4:7:?4g1<2?27<o84:7:?4g3<2?2wx;>4?:gy]74?<V>9019<8:3c6?834k3;3=63:a882<4=:?8k1=5<4=52f>41a34=ij7;:;<5`4?3234=h=7;:;<5`6?3234=h?7;9;<5`0?3134=h97;9;<5`2?313ty8>44?:6y]77?<5<km6?o:;<755?7?927<<84>809>2`c=91901:86:0:0?812:3;3?6s|33594?1|V:8<70;ne;0b1>;2>90:4<5271795=6<5?on6<6>;<55=?7?927<9?4>809~w655290<wS=<2:?6f2<5i<169;o519389263282:708jd;3;7>;0>10:4>5274395=5<uz98=7>57z\074=:=k<1>l;4=44:>4>634=;87?70:?5aa<60816;;6519389236282:7p}<3183>2}Y;::018l::3c6?83103;3=6380282<4=:>li1=5=4=644>4>434=><7?73:\7fp77`=83=pR><i;<7a0?4f=27>::4>809>355=91:01;kl:0:2?811?3;3=6385182<4=z{:8n6=48{_11a>;2j:09m85257495=7<5>:96<6>;<4ff?7?;27<:;4>829>31`=9190q~==d;293~X4:m169o<52`789002282:709?2;3;4>;1mk0:4<5277495=7<5>>m6<6>;|q06f<72>qU??m4=4`2>7g234?=87?71:?444<60816:ho5191892022828709;e;3;7>{t;;h1<79t^20a?83e838j963:6282<4=:?9;1=5>4=7gb>4>634==97?71:?40`<6081v\7f><n:184\7f[55i27>mi4=a49>134=91;01:>?:0:2?80b13;3?6386582<6=:?=n1=5=4}r112?6=?rT8>;525`a96d3<5<?m6<6>;<534?7?827=i44>809>332=91;01::k:0:2?xu5m80;6?uQ2d3892152;k>7p}=dg83>7}Y:ml01:9>:3c6?xu5mh0;6?uQ2dc8921f2;k>7p}=e883>7}Y:l301:96:3c6?xu5m10;6?uQ2d:8921?2;k>7p}=e683>7}Y:l=01:98:3c6?xu5m?0;6?uQ2d4892112;k>7p}=e483>7}Y:l?01:9::3c6?xu5m=0;6?uQ2d6892132;k>7p}=e283>7}Y:l901:9<:3c6?xu5m;0;6?uQ2d0892172;k>7p}=dd83>7}Y:mo01:8i:3c6?xu4;?0;69uQ324891b?2;k>709:2;3;6>;3jo0:4=5rs217>5<3sW98863;d681e0=:?<81=5>4=5c:>4>73ty8?k4?:5y]76`<5=o;6?o:;<565?7?:27?no4>819~w65b290?wS=<e:?7`c<5i<16;8?5192891d>282;7p}<3e83>1}Y;:n019jj:3c6?81283;3>63;b682<5=z{:9h6=4;{_10g>;3lm09m85274295=6<5=h>6<6?;|q07g<72=qU?>l4=5f`>7g234=?j7?72:?7f6<6091v\7f>=n:187\7f[54i27?ho4=a49>31`=91:019l>:0:3?xu4;00;69uQ32;891bf2;k>709;e;3;6>;3io0:4=5rs21;>5<3sW98463;d881e0=:?=o1=5>4=5cg>4>73ty8?:4?:5y]761<5=n=6?o:;<57`?7?:27?mo4>819~w654290?wS=<3:?7`0<5i<16;9j5192891dd28=m7p}<4283>0}Y;=9018?=:3c6?80bm3;3>6386882<7=:<o31=5>4}r175?6==rT88<5250396d3<5?on6<6?;<55=?7?827?i>4>819~w62d290>wS=;c:?65d<5i<16:hj51908920?282970:i5;3;4>{t;=h1<7;t^26a?836138j9639ee82<5=:??21=5>4=5d0>4>73ty88l4?:4y]71g<5<;36?o:;<4fg?7?:27<::4>839>0c7=91:0q~=;9;291~X4<0169<952`7893cd282;70997;3;4>;3mo0:4=5rs26;>5<2sW9?463:1781e0=:>lh1=5<4=645>4>534>nh7?70:\7fp711=83?pR>:8;<721?4f=27=io4>819>330=91:019km:0:3?xu4<?0;68uQ354890732;k>708ja;3;6>;0><0:4?524d;95=6<uz9?97>55z\000=:=891>l;4=7gb>4>734==97?70:?7a2<6091v\7f>:;:186\7f[53<27>==4=a49>2`?=91801:8;:0:1?82b=3;3<6s|35294?3|V:>;70;?f;0b1>;1m00:4=5277695=6<5=l=6<9i;|q223<72;qU=;84=6;4>72c3ty::94?:3y]532<5>3=6?:k;|q226<72;qU=;=4=6;6>72c3ty::?4?:3y]534<5>3?6?:k;|q225<72;qU=;>4=6;0>72c3ty:9k4?:3y]50`<5>396?:k;|q21`<72;qU=8k4=6;2>72c3ty:9i4?:3y]50b<5>3;6?:k;|q21f<72;qU=8m4=6:e>72c3ty:9o4?:3y]50d<5>2n6?:k;|q21d<72;qU=8o4=6:g>72c3ty:944?:3y]50?<5>2h6?:k;|q21=<72;qU=864=6:a>72c3ty:9:4?:3y]501<5>2j6?:k;|q210<72;qU=8;4=6::>72c3ty:994?:3y]502<5>236?:k;|q216<72;qU=8=4=6:4>72c3ty:9?4?:3y]504<5>2=6?:k;|q214<72;qU=8?4=6a1>45>3ty:9=4?:3y]506<5>i96<=:;|q20c<72;qU=9h4=6a1>44f3ty:8h4?:3y]51c<5>i96<?i;|q20a<72;qU=9j4=6a2>45>3ty:8n4?:3y]51e<5>i:6<=:;|q22a<72;qU=;j4=6a2>44f3ty::n4?:3y]53e<5>i:6<?i;|q22g<72;qU=;l4=6a3>45>3ty::l4?:3y]53g<5>i;6<=:;|q22<<72;qU=;74=6a3>44f3ty::54?:3y]53><5>i;6<?i;|q222<72;qU=;94=6`e>45>3ty::<4?:3y]537<5>hm6<=:;|q213<72;qU=884=6`e>44f3ty:8o4?:3y]51d<5>hm6<?i;|q1ea<72;qU>lj4=7c3>7g23ty9mo4?:3y]6dd<5?3m6?o:;|q1f3<72;qU>o84=7c;>7g23ty9n84?:3y]6g3<5?k<6?o:;|q1f1<72;qU>o:4=7c5>7g23ty9n>4?:3y]6g5<5?k>6?o:;|q1f7<72;qU>o<4=7c7>7g23ty9n<4?:3y]6g7<5?k86?o:;|q1f5<72;qU>o>4=7c1>7g23ty9mk4?:3y]6d`<5?k:6?o:;|q1e`<72;qU>lk4=7;f>7g23ty9ml4?:3y]6dg<5?3o6?o:;|qff?6=;rTnn638c48e7>;0k?0m?6s|e883>6}Ym016;n;5ab9>3f0=ij1v\7fh650;1xZ`><5>i>6ll4=6a5>dd<uzo<6=4<{_g4?81d=3kj709l6;cb?xub=3:1?vPj5:?4g0<f127<o;4n9:\7fpa1<72:qUi9527b79e==:?j<1m55rsd194?5|Vl901:m::`6892e12h>0q~k=:180\7f[c534=h9776;<5`2??>3tyn=7>53z\f5>;0k<03i638c78;a>{tm90;6>uQe19>3f5=n:16;n:5f29~wa`=839pRih4=6a0>de<5>i?6lm4}rff>5<4sWnn709l3;ca?81d<3ki7p}kd;297~Xcl27<o>4na:?4g1<fi2wxhn4?:2y]`f=:?j91m4527b69e<=z{mk1<7=t^ec892e42h201:m;:`:8yvb>2908wSj6;<5`7?g334=h87o;;|qg<?6=;rTo4638c28:=>;0k=0256s|d683>6}Yl>16;n=58d9>3f2=0l1v\7fi850;0xZa0<5>i96l64}rf6>5<5sWn>709l2;c7?xuc<3:1>vPk4:?4g7<>12wxh>4?:3y]`6=:?j814h5rse094?4|Vm801:m>:`:8yvb62909wSj>;<5`5?g33tym>7>52z\e6>;0k80256s|f083>7}Yn816;n?58d9~wc6=838pRk>4=6a3>d><uzom6=4={_ge?81d83k?7p}je;296~Xbm27<o=469:\7fpaa<72;qUii527b29<`=z{li1<7<t^da892da2h20q~k9:181\7f[c134=ij7o;;|qgf?6=:rTon638bg8:=>{tl90;6?uQd19>3g`=0l1v\7f:lj:1822~;5n9099=524d39<a=:<h=14i524219<a=:<?n14i523g;9<a=:?9o1:55273792==:?=;1:55274:92==:>hl1:5526b492==:>mn1:5526g692==:==21:55252392==:=?h1:55254692==:?kl1=:>4=6a3>41734=h=7?80:?4g7<6?91v\7f:9k:181\7f810k3<n7098d;0b1>{t?>h1<78={<54g?4f=27<;i497:?724<5==168;<56c9>033=>k168;656c9>030=>k168;956c9>03d=>k168;756c9>03g=>k168;:56c9>03e=>k168;=56c9>071=>k168?656c9>07d=>k168?m56c9>07b=>k168?k56c9>07`=>k168>>56c9>067=>k168><56c9>07?=>k168?o56c9>35>=:<>018j?:7`890ea2?h018j7:7`890b02?h018j9:7`890b22?h018j;:7`890b42?h018j=:7`890b62?h018mj:7`890ec2?h01;=9:7`893522?h01;=j:7`8935c2?h01;=l:7`8935e2?h01;=n:7`8935>2?h01;=7:7`893502?h01;=;:7`893542?h01;8i:7`8930b2?h01;98:7`893112?h01;9::7`893132?h01;9<:7`893152?h01;9>:7`893172?h01;8k:7`8930d2?h01;68:7`893>12?h01;6i:7`893>b2?h01;6k:7`893>d2?h01;6m:7`893>f2?h01;66:7`893>?2?h01;6::7`893>32?h01;o?:7`893?a2?h01;o7:7`893g02?h01;o9:7`893g22?h01;o;:7`893g42?h01;o=:7`893g62?h01;7j:7`893?c2?h01:m7:0:1?81?:3;3?6388082<6=:?kl1>n:4=6a3>7e334=h=7<l4:?4g7<5k=16;n=52b6892e32;i?709l5;0`0>;0k?09o95rs65f>5<1jr7<;k497:?43`<5i<169=h56c9>146=:<>018?<:7`890712?h018?;:7`890722?h018?6:7`890702?h018?7:7`890752?h018?n:7`890762?h019j::377?82c>3<i70:k9;4a?82ck3<i70:ka;4a?82cj3<i70:kf;4a?82cl3<i70:ke;4a?82c03<i70:j0;4a?82c?3<i70:6b;4a?82>k3<i70:6f;4a?82f83<i70:n1;4a?82f:3<i70:n3;4a?82f<3<i70:n5;4a?82f>3<i70:6d;4a?82>m3<i709<b;060>;2io0=n63:ad85f>;2j>0=n63:b785f>;2j<0=n63:b585f>;2j:0=n63:b385f>;2j80=n63:b185f>;2im0=n63:ab85f>;2m10=n63:e685f>;2n90=n63:eg85f>;2ml0=n63:ee85f>;2mj0=n63:ec85f>;2mh0=n63:e885f>;2m?0=n63:e485f>;1890=n63:fg85f>;1810=n6390685f>;18?0=n6390485f>;18=0=n6390285f>;18;0=n6390085f>;2nl0=n63:fe85f>;1=>0=n6395785f>;1=o0=n6395d85f>;1=m0=n6395b85f>;1=k0=n6395`85f>;1=00=n6395985f>;1=<0=n6395585f>;0?;0=n6387085f>;0?h0=n6387885f>;0?10=n6387685f>;0??0=n6387485f>;0?=0=n6387285f>;0?90=n6386g85f>;0jo09o>527b296f5<5>i:6?m<;<5`6?4d;27<o>4=c29>3f2=:j901:m::3a0?81d>38h?6s|76d94?4|5>=m6?o:;<54a?0b3ty><>4?:2y>15`=>l168h?52cf891`12;>o7p}:0783>7}:=8:1:h524gc961b<uz?;;7>52z?656<1m27?jo4=4e9~w06f2909w0;>6;4f?82am38?h6s|51:94?4|5<;?6;k4=5d`>72c3ty><44?:3y>143=>l168kj525f8yv37l3:1>v3:1885a>;288098i5rs42a>5<5s4?:;78j;<6eb?43l2wx9=m50;0x907?2?o018>?:36g?xu28<0;6?u250092`=:<o21>9j4}r73a?6=:r7>=l49e:?647<5<m1v\7f8>;:181\7f83693<n70:i7;07`>{t<l;1<7=t=5g2>72c34>n8787;<6ee?0?3ty?i84?:2y>0`2=:kn019hn:0f7?82b=38?h6s|4d694?5|5=o?6?:k;<6f2?0?34>mn787;|q7a2<72:q68h852cf891`e28n?70:j7;07`>{t<l<1<7=t=5g5>72c34>n4787;<6eg?0?3ty?i44?:2y>0`>=:kn019hl:0f7?82b138?h6s|4d:94?5|5=o36?:k;<6fe?0?34>mh787;|q7ag<72:q68ho52cf891`c28n?70:jb;07`>{t<lk1<7=t=5gb>72c34>no787;<6ea?0?3ty?ii4?:2y>0`e=:kn019hj:0f7?82bl38?h6s|4da94?5|5=oh6?:k;<6fa?0?34>mj787;|q7ac<72:q68hk52cf891`a28n?70:jf;07`>{t<lo1<7=t=5gf>72c34>m<787;<734?0?3ty?j<4?:2y>0c6=:kn018>?:0f7?82a938?h6s|4g294?5|5=l;6?:k;<6e6?0?34?;=787;|q7b6<72:q68k<52cf8906628n?70:i3;07`>{t<o81<7=t=5d1>72c34>m8787;<736?0?3ty?j84?:2y>0c2=:kn018>=:0f7?82a=38?h6s|4g694?5|5=l?6?:k;<6f6?0?34>m;787;|q7a6<72:q68h<52cf891`028n?70:j3;07`>{t<l81<7<t=5g1>72c34>m4787;|q7b<<72;q68k651e6891`>2;>o7p};c883>6}:<m?1:h524`596gb<5=hh6?:k;|q7gf<72;q68i856d9>0f6=:=n0q~:ld;296~;3l00=i63;c0810a=z{=n;6=4={<6gg?0b34>h87<;d:\7fp0fc=838p19jn:7g891e52;>o7p};cg83>7}:<mh1:h524b1961b<uz>o?7>52z?7`c<1m27?o:4=4e9~w1b62909w0:kd;4f?82d=38?h6s|4e094?4|5=nn6;k4=5a5>72c3ty?oo4?:3y>0a>=>l168ok525f8yv2c<3:1>v3;e185a>;3k1098i5rs5ab>5<5s4>o;78j;<6a`?43l2wx8l950;1x91g02;>o70:na;4;?82d83<37p};ac83>6}:<hk1>oj4=5a3>4b334>jn7<;d:\7fp0dg=839p19on:36g?82fk3<370:l1;4;?xu3im0;6>u24`a96gb<5=i:6<j;;<6b`?43l2wx8lm50;1x91gd2;>o70:ne;4;?82d:3<37p};ag83>6}:<ho1>oj4=5a1>4b334>jj7<;d:\7fp0dc=839p19oj:36g?82e83<370:l3;4;?xu3j80;6>u24c296gb<5=i86<j;;<6a5?43l2wx8o>50;1x91d72;>o70:m2;4;?82d<3<37p};b283>6}:<k81>oj4=5a7>4b334>i?7<;d:\7fp0g4=839p19l=:36g?82e<3<370:l5;4;?xu3j<0;6>u24c696gb<5=i>6<j;;<6a1?43l2wx8o:50;1x91d32;>o70:m6;4;?82d>3<37p};b683>6}:<k<1>oj4=5a5>4b334>i;7<;d:\7fp0g0=839p19l9:36g?82e03<370:l7;4;?xu3j00;6>u24c:96gb<5=i<6<j;;<6a=?43l2wx8o650;1x91d?2;>o70:ma;4;?82d03<37p};bc83>6}:<kk1>oj4=5a;>4b334>in7<;d:\7fp0gg=839p19ln:36g?82f03<370:md;4;?xu3i00;6>u24`:96gb<5=ho6<j;;<6b=?43l2wx8l650;0x91g?2;>o70:me;4;?xu3jo0;6?u24cg95a2<5=hm6?:k;|q710<72:q68;?56d9>065=:kn019:7:36g?xu3=10;6?u247092`=:<=i1>9j4}r66=?6=:r7?:849e:?70a<5<m1v\7f9;l:181\7f82103<n70::0;07`>{t<<k1<7<t=545>3c<5=>n6?:k;|q71g<72;q68;956d9>01`=:=n0q~::f;296~;3>k0=i63;52810a=z{=?o6=4={<65=?0b34>>=7<;d:\7fp00c=838p198n:7g891352;>o7p};5683>7}:<?>1:h5245c961b<uz>=<7>52z?72f<1m27?994=4e9~w1312909w0:93;4f?823138?h6s|42194?5|5=986?:k;<602?0?34>?o787;|q772<72:q68>852cf8912d28n?70:<7;07`>{t<:<1<7=t=515>72c34>84787;<67`?0?3ty??44?:2y>06>=:kn019:k:0f7?824138?h6s|42:94?5|5=936?:k;<60e?0?34>?i787;|q77g<72:q68>o52cf8912b28n?70:<b;07`>{t<:k1<7=t=51b>72c34>8o787;<67b?0?3ty??i4?:2y>06e=:kn019:i:0f7?824l38?h6s|42a94?5|5=9h6?:k;<60a?0?34>><787;|q77c<72:q68>k52cf8913728n?70:<f;07`>{t<:o1<7=t=51f>72c34>?<787;<665?0?3ty?8<4?:2y>016=:kn019;>:0f7?823938?h6s|45294?5|5=>;6?:k;<676?0?34>>>787;|q706<72:q689<52cf8913528n?70:;3;07`>{t<=81<7=t=561>72c34>?8787;<667?0?3ty?884?:2y>012=:kn019;<:0f7?823=38?h6s|45694?5|5=>?6?:k;<672?0?34>>8787;|q702<72:q689852cf8913328n?70:;7;07`>{t<=<1<7=t=565>72c34>88787;<67=?0?3ty??84?:2y>062=:kn019:6:0f7?824=38?h6s|42694?4|5=9?6?:k;<67e?0?3ty?8o4?:3y>01g=9m>019:m:36g?xu30o0;6>u248`92`=:<?n1>oj4=5:1>72c3ty?5?4?:3y>0<e=>l16858525f8yv2>;3:1>v3;9g85a>;30>098i5rs5;7>5<5s4>j<78j;<6;<?43l2wx84;50;0x91g62?o01966:36g?xu31?0;6?u24`092`=:<1k1>9j4}r6:3?6=:r7?m>49e:?7<g<5<m1v\7f977:181\7f82f<3<n70:7c;07`>{t<031<7<t=5c6>3c<5=2o6?:k;|q7=d<72;q68l856d9>0=c=:=n0q~:60;296~;31m0=i63;82810a=z{=3:6=4={<6:a?0b34>387<;d:\7fp03b=839p198k:36g?82083<370:76;4;?xu3?80;6>u246296gb<5=2=6<j;;<645?43l2wx8:>50;1x91172;>o70:82;4;?82??3<37p};7283>6}:<>81>oj4=5:4>4b334><?7<;d:\7fp024=839p199=:36g?820<3<370:78;4;?xu3?<0;6>u246696gb<5=236<j;;<641?43l2wx8::50;1x91132;>o70:86;4;?82?13<37p};7683>6}:<><1>oj4=5::>4b334><;7<;d:\7fp020=839p1999:36g?82003<370:7a;4;?xu3?00;6>u246:96gb<5=2j6<j;;<64=?43l2wx8:650;1x911?2;>o70:8a;4;?82?j3<37p};7c83>6}:<>k1>oj4=5:a>4b334><n7<;d:\7fp02g=839p199n:36g?820k3<370:7c;4;?xu3?m0;6>u246a96gb<5=2h6<j;;<64`?43l2wx8:m50;1x911d2;>o70:8e;4;?82?l3<37p};7g83>6}:<>o1>oj4=5:g>4b334><j7<;d:\7fp02c=839p199j:36g?82?83<370:7e;4;?xu3080;6>u249296gb<5=2n6<j;;<6;5?43l2wx85>50;1x91>72;>o70:9e;4;?82?;3<37p};6g83>6}:<?o1>oj4=5:0>4b334>=j7<;d:\7fp03c=838p198j:36g?82?<3<37p};8483>7}:<1>1=i:4=5:6>72c3ty?=o4?:2y>071=>l16?k752cf8916b2;>o7p};1d83>7}:<;21:h52400961b<uz>:j7>52z?76g<1m27?=>4=4e9~w1472909w0:=c;4f?826<38?h6s|43394?4|5=8o6;k4=536>72c3ty?>?4?:3y>07c=>l168<8525f8yv25;3:1>v3;2g85a>;39>098i5rs507>5<5s4>8<78j;<62<?43l2wx8?;50;0x91562?o019?6:36g?xu3:?0;6?u242092`=:<8k1>9j4}r62g?6=:r7?>449e:?74c<5<m1v\7f9?k:181\7f825i3<n70:>0;07`>{t;o31<7=t=2d:>72c349mo787;<626?0?3ty8ji4?:2y>7ce=:kn019?=:0f7?85al38?h6s|3ga94?5|5:lh6?:k;<1ea?0?34>:?787;|q0bc<72:q6?kk52cf8917428n?70=if;07`>{t;oo1<7=t=2df>72c34>;<787;<620?0?3ty?<<4?:2y>056=:kn019?;:0f7?827938?h6s|41294?5|5=:;6?:k;<636?0?34>:9787;|q746<72:q68=<52cf8917228n?70:?3;07`>{t<981<7=t=521>72c34>;8787;<622?0?3ty?<84?:2y>052=:kn019?9:0f7?827=38?h6s|41694?5|5=:?6?:k;<632?0?34>:;787;|q742<72:q68=852cf8917028n?70:?7;07`>{t<9<1<7=t=525>72c34>;4787;<62<?0?3ty?<44?:2y>05>=:kn019?7:0f7?827138?h6s|41:94?5|5=:36?:k;<63e?0?34>:5787;|q74g<72:q68=o52cf8917>28n?70:?b;07`>{t<9k1<7=t=52b>72c34>;o787;<62e?0?3ty?<i4?:2y>05e=:kn019?n:0f7?827l38?h6s|41a94?5|5=:h6?:k;<1ee?0?34>;j787;|q0bg<72:q6?ko52cf8916a28n?70=ib;07`>{t;ok1<7<t=2db>72c34>:<787;|q754<72;q68<>51e6891762;>o7p}81583>7}:?9o1>oj4=63b>72c3ty<<44?:3y>35c=:=n01:>i:7:8yv16=3:1>v380g81fa=:?8h1>9j4}r53e?6=:r7<<k4=4e9>346=>11v\7f:?9:181\7f816838ih6381b810a=z{>:i6=4={<524?43l27<=<498:\7fp341=838p1:?>:3`g?816l38?h6s|71a94?4|5>;:6?:k;<526?0?3ty<=54?:3y>344=:kn01:?j:36g?xu08m0;6?u2700961b<5>;86;64}r52=?6=:r7<=>4=be9>34`=:=n0q~9<7;296~;09:098i5271595=5<uz=9n7>52z?460<5jm16;>?525f8yv1583:1>v3824810a=:?;<1:55rs60`>5<5s4=9:7<md:?477<5<m1v\7f:<>:181\7f815>38?h6382685<>{t?;n1<7<t=604>7dc34=8?7<;d:\7fp374=838p1:<8:36g?81503<37p}82d83>7}:?;21>oj4=617>72c3ty<>>4?:3y>37>=:=n01:<6:7:8yv15n3:1>v382881fa=:?:?1>9j4}r510?6=:r7<>44=4e9>37g=>11v\7f:=?:181\7f815i38ih63837810a=z{>936=4={<51e?43l27<<:4>839~w2612909w09?8;4f?817?38?h6s|75594?4|5>>:6?lk;<57`?43l2wx;>m50;0x92262;>o709;2;4;?xu0<10;6?u275096gb<5>>n6?:k;|q47a<72;q6;9<525f892242?20q~9;9;296~;0<:09ni5275d961b<uz=8i7>52z?406<5<m16;9:5699~w22f2909w09;4;0a`>;0=9098i5rs61e>5<5s4=?87<;d:?400<102wx;9l50;0x92222;ho709:1;07`>{t?=:1<7<t=666>72c34=?:787;|q40f<72;q6;9852cf892352;>o7p}86`83>7}:?=<1>9j4=61b>4>43ty<9h4?:3y>30>=:kn01:8;:36g?xu0=:0;6?u274:961b<5>?26;64}r56b?6=:r7<944=be9>333=:=n0q~9:4;296~;0=0098i5274c92==z{><;6=4={<56e?4el27<:;4=4e9~w2322909w09:a;07`>;0=k0=46s|77394?4|5>?i6?lk;<553?43l2wx;8850;0x923e2;>o709:c;4;?xu0>;0;6?u274a96gb<5><36?:k;|q412<72;q6;8m525f8923c2?20q~993;296~;0=m09ni5277;961b<uz==n7>52z?41a<5<m16;>o51908yv1413:1>v383c85a>;0;h098i5rs7`6>5<5s4<jj7<md:?5fg<5<m1v\7f;on:181\7f80fn38?h639b185<>{t>k<1<7<t=7`3>7dc34<io7<;d:\7fp2dd=838p1;l?:36g?80e93<37p}9b683>7}:>k;1>oj4=7`g>72c3ty=mn4?:3y>2g7=:=n01;l=:7:8yv0e03:1>v39b381fa=:>ko1>9j4}r4b`?6=:r7=n?4=4e9>2g5=>11v\7f;l6:181\7f80e;38ih639bg810a=z{?kn6=4={<4a7?43l27=n9498:\7fp2gg=838p1;l;:3`g?80d838?h6s|6ba94?4|5?i=6?lk;<4g6?43l2wx:n?50;0x93e12;>o708l7;4;?xu1km0;6?u26b596gb<5?n86?:k;|q5g7<72;q6:n9525f893e?2?20q~8le;296~;1k109ni526e6961b<uz<h?7>52z?5g=<5<m16:n75699~w3ea2909w08l9;0a`>;1l<098i5rs7a7>5<5s4<h57<;d:?5gd<102wx:i>50;0x93ef2;ho708k6;07`>{t>j?1<7<t=7ab>72c34<hn787;|q5`4<72;q6:nl52cf893b02;>o7p}9e283>7}:>mn1>oj4=7g:>72c3ty=h54?:3y>2ab=:=n01;jj:7:8yv0b<3:1>v39dd81fa=:>lk1>9j4}r4g=?6=:r7=hh4=4e9>2a`=>11v\7f;k::181\7f80cn38ih639ec810a=z{?nj6=4={<4gb?43l27=i=498:\7fp2`0=838p1;k?:3`g?80bk38?h6s|6e`94?4|5?o;6?:k;<4f5?0?3ty=i:4?:3y>2`7=:kn01;kk:36g?xu1lj0;6?u26d3961b<5?o96;64}r4f<?6=:r7=i?4=be9>2`c=:=n0q~8ia;296~;1n=09ni52712961b<uz<nj7>52z?5b1<5<m16:k;5699~w3`e2909w08i5;0a`>;088098i5rs7d3>5<5s4<m97<;d:?5b3<102wx:km50;0x93`12;ho709?2;07`>{t>o;1<7<t=7d5>72c34<m;787;|q5ba<72;q6:k952cf892642;>o7p}9f383>7}:>o=1>9j4=7d;>3><uz<mi7>52z?5b=<5jm16;=:525f8yv0a;3:1>v39f9810a=:>o31:55rs7de>5<5s4<m57<md:?440<5<m1v\7f8jm:185\7f83fn3<n70;j8;0b1>;20o0:4<5258295=7<5<3:6<6>;<7:1?7?82wx9o650;0x90gb2?o0186i:36g?xu2j00;69u25c592`=:=0:1>9j4=4;1>4>734?2?7?70:\7fp1gg=838p18l9:7g890?62;>o7p}:bc83>7}:=k?1:h52580961b<uz?io7>52z?6f1<1m27>5>4=4e9~w0dc2909w0;m3;4f?83><38?h6s|5cg94?4|5<h96;k4=4;b>72c3ty>nk4?:3y>1g7=>l1694l525f8yv3d83:1>v3:b185a>;21l098i5rs736>5<5s4?jh78j;<7bf?43l2wx:<:50;0x90gd2?o018on:36g?xu2n:0;6?u25d:92`=:>9:1>l;4}r7e6?6=:r7>i:49e:?6bc<5i<1v\7f8jn:186\7f83b?38j963:8g82<5=:=0:1=5>4=4;2>4>734?297?71:\7fp1ce=838p18h?:7g8936?2;k>7p}:e583><}:=o:1>l;4=4;3>4>534?287?70:?6=d<6081694l5193890?b282:70;nb;3;6>;2ih0:4?5258395=4<uz?mn7>52z?6ac<1m27=<:4=a49~w0c4290>w0;jf;0b1>;21;0:4<5258195=7<5<3:6<6<;<7:1?7?:2wx9ko50;0x90cb2?o01;>9:3c6?xu2m;0;69u25dg96d3<5<396<6=;<7:7?7?:27>584>829~w0`>2909w0;jd;4f?807=38j96s|5d394?1|5<oo6?o:;<7:0?7?927>5>4>829>1<g=9180187m:0:1?83>m3;3>63:9882<5=z{<l36=4={<7fg?0b34<;87<n5:\7fp1`6=83<p18kl:3c6?83><3;3>63:9782<5=:=0=1=5>4=4;;>4>734?257?71:\7fp1c1=838p18km:7g893642;k>7p}:dg83>0}:=lh1>l;4=4;5>4>634?2;7?71:?6==<60;1694751908yv3a>3:1>v3:e`85a>;18;09m85rs4ff>5<3s4?nm7<n5:?6=2<60;169465191890?>28287p}:f483>7}:=l31:h5261396d3<uz?oh7>54z?6a<<5i<1694m5192890??282:70;6d;3;4>{t=o>1<7<t=4g5>3c<5<ln6?o:;|q6`f<72:q69h852`7890?d282:70;6d;3;5>{t=o;1<7<t=4g6>3c<5<lo6?o:;|q6`<<72;q69h;52`7890?c28297p}:c283>7}:>9:1:h525e296d3<uz?h>7>52z?6bc<1m27>ok4=a49~w0ed2909w08?8;4f?83c038j96s|5b`94?4|5?:<6;k4=4f4>7g23ty>ol4?:3y>250=>l169i852`78yv3d13:1>v390485a>;2l<09m85rs4a;>5<5s4<;878j;<7g0?4f=2wx9n950;0x93642?o018j<:3c6?xu2k?0;6?u261092`=:=m81>l;4}r7`1?6=:r7=<<49e:?6`4<5i<1v\7f8m;:181\7f83am3<n70;le;0b1>{t=j;1<7<t=4dg>3c<5<io6?o:;|q54<<72;q69nh56d9>1<`=:=n0q~8?a;296~;2l10=i63:a1810a=z{?:i6=4={<7g3?0b34?j=7<;d:\7fp25e=838p18j9:7g890g52;>o7p}90e83>7}:=m?1:h525`1961b<uz<;i7>52z?6`1<1m27>m94=4e9~w36a2909w0;k3;4f?83f=38?h6s|60294?4|5<n96;k4=4c5>72c3ty==<4?:3y>1a7=>l169l9525f8yv06:3:1>v3:cd85a>;2i1098i5rs730>5<5s4?hh78j;<7b=?43l2wx:;<50;4x93512?o01;8i:3c6?806>3;3=6391682<4=:>821=5?4=73`>4>73ty=?k4?:3y>263=>l16:<8525f8yv0383:18v393d85a>;19>098i5260;95=6<5?;j6<6?;|q504<72;q6:>j56d9>24>=:=n0q~8;2;296~;1;j0=i63918810a=z{?>86=4={<40f?0b34<:m7<;d:\7fp212=838p1;=n:7g8937e2;>o7p}94483>7}:>:31:h52633961b<uz<?:7>52z?57=<1m27=>?4=4e9~w3202909w08<7;4f?805=38?h6s|68a94?4|5?9?6;k4=711>72c3ty=5o4?:3y>265=>l16:>?525f8yv00i3:1>v396g85a>;10>09m85rs75:>5<5s4<=i78j;<4;2?4f=2wx:;?50;7x930b2;k>708>6;3;4>;19>0:4=5260:95=6<5?;h6<6>;|q5<6<72;q6::956d9>2=`=:h?0q~89b;29=~;1?>09m85260595=4<5?;i6<6?;<415?7?927=>?4>809>273=91;01;==:0:1?80493;3>6391982<7=z{?296=4={<442?0b34<3i7<n5:\7fp23g=83?p1;99:3c6?80613;3=6391`82<4=:>821=5=4=73`>4>53ty=4<4?:3y>223=>l16:5j52`78yv0113:18v397481e0=:>831=5<4=73b>4>534<:o7?73:\7fp2=6=838p1;9;:7g893>d2;k>7p}96983>2}:>>>1>l;4=73a>4>634<:m7?73:?564<60;16:?<5190893422829708=0;3;4>{t>>l1<7<t=750>3c<5?2i6?o:;|q522<72?q6::=52`78937e2829708>d;3;4>;19l0:4=5260d95=6<5?8;6<6>;|q53`<72;q6::<56d9>2=g=:h?0q~896;291~;1?;09m85260f95=7<5?;n6<6>;<42b?7?:27=>=4>839~w31c2909w0881;4f?80?138j96s|67794?2|5?=:6?o:;<42a?7?:27==k4>829>276=9190q~88c;296~;1?90=i6398981e0=z{?<?6=4;{<444?4f=27=>>4>819>24`=91;01;<;:0:3?xu1?k0;6?u267f92`=:>1?1>l;4}r457?6=;r7=:i4=a49>275=91;01;<;:0:2?xu1?10;6?u267a92`=:>1>1>l;4}r454?6=:r7=:n4=a49>272=9180q~8;a;296~;10>0=i6395681e0=z{?>26=4={<4;2?0b34<>:7<n5:\7fp205=838p1;6i:7g8933a2;k>7p}95383>7}:>1o1:h5264g96d3<uz<>=7>52z?5<a<1m27=9i4=a49~w3372909w087c;4f?802k38j96s|65d94?4|5?2i6;k4=77a>7g23ty=8h4?:3y>2=g=>l16:8o52`78yv03l3:1>v398885a>;1=009m85rs76`>5<5s4<3478j;<46<?4f=2wx:9l50;0x93>22?o01;;::3c6?xu1<10;6?u269692`=:><>1>l;4}r4:4?6=:r7=9;49e:?563<5<m1v\7f;7>:181\7f802n3<n708=7;07`>{t>081<7<t=77f>3c<5?836?:k;|q5=6<72;q6:8j56d9>27?=:=n0q~864;296~;1=j0=i6392`810a=z{?3>6=4={<46f?0b34<9n7<;d:\7fp2<0=838p1;;n:7g8934d2;>o7p}99683>7}:><31:h5263f961b<uz<247>52z?51=<1m27=>h4=4e9~w3?>2909w08:5;4f?805n38?h6s|68c94?4|5???6;k4=713>72c3ty>??4?:3y>11g=>1169><525f8yv3?i3:1>v3:4`82`1=:=:o1>9j4}r715?6=:r7>8l4=4e9>2d6=>l1v\7f8=m:180\7f83313<370;<2;4;?834j38?h6s|59;94?5|5<>26<j;;<706?4el27>?i4=4e9~w07a2909w0;;9;07`>;11o0=i6s|52c94?5|5<?86;64=41a>3><5<9j6?:k;|q6<=<72:q698=51e68905e2;ho70;;7;07`>{t=8o1<7<t=470>72c34<j478j;|q67<<72:q698<5699>16g=>1169>7525f8yv3??3:1?v3:5382`1=:=:k1>oj4=465>72c3ty>=i4?:3y>104=:=n01;o8:7g8yv3403:1?v3:5085<>;2;00=463:39810a=z{<2=6=4<{<765?7c<27>?44=be9>113=:=n0q~;>c;296~;2=8098i526`492`=z{<9<6=4<{<764?0?34?84787;<703?43l2wx95;50;1x903728n?70;<8;0a`>;2<=098i5rs43a>5<5s4?><7<;d:?5e0<1m2wx9>850;1x902a2?2018=8:7:890512;>o7p}:8583>6}:==l1=i:4=414>7dc34???7<;d:\7fp172=838p18:i:36g?80f<3<n7p}:3483>6}:==o1:55252492==:=:?1>9j4}r7;7?6=;r7>8h4>d59>160=:kn018:=:36g?xu2::0;6?u255g961b<5?k86;k4}r700?6=;r7>8i498:?670<1027>?94=4e9~w0>52908w0;;d;3g0>;2;<09ni52553961b<uz?9>7>52z?60a<5<m16:l<56d9~w0542908w0;;c;4;?834<3<370;<3;07`>{t=1;1<7=t=46`>4b334?887<md:?605<5<m1v\7f8<?:181\7f833k38?h639a085a>{t=:;1<7=t=46a>3><5<986;64=412>72c3ty>4=4?:2y>11d=9m>018=<:3`g?834n38?h6s|53794?4|5<>i6?:k;<4:a?0b3ty>;k4?:2y>11>=9m>018=>:3`g?834k38?h6s|77a94?4|5<>36?:k;<4:`?0b3ty>984?:3y>13b=>11698;525f8yv30m3:1>v3:6e82`1=:=?;1>9j4}r71g?6=:r7>:i4=4e9>324=>l1v\7f8;j:180\7f831k3<370;:5;4;?832m38?h6s|56f94?5|5<<h6<j;;<761?4el27>:=4=4e9~w04f2909w0;9c;07`>;0?80=i6s|54f94?5|5<==6;64=47f>3><5<?o6?:k;|q63f<72:q69:851e68903b2;ho70;9a;07`>{t=;31<7<t=455>72c34=<m78j;|q61f<72:q69:;5699>10b=>11698m525f8yv30j3:1?v3:7482`1=:=<n1>oj4=44:>72c3ty>>54?:3y>123=:=n01:96:7g8yv32j3:1?v3:7585<>;2=j0=463:5c810a=z{<=j6=4<{<740?7c<27>9n4=be9>13>=:=n0q~;=7;296~;2?=098i5276:92`=z{<?j6=4<{<747?0?34?>n787;<76e?43l2wx9:750;1x901428n?70;:b;0a`>;2>>098i5rs405>5<5s4?<?7<;d:?432<1m2wx98750;1x90152?2018;n:7:8903>2;>o7p}:7983>6}:=>81=i:4=47b>7dc34?=:7<;d:\7fp17`=838p189=:36g?810>3<n7p}:5983>6}:=>;1:55254;92==:=<21>9j4}r743?6=;r7>;<4>d59>10?=:kn0188::36g?xu2:l0;6?u2563961b<5>=>6;k4}r763?6=;r7>;=498:?61=<1027>9:4=4e9~w0>b2908w0;80;3g0>;2=109ni52576961b<uz?9h7>52z?635<5<m16;::56d9~w0312908w0;9f;4;?832?3<370;:6;07`>{t=1n1<7=t=44e>4b334?>;7<md:?626<5<m1v\7f8<m:181\7f831n38?h6387285a>{t=<>1<7=t=44f>3><5<?=6;64=477>72c3ty>4n4?:2y>13c=9m>018;9:3`g?831:38?h6s|52294?4|5<<n6?:k;<544?0b3ty>4o4?:2y>13d=9m>018;;:3`g?832n38?h6s|77f94?4|5<<i6?:k;<55b?0b3ty<o:4?:7y>3<?=>>16;n6525f892da28=:709l0;345>;0k80:;<527b09527<uz=247>512y>3<?=:h?01:78:0:3?81>>3;3<6389482<5=:?0>1=5>4=6;0>4>734=2>7?70:?4=4<60916;4>5192892>a282;7097e;3;4>;00m0:4=5279a95=6<5>2i6<6?;<5;e?7?827<444>819>3=>=91:01:68:0:3?81?>3;3<6s|7c694?4|5>3<6<6>;<5`1?73>2wx;oj50;0x92?02829709l6;372>{t?k91<7<t=6;5>4>634=h97?<d:\7fp3ge=838p1:79:0:1?81d>3;8h6s|7c094?4|5>3>6<6>;<5`1?74k2wx;ol50;0x92?22829709l6;30g>{t?k;1<7<t=6;7>4>634=h97?<b:\7fp3gg=838p1:7;:0:1?81d>3;8n6s|7c294?4|5>386<6>;<5`1?74i2wx;o750;0x92?42829709l6;30e>{t?hl1<7<t=6;1>4>634=h97?<9:\7fp3g>=838p1:7=:0:1?81d>3;856s|7`g94?4|5>3:6<6>;<5`1?74=2wx;o950;0x92?62829709l6;301>{t?hn1<7<t=6;3>4>634=h97?=a:\7fp3g0=838p1:7?:0:1?81d>3;9m6s|7`a94?4|5>2m6<6>;<5`1?76n2wx;o;50;0x92>a2829709l6;32b>{t?h81<7<t=6:f>4>634=h?7?;6:\7fp3dd=838p1:6j:0:1?81d<3;?:6s|7`394?4|5>2o6<6>;<5`7?74l2wx;lo50;0x92>c2829709l4;30`>{t?h:1<7<t=6:`>4>634=h?7?<c:\7fp3d?=838p1:6l:0:1?81d<3;8o6s|78d94?4|5>2i6<6>;<5`7?74j2wx;l650;0x92>e2829709l4;30f>{t?0o1<7<t=6:b>4>634=h?7?<a:\7fp3d1=838p1:6n:0:1?81d<3;8m6s|78f94?4|5>226<6>;<5`7?7412wx;l850;0x92>>2829709l4;30=>{t?0i1<7<t=6:;>4>634=h?7?<5:\7fp3d3=838p1:67:0:1?81d<3;896s|78`94?4|5>2<6<6>;<5`7?75i2wx;l:50;0x92>02829709l4;31e>{t?0k1<7<t=6:5>4>634=h?7?>f:\7fp3d5=838p1:69:0:1?81d<3;:j6s|1d;94?4|5<3h6?:k;<7bf?7?92wx=hl50;0x93442;>o708<2;3;5>{t9lo1<78t=73a>4>434<9=7?70:?567<60916:?;519289356282;708>c;07`>{t9ll1<78t=4;7>4>434?2m7?70:?6=g<6091694k5192890gf282;70;65;07`>{t?1?1<7=t=6:1>72c34=h87?81:?4g3<6?81v\7f:6;:180\7f81?938?h638c28234=:?j?1=:?4}r3e5?6=:r7>5;4=4e9>1<g=9190q~?i3;296~;21>098i5258`95=5<uz;m97>52z?6==<5<m1694k51918yv7a03:1?v3:98810a=:=hh1=5=4=4cb>4>43ty9<54?:3y>1dd=91:0187::0f6?xu6mh0;6?u25`c95=7<5<3o6<j:;|q2bf<72;q6:<j525f8934628287p}>fd83>7}:>8o1>9j4=701>4>43ty9<=4?:3y>24`=:=n01;<::0:0?xu58:0;6>u2632961b<5?996<6<;<405?7?;2wx>=950;0x9355282;708>c;3g1>{t9li1<7<t=712>4>634<987?k5:\7fp3=5=839p1:6?:36g?81d;3;<<638c48235=zuk8m<7>51;294~"5k<09oo5G2dg8L7e>3f8><7>5;|q;3f<72;qU4:m4=3a5>7d03ty8<44?:gy]75d<V1=m7S=?0:\1bc=Y;920R>>8;_132>X48<1U?=:4^220?[57:2T8<<5Q2gg8Z7`c348nj7?8d:\7fp6c>=838pR?h7;<0`2?3b3ty3;h4?:3y]<2c<5;i=6?ll;|q;<6<72;qU45=4=3a5>7bd3ty8j?4?:3y]7c4<5;i=6<8i;|q;=`<72;qU44k4=3a5>7ce3ty34<4?:3y]<=7<5;i=6?m8;|q1b2<72;qU>k94=3a5>0b<uz2=>7>52z\;27=::j<1>l74}r5`=?6=:rT<o4522b4961`<uz23>7>52z\;<7=::j<1>no4}r1e1?6=:rT8j8522b4952d<uz=hm7>52z\4gd=::j<1>8;4}r:;0?6=:rT349522b496ab<uz2::7>52z\;53=::j<1>:j4}r::0?6=:rT345522b496`7<uz22>7>52z\;<2=::j<1>ih4}r::`?6=:rT35=522b496`g<uz22o7>52z\;<c=::j<1>h74}r::f?6=:rT34h522b496`><uz22m7>52z\;<a=::j<1>h94}r::=?6=:rT34n522b496`0<uz2247>52z\;<g=::j<1>h;4}r::3?6=:rT34l522b496`2<uz22:7>52z\;<<=::j<1>h=4}r::1?6=:rT34;522b496`4<uz22=7>52z\;<0=::j<1>ik4}r1f=?6=:rT8o:522b49530<uz9n;7>52z\0g3=::j<1=;:4}r1f2?6=:rT8o8522b49535<uz9n97>52z\0g1=::j<1=;<4}r1f7?6=:rT8o?522b49536<uz9n>7>52z\0g4=::j<1=8h4}r1f5?6=:rT8o=522b4950c<uz9n<7>52z\0fc=::j<1=8j4}r1gb?6=:rT8nh522b4950e<uz9oi7>52z\0fa=::j<1=8l4}r1g`?6=:rT8nn522b4950g<uz9oo7>52z\0fg=::j<1=874}r1gf?6=:rT8nl522b4950><uz9om7>52z\0f<=::j<1=894}r1g<?6=:rT8n:522b49503<uz9o;7>52z\0f3=::j<1=8:4}r1g2?6=:rT8n8522b49505<uz9o97>52z\0f1=::j<1=8<4}r1g0?6=:rT8n>522b49507<uz9o?7>52z\0f7=::j<1=8>4}r1g6?6=:rT8n<522b4951`<uz9o=7>52z\0f5=::j<1=9k4}r1g4?6=:rT8mk522b4951b<uz9hj7>52z\0e`=::j<1=9m4}r1e4?6=:rT8oi522b4953b<uz9nj7>52z\0gf=::j<1=;m4}r1fa?6=:rT8oo522b4953d<uz9nh7>52z\0gd=::j<1=;o4}r1fg?6=:rT8o4522b4953?<uz9nn7>52z\0g==::j<1=;64}r1fe?6=:rT8o>522b49531<uz9n87>52z\0f==::j<1=;?4}r1g=?6=:rT8mi522b49500<uz9hi7>52z\0ef=::j<1=9l4}r:46?6=:rT3:;522b496db<uz2<<7>52z\;20=::j<1>ll4}r:4f?6=:rT3:h522b496g0<uz2<m7>52z\;2a=::j<1>o;4}r:4=?6=:rT3:n522b496g2<uz2<47>52z\;2g=::j<1>o=4}r:43?6=:rT3:l522b496g4<uz2<:7>52z\;2<=::j<1>o?4}r:41?6=:rT3:5522b496g6<uz2<87>52z\;22=::j<1>lh4}r:47?6=:rT3:9522b496dc<uz2=j7>52z\;26=::j<1>lo4}r5e7?6=:rT<i:522b49630<uz=m=7>52z\4a3=::j<1>;:4}r5eg?6=:rT<ik522b4963`<uz=mn7>52z\4a`=::j<1>;k4}r5ee?6=:rT<ii522b4963b<uz=m57>52z\4af=::j<1>;m4}r5e<?6=:rT<io522b4963d<uz=m;7>52z\4ad=::j<1>;o4}r5e2?6=:rT<i4522b4963?<uz=m97>52z\4a==::j<1>;64}r5e0?6=:rT<i8522b49631<uz=m<7>52z\4a1=::j<1>;=4}r1b0?6=:rT84?522b49ag=z{:k96=4={_1;5>;5k?0n56s|3`394?4|V:2;70<l6;g;?xu4i90;6?uQ36d897e12l=0q~=6e;296~X4?m16>n85e49~w6?c2909wS=8c:?1g3<b<2wx?4m50;0xZ61e348h:7k<;|q0=g<72;qU?:o4=3a5>`4<uz92m7>52z\03<=::j<1i<5rs2;:>5<5sW9<463=c78f4>{t;021<7<t^254?84d>3nm7p}<9683>7}Y;><01?m9:eg8yv5>>3:1>vP<749>6f0=lm1v\7f>7::181\7f[50<279o;4kc:\7fp7<5=838pR>9=;<0`2?bf3ty85?4?:3y]727<5;i=6i74}r1:5?6=:rT8;=522b49`==z{:3;6=4={_15b>;5k?0o;6s|39d94?4|V:<n70<l6;f5?xu40l0;6?uQ37f897e12m?0q~=7d;296~X4>j16>n85d59~w6>d2909wS=9b:?1g3<c;2wx?5l50;0xZ60f348h:7j=;|q0<d<72;qU?;74=3a5>a7<uz9jn7>52z\0<==::j<1j?5rs2cb>5<5sW93;63=c78e5>{t;h31<7<t^2:5?84d>3l;7p}<a983>7}Y;1?01?m9:dd8yv5f?3:1>vP<859>6f0=ml1v\7f>o9:181\7f[5?;279o;4jd:\7fp7d3=838pR>9j;<0`2?cd3ty85k4?:3y]725<5;i=6h84}r1:0?6=:rT8:5522b49`g=z{:226=4={_153>;5k?0o<6s|84:94?4|V1>h70<l6;0:f>{t0<<1<7<t^96a?84d>38256s|87394?4|V1??70<l6;0b0>{t0?:1<7<t^970?84d>38j?6s|84d94?4|V1?970<l6;0b6>{t0<o1<7<t^972?84d>38j=6s|84f94?4|V1?;70<l6;0b4>{t0<i1<7<t^96e?84d>382j6s|84`94?4|V1>n70<l6;0:a>{t0<k1<7<t^96g?84d>382h6s|84;94?4|V1>j70<l6;0:g>{t0<?1<7<t^96:?84d>38246s|82d94?4|V19870<l6;0;a>{t0:n1<7<t^911?84d>383o6s|85:94?4|V19i70<l6;0:3>{t0==1<7<t^91b?84d>382:6s|85494?4|V19270<l6;0:1>{t0=?1<7<t^91;?84d>38286s|85694?4|V19<70<l6;0:7>{t0=91<7<t^915?84d>382>6s|85094?4|V19>70<l6;0:5>{t0=;1<7<t^917?84d>382<6s|85294?4|V19:70<l6;0;b>{t0:i1<7<t^913?84d>383n6s|83494?4|V1;j70<l6;0;5>{t0;>1<7<t^93:?84d>38<j6s|83d94?4|V18970<l6;0;e>{t0;o1<7<t^902?84d>38356s|83f94?4|V18;70<l6;0;<>{t0;i1<7<t^93e?84d>383;6s|83`94?4|V1;n70<l6;0;2>{t0;k1<7<t^93g?84d>38396s|83;94?4|V1;h70<l6;0;0>{t0;21<7<t^93a?84d>383?6s|83594?4|V1;370<l6;0;6>{t0;91<7<t^934?84d>38<i6s|81a94?4|V1:;70<l6;047>{t09k1<7<t^6de?84d>38<=6s|80794?4|V1:370<l6;04g>{t08>1<7<t^924?84d>38<n6s|80194?4|V1:=70<l6;04e>{t0881<7<t^926?84d>38<56s|80394?4|V1:?70<l6;04<>{t08:1<7<t^920?84d>38<;6s|81d94?4|V1:970<l6;042>{t09o1<7<t^922?84d>38<96s|81f94?4|V>ln70<l6;040>{t0931<7<t^6dg?84d>38<<6s|7ec94?4|V>in70<l6;06=>{t?m21<7<t^6ag?84d>38>;6s|7d194?4|V>n=70<l6;056>{t?l81<7<t^6f6?84d>38==6s|7d394?4|V>n?70<l6;054>{t?l:1<7<t^6f0?84d>38>j6s|7ed94?4|V>n970<l6;06a>{t?mo1<7<t^6f2?84d>38>h6s|7ef94?4|V>n;70<l6;06g>{t?mi1<7<t^6ae?84d>38>n6s|7e`94?4|V>ih70<l6;06e>{t?m=1<7<t^6aa?84d>38>:6s|22394?7|5;l;6?;?;|\7fp7d2=838pR>6=;<43>6>53-8h47<;5:\7fp7d4=838pR>6>;<43>6>63-8h47<;6:\7fp7d7=838pR>6?;<43>6>73-8h47<;7:\7fp7d6=838pR>9i;<43>61a3-8h47<;8:\7fp7<c=838pR>9k;<43>61c3-8h47<;9:\7fp7<b=838pR>9l;<43>61d3-8h47?j1:\7fp7<e=838pR>9m;<43>61e3-8h47?j2:\7fp7<d=838pR>9n;<43>61f3-8h47?j8:\7fp7<g=838pR>96;<43>61>3-8h47?jd:\7fp7<?=838pR>97;<43>61?3-8h47?i6:\7fp7<>=838pR>98;<43>6103-8h47<?1:\7fp7<1=838pR>99;<43>6113-8h47<?c:\7fp7<0=838pR>9:;<43>6123-8h47<>6:\7fp7<3=838pR>9;;<43>6133-8h47<>e:\7fp7<5=838pR>9=;<43>6153-8h47<=7:\7fp7<4=838pR>9>;<43>6163-8h47<<2:\7fp7<7=838pR>9?;<43>6173-8h47<<3:\7fp7<6=838pR>8i;<43>60a3-8h47<<4:\7fp7=`=838pR>8j;<43>60b3-8h47<<5:\7fp7=c=838pR>8k;<43>60c3-8h47<<6:\7fp7=b=838pR>8l;<43>60d3-8h47<<7:\7fp7=e=838pR>8m;<43>60e3-8h47<<8:\7fp7=d=838pR>8n;<43>60f3-8h47<<9:\7fp7=g=838pR>86;<43>60>3-8h47<<a:\7fp7dd=838pR>67;<43>6>?3-8h47<<b:\7fp7dg=838pR>68;<43>6>03-8h47<<c:\7fp7d?=838pR>69;<43>6>13-8h47<<d:\7fp7d>=838pR>6:;<43>6>23-8h47<<e:\7fp7d1=838pR>6;;<43>6>33-8h47<<f:\7fp7d0=838pR>6<;<43>6>43-8h47<;0:\7fp7d3=838pR>9j;<43>61b3-8h47<;1:\7fp7<`=838pR>9<;<43>6143-8h47<;2:\7fp7<2=838pR>87;<43>60?3-8h47<;3:\7fp7=?=838pR>88;<43>6003-8h47<;4:\7fp<34=838pR58=;<43>=053-8h47?j3:\7fp<2e=838pR59l;<43>=1d3-8h47?j4:\7fp<2c=838pR59j;<43>=1b3-8h47?j5:\7fp<=2=838pR56;;<43>=>33-8h47?j6:\7fp<<c=838pR57j;<43>=?b3-8h47?j7:\7fp7`?=838pR>m8;<43>6e03-8h47?jf:\7fp7`1=838pR>m9;<43>6e13-8h47?i0:\7fp7`0=838pR>m:;<43>6e23-8h47?i1:\7fp7`3=838pR>m;;<43>6e33-8h47?i2:\7fp7`5=838pR>m=;<43>6e53-8h47?i3:\7fp7`4=838pR>m>;<43>6e63-8h47?i4:\7fp7`7=838pR>m?;<43>6e73-8h47?i5:\7fp7`6=838pR>li;<43>6da3-8h47?i7:\7fp7a`=838pR>lj;<43>6db3-8h47?i8:\7fp7ac=838pR>lk;<43>6dc3-8h47?i9:\7fp7ab=838pR>ll;<43>6dd3-8h47?ia:\7fp7ae=838pR>lm;<43>6de3-8h47?ib:\7fp7ad=838pR>ln;<43>6df3-8h47?ic:\7fp7ag=838pR>l6;<43>6d>3-8h47?id:\7fp7a>=838pR>l8;<43>6d03-8h47?ie:\7fp7a1=838pR>l9;<43>6d13-8h47?if:\7fp7a0=838pR>l:;<43>6d23-8h47<?0:\7fp7a3=838pR>l;;<43>6d33-8h47<?2:\7fp7a2=838pR>l<;<43>6d43-8h47<?3:\7fp7a5=838pR>l=;<43>6d53-8h47<?4:\7fp7a4=838pR>l>;<43>6d63-8h47<?5:\7fp7a7=838pR>l?;<43>6d73-8h47<?6:\7fp7a6=838pR>oi;<43>6ga3-8h47<?7:\7fp7f`=838pR>oj;<43>6gb3-8h47<?8:\7fp7c6=838pR>mk;<43>6ec3-8h47<?9:\7fp7``=838pR>ml;<43>6ed3-8h47<?a:\7fp7`c=838pR>mm;<43>6ee3-8h47<?b:\7fp7`b=838pR>mn;<43>6ef3-8h47<?d:\7fp7`e=838pR>m6;<43>6e>3-8h47<?e:\7fp7`d=838pR>m7;<43>6e?3-8h47<?f:\7fp7`g=838pR>m<;<43>6e43-8h47<>0:\7fp7`2=838pR>l7;<43>6d?3-8h47<>1:\7fp7a?=838pR>ok;<43>6gc3-8h47<>2:\7fp7fc=838pR>ol;<43>6gd3-8h47<>3:\7fp7c4=838pR>h=;<43>6`53-8h47<>4:\7fp7c3=838pR>h:;<43>6`23-8h47<>5:\7fp<24=838pR589;<43>=013-8h47<>7:\7fp<26=838pR58:;<43>=023-8h47<>8:\7fp<2d=838pR58j;<43>=0b3-8h47<>9:\7fp<2g=838pR58k;<43>=0c3-8h47<>a:\7fp<2?=838pR58l;<43>=0d3-8h47<>b:\7fp<2>=838pR58m;<43>=0e3-8h47<>c:\7fp<21=838pR58n;<43>=0f3-8h47<>d:\7fp<20=838pR586;<43>=0>3-8h47<>f:\7fp<23=838pR587;<43>=0?3-8h47<=0:\7fp<22=838pR588;<43>=003-8h47<=1:\7fp<25=838pR58;;<43>=033-8h47<=2:\7fp<3`=838pR58<;<43>=043-8h47<=3:\7fp<<2=838pR567;<43>=>?3-8h47<=4:\7fp<<4=838pR568;<43>=>03-8h47<=5:\7fp<<b=838pR57?;<43>=?73-8h47<=6:\7fp<<e=838pR56i;<43>=>a3-8h47<=8:\7fp<<d=838pR56j;<43>=>b3-8h47<=9:\7fp<<g=838pR56k;<43>=>c3-8h47<=a:\7fp<<?=838pR56l;<43>=>d3-8h47<=b:\7fp<<>=838pR56m;<43>=>e3-8h47<=c:\7fp<<1=838pR56n;<43>=>f3-8h47<=d:\7fp<<0=838pR566;<43>=>>3-8h47<=e:\7fp<<3=838pR569;<43>=>13-8h47<=f:\7fp<<7=838pR56:;<43>=>23-8h47<<0:\7f~j414j3:1?vF=c89~j414k3:1?vF=c89~j414l3:1?vF=c89~j414m3:1?vF=c89~j414n3:1?vF=c89~j41383:1?vF=c89~j41393:1?vF=c89~j413:3:1?vF=c89~j413;3:1?vF=c89~j413<3:1?vF=c89~j413=3:1?vF=c89~j413>3:1?vF=c89~j413?3:1?vF=c89~j41303:1?vF=c89~j41313:1?vF=c89~j413i3:1?vF=c89~j413j3:1?vF=c89~j413k3:1?vF=c89~j413l3:1?vF=c89~j413m3:1?vF=c89~j413n3:1?vF=c89~j41283:1?vF=c89~j41293:1?vF=c89~j412:3:1?vF=c89~j412;3:1?vF=c89~j412<3:1?vF=c89~j412=3:1?vF=c89~j412>3:1?vF=c89~j412?3:1?vF=c89~j41203:1?vF=c89~j41213:1?vF=c89~j412i3:1?vF=c89~j412j3:1?vF=c89~j412k3:1?vF=c89~j412l3:1?vF=c89~j412m3:1?vF=c89~j412n3:1?vF=c89~j41183:1?vF=c89~j41193:1?vF=c89~j411:3:1?vF=c89~j411;3:1?vF=c89~j411<3:1?vF=c89~j411=3:1?vF=c89~j411>3:1?vF=c89~j411?3:1?vF=c89~j41103:1?vF=c89~j41113:1?vF=c89~j411i3:1?vF=c89~j411j3:1?vF=c89~j411k3:1?vF=c89~j411l3:1?vF=c89~j411m3:1?vF=c89~j411n3:1?vF=c89~j41083:1?vF=c89~j41093:1?vF=c89~j410:3:1?vF=c89~j410;3:1?vF=c89~j410<3:1?vF=c89~j410=3:1?vF=c89~j410>3:1?vF=c89~j410?3:1?vF=c89~j41003:1?vF=c89~j41013:1?vF=c89~j410i3:1?vF=c89~j410j3:1?vF=c89~j410k3:1?vF=c89~j410l3:1?vF=c89~j410m3:1?vF=c89~j410n3:1?vF=c89~j41?83:1?vF=c89~j41?93:1?vF=c89~j41?:3:1?vF=c89~j41?;3:1?vF=c89~j41?<3:1?vF=c89~j41?=3:1?vF=c89~j41?>3:1?vF=c89~j41??3:1?vF=c89~j41?03:1?vF=c89~j41?13:1?vF=c89~j41?i3:1?vF=c89~j41?j3:1?vF=c89~j41?k3:1?vF=c89~j41?l3:1?vF=c89~j41?m3:1?vF=c89~j41?n3:1?vF=c89~j41>83:1?vF=c89~j41>93:1?vF=c89~j41>:3:1?vF=c89~j41>;3:1?vF=c89~j41><3:1?vF=c89~j41>=3:1?vF=c89~j41>>3:1?vF=c89~j41>?3:1?vF=c89~j41>03:1?vF=c89~j41>13:1?vF=c89~j41>i3:1?vF=c89~j41>j3:1?vF=c89~j41>k3:1?vF=c89~j41>l3:1?vF=c89~j40??3:1=vF=c89~j40?m3:15vF=c89~j40c83:1=vF=c89~j40c93:1=vF=c89~j40c:3:1=vF=c89~j40c;3:1=vF=c89~j40c<3:1=vF=c89~j40c=3:1=vF=c89~j40c>3:1=vF=c89~j40c?3:1=vF=c89~j40c03:1=vF=c89~j40c13:1=vF=c89~j40ci3:1=vF=c89~j40cj3:1=vF=c89~j40am3:1=vF=c89~j40an3:1=vF=c89~j41783:1=vF=c89~j41793:1=vF=c89~j417:3:1=vF=c89~j417;3:1=vF=c89~j417<3:1=vF=c89~j417=3:1=vF=c89~j417>3:1=vF=c89~j417?3:1=vF=c89~j41703:1=vF=c89~j41713:1=vF=c89~j417i3:1=vF=c89~j417j3:1=vF=c89~j417k3:1=vF=c89~j417l3:1=vF=c89~j417m3:1=vF=c89~j417n3:1=vF=c89~j41683:1=vF=c89~j41693:1=vF=c89~j416:3:1=vF=c89~j416;3:1=vF=c89~j416<3:1=vF=c89~j416=3:1=vF=c89~j416>3:1=vF=c89~j416?3:1=vF=c89~j41603:1=vF=c89~j41613:1=vF=c89~j416i3:1=vF=c89~j416j3:1=vF=c89~j416k3:1=vF=c89~j416l3:1=vF=c89~j416m3:1=vF=c89~j416n3:1=vF=c89~j41583:1=vF=c89~j41593:1=vF=c89~j415:3:1=vF=c89~j415;3:1=vF=c89~j415<3:1=vF=c89~j415=3:1=vF=c89~j415>3:1=vF=c89~j415?3:1=vF=c89~j41503:1=vF=c89~j41513:1=vF=c89~j415i3:1=vF=c89~j415j3:1=vF=c89~j415k3:1=vF=c89~j415l3:1=vF=c89~j415m3:1=vF=c89~j415n3:1=vF=c89~j41483:1=vF=c89~j41493:1=vF=c89~j414:3:1=vF=c89~j414;3:1=vF=c89~j414<3:1=vF=c89~j414=3:1=vF=c89~j414>3:1=vF=c89~j414?3:1=vF=c89~j41403:1=vF=c89~j41413:1=vF=c89~j45di3:1=vF=c89~j45c83:1=vF=c89~j45c<3:1=vF=c89~j45c=3:1=vF=c89~j45c>3:1=vF=c89~j45c?3:1=vF=c89~j45c03:1=vF=c89~j45c13:1=vF=c89~j45ci3:1=vF=c89~j45cj3:1=vF=c89~j45ck3:1=vF=c89~j45cl3:1=vF=c89~j45cm3:1=vF=c89~j45cn3:1=vF=c89~j45b83:1=vF=c89~j45b93:1=vF=c89~j45b:3:1=vF=c89~j45b;3:1=vF=c89~j45b<3:1=vF=c89~j45b=3:1=vF=c89~j45b>3:1=vF=c89~j45b?3:1=vF=c89~j45b03:1=vF=c89~j45b13:1=vF=c89~j45bi3:1=vF=c89~j45bj3:1=vF=c89~j45bk3:1=vF=c89~j45bl3:1=vF=c89~j45bm3:1=vF=c89~j45bn3:1=vF=c89~j45a83:1=vF=c89~j45a93:1=vF=c89~j45a:3:1=vF=c89~j45a;3:1=vF=c89~j45a<3:1=vF=c89~j45a=3:1=vF=c89~j45a>3:1=vF=c89~j45a?3:1=vF=c89~j45a03:1=vF=c89~j45a13:1=vF=c89~j45ai3:1=vF=c89~j45aj3:1=vF=c89~j45ak3:1=vF=c89~j45al3:1=vF=c89~j45am3:1=vF=c89~j45an3:1=vF=c89~j42783:1=vF=c89~j42793:1=vF=c89~j427:3:1=vF=c89~j427;3:1=vF=c89~j427<3:1=vF=c89~j427=3:1=vF=c89~j427>3:1=vF=c89~j427?3:1=vF=c89~j42703:1=vF=c89~j42713:1=vF=c89~j427i3:1=vF=c89~j427j3:1=vF=c89~j427k3:1=vF=c89~j427l3:1=vF=c89~j427m3:1=vF=c89~j427n3:1=vF=c89~j426k3:1=vF=c89~j426l3:1=vF=c89~j426m3:1=vF=c89~j426n3:1=vF=c89~j42583:1=vF=c89~j42593:1=vF=c89~j425:3:1=vF=c89~j425;3:1=vF=c89~j425<3:1=vF=c89~j425=3:1=vF=c89~j425>3:1=vF=c89~j425?3:1=vF=c89~j42503:1=vF=c89~j42513:1=vF=c89~j425i3:1=vF=c89~j425j3:1=vF=c89~j425k3:1=vF=c89~j425l3:1=vF=c89~j425m3:1=vF=c89~j425n3:1=vF=c89~j42483:1=vF=c89~j42493:1=vF=c89~j424:3:1=vF=c89~j424;3:1=vF=c89~j42?<3:1=vF=c89~j42?=3:1=vF=c89~j42?>3:1=vF=c89~j42??3:1=vF=c89~j42?03:1=vF=c89~j42?13:1=vF=c89~j42?i3:1=vF=c89~j42?j3:1=vF=c89~j42?k3:1=vF=c89~j42?l3:1=vF=c89~j42?m3:1=vF=c89~j42?n3:1=vF=c89~j42>83:1=vF=c89~j42>93:1=vF=c89~j42>:3:1=vF=c89~j42>;3:1=vF=c89~j42><3:1=vF=c89~j42>=3:1=vF=c89~j42>>3:1=vF=c89~j42>?3:1=vF=c89~j42>03:1=vF=c89~j42>13:1=vF=c89~j42>i3:1=vF=c89~j42>j3:1=vF=c89~j42>k3:1=vF=c89~j42>l3:1=vF=c89~j42>m3:1=vF=c89~j42>n3:1=vF=c89~j42f83:1=vF=c89~j42f93:1=vF=c89~j42f:3:1=vF=c89~j42f;3:1=vF=c89~j42f<3:1=vF=c89~j42f=3:1=vF=c89~j42f>3:1=vF=c89~j42f?3:1=vF=c89~j42f03:1=vF=c89~j42f13:1=vF=c89~j42fi3:1=vF=c89~j42fj3:1=vF=c89~j42fk3:1=vF=c89~j42fl3:1=vF=c89~j42fm3:1=vF=c89~j42fn3:1=vF=c89~j42e83:1=vF=c89~j42e93:1=vF=c89~j42e:3:1=vF=c89~j42e;3:1=vF=c89~j42e<3:1=vF=c89~j42e=3:1=vF=c89~j42e>3:1=vF=c89~j42e?3:1=vF=c89~j42e03:1=vF=c89~j42e13:1=vF=c89~j42ei3:1=vF=c89~j42ej3:1=vF=c89~j42ek3:1=vF=c89~j42el3:1=vF=c89~j42em3:1=vF=c89~j42en3:1=vF=c89~j42d83:1=vF=c89~j42d93:1=vF=c89~j42d:3:1=vF=c89~j42d;3:1=vF=c89~j42d<3:1=vF=c89~j42d=3:1=vF=c89~j42d>3:1=vF=c89~j42d?3:1=vF=c89~j42d03:1=vF=c89~j42d13:1=vF=c89~j42di3:1=vF=c89~j42dj3:1=vF=c89~j42dk3:1=vF=c89~j42dl3:1=vF=c89~j42dm3:1=vF=c89~j42dn3:1=vF=c89~j42c83:1=vF=c89~j42c93:1=vF=c89~j42c:3:1=vF=c89~j42c;3:1=vF=c89~j42c<3:1=vF=c89~j42c=3:1=vF=c89~j42c>3:1=vF=c89~j42c?3:1=vF=c89~j42c03:1=vF=c89~j42c13:1=vF=c89~j42ci3:1=vF=c89~j42cj3:1=vF=c89~j42ck3:1=vF=c89~j42cl3:1=vF=c89~j42cm3:1=vF=c89~j42cn3:1=vF=c89~j42b83:1=vF=c89~j42b93:1=vF=c89~j42b:3:1=vF=c89~j42b;3:1=vF=c89~j42b<3:1=vF=c89~j42b=3:1=vF=c89~j42b>3:1=vF=c89~j42b?3:1=vF=c89~j42b03:1=vF=c89~j42b13:1=vF=c89~j42bi3:1=vF=c89~j42bj3:1=vF=c89~j42bk3:1=vF=c89~j42bl3:1=vF=c89~j42bm3:1=vF=c89~j42bn3:1=vF=c89~j42a83:1=vF=c89~j42a93:1=vF=c89~j42a:3:1=vF=c89~j42a;3:1=vF=c89~j42a<3:1=vF=c89~j42a=3:1=vF=c89~j42a>3:1=vF=c89~j42a?3:1=vF=c89~j42a03:1=vF=c89~j42a13:1=vF=c89~j42ai3:1=vF=c89~j42aj3:1=vF=c89~j42ak3:1=vF=c89~j42al3:1=vF=c89~j42am3:1=vF=c89~j42an3:1=vF=c89~j43783:1=vF=c89~j43793:1=vF=c89~j437:3:1=vF=c89~j437;3:1=vF=c89~j437<3:1=vF=c89~j437=3:1=vF=c89~j437>3:1=vF=c89~j437?3:1=vF=c89~j43703:1=vF=c89~j43713:1=vF=c89~j437i3:1=vF=c89~j437j3:1=vF=c89~j437k3:1=vF=c89~j437l3:1=vF=c89~j437m3:1=vF=c89~j437n3:1=vF=c89~j43683:1=vF=c89~j43693:1=vF=c89~j436:3:1=vF=c89~j436;3:1=vF=c89~j436<3:1=vF=c89~j436=3:1=vF=c89~j436>3:1=vF=c89~j436?3:1=vF=c89~j43603:1=vF=c89~j43613:1=vF=c89~j436i3:1=vF=c89~j436j3:1=vF=c89~j436k3:1=vF=c89~j436l3:1=vF=c89~j436m3:1=vF=c89~j436n3:1=vF=c89~j43583:1=vF=c89~j43593:1=vF=c89~j435:3:1=vF=c89~j435;3:1=vF=c89~j435<3:1=vF=c89~j435=3:1=vF=c89~j435>3:1=vF=c89~j435?3:1=vF=c89~j43503:1=vF=c89~j43513:1=vF=c89~j435i3:1=vF=c89~j435j3:1=vF=c89~j435k3:1=vF=c89~j435l3:1=vF=c89~j435m3:1=vF=c89~j435n3:1=vF=c89~j43483:1=vF=c89~j43493:1=vF=c89~j434:3:1=vF=c89~j434;3:1=vF=c89~j434<3:1=vF=c89~j434=3:1=vF=c89~j434>3:1=vF=c89~j434?3:1=vF=c89~j43403:1=vF=c89~j43413:1=vF=c89~j434i3:1=vF=c89~j434j3:1=vF=c89~j434k3:1=vF=c89~j434l3:1=vF=c89~j434m3:1=vF=c89~j434n3:1=vF=c89~j43383:1=vF=c89~j43393:1=vF=c89~j433:3:1=vF=c89~j433;3:1=vF=c89~j433<3:1=vF=c89~j433=3:1=vF=c89~j433>3:1=vF=c89~j433?3:1=vF=c89~j43303:1=vF=c89~j43313:1=vF=c89~j433i3:1=vF=c89~j433j3:1=vF=c89~j433k3:1=vF=c89~j433l3:1=vF=c89~j433m3:1=vF=c89~j433n3:1=vF=c89~j43283:1=vF=c89~j43293:1=vF=c89~j432:3:1=vF=c89~j432;3:1=vF=c89~j432<3:1=vF=c89~j432=3:1=vF=c89~j432>3:1=vF=c89~j432?3:1=vF=c89~j43203:1=vF=c89~j43213:1=vF=c89~j432i3:1=vF=c89~j432j3:1=vF=c89~j432k3:1=vF=c89~j432l3:1=vF=c89~j432m3:1=vF=c89~j432n3:1=vF=c89~j43183:1=vF=c89~j43193:1=vF=c89~j431:3:1=vF=c89~j431;3:1=vF=c89~j431<3:1=vF=c89~j431=3:1=vF=c89~j431>3:1=vF=c89~j431?3:1=vF=c89~j43103:1=vF=c89~j43113:1=vF=c89~j431i3:1=vF=c89~j431j3:1=vF=c89~j431k3:1=vF=c89~j431l3:1=vF=c89~j431m3:1=vF=c89~j431n3:1=vF=c89~j43083:1=vF=c89~j43093:1=vF=c89~j430:3:1=vF=c89~j430;3:1=vF=c89~j430<3:1=vF=c89~j430=3:1=vF=c89~j430>3:1=vF=c89~j430?3:1=vF=c89~j43003:1=vF=c89~j43013:1=vF=c89~j430i3:1=vF=c89~j430j3:1=vF=c89~j430k3:1=vF=c89~j430l3:1=vF=c89~j430m3:1=vF=c89~j430n3:1=vF=c89~j43?83:1=vF=c89~j43?93:1=vF=c89~j43?:3:1=vF=c89~j43?;3:1=vF=c89~j43?<3:1=vF=c89~j43?=3:1=vF=c89~j43?>3:1=vF=c89~j43??3:1=vF=c89~j43?03:1=vF=c89~j43?13:1=vF=c89~j43?i3:1=vF=c89~j43?j3:1=vF=c89~j43?k3:1=vF=c89~j43?l3:1=vF=c89~j43?m3:1=vF=c89~j43?n3:1=vF=c89~j43>83:1=vF=c89~j43>93:1=vF=c89~j43>:3:1=vF=c89~j43>;3:1=vF=c89~j43><3:1=vF=c89~j43>=3:1=vF=c89~j43>>3:1=vF=c89~j43>?3:1=vF=c89~j43>03:1=vF=c89~j43>13:1=vF=c89~j43>i3:1=vF=c89~j43>j3:1=vF=c89~j43>k3:1=vF=c89~j43>l3:1=vF=c89~j43>m3:1=vF=c89~j43>n3:1=vF=c89~j43f83:1=vF=c89~j43f93:1=vF=c89~j43f:3:1=vF=c89~j43f;3:1=vF=c89~j43f<3:1=vF=c89~j43f=3:1=vF=c89~j43f>3:1=vF=c89~j43f?3:1=vF=c89~j43f03:1=vF=c89~j43f13:1=vF=c89~j43fi3:1=vF=c89~j43fj3:1=vF=c89~j43fk3:1=vF=c89~j43fl3:1=vF=c89~j43fm3:1=vF=c89~j43fn3:1=vF=c89~j43e83:1=vF=c89~j43e93:1=vF=c89~j43e:3:1=vF=c89~j43e;3:1=vF=c89~j43e<3:1=vF=c89~j43e=3:1=vF=c89~j43e>3:1=vF=c89~j43e?3:1=vF=c89~j43e03:1=vF=c89~j43e13:1=vF=c89~j43ei3:1=vF=c89~j43ej3:1=vF=c89~j43ek3:1=vF=c89~j43el3:1=vF=c89~j43em3:1=vF=c89~j43en3:1=vF=c89~j43d83:1=vF=c89~j43d93:1=vF=c89~j43d:3:1=vF=c89~j43d;3:1=vF=c89~j43d<3:1=vF=c89~j43d=3:1=vF=c89~j43d>3:1=vF=c89~j43d?3:1=vF=c89~j43d03:1=vF=c89~j43d13:1=vF=c89~j43di3:1=vF=c89~j43dj3:1=vF=c89~j43dk3:1=vF=c89~j43dl3:1=vF=c89~j43dm3:1=vF=c89~j43dn3:1=vF=c89~j43c83:1=vF=c89~j43c93:1=vF=c89~j43c:3:1=vF=c89~j43c;3:1=vF=c89~j43c<3:1=vF=c89~j43c=3:1=vF=c89~j43c>3:1=vF=c89~j43c?3:1=vF=c89~j43c03:1=vF=c89~j43c13:1=vF=c89~j43ci3:1=vF=c89~j43cj3:1=vF=c89~j43ck3:1=vF=c89~j43cl3:1=vF=c89~j43cm3:1=vF=c89~j43cn3:1=vF=c89~j43b83:1=vF=c89~j43b93:1=vF=c89~j43b:3:1=vF=c89~j43b;3:1=vF=c89~j43b<3:1=vF=c89~j43b=3:1=vF=c89~j43b>3:1=vF=c89~j43b?3:1=vF=c89~j43b03:1=vF=c89~j43b13:1=vF=c89~j43bi3:1=vF=c89~j43bj3:1=vF=c89~j43bk3:1=vF=c89~j43bl3:1=vF=c89~j43bm3:1=vF=c89~j43bn3:1=vF=c89~j43a83:1=vF=c89~j43a93:1=vF=c89~j43a:3:1=vF=c89~j43a;3:1=vF=c89~j43a<3:1=vF=c89~j43a=3:1=vF=c89~j43a>3:1=vF=c89~j43a?3:1=vF=c89~j43a03:1=vF=c89~j43a13:1=vF=c89~j43ai3:1=vF=c89~j43aj3:1=vF=c89~j43ak3:1=vF=c89~j43al3:1=vF=c89~j43am3:1=vF=c89~j43an3:1=vF=c89~j40783:1=vF=c89~j40793:1=vF=c89~j407:3:1=vF=c89~j407;3:1=vF=c89~j407<3:1=vF=c89~j407=3:1=vF=c89~j407>3:1=vF=c89~j407?3:1=vF=c89~j40703:1=vF=c89~j40713:1=vF=c89~j407i3:1=vF=c89~j407j3:1=vF=c89~j407k3:1=vF=c89~j407l3:1=vF=c89~j407m3:1=vF=c89~j407n3:1=vF=c89~j40683:1=vF=c89~j40693:1=vF=c89~j406:3:1=vF=c89~j406;3:1=vF=c89~j406<3:1=vF=c89~j406=3:1=vF=c89~j406>3:1=vF=c89~j406?3:1=vF=c89~j40603:1=vF=c89~j40613:1=vF=c89~j406i3:1=vF=c89~j406j3:1=vF=c89~j406k3:1=vF=c89~j406l3:1=vF=c89~j406m3:1=vF=c89~j406n3:1=vF=c89~j40583:1=vF=c89~j40593:1=vF=c89~j405:3:1=vF=c89~j405;3:1=vF=c89~j405<3:1=vF=c89~j405=3:1=vF=c89~j405>3:1=vF=c89~j405?3:1=vF=c89~j40503:1=vF=c89~j40513:1=vF=c89~j405i3:1=vF=c89~j405j3:1=vF=c89~j405k3:1=vF=c89~j405l3:1=vF=c89~j405m3:1=vF=c89~j405n3:1=vF=c89~j40483:1=vF=c89~j40493:1=vF=c89~j404:3:1=vF=c89~j404;3:1=vF=c89~j404<3:1=vF=c89~j404=3:1=vF=c89~j404>3:1=vF=c89~j404?3:1=vF=c89~j40403:1=vF=c89~j40413:1=vF=c89~j404i3:1=vF=c89~j404j3:1=vF=c89~j404k3:1=vF=c89~j404l3:1=vF=c89~j404m3:1=vF=c89~j404n3:1=vF=c89~j40383:1=vF=c89~j40393:1=vF=c89~j403:3:1=vF=c89~j403;3:1=vF=c89~j403<3:1=vF=c89~j403=3:1=vF=c89~j403>3:1=vF=c89~j403?3:1=vF=c89~j40303:1=vF=c89~j40313:1=vF=c89~j403i3:1=vF=c89~j403j3:1=vF=c89~j403k3:1=vF=c89~j403l3:1=vF=c89~j403m3:1=vF=c89~j403n3:1=vF=c89~j40283:1=vF=c89~j40293:1=vF=c89~j402:3:1=vF=c89~j402;3:1=vF=c89~j402<3:1=vF=c89~j402=3:1=vF=c89~j402>3:1=vF=c89~j402?3:1=vF=c89~j40203:1=vF=c89~j40213:1=vF=c89~j402i3:1=vF=c89~j402j3:1=vF=c89~j402k3:1=vF=c89~j402l3:1=vF=c89~j402m3:1=vF=c89~j402n3:1=vF=c89~j40183:1=vF=c89~j40193:1=vF=c89~j401:3:1=vF=c89~j401;3:1=vF=c89~j401<3:1=vF=c89~j401=3:1=vF=c89~j401>3:1=vF=c89~j401?3:1=vF=c89~j40103:1=vF=c89~j40113:1=vF=c89~j401i3:1=vF=c89~j401j3:1=vF=c89~j401k3:1=vF=c89~j401l3:1=vF=c89~j401m3:1=vF=c89~j401n3:1=vF=c89~j40083:1=vF=c89~j40093:1=vF=c89~j400:3:1=vF=c89~j400;3:1=vF=c89~j400<3:1=vF=c89~j400=3:1=vF=c89~j400>3:1=vF=c89~j400?3:1=vF=c89~j40003:1=vF=c89~j40013:1=vF=c89~j400i3:1=vF=c89~j400j3:1=vF=c89~j400k3:1=vF=c89~j400l3:1=vF=c89~j400m3:1=vF=c89~j400n3:1=vF=c89~j40?83:1=vF=c89~j40?93:1=vF=c89~j40?:3:1=vF=c89~j40?;3:1=vF=c89~j414i3:1=vF=c89~yx{GHJq:ml<5dcca30g1uIJIw=sO@Qy~DE
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file header_add_data_fifo_4kW.vhd when simulating
+-- the core, header_add_data_fifo_4kW. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY header_add_data_fifo_4kW IS
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(11 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(11 downto 0));
+END header_add_data_fifo_4kW;
+
+ARCHITECTURE header_add_data_fifo_4kW_a OF header_add_data_fifo_4kW IS
+-- synthesis translate_off
+component wrapped_header_add_data_fifo_4kW
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(11 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(11 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_header_add_data_fifo_4kW use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+ generic map(
+ c_rd_freq => 100,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 34,
+ c_has_wr_data_count => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_has_wr_rst => 0,
+ c_wr_freq => 100,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_rd_depth => 4096,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 12,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 12,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 12,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 12,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 12,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 4093,
+ c_wr_depth => 4096,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 4094,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "4kx9",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_header_add_data_fifo_4kW
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count,
+ wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END header_add_data_fifo_4kW_a;
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$2af\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0127?565981:?6?!006850<NFY__6LJKR@>20?699=1:97GAPTV9EABUJ5;?6=0>0:39MKVR\3nbb1>50?38644<;;0BB][[:EKB867=87;97><5IORVP?BNJ59:6=0>3:11>JSSX\^1HBO33083:45<;;0DYY^ZT;FLF956294:79<44032?1<NFY__6IG_A=194;763=0BB][[:EKSF95=87;;794FNQWW>RCF4:0;2<>44;KMTPR=_LH7?7>11397>JSSX\^1HB^N<283:44<<3E^X][[:EMSF95=87l08{bw41de542?%8::7;:468;55`=12@D[YY4\7frne\ahvsqV~c~h}g<483:73<>3CE\XZ5psmd[`kw|pU\7fd\7fk|h^cpw`ts4<0;2?;46;KMTPR=x{elShc\7ftx]wlwct`Vdnklzj<483:4d<>3E^X][[:sf\`drfWje~by2::1<1?3G33?KJM?58D59;4566:221CXZ_UU8gkpr;?3:5=67;;82341=>8939748>d:;9MKVR\3zycjQiumn\pmtb{a636=0=4:;9MKVR\3zycjQiumn\pmtb{aUj\7f~k}t=:94;43300BB][[:qplcZ`rdeU\7fd\7fk|h^lfcdrb410;2<649;MVPUSS2mk\7fmRm`uov?<?699k126B[[PTV9swYci}kTob{at=:94;><IMNYM1>19:CG@WG;99427LJKR@>25;?<IMNYM1?=>89B@ATF4895n6OKDSC?51<7601JHI\N<06=<>GCL[K7=364AEFQE94902KOH_O33?:8EABUI5>546OKDSC?1;><IMNYM1818:CG@WG;?720MIJ]A=:=<>GCL[K75364AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF975601JHI\M<01=f>GCL[H7=94?>89B@ATE48>546OKDS@?5;><IMNYN1<18:CG@WD;;720MIJ]B=6=<>GCL[H79364AEFQF90902KOH_L37?:8EABUJ52546OKDS@?=;?<IZSEOR\JG29AQ7><J\YTECH@1:Ab?FGTKHNNECOn;BCPGDBBAGHi7NO\C@FFKPRFj2IJ_NOKENWWF7=DM880OEKLK^NJG@HTMV^R\H<4CH68GIMF<2IGGO=4CMP:?FIJE@^_II?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II?4D39GM3=CAH6;2:5KI@>24;1<L@K7=<08;EKB8449?2NBM1?<>69GMD:6<7=0HDO314<4?AOF48<5;6JFA=34:2=CAH6:4394DHC?5<813MCJ0<08;EKB8769?2NBM1<>>69GMD:5:7=0HDO322<4?AOF4;>5;6JFA=06:2=CAH69:394DHC?62803MCJ0?617:FJE94>6?1OEL2=>69GMD:48730HDO33083:2=CAH68=384DHC?7;0<L@K78384DHC?1;0<L@K7:384DHC?3;0<L@K74384DHC?=;0<L@H7<394DH@?55803MCI0<?17:FJF9756>1OEO2>3?58@LD;9=4<7IGM<07=3>BNJ5;=2:5KIC>23;1<L@H7=508;EKA84?9>2NBN1?17:FJF9476>1OEO2=1?58@LD;:;4<7IGM<31=3>BNJ58?2:5KIC>11;1<L@H7>;08;EKA8719?2NBN1<7>69GMG:517<0HDL32?58@LD;;9427IGM<2394;1<L@H7?<09;EKA86813MCI0909;EKA80813MCI0;09;EKA82813MCI0509;EKA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;1<L@ZI0=08;EKSF979?2NB\O2=>89GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;1<LFK7=<08;EMB8449?2NDM1?<>69GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;0<LFK78384DNC?1;0<LFK7:384DNC?3;0<LFK74384DNC?=;1<LFKT^HI9;EMA85803MEI0<>17:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;1<LFH7=:08;EMA84>9?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1<LFH7>808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86833LKH<>5JN@18AKD53O2>7KOCSD08BA5<NMI?7KJLE29E@U2<NMZN96Hnlrg2?B5<OGN:7D<4I108M44<A;80E>64IOKWTZ6702CEEY^P00:8MKOSXV:946GAIUR\46><AGC_\R>;8:KMMQVX8<20ECG[P^25<>OIA]ZT<:74IOKWWQGSM>1BBDZP0158MKOSW9;<7D@FT^213>OIA]U;?:5FNHV\411<AGC_S=;8;HLJPZ61?2CEEYQ?769JJLRX81=0ECG[_1;4?LHN\V:J;6GAIU]3F2=NF@^T<N94IOKW[5B03@DBXR>J7:KMMQY7N>1BBDZP1158MKOSW8;<7D@FT^313>OIA]U:?:5FNHV\511<AGC_S<;8;HLJPZ71?2CEEYQ>769JJLRX91=0ECG[_0;4?LHN\V;J;6GAIU]2F2=NF@^T=N94IOKW[4B03@DBXR?J7:KMMQY6N>1BBDZP2158MKOSW;;<7D@FT^013>OIA]U9?:5FNHV\611<AGC_S?;8;HLJPZ41?2CEEYQ=769JJLRX:1=0ECG[_3;4?LHN\V8J;6GAIU]1F2=NF@^T>N94IOKW[7B03@DBXR<J7:KMMQY5N>1BBDZP3158MKOSW:;<7D@FT^113>OIA]U8?:5FNHV\711<AGC_S>;8;HLJPZ51?2CEEYQ<769JJLRX;1=0ECG[_2;4?LHN\V9J;6GAIU]0F2=NF@^T?N94IOKW[6B03@DBXR=J7:KMMQY4N?1BBDZPA79JJLRXJ01BBDZPFHNF7>OI^880ECXJRU]@HNYCGHUYIJ?=;HLUAWRXKEATHBLPRDE0?LIE:2FB>6B@6:NLEACC?2FDKDMNL59OQQ733E__>;5CUU0\H1=K]]9=7A[[3^N7?ISS<?1GYY:PD79OQQ2XD<1F_JAA5:OV\F_d3Dkac\7fXjrrkljf=JageyZh||inl1?K743G8=?6@=829M175<F<987C;:3:L636=I=180B;=4N630?K15;2D<?>5A7518J2343G==?6@8729M3=5<F>387C6?3:L;16=I0?90B59<;O:;7>H?1:1E5=h4NC]AQVVNFVZYC]]8;OGWSJTL<2DDBH?4O39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_9;SCNF40a3[KFSHV[EOQJKKYFn2XJARKWTDLPMJHXJj1YILJPWHFWLZGd3[OJHRYFDUJ\F3=UMNINM;5]EFAFF4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF77=R8&myj#|i/fa{*fjlp&Gsc\7fQ}d^rmpwY`kVrd~=>?0^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2344YUmz\7fgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt7898T^h}zlu306>S7'nxm"\7fh gbz-gim\7f'Drd~R|k_qlwvZadWqey<=><_Sgpqir6;;1^<"i}f/pe+be\7f&jf`t"Cwos]q`Zvi|{UloRv`r1230ZTb{|f\7f=><4U1-dvc(un&mht#mcky-N|jtX\7f{U{by|Pgb]{kw6789UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=?PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0121[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzex\7fQhc^zlv567;VXn\7fxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{\7fQ\7fnup\cfY\7fg{:;<9Q]erwop4553\:$k\7fh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?017\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd\7f~Ril_ymq4561W[oxyaz>339V4*aun'xm#jmw.bnh|*K\7fg{U|~R~ats]dgZ~hz9:;;R\jstnw577<]9%l~k }f.e`|+ekcq%yhR~ats]amk:76;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6:2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2=>338Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio>0:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:36;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6>2?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ?219V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^314>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[7473\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhX;;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU?>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR;=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4567:>1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?0005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0122570<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<?<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34546:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?3358Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678:89:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012762=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;8<<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2?>328Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc=3=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`878582_;#j|i.sd,cf~)keas#\7fjPpovq[be;;78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6?2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1;11g9V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_13e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]25c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[77a3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY49o1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadW=;m7X> gsd-vc)`kq$h`fv re]sjqtXojU>>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>3:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7=3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8785>2_;#j|i.sd,cf~)keas#\7fjPpovq[beXizxnk1=1279V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqab:36;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi35?06?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W98>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_006?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W;8>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_206?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W=8>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_40:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7<3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;97827X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3?6;4>3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?33?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;783<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;=7837X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3\47><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q>299V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqabYc9V8946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[64?3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?P43:8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl8U>>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012764=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj959:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf5>5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1;1209V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn=4=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj919:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf525>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR==0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_503?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\176<]9%l~k }f.e`|+ekcq%|~R~ats]amkY1:91^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfV=9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS5<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34565?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>?1348Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]{kw67888<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?013263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;>?94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234775>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=><269V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv567;;8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01613>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[}iu89:?=?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1>1219V4*aun'xm#jmw.bnh|*quWyd\7f~Ril<0<14>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa?6;473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cf:46;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5>5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh080=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm36?03?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb>4:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg9>99o1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadW9;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU:=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS??i;T2,cw`)zo%lou lljz,swYwf}xTknQ<1g9V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_53e?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]65c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[37a3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfY09o1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadW18=7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh<1<12>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`414996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^211>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboV;996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^011>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboV9996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^611>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboV?996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^411>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboV=996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^:1=>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboVn:0>0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64=4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2808512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><7<1=>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtboVn:0:0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6414946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[64?3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?P43:8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aXl8U>>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z0502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_60;?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;T4?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123473<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;=?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123673<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;??;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123073<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;9?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123273<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;;>o4U1-dvc(un&mg<#|k/fpbw+aulj;%~im Mrwa[wbXljUbS~{m0123[f\7f;879j7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^az8484i2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qly=0=7d=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Tot2<>2c8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wjs783=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Zjr|5:5?n5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\hpr;97;8n6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]oqq:56:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_mww8684j2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qcuu>7:6e<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Sa{{<5<27g=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:T`xz35?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vrd~1>13c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx7=3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Z~hz585?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\|jt;;79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv929;k1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pxnp?1;4>3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_10:?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS<<6;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/sf\`fYnW;827X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#\7fjPdb]j[64>3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_50:?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS8==;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/sf\`fYnWz\7fi<=>?<1<06>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*tcWmiTeR}zb1234979;;1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]pqg6789692><4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX{|h;<=>33?11?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS~{m01238184:2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^qvf56785?5>45Z0.eqb+ta'nf;"\7fj gscp*wus{&xjaRkbpu{\bgYn;91^<"i}f/pe+bj7&{n$k\7fo|.sqww*tfeVof|ywPfc]j[jt789:8?6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShc\7ftx]efZoXg{:;<=?=9258Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTeRa}012357?X[^:8?6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShc\7ftx]efZoXg{:;<=?:5218Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTeRa}01235<74;2_;#j|i.sd,ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k\kw6789;2?>=4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?20:06>S7'nxm"\7fh gm2-va)`zhy%~~z|/scn[`kw|pUmnRgPos234541;;1^<"i}f/pe+bj7&{n$k\7fo|.sqww*tfeVof|ywPfc]j[jt789:3<><4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?8305?P6(o{l%~k!hl1,q`*auiz$y\7fy} r`o\ahvsqVc:h6[?/fpe*w`(oe:%~i!}al]q`ZciW`;9?6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at378Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr6:;?0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz>5368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr4:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by8=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp<4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw33?0a?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3?>339V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{6;2<?PIOT\47e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|31?326f=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Usc\7f2=>031g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1=1100`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey090>13a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx793?>1g9V4*aun'xm#jb?.sf,vuhsz&idycz30?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=3=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?6;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9599o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;<7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5?5=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T<<k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS<?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR<>e:W3+bta&{l$ka>!re-qtkru'je~byQ<1d9V4*aun'xm#jb?.sf,vuhsz&idyczP40g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_401?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]364=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y6:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U9><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q<209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]764=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y2:=1^<"i}f/pe+bj7&{n$~}`{r.alqkrXff~;<=?=9:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n? c`pq}kcs494956[?/fpe*w`(oe:%{\7f!hw`q-svrt'j;$ol|}yogw848512_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(khxyuck{<3<1=>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,gdtuqgo\7f0>0=f:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n? glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR;V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,chs\7f&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(od\7fs"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n? v0>2:75<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*p64;49?6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j;$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28185j2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(~8U;Sl`k012357d<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*p6W8Ujbi>?0131f>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n? v0]7[kis89:;=?74U1-dvc(un&mg<#y}/fubw+qt|z%h>"mnrs{maq:76;30Y=!hrg,qb*ak8'}y#jyns/uppv)d:&ij~\7fwaeu>2:7?<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*efz{seiy2=>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvw\7fim}682?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR8V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,chs\7f&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f4(~86;2?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><0<17>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,r4:56;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0>0=3:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< v0>7:7d<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*p6W9Ujbi>?0131f>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< v0]0[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_5]mkq6789;9<6[?/fpe*w`(oe:%{\7f!hw`q-svrt'jef|<<?;T2,cw`)zo%l`= xr.etev(p{}y$obc\7f23:8Q5)`zo$yj"ic0/uq+bqf{'}xx~!}al]eqijXnkUb>85Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]j6f=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUbSb|?0121`>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/scn[cskdVcTc\7f>?0132`>S7'nxm"\7fh gm2-sw)uidU|~Rka_h32b>S7'nxm"\7fh gm2-sw)uidU|~Rka_h3\54`<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Z45;2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov261=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}8986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at207?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex:<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw<7?<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}979W{~956[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<3<\vq4>3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw33?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:36Vx\7f>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}939:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<7<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;?7Uyx?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;878j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8485i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=0=6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f2<>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx783<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4<49m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv909:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>4:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|38?0g?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050Pru3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=2=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?5;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9499o1^<"i}f/pe+bj7&~x${}`{r.alqkr;;7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5>5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~793?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1811g9V4*aun'xm#jb?.vp,suhsz&idycz37?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=:=5`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\44c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[47b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ46m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY49l1^<"i}f/pe+bj7&~x${}`{r.alqkrX<8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW<;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V<:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U<=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T4?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28485:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2<>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?0;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb64<49>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=181239V4*aun'xm#jb?.vp,suhsz&idyczPd0>4:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;078:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[3463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W>8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S5<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<1<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;692?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18185:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:5?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?3;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb54149=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R>=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^315>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6Z4592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:V99=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R:=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^715>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6Z0592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:V=9=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo>R686:W3+bta&{l$ahc gco-cgk`&nhfkn agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)q<Vddecg{.scn+VBW&ZCEKAKPPSKN*VBW9:1^<"i}f/pe+wgjW~xTic?k;T2,cw`)zo%y\7fylck.pg[wusWhyyij<?;T2,cw`)zo%y\7fylck.pg[wusWhyyijQk1328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim(zmUy\7fyQlol`2`>S7'nxm"\7fh rrvahn)ulVxxxRm`mc32a>S7'nxm"\7fh rrvahn)ulVxxxR|jg=3=5`=R8&myj#|i/sqwfim(zmUy\7fyQ}ef>1:4b<]9%l~k }f.pppgjl'{nT~~zPrde\54b<]9%l~k }f.pppgjl'{nT~~zPrde\64b<]9%l~k }f.pppgjl'~xT~~zParpfc76<]9%l~k }f.pppgjl'~xT~~zParpfcZb6:91^<"i}f/pe+wusjea${\7fQ}su]bwwc`Wm8:o6[?/fpe*w`(zz~i`f!xr^pppZehek;o7X> gsd-vc)u{}hgg"y}_sqw[fijj8;n7X> gsd-vc)u{}hgg"y}_sqw[wc`494:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5;5=i5Z0.eqb+ta'{y\7fnae ws]qwqYumnU;=i5Z0.eqb+ta'{y\7fnae ws]qwqYumnU:m6[\ES]BHLGTk2_XI_QYIRKAH@5<^JI27[GJW^VZT@0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=16:UFF969>2]NN1?16:UFF94902]NN1=50?48S@D;;7h0[_G[E^DJVVRd3^XBXHQBOEG\Ef=PZ@^NS@AKE^@g?RTN\LU\EIZG_@f8SWOSMV]BHYFPB0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybccm4amolwqYbey~rn6ocmnqw[cskd?1imnezpe9aefmrxVgj~fk}3:aooa=ci}kTob{at)2*`>bf|hUhcx`{(0+g?agsiVidycz'2(f8`drfWje~by&<)e9geqgXkf\7fex%:&d:fbpdYdg|d\7f$8'k;ecweZeh}g~#:$j4d`vb[firf}"<%i5kauc\gjsi|!2"j6jnt`]`kphs410;2:5kbhvfvw0<l`d#<$64dhl?4?69>2nbb1>17:flqq.7!>1ocxz'1(58`jss ;#<7iazt)1*3>bh}}"?%:5kotv+1,1<lf\7f\7f$;'8;emvp-1.?2ndyy2?>69gkpr;97=0hb{{<3<4?air|595;6j`uu>7:2=cg|~79394dnww838>3me~x1950?58`jss4>437hjff3ld`0=bey~r?;5iigm\c`hbzh~d~Rx;_5.#\ljnfq*HC_K/Gdlfvdrhz);;"< ?3^ks71=a}ef<7d`{_bnh=>jffmUmeka=7:nbjaYaaoe'jdh`_fgmawgsg{U}8R:#NNLF(KIIM:k37aoad^djbj*aaoeTkh`jr`vlvZp3W=&poRfns^kmpZekc4:'oRfns^qqwq;7$jUyhRh}ep?2(fYoizUyhRjnt`]`kphs58&hSiga_vkgpm;6$jUcm~Qxr^fbpdYdg|d\7f1="l_gwohZ`kinyT~~zPv`n>5)eXadzgi`kat`vjkkYsqyo6>!mPurg\ahdblmooS\7fkh=0.`[rtXn{oz1<"l_tqf[air|Vxxx0>#c^wm``tadf}T`by20-a\lduXg\7foyjaax=1.`[utbdfkoSao{eoaz97*dWme~xRyfduj><)eXezmdbRxnl<3/gZtcWmo{xe394-a\lduX}gnn~kb`w<2/gZnf{Vkgab}{_gwoh86+kVbj\7fR||t<3/gZbf|hUhcx`{_vkgpm;>$jUcm~Qxr^c`o86+kV\7fxiRklc<2/gZehedeeSnb`cj?3(fYpzVkhgRb`w<2/gZtcWyd\7f~Ryfduj>2)eX}zoTjzh{_ecweZeh}g~6<!mPh`q\eikh{}Una}zv=1.`[wbXlh~jSnaznu]tmaro5?&hSbxjrgnlsZjh\7f4:'oRy}_qlwvZqnl}b65!mPpsmd[`kw|pU\7fu}k20-a\swYci}kTob{at^uj`qn:1%iT|\7fkco`f\v`at58&hSiazt^pppZpfd4:'oRfns^fbpdYdg|d\7f1="l_qplcZ`rdeU\7fd\7fk|h^lfcdrbW\7fkg18>7,b]tvZbbx}b69<<#c^rqkbYbey~rSyf}erj\j`af|lU}ma3<,b]svjaXmdz\7fuRzgrdqk[dutm{~Tzlb22-a\lduX~hfbh0>#c^rqkbYa}efTxe|jsi]bwvcu|V|j`0;?9-aliuiimg~Tblcj=mcm`Z`nnf&hS{ocie]okr;7$jU{~dcPfhdl[q\7fwm4?:>u=9,b]svjaXn|fgSyw\7fe<2/gZkbefxrSyw\7fe<3/y75<dhdoSkgio^djbjY`mgoymya}_w6\0Z~t|V;986bnne]pll`sW{ol#yc\7f/^ad+igilVlbjb agn:8jbee}`fo46ayesdokrg<x{elShc\7ftx37?uthoVof|ywPtipfwm.7!8>0|\7fah_dosp|Ys`{oxd%?&159svjaXmdz\7fuRzgrdqk,7/6<2zycjQjmqvz[qnumzb#?$?;;qplcZcjx}sTxe|jsi*7-42<x{elShc\7ftx]wlwct`!?"=;5\7frne\ahvsqV~c~h}g<483:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(1+2f>vugnUna}zv_ujqavnXizyn~y&>)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$?'>b:rqkbYbey~rSyf}erj\evubz}"8%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts =#:n6~}of]fiur~W}byi~fParqfvq.2!8n0|\7fah_dosp|Ys`{oxdRo|sdpw80<768h0|\7fah_dosp|Ys`{oxdR`jg`vf,5/6j2zycjQjmqvz[qnumzbTbhintd*2-4d<x{elShc\7ftx]wlwct`Vdnklzj(3+2f>vugnUna}zv_ujqavnXflmjxh&<)0`8twi`Wlg{xtQ{hsgplZhboh~n$9'>b:rqkbYbey~rSyf}erj\j`af|l">%<j4psmd[`kw|pU\7fd\7fk|h^lfcdrb4<0;245\7frne\bpjk9:1{~biPftno[qnumzb#<$?<;qplcZ`rdeU\7fd\7fk|h)3*56=wzfmTjxbc_ujqavn/: ;87}|`g^dvhiYs`{oxd%=&129svjaXn|fgSyf}erj+0,743yxdkRhzlm]wlwct`!?"=>5\7frne\bpjkW}byi~f'6(30?uthoVl~`aQ{hsgpl-1.9:1{~biPftno[qnumzb#4$?:;qplcZ`rdeU\7fd\7fk|h=:94;7f3yxdkRhzlm]wlwct`Vkx\7fh|{(1+2e>vugnUmyabPtipfwmYf{zoyx%?&1`9svjaXn|fgSyf}erj\evubz}"9%<o4psmd[cskdV~c~h}g_`qpawr/; ;j7}|`g^dvhiYs`{oxdRo|sdpw,1/6i2zycjQiumn\pmtb{aUj\7f~k}t)7*5d=wzfmTjxbc_ujqavnXizyn~y&9)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#;$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 1#:o6~}of]eqijX|axn\7feQnsrgqp9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf,5/6i2zycjQiumn\pmtb{aUeijo{e)3*5d=wzfmTjxbc_ujqavnXflmjxh&=)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#?$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb =#:m6~}of]eqijX|axn\7feQaefcwa-3.9h1{~biPftno[qnumzbTbhintd*5-4g<x{elSk{cl^vkv`uoWgolmyk'7(3b?uthoVl~`aQ{hsgplZhboh~n$5'>c:rqkbYa}efTxe|jsi]mabgsm521<384re]`hn773{nThlzn_bmvjq.7!8:0~iQkauc\gjsi|!;"==5}d^fbpdYdg|d\7f$?'>0:pg[agsiVidycz'3(33?wbXlh~jSnaznu*7-46<zmUomyoPcnwmp-3.991yhRjnt`]`kphs494:<6|k_ecweZeh}g~7=3??;sf\`drfWje~by2=>028vaYci}kTob{at=1=55=ulVnjxlQlotlw8186:2xoSio{a^alqkr;=3:5==5}d^fbpdYdg|d\7f080:;sf\ak0<zmUy\7fy=4rrv4?vdn|lxy86}}su68pwsb12\7fehh|ilnu6?sgkam<0{\7fQncj48swYddb;;7z|Pd`vb[firf}";%<>4ws]geqgXkf\7fex%?&119tvZbf|hUhcx`{(3+24>quWmk\7fmRm`uov+7,773~xThlzn_bmvjq.3!8:0{\7fQkauc\gjsi|!?"==5xr^fbpdYdg|d\7f$;'>0:uq[agsiVidycz'7(33?rtXlh~jSnaznu*;-46<\7f{UomyoPcnwmp9699;1|~Rjnt`]`kphs410;285xr^gm2>quW{y\7fqMN\7f7838DE~4?80M694>{R7`>60?2?0:?>>;8182`cc1sg9?>7?4n260>1=#;=:1?>o4}R7b>60?2?0:?>>;8182`cc13Z;>h7=82;295657<1:1=ihj8:Q6e?50:3:1=>=?49295a`b12n8:i4?:082\7fV3d2:<36;4>3227<5<6loo=7{Z>9883>4<62o:p_8m537:92?74;9>3<7?kfd48 64f28?n7[=;1;0xq40>281~=;o50:\7f'5=g=9>1i?;j50;35>6<6>rB8>55U4d80\7f4b=:;026p*>9d802a=#;:l1?;o4i2`4>5<<g:km6=4+19a97g3<f82i6=54o2cf>5<#91i1?o;4n0:a>4=<g:ko6=4+19a97g3<f82i6?54o2c`>5<#91i1?o;4n0:a>6=<g:ki6=4+19a97g3<f82i6954o2cb>5<#91i1?o;4n0:a>0=<a:ih6=44o24a>5<<g:<26=44i2a3>5<<a:h26=44o27f>5<#91i1?;84n0:a>5=<g:?o6=4+19a9730<f82i6<54o27`>5<#91i1?;84n0:a>7=<g:?i6=4+19a9730<f82i6>54o27b>5<#91i1?;84n0:a>1=<g:?26=4+19a9730<f82i6854o27;>5<#91i1?;84n0:a>3=<g:?<6=4+19a9730<f82i6:54i277>5<#91i1?8;4n0:a>5=<a:k26=44b20:>5<6290;wE==8:&2=`<4:01d=5750;9~f462290:6=4?{I11<>"61l0:<85`11694?=zj=n1<789:d09b5}O;;20V9k517y2e?4?28n1=o4=b;04>74=13826?o51b81e?4e2;81=i4>b;;96<<5038<6<m51`8~ 4?b2:=;7)?:a;337>"2=39=i6*:b;15b>"60=0:455`35694?=n;k21<75f36c94?"60j08;o5a19`94>=n;>31<7*>8b803g=i91h1=65f36:94?"60j08;o5a19`96>=n;>=1<7*>8b803g=i91h1?65f36494?"60j08;o5a19`90>=n;>?1<7*>8b803g=i91h1965`39c94?=n;kh1<75`3cg94?=n;0n1<7*>8b80=`=i91h1<65f38a94?"60j085h5a19`95>=n;0h1<7*>8b80=`=i91h1>65f38c94?"60j085h5a19`97>=n;031<7*>8b80=`=i91h1865f38:94?"60j085h5a19`91>=n;0=1<7*>8b80=`=i91h1:65f38494?"60j085h5a19`93>=n;0?1<7*>8b80=`=i91h1465f3c594?=h;>91<75`36694?=n;==1<75`3`d94?"60j08n85a19`94>=h;ho1<7*>8b80f0=i91h1=65`3`f94?"60j08n85a19`96>=h;hi1<7*>8b80f0=i91h1?65`3``94?"60j08n85a19`90>=h;hk1<7*>8b80f0=i91h1965f39394?"60j084?5a19`94>=n;1:1<7*>8b80<7=i91h1=65f36d94?"60j084?5a19`96>=n;>o1<7*>8b80<7=i91h1?65f36f94?"60j084?5a19`90>=n;>i1<7*>8b80<7=i91h1965f3ba94?=h;j31<7*>8b80gg=i91h1<65`3b:94?"60j08oo5a19`95>=h;j=1<7*>8b80gg=i91h1>65`3b494?"60j08oo5a19`97>=h;j?1<7*>8b80gg=i91h1865`3b694?"60j08oo5a19`91>=h;j91<7*>8b80gg=i91h1:65`3b094?"60j08oo5a19`93>=h;j;1<7*>8b80gg=i91h1465`37`94?=h;?31<75f38194?"60j08595a19`94>=n;081<7*>8b80=1=i91h1=65f38394?"60j08595a19`96>=n;0:1<7*>8b80=1=i91h1?65f39d94?"60j08595a19`90>=n;1o1<7*>8b80=1=i91h1965f39f94?"60j08595a19`92>=n;1i1<7*>8b80=1=i91h1;65f39`94?"60j08595a19`9<>=n;j:1<75f37a94?=n;=21<75f3bf94?=h;kk1<75`3cd94?=h;kn1<75f3c;94?=h;<o1<7*>8b8023=i91h1<65`34f94?"60j08:;5a19`95>=h;<i1<7*>8b8023=i91h1>65`34`94?"60j08:;5a19`97>=h;<k1<7*>8b8023=i91h1865`34;94?"60j08:;5a19`91>=h;<21<7*>8b8023=i91h1:65`34594?"60j08:;5a19`93>=n;<>1<7*>8b8010=i91h1<65`35794?=n;=<1<75f3`594?"60j08m55a19`94>=n;h<1<7*>8b80e==i91h1=65f3`794?"60j08m55a19`96>=n;h>1<7*>8b80e==i91h1?65f3`194?"60j08m55a19`90>=n;h81<7*>8b80e==i91h1965f3`394?"60j08m55a19`92>=n;h:1<7*>8b80e==i91h1;65f38d94?"60j08m55a19`9<>=h;<;1<7*>8b8017=i91h1<65`34294?"60j089?5a19`95>=h;=l1<7*>8b8017=i91h1>65`35g94?"60j089?5a19`97>=h;=n1<7*>8b8017=i91h1865`35a94?"60j089?5a19`91>=h;=h1<7*>8b8017=i91h1:65`35c94?"60j089?5a19`93>=h;=31<7*>8b8017=i91h1465f39:94?"60j08445a19`94>=n;1=1<7*>8b80<<=i91h1=65f39494?"60j08445a19`96>=n;1?1<7*>8b80<<=i91h1?65f39694?"60j08445a19`90>=n;191<7*>8b80<<=i91h1965`34194?=n;h31<75m32f94?7=83:p(<7j:026?M54k2B8>55`11694?=zj:9n6=4>:183\7f!7>m39956F<3b9K77><g8226=44}c123?6=;3:1<v*>9d8ea>N4;j1C??64H5a8 4002:hh7)?>3;38m1`=831b9i4?::m2<`<722wi??>50;194?6|,83n6kk4H21`?M5502B?o6*>6680ff=#9891=6g;f;29?l3c2900c<6j:188yg56>3:1?7>50z&2=`<am2B8?n5G33:8L1e<,8<<6>ll;%327?7<a=l1<75f5e83>>i60l0;66sm30g94?3=83:p(<7j:021?M54k2B8>55G4b9'531=;ki0(<?<:09j0c<722c>87>5;h7g>5<<a82o6=44o0:f>5<<uk9:j7>53;294~"61l0mi6F<3b9K77><@=i0(<88:2``?!76;3;0e9h50;9j1a<722e:4h4?::\7fa743=83?1<7>t$0;f>4653A98o6F<299K0f=#9?=1?om4$030>4=n<o0;66g:4;29?l3c2900e<6k:188k4>b2900qo=>d;290?6=8r.:5h4>009K76e<@:837)?>3;38m1`=831b9i4?::k2<a<722e:4h4?::\7fa74e=83>1<7>t$0;f>4663A98o6F<299'545=92c?j7>5;h7g>5<<a82o6=44o0:f>5<<uk99:7>54;294~"61l0:<<5G32a8L64?3-;:?7?4i5d94?=n=m0;66g>8e83>>i60l0;66sm33794?2=83:p(<7j:022?M54k2B8>55+10195>o3n3:17d;k:188m4>c2900c<6j:188yg42?3:187>50z&2=`<6881C?>m4H20;?!76;3?0e9h50;9j1a<722c:4i4?::m2<`<722wi>;<50;694?6|,83n6<>>;I10g>N4:11/=<=55:k7b?6=3`?o6=44i0:g>5<<g82n6=44}c07f?6=<3:1<v*>9d8eb>N4;j1C??64$030>4=n<o0;66g:9;29?l3c2900c<6j:188yg43k3:187>50z&2=`<an2B8?n5G33:8 474281b8k4?::k6=?6=3`?o6=44o0:f>5<<uk8?h7>54;294~"61l0mj6F<3b9K77><,8;86<5f4g83>>o213:17d;k:188k4>b2900qo<;e;290?6=8r.:5h4if:J07f=O;;20(<?<:09j0c<722c>57>5;h7g>5<<g82n6=44}c07b?6=<3:1<v*>9d8eb>N4;j1C??64$030>4=n<o0;66g:9;29?l3c2900c<6j:188yg4283:187>50z&2=`<an2B8?n5G33:8 474281b8k4?::k6=?6=3`?o6=44o0:f>5<<uk8387>55;294~"61l0:<=5G32a8L64?3-;:?7?4i5d94?=n==0;66g:9;29?l3c2900c<6j:188yg4?=3:197>50z&2=`<6891C?>m4H20;?!76;3;0e9h50;9j11<722c>57>5;h7g>5<<g82n6=44}c0;2?6==3:1<v*>9d8245=O;:i0D><7;%327?7<a=l1<75f5583>>o213:17d;k:188k4>b2900qo<77;291?6=8r.:5h4>019K76e<@:837)?>3;38m1`=831b994?::k6=?6=3`?o6=44o0:f>5<<uk8347>55;294~"61l0:<=5G32a8L64?3-;:?7?4i5d94?=n==0;66g:9;29?l3c2900c<6j:188yg4?13:197>50z&2=`<6891C?>m4H20;?!76;3;0e9h50;9j11<722c>57>5;h7g>5<<g82n6=44}c0a0?6=<3:1<v*>9d8244=O;:i0D><7;%327?7<a=l1<75f5e83>>o60m0;66a>8d83>>{e:k91<7:50;2x 4?b28::7E=<c:J06==#9891=6g;f;29?l3c2900e<6k:188k4>b2900qo<8a;291?6=8r.:5h4>019K76e<@:837)?>3;38m1`=831b994?::k6=?6=3`?o6=44o0:f>5<<uk8<47>55;294~"61l0:<?5G32a8L64?3-;:?7;4i5d94?=n==0;66g:d;29?l7?l3:17b?7e;29?xd5?00;684?:1y'5<c=99:0D>=l;I11<>"69:0:7d:i:188m02=831b944?::k6`?6=3f;3i7>5;|`13a<72<0;6=u+18g9556<@:9h7E==8:&256<63`>m6=44i4694?=n=00;66g:d;29?j7?m3:17pl=7c83>0<729q/=4k51128L65d3A9946*>1282?l2a2900e8:50;9j1<<722c>h7>5;n3;a?6=3th9;n4?:483>5}#90o1==>4H21`?M5502.:=>4>;h6e>5<<a<>1<75f5883>>o2l3:17b?7e;29?xd5;l0;6>4?:1y'5<c=9?:0D>=l;I11<>"69:09?6g>0683>>o6810;66a>8683>>{e::31<7:50;2x 4?b28<37E=<c:J06==n=?0;66g:e;29?l7>>3:17b?77;29?xd5<<0;6>4?:1y'5<c=;:h0D>=l;I11<>o2>3:17d?:b;29?j7??3:17pl=3`83>1<729q/=4k517:8L65d3A9946g:6;29?l3b2900e<79:188k4>02900qo<;6;297?6=8r.:5h4<3c9K76e<@:837d;9:188m43e2900c<68:188yg4383:1?7>50z&2=`<6>91C?>m4H20;?!76;3887d??7;29?l7703:17b?77;29?xd5;k0;694?:1y'5<c=9?20D>=l;I11<>o2>3:17d;j:188m4?12900c<68:188yg43?3:1?7>50z&2=`<4;k1C?>m4H20;?l312900e<;m:188k4>02900qo<;1;297?6=8r.:5h4>619K76e<@:837)?>3;00?l77?3:17d??8;29?j7??3:17pl=3b83>1<729q/=4k517:8L65d3A9946g:6;29?l3b2900e<79:188k4>02900qo<;8;297?6=8r.:5h4<3c9K76e<@:837d;9:188m43e2900c<68:188yg43:3:1?7>50z&2=`<6>91C?>m4H20;?!76;3887d??7;29?l7703:17b?77;29?xd5;m0;694?:1y'5<c=9?20D>=l;I11<>o2>3:17d;j:188m4?12900c<68:188yg4313:1?7>50z&2=`<4;k1C?>m4H20;?l312900e<;m:188k4>02900qo<;4;297?6=8r.:5h4>619K76e<@:837)?>3;00?l77?3:17d??8;29?j7??3:17pl=4`83>6<729q/=4k532`8L65d3A9946g:6;29?l72j3:17b?77;29?xd51:0;694?:1y'5<c=9?20D>=l;I11<>o2>3:17d;j:188m4?12900c<68:188yg4>:3:187>50z&2=`<6>11C?>m4H20;?l312900e8k50;9j5<0=831d=5950;9~f7?6290?6=4?{%3:a?7102B8?n5G33:8m00=831b9h4?::k2=3<722e:4:4?::\7fa6<6=83>1<7>t$0;f>40?3A98o6F<299j13<722c>i7>5;h3:2?6=3f;3;7>5;|`1<c<72=0;6=u+18g953><@:9h7E==8:k62?6=3`?n6=44i0;5>5<<g82<6=44}c0b2?6=<3:1<v*>9d822==O;:i0D><7;h75>5<<a<o1<75f18494?=h91=1<75rb3c6>5<3290;w)?6e;35<>N4;j1C??64i4494?=n=l0;66g>9783>>i60>0;66sm2`694?2=83:p(<7j:04;?M54k2B8>55f5783>>o2m3:17d?66;29?j7??3:17pl=a283>1<729q/=4k517:8L65d3A9946g:6;29?l3b2900e<79:188k4>02900qo<n2;290?6=8r.:5h4>699K76e<@:837d;9:188m0c=831b=4850;9l5=1=831vn<h9:187>5<7s-;2i7hi;I10g>N4:11/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb0d6>5<3290;w)?6e;de?M54k2B8>55+10195>o3n3:17d;6:188m0b=831d=5k50;9~f4`3290?6=4?{%3:a?`a3A98o6F<299'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj8l86=4;:183\7f!7>m3lm7E=<c:J06==#9891=6g;f;29?l3>2900e8j50;9l5=c=831vn<h=:187>5<7s-;2i7hi;I10g>N4:11/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb0d2>5<3290;w)?6e;de?M54k2B8>55+10195>o3n3:17d;6:188m0b=831d=5k50;9~f4d0290?6=4?{%3:a?`a3A98o6F<299'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj8h=6=4;:183\7f!7>m3lm7E=<c:J06==#9891=6g;f;29?l3>2900e8j50;9l5=c=831vn<l::187>5<7s-;2i7hi;I10g>N4:11/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb0`7>5<3290;w)?6e;de?M54k2B8>55+10195>o3n3:17d;6:188m0b=831d=5k50;9~f4d4290?6=4?{%3:a?`a3A98o6F<299'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj8h96=4;:183\7f!7>m3lm7E=<c:J06==#9891=6g;f;29?l3>2900e8j50;9l5=c=831vn?=?:187>5<7s-;2i7hi;I10g>N4:11/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb30e>5<3290;w)?6e;de?M54k2B8>55+10195>o3n3:17d;6:188m0b=831d=5k50;9~f74b290?6=4?{%3:a?`a3A98o6F<299'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj;8o6=4;:183\7f!7>m3lm7E=<c:J06==#9891=6g;f;29?l3>2900e8j50;9l5=c=831vn?<l:187>5<7s-;2i7hi;I10g>N4:11/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb30a>5<3290;w)?6e;de?M54k2B8>55+10195>o3n3:17d;6:188m0b=831d=5k50;9~f4c2290?6=4?{%3:a?`a3A98o6F<299'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj8o?6=4;:183\7f!7>m3lm7E=<c:J06==#9891=6g;f;29?l3>2900e8j50;9l5=c=831vn<k<:187>5<7s-;2i7hi;I10g>N4:11/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb0g1>5<3290;w)?6e;de?M54k2B8>55+10195>o3n3:17d;6:188m0b=831d=5k50;9~f4c6290?6=4?{%3:a?`a3A98o6F<299'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj8o;6=4;:183\7f!7>m3lm7E=<c:J06==#9891=6g;f;29?l3>2900e8j50;9l5=c=831vn?<;:187>5<7s-;2i7hi;I10g>N4:11C8n5+17597ge<,8;86<5f4g83>>o213:17d;k:188k4>b2900qo<=3;290?6=8r.:5h4if:J07f=O;;20D9m4$044>6dd3-;:?7?4i5d94?=n=00;66g:d;29?j7?m3:17pl=2383>1<729q/=4k5fg9K76e<@:837E:l;%353?5ek2.:=>4>;h6e>5<<a<31<75f5e83>>i60l0;66sm23394?2=83:p(<7j:gd8L65d3A9946F;c:&222<4jj1/=<=51:k7b?6=3`?26=44i4f94?=h91o1<75rb303>5<3290;w)?6e;de?M54k2B8>55G4b9'531=;ki0(<?<:09j0c<722c>57>5;h7g>5<<g82n6=44}c02b?6=<3:1<v*>9d8eb>N4;j1C??64H5a8 4002:hh7)?>3;38m1`=831b944?::k6`?6=3f;3i7>5;|`15`<72=0;6=u+18g9bc=O;:i0D><7;I6`?!71?39io6*>1282?l2a2900e8750;9j1a<722e:4h4?::\7fa64b=83>1<7>t$0;f>c`<@:9h7E==8:J7g>"6>>08nn5+10195>o3n3:17d;6:188m0b=831d=5k50;9~f77d290?6=4?{%3:a?`a3A98o6F<299K0f=#9?=1?om4$030>4=n<o0;66g:9;29?l3c2900c<6j:188yg7c13:187>50z&2=`<an2B8?n5G33:8L1e<,8<<6>ll;%327?7<a=l1<75f5883>>o2l3:17b?7e;29?xd6l10;694?:1y'5<c=no1C?>m4H20;?M2d3-;=;7=mc:&256<63`>m6=44i4;94?=n=m0;66a>8d83>>{e9m=1<7:50;2x 4?b2ol0D>=l;I11<>N3k2.:::4<bb9'545=92c?j7>5;h7:>5<<a<n1<75`19g94?=zj8n=6=4;:183\7f!7>m3lm7E=<c:J06==O<j1/=;953ca8 474281b8k4?::k6=?6=3`?o6=44o0:f>5<<uk;o97>54;294~"61l0mj6F<3b9K77><@=i0(<88:2``?!76;3;0e9h50;9j1<<722c>h7>5;n3;a?6=3th:h94?:583>5}#90o1jk5G32a8L64?3A>h7)?97;1ag>"69:0:7d:i:188m0?=831b9i4?::m2<`<722wi=nj50;694?6|,83n6kh4H21`?M5502.:=>4>;h6e>5<<a<31<75f5e83>>i60l0;66sm1ba94?2=83:p(<7j:gd8L65d3A9946*>1282?l2a2900e8750;9j1a<722e:4h4?::\7fa5fd=83>1<7>t$0;f>c`<@:9h7E==8:&256<63`>m6=44i4;94?=n=m0;66a>8d83>>{e9jk1<7:50;2x 4?b2ol0D>=l;I11<>"69:0:7d:i:188m0?=831b9i4?::m2<`<722wi=n750;694?6|,83n6kh4H21`?M5502.:=>4>;h6e>5<<a<31<75f5e83>>i60l0;66sm1b:94?2=83:p(<7j:gd8L65d3A9946*>1282?l2a2900e8750;9j1a<722e:4h4?::\7fa644=83>1<7>t$0;f>c`<@:9h7E==8:&256<63`>m6=44i4;94?=n=m0;66a>8d83>>{e:8;1<7:50;2x 4?b2ol0D>=l;I11<>"69:0:7d:i:188m0?=831b9i4?::m2<`<722wi><>50;694?6|,83n6kh4H21`?M5502.:=>4>;h6e>5<<a<31<75f5e83>>i60l0;66sm21d94?2=83:p(<7j:gd8L65d3A9946*>1282?l2a2900e8750;9j1a<722e:4h4?::\7fa65c=83>1<7>t$0;f>c`<@:9h7E==8:&256<63`>m6=44i4;94?=n=m0;66a>8d83>>{e:9n1<7:50;2x 4?b2ol0D>=l;I11<>"69:0:7d:i:188m0?=831b9i4?::m2<`<722wi>=m50;694?6|,83n6kh4H21`?M5502.:=>4>;h6e>5<<a<31<75f5e83>>i60l0;66sm21`94?2=83:p(<7j:gd8L65d3A9946*>1282?l2a2900e8750;9j1a<722e:4h4?::\7fa65g=83>1<7>t$0;f>c`<@:9h7E==8:&256<63`>m6=44i4;94?=n=m0;66a>8d83>>{e:lo1<7;50;2x 4?b28:;7E=<c:J06==#9891=6g;f;29?l332900e8750;9j1a<722e:4h4?::\7fa6`b=83?1<7>t$0;f>4673A98o6F<299'545=92c?j7>5;h77>5<<a<31<75f5e83>>i60l0;66sm2da94?3=83:p(<7j:023?M54k2B8>55+10195>o3n3:17d;;:188m0?=831b9i4?::m2<`<722wi>hl50;794?6|,83n6<>?;I10g>N4:11/=<=51:k7b?6=3`??6=44i4;94?=n=m0;66a>8d83>>{e:lk1<7;50;2x 4?b28:;7E=<c:J06==#9891=6g;f;29?l332900e8750;9j1a<722e:4h4?::\7fa6`?=83?1<7>t$0;f>4673A98o6F<299'545=92c?j7>5;h77>5<<a<31<75f5e83>>i60l0;66sm2d594?3=83:p(<7j:021?M54k2B8>55+10191>o3n3:17d;;:188m0b=831b=5j50;9l5=c=831vn?k9:186>5<7s-;2i7??0:J07f=O;;20(<?<:09j0c<722c>87>5;h7:>5<<a<n1<75`19g94?=zj;o36=4::183\7f!7>m3;;<6F<3b9K77><,8;86<5f4g83>>o2<3:17d;6:188m0b=831d=5k50;9~f7be29086=4?{%3:a?54j2B8?n5G33:8m00=831b=8l50;9l5=1=831vn?jn:180>5<7s-;2i7=<b:J07f=O;;20e8850;9j50d=831d=5950;9~f7b6290?6=4?{%3:a?7102B8?n5G33:8m00=831b9h4?::k2=3<722e:4:4?::\7fa6a?=8391<7>t$0;f>65e3A98o6F<299j13<722c:9o4?::m2<2<722wi>nh50;694?6|,83n6<87;I10g>N4:11b9;4?::k6a?6=3`;2:7>5;n3;3?6=3th9h54?:283>5}#90o1?>l4H21`?M5502c>:7>5;h36f?6=3f;3;7>5;|`1ga<72=0;6=u+18g953><@:9h7E==8:k62?6=3`?n6=44i0;5>5<<g82<6=44}c0g3?6=;3:1<v*>9d807g=O;:i0D><7;h75>5<<a8?i6=44o0:4>5<<uk8hn7>54;294~"61l0::55G32a8L64?3`?=6=44i4g94?=n90<1<75`19594?=zj;n=6=4<:183\7f!7>m398n6F<3b9K77><a<<1<75f14`94?=h91=1<75rb3a:>5<3290;w)?6e;35<>N4;j1C??64i4494?=n=l0;66g>9783>>i60>0;66sm2e794?5=83:p(<7j:21a?M54k2B8>55f5783>>o6=k0;66a>8683>>{e:j=1<7:50;2x 4?b28<37E=<c:J06==n=?0;66g:e;29?l7>>3:17b?77;29?xd5l=0;6>4?:1y'5<c=;:h0D>=l;I11<>o2>3:17d?:b;29?j7??3:17pl=c483>1<729q/=4k517:8L65d3A9946g:6;29?l3b2900e<79:188k4>02900qo<k3;297?6=8r.:5h4<3c9K76e<@:837d;9:188m43e2900c<68:188yg4d;3:187>50z&2=`<6>11C?>m4H20;?l312900e8k50;9j5<0=831d=5950;9~f7`f290>6=4?{%3:a?7782B8?n5G33:8 474281b8k4?::k60?6=3`?26=44i4f94?=h91o1<75rb3d;>5<2290;w)?6e;336>N4;j1C??64$030>0=n<o0;66g:4;29?l3c2900e<6k:188k4>b2900qo<i9;291?6=8r.:5h4>019K76e<@:837)?>3;38m1`=831b994?::k6=?6=3`?o6=44o0:f>5<<uk8mn7>55;294~"61l0:<=5G32a8L64?3-;:?7?4i5d94?=n==0;66g:9;29?l3c2900c<6j:188yg4ak3:197>50z&2=`<6891C?>m4H20;?!76;3;0e9h50;9j11<722c>57>5;h7g>5<<g82n6=44}c0e`?6==3:1<v*>9d8245=O;:i0D><7;%327?7<a=l1<75f5583>>o213:17d;k:188k4>b2900qo<ie;291?6=8r.:5h4>019K76e<@:837)?>3;38m1`=831b994?::k6=?6=3`?o6=44o0:f>5<<uk8mj7>55;294~"61l0:<=5G32a8L64?3-;:?7?4i5d94?=n==0;66g:9;29?l3c2900c<6j:188yg5783:197>50z&2=`<6891C?>m4H20;?!76;3;0e9h50;9j11<722c>57>5;h7g>5<<g82n6=44}c126?6==3:1<v*>9d8245=O;:i0D><7;%327?7<a=l1<75f5583>>o213:17d;k:188k4>b2900qo=>1;291?6=8r.:5h4>019K76e<@:837)?>3;38m1`=831b994?::k6=?6=3`?o6=44o0:f>5<<uk9:<7>55;294~"61l0:<=5G32a8L64?3-;:?7?4i5d94?=n==0;66g:9;29?l3c2900c<6j:188yg57n3:197>50z&2=`<6891C?>m4H20;?!76;3;0e9h50;9j11<722c>57>5;h7g>5<<g82n6=44}c13a?6==3:1<v*>9d8245=O;:i0D><7;%327?7<a=l1<75f5583>>o213:17d;k:188k4>b2900qo=?d;291?6=8r.:5h4>019K76e<@:837)?>3;38m1`=831b994?::k6=?6=3`?o6=44o0:f>5<<uk9;o7>55;294~"61l0:<=5G32a8L64?3-;:?7?4i5d94?=n==0;66g:9;29?l3c2900c<6j:188yg57j3:197>50z&2=`<6891C?>m4H20;?!76;3;0e9h50;9j11<722c>57>5;h7g>5<<g82n6=44}c13e?6==3:1<v*>9d8245=O;:i0D><7;%327?7<a=l1<75f5583>>o213:17d;k:188k4>b2900qo==2;297?6=8r.:5h4>619K76e<@:837)?>3;d8m4602900e<>7:188k4>02900qo=>9;297?6=8r.:5h4>619K76e<@:837)?>3;d8m4602900e<>7:188k4>02900qo?i8;297?6=8r.:5h4>619K76e<@:837)?>3;3;?l77?3:17d??8;29?j7??3:17pl>b883>6<729q/=4k51728L65d3A9946*>1282<>o68>0;66g>0983>>i60>0;66sm27f94?5=83:p(<7j:043?M54k2B8>55+10195==n99=1<75f11:94?=h91=1<75rb0ca>5<4290;w)?6e;354>N4;j1C??64$030>4><a8:<6=44i02;>5<<g82<6=44}c3be?6=;3:1<v*>9d8225=O;:i0D><7;%327?7?3`;;;7>5;h33<?6=3f;3;7>5;|`2e<<72:0;6=u+18g9536<@:9h7E==8:&256<602c:<:4?::k24=<722e:4:4?::\7fa5d>=8391<7>t$0;f>4073A98o6F<299'545=911b==950;9j55>=831d=5950;9~f4g029086=4?{%3:a?7182B8?n5G33:8 4742820e<>8:188m46?2900c<68:188yg7f>3:1?7>50z&2=`<6>91C?>m4H20;?!76;3;37d??7;29?l7703:17b?77;29?xd6i<0;6>4?:1y'5<c=9?:0D>=l;I11<>"69:0:46g>0683>>o6810;66a>8683>>{e9h>1<7=50;2x 4?b28<;7E=<c:J06==#9891=55f11594?=n9921<75`19594?=zj8k86=4<:183\7f!7>m3;=<6F<3b9K77><,8;86<64i024>5<<a8:36=44o0:4>5<<uk;j>7>53;294~"61l0::=5G32a8L64?3-;:?7?7;h333?6=3`;;47>5;n3;3?6=3th:m<4?:283>5}#90o1=;>4H21`?M5502.:=>4>8:k242<722c:<54?::m2<2<722wi=l>50;194?6|,83n6<8?;I10g>N4:11/=<=5199j551=831b==650;9l5=1=831vn<7i:180>5<7s-;2i7?90:J07f=O;;20(<?<:0:8m4602900e<>7:188k4>02900qo?ia;290?6=8r.:5h4>639K76e<@:837)?>3;05?l77?3:17d??8;29?l7713:17b?77;29?xd6jk0;694?:1y'5<c=9?80D>=l;I11<>"69:09:6g>0683>>o6810;66g>0883>>i60>0;66sm27g94?2=83:p(<7j:041?M54k2B8>55+10195`=n99=1<75f11:94?=n9931<75`19594?=zj8lh6=4::183\7f!7>m3;=86F<3b9K77><,8;86<74i024>5<<a8:36=44i02:>5<<a8:j6=44o0:4>5<<uk;ih7>55;294~"61l0::95G32a8L64?3-;:?7?6;h333?6=3`;;47>5;h33=?6=3`;;m7>5;n3;3?6=3th9:k4?:483>5}#90o1=;:4H21`?M5502.:=>4>f:k242<722c:<54?::k24<<722c:<l4?::m2<2<722wi=kk50;194?6|,83n6<8?;I10g>N4:11/=<=5199j551=831b==650;9l5=1=831vn<li:180>5<7s-;2i7?90:J07f=O;;20(<?<:0:8m4602900e<>7:188k4>02900qo<80;297?6=8r.:5h4>619K76e<@:837)?>3;3;?l77?3:17d??8;29?j7??3:17pl=0183>1<729q/=4k51708L65d3A9946*>12812>o68>0;66g>0983>>o6800;66a>8683>>{e9j;1<7:50;2x 4?b28<97E=<c:J06==#9891>;5f11594?=n9921<75f11;94?=h91=1<75rb352>5<3290;w)?6e;356>N4;j1C??64$030>4c<a8:<6=44i02;>5<<a8:26=44o0:4>5<<uk8i<7>53;294~"61l0::=5G32a8L64?3-;:?7<<;h333?6=3`;;47>5;n3;3?6=3th95i4?:283>5}#90o1=;>4H21`?M5502.:=>4=3:k242<722c:<54?::m2<2<722wi>lh50;794?6|,83n6<8;;I10g>N4:11/=<=5249j551=831b==650;9j55?=831b==o50;9l5=1=831vn?7l:186>5<7s-;2i7?94:J07f=O;;20(<?<:378m4602900e<>7:188m46>2900e<>n:188k4>02900qo<ne;291?6=8r.:5h4>659K76e<@:837)?>3;06?l77?3:17d??8;29?l7713:17d??a;29?j7??3:17pl=9c83>0<729q/=4k51768L65d3A9946*>12811>o68>0;66g>0983>>o6800;66g>0`83>>i60>0;66sm2`f94?2=83:p(<7j:041?M54k2B8>55+101955=n99=1<75f11:94?=n9931<75`19594?=zj;3j6=4;:183\7f!7>m3;=>6F<3b9K77><,8;86<>4i024>5<<a8:36=44i02:>5<<g82<6=44}c0bg?6=;3:1<v*>9d8225=O;:i0D><7;%327?3<a8:<6=44i02;>5<<g82<6=44}c0:=?6=;3:1<v*>9d8225=O;:i0D><7;%327?3<a8:<6=44i02;>5<<g82<6=44}c0a2?6=<3:1<v*>9d8227=O;:i0D><7;%327?`d3`;;;7>5;h33<?6=3`;;57>5;n3;3?6=3th99k4?:483>5}#90o1=;:4H21`?M5502.:=>4=5:k242<722c:<54?::k24<<722c:<l4?::m2<2<722wi>8l50;194?6|,83n6<8?;I10g>N4:11/=<=5229j551=831b==650;9l5=1=831vn?;l:186>5<7s-;2i7?94:J07f=O;;20(<?<:378m4602900e<>7:188m46>2900e<>n:188k4>02900qo<:e;291?6=8r.:5h4>659K76e<@:837)?>3;d:?l77?3:17d??8;29?l7713:17d??a;29?j7??3:17pl=6283>6<729q/=4k51728L65d3A9946*>128e?l77?3:17d??8;29?j7??3:17pl=3g83>6<729q/=4k51728L65d3A9946*>12817>o68>0;66g>0983>>i60>0;66sm25194?5=83:p(<7j:043?M54k2B8>55+101966=n99=1<75f11:94?=h91=1<75rb3f1>5<5290;w)?6e;36b>N4;j1C??64$030>d=n99=1<75`19594?=zj;n;6=4=:183\7f!7>m3;>j6F<3b9K77><,8;86l5f11594?=h91=1<75rb3af>5<5290;w)?6e;36b>N4;j1C??64$030>d=n99=1<75`19594?=zj;ih6=4=:183\7f!7>m3;>j6F<3b9K77><,8;86l5f11594?=h91=1<75rb3ab>5<5290;w)?6e;36b>N4;j1C??64$030>d=n99=1<75`19594?=zj;i36=4=:183\7f!7>m3;>j6F<3b9K77><,8;86l5f11594?=h91=1<75rb3a5>5<5290;w)?6e;36b>N4;j1C??64$030>d=n99=1<75`19594?=zj;i?6=4=:183\7f!7>m3;>j6F<3b9K77><,8;86l5f11594?=h91=1<75rb3f`>5<5290;w)?6e;36b>N4;j1C??64$030>d=n99=1<75`19594?=zj;<:6=4::183\7f!7>m3;=86F<3b9K77><,8;86?:4i024>5<<a8:36=44i02:>5<<a8:j6=44o0:4>5<<uk8>57>55;294~"61l0::95G32a8L64?3-;:?7:4i024>5<<a8:36=44i02:>5<<a8:j6=44o0:4>5<<uk8i47>53;294~"61l0::=5G32a8L64?3-;:?7o4i024>5<<a8:36=44o0:4>5<<uk8i57>53;294~"61l0::=5G32a8L64?3-;:?7o4i024>5<<a8:36=44o0:4>5<<uk8=o7>52;294~"61l0:9:5G32a8L64?3`;;:7>5;n3;3?6=3th8=>4?:b094?6|,83n6<6i;I10g>N4:11Q8h4l{68;>g<d28;1=?4>3;37>a<b28?1=;4rnba94>hbm3;0(<;;:39'503=:2.:<o4<;%33g?5<,8:o6>5+11g97>"68o087)?>0;18 4?02on0(<7n:39'542=;2.:=84<;%322?5<,8;<6>5+10:97>"690087)?>a;18 47e2:1/=<m53:&25a<43-;:i7=4$03e>6=#9;:1?6*>2080?!75:390(<<<:29'572=;2.:>84<;%312?5<,88<6>5+13:97>"6:0087)?=a;18 44e2:1/=?m53:&26a<43-;9i7=4$00e>6=#9::1?6*>3080?!74:390(<=<:29'562=;2.:?84<;%302?5<,89<6>5+12:97>"6;0087)?<a;18 45e2:1/=>m53:&27a<43-;8i7=4$01e>6=#9=:1?6*>4080?!73:390(<:<:29'512=;2.:884<;%372?5<,8><6>5+15:97>"6<0087)?;a;18 42e2:1/=9m53:&20a<43-;?i7=4$06e>6=#9<:1?6*>5080?!72:390(<;<:29'500=91<0(<;7:gf8 43>2on0(<7?:0:5?!7>93;3:6`>93810>h61:0986*>9982<3=#98;1?6*>1380?!54>39896*<368070=i;:2186`<3887?!7>j380e8>50;9j14<722c>;7>5;h7;>5<<aok1<75f18694?=n90?1<75ffc83>>o61j0;66g>9e83>>i2:3:17b;<:188m64=83.:4n4<1:l2<g<732c8<7>5$0:`>67<f82i6<54i3d94?"60j08=6`>8c81?>o5m3:1(<6l:238j4>e2:10e?j50;&2<f<492d:4o4;;:k0f?6=,82h6>?4n0:a>0=<a:k1<7*>8b805>h60k0=76g<9;29 4>d2:;0b<6m:698m6>=83.:4n4<1:l2<g<?32c8;7>5$0:`>67<f82i6454i2494?"60j08=6`>8c8b?>o4=3:1(<6l:238j4>e2k10e>:50;&2<f<492d:4o4l;:k07?6=,82h6>?4n0:a>a=<a;i1<7*>8b805>h60k0n76g;2;29 4>d2=;0b<6m:198m16=83.:4n4;1:l2<g<632c8j7>5$0:`>17<f82i6?54i2g94?"60j0?=6`>8c80?>o4l3:1(<6l:538j4>e2=10e9l50;&2<f<392d:4o4:;:k7e?6=,82h69?4n0:a>3=<a=31<7*>8b875>h60k0<76g;8;29 4>d2=;0b<6m:998m11=83.:4n4;1:l2<g<>32c?:7>5$0:`>17<f82i6l54i5794?"60j0?=6`>8c8a?>o3<3:1(<6l:538j4>e2j10e9=50;&2<f<392d:4o4k;:k0g?6=,82h69?4n0:a>`=<a>21<7*>8b843>h60k0;76g86;29 4>d2>=0b<6m:098m22=83.:4n487:l2<g<532c<?7>5$0:`>21<f82i6>54i6094?"60j0<;6`>8c87?>o093:1(<6l:658j4>e2<10e:>50;&2<f<0?2d:4o49;:k5b?6=,82h6:94n0:a>2=<a?o1<7*>8b843>h60k0376g9d;29 4>d2>=0b<6m:898m3e=83.:4n487:l2<g<f32c=n7>5$0:`>21<f82i6o54i7;94?"60j0<;6`>8c8`?>o103:1(<6l:658j4>e2m10e;950;&2<f<0?2d:4o4j;:k52?6=,82h6:94n0:a>c=<a??1<7*>8b843>h60k0:<65f6583>!7?k3=<7c?7b;32?>o1;3:1(<6l:658j4>e28807d8=:18'5=e=?>1e=5l51298m37=83.:4n487:l2<g<6<21b:=4?:%3;g?103g;3n7?:;:k4b?6=,82h6:94n0:a>40<3`=n6=4+19a932=i91h1=:54i6f94?"60j0<;6`>8c82<>=n?j0;6)?7c;54?k7?j3;276g8b;29 4>d2>=0b<6m:0c8?l1f290/=5m5769m5=d=9k10e:750;&2<f<0?2d:4o4>c:9j30<72-;3o798;o3;f?7c32c=m7>5$0:`>21<f82i6<k4;h7e>5<#91i1;:5a19`95c=<a031<7*>8b8:<>h60k0;76g67;29 4>d2020b<6m:098m<3=83.:4n468:l2<g<532c287>5$0:`><><f82i6>54i8194?"60j0246`>8c87?>o>:3:1(<6l:8:8j4>e2<10e4?50;&2<f<>02d:4o49;:k:4?6=,82h6464n0:a>2=<a1l1<7*>8b8:<>h60k0376g7e;29 4>d2020b<6m:898m=b=83.:4n468:l2<g<f32c3o7>5$0:`><><f82i6o54i9c94?"60j0246`>8c8`?>o?13:1(<6l:8:8j4>e2m10e5650;&2<f<>02d:4o4j;:k;3?6=,82h6464n0:a>c=<a1<1<7*>8b8:<>h60k0:<65f8483>!7?k3337c?7b;32?>o?<3:1(<6l:8:8j4>e28807d6<:18'5=e=111e=5l51298m=4=83.:4n468:l2<g<6<21b4<4?:%3;g???3g;3n7?:;:kb4?6=,82h6464n0:a>40<3`3m6=4+19a9===i91h1=:54i8g94?"60j0246`>8c82<>=n1m0;6)?7c;;;?k7?j3;276g6c;29 4>d2020b<6m:0c8?l?e290/=5m5999m5=d=9k10e4o50;&2<f<>02d:4o4>c:9j=3<72-;3o777;o3;f?7c32c3n7>5$0:`><><f82i6<k4;h:3>5<#91i1555a19`95c=<ah?1<7*>8b8b0>h60k0;76gn3;29 4>d2h>0b<6m:098md4=83.:4n4n4:l2<g<532cj=7>5$0:`>d2<f82i6>54i`c94?"60j0j56`>8c83?>of03:1(<6l:`;8j4>e2810el950;&2<f<f12d:4o4=;:kb2?6=,82h6l74n0:a>6=<a:8m6=4+19a977c<f82i6=54i20g>5<#91i1??k4n0:a>4=<a:8h6=4+19a977c<f82i6?54i20a>5<#91i1??k4n0:a>6=<a:9?6=4+19a9765<f82i6=54i211>5<#91i1?>=4n0:a>4=<a:9:6=4+19a9765<f82i6?54i213>5<#91i1?>=4n0:a>6=<gj>1<7*>8b8`7>h60k0;76al2;29 4>d2j90b<6m:098kf6=83.:4n4l3:l2<g<532eij7>5$0:`>f5<f82i6>54ocg94?"60j0h?6`>8c87?>iel3:1(<6l:b18j4>e2<10com50;&2<f<d;2d:4o49;:maf?6=,82h6n=4n0:a>2=<gkk1<7*>8b8`7>h60k0376am9;29 4>d2j90b<6m:898kg>=83.:4n4l3:l2<g<f32ei;7>5$0:`>f5<f82i6o54oc794?"60j0h?6`>8c8`?>ie<3:1(<6l:b18j4>e2m10co=50;&2<f<d;2d:4o4j;:ma6?6=,82h6n=4n0:a>c=<gk;1<7*>8b8`7>h60k0:<65`b183>!7?k3i87c?7b;32?>ifn3:1(<6l:b18j4>e28807boj:18'5=e=k:1e=5l51298kdb=83.:4n4l3:l2<g<6<21dmn4?:%3;g?e43g;3n7?:;:m`f?6=,82h6n=4n0:a>40<3fij6=4+19a9g6=i91h1=:54ob;94?"60j0h?6`>8c82<>=hk10;6)?7c;a0?k7?j3;276al7;29 4>d2j90b<6m:0c8?je1290/=5m5c29m5=d=9k10cn;50;&2<f<d;2d:4o4>c:9lg4<72-;3o7m<;o3;f?7c32ei:7>5$0:`>f5<f82i6<k4;nca>5<#91i1o>5a19`95c=<gl<1<7*>8b8f1>h60k0;76aj4;29 4>d2l?0b<6m:098k`4=83.:4n4j5:l2<g<532en=7>5$0:`>`3<f82i6>54od294?"60j0n96`>8c87?>icn3:1(<6l:d78j4>e2<10cik50;&2<f<b=2d:4o49;:mg`?6=,82h6h;4n0:a>2=<gmi1<7*>8b8f1>h60k0376akb;29 4>d2l?0b<6m:898kag=83.:4n4j5:l2<g<f32eo57>5$0:`>`3<f82i6o54oe594?"60j0n96`>8c8`?>ic>3:1(<6l:d78j4>e2m10ci;50;&2<f<b=2d:4o4j;:mg0?6=,82h6h;4n0:a>c=<gm91<7*>8b8f1>h60k0:<65`d383>!7?k3o>7c?7b;32?>ic93:1(<6l:d78j4>e28807bj?:18'5=e=m<1e=5l51298kf`=83.:4n4j5:l2<g<6<21doh4?:%3;g?c23g;3n7?:;:mf`?6=,82h6h;4n0:a>40<3foh6=4+19a9a0=i91h1=:54od`94?"60j0n96`>8c82<>=hmh0;6)?7c;g6?k7?j3;276aj9;29 4>d2l?0b<6m:0c8?jc?290/=5m5e49m5=d=9k10ch950;&2<f<b=2d:4o4>c:9la6<72-;3o7k:;o3;f?7c32eo47>5$0:`>`3<f82i6<k4;nag>5<#91i1i85a19`95c=<go91<7*>8b8e6>h60k0;76ai1;29 4>d2o80b<6m:098kc6=83.:4n4i2:l2<g<532enj7>5$0:`>c4<f82i6>54og:94?"60j0m;6`>8c83?>ia>3:1(<6l:g58j4>e2810ck;50;&2<f<a?2d:4o4=;:me0?6=,82h6k94n0:a>6=<uk8=n7>56;294~"61l0::85G32a8L64?3-;:?7<>;h333?6=3`;;47>5;h33=?6=3`;;m7>5;n36g?6=3f;3;7>5;|`1f2<72:0;6=u+18g9537<@:9h7E==8:&256<f3`;;;7>5;h33<?6=3f;>o7>5;|`125<72<0;6=u+18g9530<@:9h7E==8:&256<5=2c:<:4?::k24=<722c:<44?::k24d<722e:9n4?::\7fa60b=83?1<7>t$0;f>4013A98o6F<299'545=:=1b==950;9j55>=831b==750;9j55g=831d=8m50;9~f73f290?6=4?{%3:a?71;2B8?n5G33:8 4742;:0e<>8:188m46?2900e<>6:188k43d2900q~=m7;297~X4j>16>;=511:8973f28:<7p}<c`83><2|V:?87S=;5:\0fc=Y;kn0R>:;;_1ae>X4?:1U?ok4^257?[5?i2T89<5Q3428Z62a3W9?i6P<4e9]71e<V:>i7S=;a:\00<=Y;j30R>m7;_1`3>X4k?1U?n;4^2a7?[5d;2T8o?5Q3b38965c28:?70=>e;7g?856=3?o70=>d;7g?856k3?o70==6;7g?855=3?o70<63;7f?84>:3?n70<61;7f?84>83?n70<7f;7f?84f>3?n70<n5;7f?84f<3?n70<n3;7f?84f:3?n70<k1;7f?84dn3?n70<ld;7f?84dj3?n70<l9;7f?84d?3?n70<l5;7f?84d;3?n70=>3;73?856;3?:70=>3;3:0>;49:0:5n5230195<b<5:;86><4=230>66<5:;86?h4=230>7c<5:;86>=4=230>7e<5:;869<4=230>16<5:;86>h4=230>6c<5:;86984=230>13<5:;869:4=230>15<5:;86>m4=230>2><5:;86:84=230>22<5:;86:=4=230>24<5:;86:?4=230>26<5:;86;h4=230>3c<5:;86;j4=230>3e<5:;86;l4=230>3?<5:;86;64=230>31<5:;86;84=230>33<5:;86;:4=230>35<5:;86;<4=230>37<5:;86;>4=230>2`<5:;86:k4=230>2b<5:;86:m4=230>2d<5:;86:o4=230>2?<5:;86:;4=230>3g<5:;86474=230><1<5:;864;4=230><2<5:;864=4=230><4<5:;864?4=230><6<5:;865h4=230>=c<5:;865j4=230>=e<5:;865o4=230>=?<5:;86564=230>=1<5:;86584=230>=3<5:;865:4=230>=5<5:;865<4=230>=7<5:;86l>4=230><`<5:;864k4=230><b<5:;864m4=230><d<5:;864o4=230><0<5:;865l4=230>=6<5:;86l;4=230>d5<5:;86l<4=230>d7<5:;86lo4=230>d><5:;86l94=230>d0<5:;86>=;;<127?54:278=>4<309>745=;::0q~=m9;297~X4j016?<k519f89672282o7p}<6883>7}Y;?301?8=:0:f?xu4kj0;69uQ3ba897d?28:<70<m9;333>;5j>0:<:5rs2c:>5<4>rT8m45230590c=:;8<18k5230790c=:;8n18k5230a90c=::<=18k5227090c=::=h18k5225a90c=::=n18k5225g90c=::=l18k5224290c=::1>18k5229790c=::1<18k5229590c=::1218k5229;90c=::>k18k5226:90c=::>318k5226f90c=::>h18k5226a90c=:9o<18k521g790c=:9o>18k521g190c=:9o818k521g390c=::::18k5223d90c=::;o18k5223f90c=::;i18k5223`90c=::;>18k5223190c=::;818k5223390c=::;:18k5220d90c=::8o18k5220f90c=::8i18k521bf90c=:9ji18k521b`90c=:9jk18k521b;90c=:9j218k5230191==z{:<i6=4={_15f>;5j=0:4h5rs2a3>5<4nrT8o=5233290c=:;8o18k5230d90c=:;;<18k5233790c=::k>18k522c190c=:9k=18k521c490c=:9k?18k521c690c=:9k918k521c090c=:9l?18k521d690c=:9l918k521d090c=:9l;18k521d290c=:9m318k521e:90c=:9m=18k521e490c=:9m?18k521e690c=::8818k5220390c=::8:18k5221d90c=::9o18k5221f90c=::9i18k5221`90c=::9k18k522dg90c=::ln18k522da90c=::lh18k522dc90c=::l318k522d590c=::l<18k522d:90c=::ok18k522g:90c=::o318k522g`90c=::oi18k522gf90c=::oo18k522gd90c=:;9:18k5230090c=:;8;18k5230290c=:;9l18k5231g90c=:;9n18k5231a90c=:;9h18k5231c90c=:;8919:5rs244>5<5sW9>i63<128``>{t;??1<7<t^27g?856;3o37p}<6583>7}Y;<i01>?<:da8yv51;3:1>vP<5c9>745=l91v\7f>8=:181\7f[52i278=>4k4:\7fp737=838pR>;6;<127?b>3ty8:=4?:3y]70><5:;86ij4}r16b?6=:rT89:523019a4=z{:h=6=4={_1bb>;5=90:4h5rs2`7>5<5sW9ji63=4g82<`=z{:h86=4={_1b`>;5<l0:4h5rs2`1>5<5sW9jo63=4e82<`=z{:h:6=4={_1bf>;5<j0:4h5rs2`3>5<5sW9jm63=4c82<`=z{:?=6=4={_160>;49:0>j6s|17`94??|5:9n6<66;<00=?31348?97;9;<0;b?31348j>7;9;<0g7?31348h?7;9;<127?`f349:?7?65:\7fp740=839p1>?8:4f89671282n70=>5;77?xu49>0;6?u230595=c<5:;26<>8;|q05c<72:q6??>55e9>74c===16?<h519g8yv5583:1>v3<2182<`=:;;81==94}r121?6=;r78=;4:d:?050<60l16?<7511:8yv56m3:1?v3<1d82<`=:;8l19i52330955><uz9:47>53z?05a<60m16?<m519f8967>282<7p}<1c83>43|5:;o6<6j;<063?7?l279:?4>8e9>61d==016>9m5589>61b==016>9k5589>61`==016>8>5589>6=2==016>5;5589>6=0==016>595589>6=>==016>575589>62g==016>:6519f8971>2<301?9k:4;8971e2<301?9l:4;8yv56i3:1=nu230a95=c<58l=6874=0d6>0?<58l?6874=0d0>0?<58l96874=0d2>0?<5;9;6874=30e>0?<5;8n6874=30g>0?<5;8h6874=30a>0?<5;8?6874=300>0?<5;896874=302>0?<5;8;6874=33e>0?<5;;n6874=33g>0?<5;;h6874=0ag>0?<58ih6874=0aa>0?<58ij6874=0a:>0?<58i36874}r115?6=;r78>;4>8e9>773=91n01><=:0:4?xu4:=0;6<kt=205>4>b348i87?7d:?1f6<60m16>hk5589>6`b==016>hm5589>6`d==016>ho5589>6`?==016>h9519f897c12<301?k7:4;897`f2<301?h7:0:g?84a13?270<ib;7:?84ak3?270<id;7:?84am3?270<if;7:?85783?270=>2;7:?85693?270=>0;7:?857n3?270=?e;7:?857l3?270=?c;7:?857j3?270=?a;7:?xu4::0;6<mt=206>4>b34;i;7;6;<3a2?3>34;i97;6;<3a0?3>34;i?7;6;<3a6?3>34;n97;6;<3f0?3>34;n?7;6;<3f6?3>34;n=7;6;<3f4?3>34;o57;6;<3g<?3>34;o;7;6;<3g2?3>34;o97;6;<3g0?3>348:>7;6;<025?3>348:<7;6;<03b?3>348;i7;6;<03`?3>348;o7;6;<03f?3>348;m7;6;|q11=<72:q6>8955e9>634==m16>8k51958yv42?3:1?v3=5682<`=::?91==94=37:>46?3ty99<4?:3y>61d==m16>9;51958yv42:3:1>v3=4b86`>;5<?0:4:5rs370>5<5s48?h7;k;<073?7??2wx>8:50;0x972b2<n01?:7:0:4?xu5=<0;6?u225d91a=::=31=594}r062?6=:r799=4:d:?10d<60>1v\7f?6n:18f\7f84?<3??70<75;77?84?>3??70<77;77?84?03??70<79;77?840i3??70<88;77?84013??70<8d;77?840j3??70<8c;77?841;3;3;63<128ef>{t:>81<76t=3:7>0b<5;=36<6j;<05`?770279:h4>089>63`=99201?;l:02:?841k3;;:63=6c824d=z{;=n6=4:{<0;0?7?m279?h4>099>5d5=99201?;i:024?856;3><7p}=7283>2}::1?19i5226;95=c<5;<o6<>8;<05a?770279:k4>089>60?=99=01?8m:02:?xu5?o0;6;u229795=c<58k86<>8;<3b6?770279?k4>099>637=99201>?<:5:8yv40<3:1:v3=8786`>;5?h0:4h5227g9551<5;<m6<>n;<06g?77?279:o4>099~w7>7290=w0<76;3;a>;5<90:<5521`09551<58k:6<>7;<06b?771278=>4;9:\7fp623=83?p1?68:4f8971e282n70<9f;333>;5=k0:<:5227`9551<uz83=7>56z?1<2<60l16>9?511:894g628:<70?n0;33<>;49:0?m63=61824<=z{;==6=4:{<0;<?3c348<o7?7e:?135<68>16>:?511:8973c28:<7p}=8383>3}::121=5k4=361>46?34;j<7??7:?2=c<68116>9=511:896742=h0q~<87;290~;5000>h63=7e82<`=::>;1==94=37b>46?3ty94>4?:7y>6=?=91o01?:;:02;?87dl3?o70?6f;333>;49:08h63=618242=z{;h>6=4<{<0a0?3c348i?7;k;<0a2?7??2wx>o=50;6x97d4282n70<m8;33<>;5j00:<5522c5955><uz8=47>52z?13d<2l279:h4>869~w7032909w0<88;7g?841k3;3;6s|27594?4|5;=268j4=34g>4>03ty9:;4?:3y>62b==m16>:?51958yv4113:1>v3=7c86`>;5>o0:4:5rs346>5<5s48<o7;k;<044?7??2wx=hl50;7x975b28:<70<<9;7f?87a93;3i63=5g824==::<i1==o4}r00a?6=;r79?h4>869>66?=90<01?:::07a?xu5;00;6>u222;95=1<5;9j6884=365>00<uz;no7>55z?17d<2m27:j?4>8d9>66`=99=01?8>:024?84213;;56s|22d94?5|5;9j6<79;<072?72j279?k4>869~w75f2908w0<<a;3;3>;5;k0>:63=46862>{t9ln1<7;t=363>4603488n7;j;<3e7?7?m2799k4>0`9>60e=9920q~<;0;297~;5<90:4:5222`95<0<5;><6<;m;|q17g<72:q6>>l51958975d2<<01?:7:448yv7bm3:19v3=408242=:::i19h521g695=c<5;?i6<>7;<054?77i2wx>9?50;1x9726282<70<<c;3:2>;5<10:9o5rs31`>5<4s488o7?77:?17a<2>279844:6:\7fp5``=83?p1?:=:024?844l3?n70?i5;3;a>;5<:0:<:5224f955><uz8?>7>52z?107<60>16>;?511;8yv43;3:1?v3=3e82=3=::=31=8l4=360>4>03ty9?i4?:3y>66b=91=01?:n:448yv7a83:18v3=458242=:9o<1=5k4=343>46?348>m7??9:\7fp612=838p1?:;:0:4?843i3;>n6s|29g94?4|5;386884=3;1>4>03ty9554?:3y>6<5=90<01?7k:0:4?xu5j80;6?u228195=1<5;h=6<>6;|q1<a<72;q6>4<5579>6<7=91=0q~<67;296~;51;0:5;5228a95=1<uz83o7>52z?1=4<2>2795=4>869~w7?12909w0<61;3:2>;51k0:4:5rs3:a>5<5s482<7;9;<0;b?7??2wx>4;50;0x97?7283=70<6a;3;3>{t:0>1<7<t=3:e>4?1348257?77:\7fp6d7=838p1?o9:44897g2282<7p}=ac83>7}::h<1=484=3`3>4>03ty9n?4?:3y>6d0=91=01?l9:02;?xu5i90;6?u22`7913=::h>1=594}r0be?6=:r79m84>979>6d`=91=0q~<6f;296~;5i=0>:63=a282<2=z{;k26=4={<0b0?7>>279mh4>869~w7?b2909w0<n3;75?84f:3;3;6s|2`:94?4|5;k86<79;<0b`?7??2wx>l950;0x97g5283=70<nc;3;3>{t:;k1<7;t=0d5>0b<5;9;6<6j;<3e<?77027:jl4>069>5ce=9930q~?i7;296~;6n<0>h63>f982<2=z{8l26=4={<3e0?3c34;mm7?77:\7fp5cd=83>p1<h<:4f894`d282<70?ie;33<>;5890:<45rs0dg>5<5s4;m>7;k;<3ea?7??2wx=kh50;0x94`62<n01?>?:0:4?xu6lo0;68u21c591a=:9l?1=5k4=0`:>46?34;in7??7:?2fa<6801v\7f<l>:180\7f87e?3;3i63=b1824==::0n1==64}r3a<?6=:r7:n;4:d:?2f<<60>1v\7f<l?:180\7f87e>3;3i63=ag824==::0i1==64}r3ae?6=:r7:n84:d:?2fg<60>1v\7f<oi:180\7f87e=3;3i63=ag824d=::0i1==o4}r3ag?6=<r7:n94:d:?2fa<60>16=oh511:894e628:27p}>ad83>6}:9k>1=5k4=3cf>46?3482n7??8:\7fp5gc=838p1<l<:4f894da282<7p}>ae83>6}:9k91=5k4=3cf>46f3482n7??a:\7fp5f6=838p1<l=:4f894e6282<7p}>ab83>6}:9k81=5k4=3cg>46>3482m7??9:\7fp64d=838p1?=?:4f89743282n7p}=1`83>7}::;l19i5223195=c<uz8957>54z?16c<60l16=k65115894`f28:370?ic;33e>{t:831<7<t=30f>0b<5;896<6j;|q16=<72:q6>?k519g894`f28:270?ic;333>{t:821<7<t=30g>0b<5;8:6<6j;|q162<72;q6>?j519g894`d28:37p}=1683>7}::;i19i5223295=c<uz89:7>53z?16f<60l16=kk51158976728:37p}=1783>7}::;h19i5220d95=c<uz8997>52z?16g<60l16>=>51158yv7c;3:1>v3>e486`>;6l00:4h5rs0f1>5<5s4;n87;k;<3g<?7?m2wx=ik50;6x94c3282n70?m9;333>;6jk0:<5521cf955g<uz;o=7>52z?2a6<2l27:h:4>8d9~w4bc2908w0?j3;3;a>;6jk0:<4521cf9551<uz;o<7>52z?2a7<2l27:h;4>8d9~w4bd2909w0?j2;3;a>;6jm0:<55rs0ae>5<5s4;n=7;k;<3g1?7?m2wx=il50;1x94c6282n70?mf;333>;6k80:<55rs0af>5<5s4;n<7;k;<3g0?7?m2wx=io50;0x94c7282n70?l1;333>{t:931<7<t=307>0b<5;;96<6j;|q14=<72;q6>?=55e9>647=91o0q~<?7;296~;5:;0>h63=1182<`=z{;:=6=4={<015?3c348;j7?7e:\7fp653=838p1?<?:4f8976b282n7p}=0583>7}::8l19i5221f95=c<uz8;?7>52z?15`<2l279<n4>8d9~w772290:w0<>e;3;a>{t:981<7<t=33g>0b<5;:i6<6j;|q151<728q6><j519g8yv4793:1>v3=1b86`>;58h0:4h5rs330>5<6s48:o7?7e:\7fp5f1=838p1<j6:4f894ec282n7p}>c783>7}:9m219i521ba95=c<uz;h97>52z?2`2<2l27:oo4>8d9~w4e32909w0?k6;7g?87di3;3i6s|1b194?4|58n>68j4=0a:>4>b3ty:o?4?:3y>5a2==m16=n6519g8yv7b>3:1>v3>cb86`>;61o0:4:5rs0g4>5<5s4;hn7;k;<3b4?7??2wx=h650;0x94ef2<n01<o>:0:4?xu6m00;6?u21b;91a=:9h81=594}r3fe?6=:r7:o54:d:?2e6<60>1v\7f>>6:187\7f846:3?o70=>2;3;a>;6i=0:<:5230196a=z{;9:6=4={<025?3c34;j87?77:\7fp664=838p1???:4f894g2282<7p}=3283>7}::9l19i521`495=1<uz8887>52z?14`<2l27:m:4>869~w7522909w0<?d;7g?87f03;3;6s|22494?4|5;:h68j4=0c:>4>03ty9?:4?:3y>65d==m16=lo51958yv4403:1>v3=0`86`>;6ik0:4:5rs3`;>5<6kr79ih4:4:?1aa<2<279in4:4:?1ag<2<279il4:4:?1a<<2<279i:4:4:?1a3<2<279i54:4:?1bd<2<279j54:4:?1b<<2<279jo4:4:?1bf<2<279ji4:4:?1b`<2<279jk4:4:?045<2<278=?4:4:?054<2<278==4:4:?04c<2<278<h4:4:?04a<2<278<n4:4:?04g<2<278<l4:4:?1f<<60>1v\7f?m=:181\7f84bm3?o70<kb;3;3>{t:l?1<7:t=3gf>4>b349;<7;k;<0a4?77?279hn4>069~w7e62909w0<jd;7g?84ci3;3;6s|2d694?2|5;oo6<6j;<0eb?3c348jj7??7:?1`7<68>1v\7f?m?:181\7f84bk3?o70<k9;3;3>{t:l91<7:t=3g`>4>b348mi7;k;<0bb?771279h=4>069~w7da2909w0<jb;7g?84c03;3;6s|2d094?2|5;oi6<6j;<0e`?3c348ji7??7:?1g`<68>1v\7f?lj:181\7f84bi3?o70<k7;3;3>{t:l;1<7:t=3gb>4>b348mo7;k;<0ba?771279on4>069~w7dc2909w0<j9;7g?84c>3;3;6s|2d294?2|5;o26<6j;<0ef?3c348jh7??8:?1gd<68>1v\7f?lm:181\7f84b?3?o70<k4;3;3>{t:mo1<7:t=3g4>4>b348m57;k;<0bg?770279o;4>069~w7df2909w0<j6;7g?84c;3;3;6s|2ef94?2|5;o=6<6j;<0e<?3c348jo7??7:?1g1<68>1v\7f?ll:181\7f84b03?o70<k5;3;3>{t:ml1<7:t=3g;>4>b348mm7;k;<0b`?77?279o54>069~w7b62909w0<kb;75?84c93;3;6s|2ea94?4|5;ni6<;m;<0gg?7??2wx>nh50;1x97bf2<<01?j>:44897ea282<7p}=d383>6}::mk1=8l4=3f2>4?1348o>7?77:\7fp6fb=839p1?j6:44897ea2<<01?mk:0:4?xu5l90;6>u22e;950d<5;im6<79;<0g4?7??2wx>nl50;1x97b?2<<01?mk:44897ee282<7p}=cd83>6}::m21=8l4=3ag>4?1348hi7?77:\7fp6f?=839p1?j8:44897ee2<<01?m6:0:4?xu5kj0;6>u22e5950d<5;ii6<79;<0`g?7??2wx>n950;1x97b12<<01?m6:44897e0282<7p}=c`83>6}::m<1=8l4=3a:>4?1348hm7?77:\7fp6f3=839p1?j::44897e02<<01?m::0:4?xu5k10;6>u22e7950d<5;i<6<79;<0`<?7??2wx>n=50;1x97b32<<01?m::44897e4282<7p}=c783>6}::m>1=8l4=3a6>4?1348h:7?77:\7fp6f2=839p1?j<:07a?84d;3;2:63=c582<2=z{;l:6=4<{<0ee?7?m278<n4:d:?1=d<68>1v\7f?ki:180\7f84a03;3i63<0`86`>;5100:<:5rs3d3>5<4s48m57?7e:?04g<2l279544>099~w7`52908w0<ib;3;a>;48m0>h63=9`824==z{;l86=4<{<0eg?7?m278<h4:d:?1=g<6801v\7f?h;:180\7f84al3;3i63<0g86`>;51k0:<:5rs3d6>5<4s48mi7?7e:?055<2l2795n4>089~w7`12908w0<if;3;a>;4980>h63=9b8242=z{;l<6=4<{<134?7?m278=?4:d:?1=a<68>1v\7f>>7:187\7f85693;3i63>a48242=:9h>1==64=230>6d<uz9;;7>54z?055<60l16=l85115894g228:370=>3;1b?xu48?0;69u231d95=c<58k<6<>8;<3b2?770278=>4<9:\7fp753=83>p1>>j:0:f?87f03;;;63>a6824==:;891?55rs227>5<3s49;h7?7e:?2e<<68>16=l6511:896742:=0q~=?3;290~;48j0:4h521`c9551<58k26<>7;<127?513ty8<?4?:5y>75d=91o01<om:024?87fi3;;463<12801>{t;9;1<7=t=22b>4>b34;jn7??8:?056<4<2wx=;j50;0x971728:370<9b;36g>{t:?k1<7<t=352>46>348=n7?77:\7fp742=838p1?l9:024?84e?3;>o6s|24d94?4|5;?m6<68;<06a?77?2wx>8l50;0x973e282<70<:d;33=>{t:<i1<7<t=37`>4>0348>h7??a:\7fp60?=838p1?;j:02;?84213;3;6s|24f94?4|5;?n6<>6;<06`?72k2wx>;?50;0x973b28:j70<91;3;3>{t:?:1<7<t=342>46f348=<7?:c:\7fp53e=838p1?;6:02b?842i3;>o6s|2c594?3|5;h36<68;<127?55n278=>4<2e9>745=;;i01>?<:20a?x{t;<<1<7<t^277?82c2:??7)==7;347>{t;h31<7<t^2c:?82c2:k27)==7;35a>{t;k=1<7<t^2`4?82c2:h<7)==7;35b>{t;k31<7<t^2`:?82c2:h27)==7;344>{t;j:1<7<t^2a3?82c2:i;7)==7;345>{t;ji1<7<t^2a`?82c2:ih7)==7;346>{t;?=1<7<t^27f?82c2:?n7)==7;340>{t;??1<7<t^27g?82c2:?o7)==7;341>{t;?>1<7<t^27`?82c2:?h7)==7;342>{t;?91<7<t^27a?82c2:?i7)==7;343>{t;?81<7<t^27b?82c2:?j7)==7;34<>{t;?;1<7<t^27:?82c2:?27)==7;34=>{t;?:1<7<t^27;?82c2:?37)==7;34e>{t;<l1<7<t^274?82c2:?<7)==7;34f>{t;?31<7<t^24:?82c2:<27)==7;34g>{t;?h1<7<t^24a?82c2:<i7)==7;34`>{t;k<1<7<t^2ce?82c2:km7)==7;34a>{t;k>1<7<t^2cf?82c2:kn7)==7;34b>{t;k91<7<t^2cg?82c2:ko7)==7;3;4>{t;k81<7<t^2c`?82c2:kh7)==7;3;5>{t;k;1<7<t^2ca?82c2:ki7)==7;3;6>{t;k:1<7<t^2cb?82c2:kj7)==7;3;7>{zf?2i6=4={I11<>{i>1i1<7<tH20;?xh10m0;6?uG33:8yk0?m3:1>vF<299~j3>a2909wE==8:\7fm2<6=838pD><7;|l5=4<72;qC??64}o4:6?6=:rB8>55rn7;0>5<5sA9946sa68694?4|@:837p`99483>7}O;;20qc866;296~N4:11vb;78:181\7fM5502we:4650;0xL64?3td=544?:3yK77><ug<2m7>52zJ06==zf?3i6=4={I11<>{i>0i1<7<tH20;?xh11m0;6?uG33:8yk0>m3:1>vF<299~j3?a2909wE==8:\7fm2d6=838pD><7;|l6=f<728qC??64}o7a6?6=9rB8>55rn4`0>5<6sA9946sa5c694?7|@:837p`:b483>4}O;;20qc;m6;295~N4:11vb8l8:182\7fM5502we9o650;3xL64?3td>n44?:0yK77><ug?im7>51zJ06==zf<hi6=4>{I11<>{i=ki1<7?tH20;?xh2jm0;6<uG33:8yk3em3:1=vF<299~j0da290:wE==8:\7fm1f6=83;pD><7;|l6g4<728qC??64}o7`6?6=9rB8>55rn4a0>5<6sA9946sa5b694?7|@:837p`:c483>4}O;;20qc;l6;295~N4:11vb8m8:182\7fM5502we9n650;3xL64?3td>o44?:0yK77><ug?hm7>51zJ06==zf<ii6=4>{I11<>{i=ji1<7?tH20;?xh2km0;6<uG33:8yk3dm3:1=vF<299~j0ea290:wE==8:\7fm1a6=83;pD><7;|l6`4<728qC??64}o7g6?6=9rB8>55rn4f0>5<6sA9946sa5e694?7|@:837p`:d483>4}O;;20qc;k6;295~N4:11vb8j8:182\7fM5502we9i650;3xL64?3td>h44?:0yK77><ug?om7>51zJ06==zf<ni6=4>{I11<>{i=mi1<7?tH20;?xh2lm0;6<uG33:8yk3cm3:1=vF<299~j0ba290:wE==8:\7fm1`6=83;pD><7;|l6a4<728qC??64}o7f6?6=9rB8>55rn4g0>5<6sA9946sa5d694?7|@:837p`:e483>4}O;;20qc;j6;295~N4:11vb8k8:182\7fM5502we9h650;3xL64?3td>i44?:0yK77><ug?nm7>51zJ06==zf<oi6=4>{I11<>{i=li1<7?tH20;?xh2mm0;6<uG33:8yk3bm3:1=vF<299~j0ca290:wE==8:\7fm1c6=83;pD><7;|l6b4<728qC??64}o7e6?6=9rB8>55rn4d0>5<6sA9946sa5g694?7|@:837p`:f483>4}O;;20qc;i6;295~N4:11vb8h8:182\7fM5502we9k650;3xL64?3td>j44?:0yK77><ug?mm7>51zJ06==zf<li6=4>{I11<>{i=oi1<7?tH20;?xh2nm0;6<uG33:8yk3am3:1=vF<299~j0`a290:wE==8:\7fm256=83;pD><7;|l544<728qC??64}o436?6=9rB8>55rn720>5<6sA9946sa61694?7|@:837p`90483>4}O;;20qc8?6;295~N4:11vb;>8:182\7fM5502we:=650;3xL64?3td=<44?:0yK77><ug<;m7>51zJ06==zf?:i6=4>{I11<>{i>9i1<7?tH20;?xh18m0;6<uG33:8yk07m3:1=vF<299~j36a290:wE==8:\7fm246=83;pD><7;|l554<728qC??64}o426?6=9rB8>55rn730>5<6sA9946sa60694?7|@:837p`91483>4}O;;20qc8>6;295~N4:11vb;?8:182\7fM5502we:<650;3xL64?3td==44?:0yK77><ug<:m7>51zJ06==zf?;i6=4>{I11<>{i>8i1<7?tH20;?xh19m0;6<uG33:8yk06m3:1=vF<299~j37a290:wE==8:\7fm276=83;pD><7;|l564<728qC??64}o416?6=9rB8>55rn700>5<6sA9946sa63694?7|@:837p`92483>4}O;;20qc8=6;295~N4:11vb;<8:182\7fM5502we:?650;3xL64?3td=>44?:0yK77><ug<9m7>51zJ06==zf?8i6=4>{I11<>{i>;i1<7?tH20;?xh1:m0;6<uG33:8yk05m3:1=vF<299~j34a290:wE==8:\7fm266=83;pD><7;|l574<728qC??64}o406?6=9rB8>55rn710>5<6sA9946sa62694?7|@:837p`93483>4}O;;20qc8<6;295~N4:11vb;=8:182\7fM5502we:>650;3xL64?3td=?44?:0yK77><ug<8m7>51zJ06==zf?9i6=4>{I11<>{i>:i1<7?tH20;?xh1;m0;6<uG33:8yk04m3:1=vF<299~j35a290:wE==8:\7fm216=83;pD><7;|l504<728qC??64}o476?6=9rB8>55rn760>5<6sA9946sa65694?7|@:837p`94483>4}O;;20qc8;6;295~N4:11vb;:8:182\7fM5502we:9650;3xL64?3td=844?:0yK77><ug<?m7>51zJ06==zf?>i6=4>{I11<>{i>=i1<7?tH20;?xh1<m0;6<uG33:8yk03m3:1=vF<299~j32a290:wE==8:\7fm206=83;pD><7;|l514<728qC??64}o466?6=9rB8>55rn770>5<6sA9946sa64694?7|@:837p`95483>4}O;;20qc8:6;295~N4:11vb;;8:182\7fM5502we:8650;3xL64?3td=944?:0yK77><ug<>m7>51zJ06==zf??i6=4>{I11<>{i><i1<7?tH20;?xh1=m0;6<uG33:8yk02m3:1=vF<299~j33a290:wE==8:\7fm236=83;pD><7;|l524<728qC??64}o456?6=9rB8>55rn740>5<6sA9946sa67694?7|@:837p`96483>4}O;;20qc896;295~N4:11vb;88:182\7fM5502we:;650;3xL64?3td=:44?:0yK77><ug<=m7>51zJ06==zf?<i6=4>{I11<>{i>?i1<7?tH20;?xh1>m0;6<uG33:8yk01m3:1=vF<299~j30a290:wE==8:\7fm226=83;pD><7;|l534<728qC??64}o446?6=9rB8>55rn750>5<6sA9946sa66694?7|@:837p`97483>4}O;;20qc886;295~N4:11vb;98:182\7fM5502we::650;3xL64?3td=;44?:0yK77><ug<<m7>51zJ06==zf?=i6=4>{I11<>{i>>i1<7?tH20;?xh1?m0;6<uG33:8yk00m3:1=vF<299~j31a290:wE==8:\7fm2=6=83;pD><7;|l5<4<728qC??64}o4;6?6=9rB8>55rn7:0>5<6sA9946sa69694?7|@:837p`98483>4}O;;20qc876;295~N4:11vb;68:182\7fM5502we:5650;3xL64?3td=444?:0yK77><ug<3m7>51zJ06==zutwKLNu8908b302b=99vLMLt0|BCT~{GH
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file land_fifo.vhd when simulating
+-- the core, land_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY land_fifo IS
+ port (
+ din: IN std_logic_VECTOR(0 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(7 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(5 downto 0));
+END land_fifo;
+
+ARCHITECTURE land_fifo_a OF land_fifo IS
+-- synthesis translate_off
+component wrapped_land_fifo
+ port (
+ din: IN std_logic_VECTOR(0 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(7 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(5 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_land_fifo use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 1,
+ c_has_wr_data_count => 0,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_use_embedded_reg => 1,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 0,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 2,
+ c_dout_width => 8,
+ c_msgon_val => 1,
+ c_rd_depth => 64,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 9,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 6,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 6,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 9,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 9,
+ c_preload_regs => 1,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 508,
+ c_wr_depth => 512,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 509,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "512x36",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_land_fifo
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count);
+-- synthesis translate_on
+
+END land_fifo_a;
+
--- /dev/null
+library IEEE;
+use ieee.std_logic_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+use ieee.numeric_std.all;
+library work;
+use work.all;
+
+
+entity land_interface is
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLK_200MHZ : in std_logic;
+ LAND_DATA : in std_logic_vector(0 downto 0);
+ LAND_CLK : in std_logic;
+ LAND_TRIGG_NUM_OUT : out std_logic_vector(31 downto 0);
+ RESET_AFTER_LVL1 : in std_logic
+ );
+end land_interface;
+
+architecture land_interface of land_interface is
+ type LAND_DATA_ACQ is (IDLE, LAND_DATA_ACQ_A, LAND_DATA_ACQ_B, LAND_DATA_ACQ_C);
+ signal LAND_DATA_ACQ_CURRENT, LAND_DATA_ACQ_NEXT : LAND_DATA_ACQ;
+ signal start_data_pulse : std_logic;
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ signal land_time_cntr_up, land_time_cntr_up_fsm, land_time_cntr_rst, land_time_cntr_rst_fsm : std_logic;
+ signal land_time_cntr : std_logic_vector(7 downto 0);
+ signal land_data_saved, land_trigg_num_out_corr : std_logic_vector(31 downto 0) := (others => '0');
+ signal land_bit_std : std_logic_vector(7 downto 0);
+
+ signal land_data_synch_a, land_data_synch_b : std_logic;
+ signal read_land_data, write_land_data, reset_fifo, read_land_data_fsm, write_land_data_fsm, reset_fifo_fsm, reset_slow_cntr : std_logic;
+ signal land_data_fifo : std_logic_vector(7 downto 0);
+ signal land_data_cntr : std_logic_vector(5 downto 0);
+ signal land_slow_cntr : std_logic_vector(7 downto 0);
+
+ component land_fifo
+ port (
+ din : IN std_logic_VECTOR(0 downto 0);
+ rd_clk : IN std_logic;
+ rd_en : IN std_logic;
+ rst : IN std_logic;
+ wr_clk : IN std_logic;
+ wr_en : IN std_logic;
+ dout : OUT std_logic_VECTOR(7 downto 0);
+ empty : OUT std_logic;
+ full : OUT std_logic;
+ rd_data_count : OUT std_logic_VECTOR(5 downto 0));
+ end component;
+
+begin
+
+
+-- LAND_PREAMBULE_DETECTION: edge_to_pulse
+-- port map (
+-- clock => LAND_CLK,
+-- en_clk => '1',
+-- signal_in => land_data_synch,
+-- pulse => start_data_pulse);
+
+ DISCOVER_START : process (LAND_CLK, RESET)
+ begin
+ if rising_edge(LAND_CLK) then
+ if RESET = '1' then
+ land_data_synch_a <= '1';
+ land_data_synch_b <= '1';
+ else
+ land_data_synch_a <= LAND_DATA(0);
+ land_data_synch_b <= land_data_synch_a;
+ end if;
+ end if;
+ end process DISCOVER_START;
+
+
+ SET_START : process (LAND_CLK, RESET)
+ begin
+ if rising_edge(LAND_CLK) then
+ if RESET = '1' then
+ start_data_pulse <= '0';
+ elsif land_data_synch_a = '1' and land_data_synch_b = '0' then
+ start_data_pulse <= '1';
+ else
+ start_data_pulse <= '0';
+ end if;
+ end if;
+ end process SET_START;
+
+ SET_DATA_VALID : process (LAND_CLK, RESET)
+ begin
+ if rising_edge(LAND_CLK) then
+ if RESET = '1' or land_slow_cntr = 45 then
+ write_land_data <= '0';
+ reset_slow_cntr <= '1';
+ elsif start_data_pulse = '1' then
+ -- elsif land_data_synch = '1' then
+ write_land_data <= '1';
+ reset_slow_cntr <= '0';
+ else
+ write_land_data <= write_land_data;
+ reset_slow_cntr <= reset_slow_cntr;
+ end if;
+ end if;
+ end process SET_DATA_VALID;
+
+ LAND_DATA_CNTR_50MHz: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => LAND_CLK,
+ RESET => reset_slow_cntr,
+ COUNT_OUT => land_slow_cntr,
+ UP_IN => write_land_data,
+ DOWN_IN => '0');
+
+
+ LAND_FIFO_INST: land_fifo
+ port map (
+ din => LAND_DATA,
+ rd_clk => CLK,
+ rd_en => read_land_data,
+ rst => reset_fifo,
+ wr_clk => LAND_CLK,
+ wr_en => write_land_data,
+ dout => land_data_fifo,
+ empty => open,
+ full => open,
+ rd_data_count => land_data_cntr);
+
+ LAND_DATA_CNTR_INST: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK_200MHZ,
+ RESET => land_time_cntr_rst,
+ COUNT_OUT => land_time_cntr,
+ UP_IN => land_time_cntr_up,
+ DOWN_IN => '0');
+
+ LAND_DATA_FSM_CLK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ LAND_DATA_ACQ_CURRENT <= IDLE;
+ read_land_data <= '0';
+ reset_fifo <= '1';
+ land_time_cntr_up <= '0';
+ land_time_cntr_rst <= '1';
+ else
+ LAND_DATA_ACQ_CURRENT <= LAND_DATA_ACQ_NEXT;
+ read_land_data <= read_land_data_fsm;
+ reset_fifo <= reset_fifo_fsm;
+ land_time_cntr_up <= land_time_cntr_up_fsm;
+ land_time_cntr_rst <= land_time_cntr_rst_fsm;
+ end if;
+ end if;
+ end process LAND_DATA_FSM_CLK;
+
+
+
+
+ LAND_DATA_FSM_PROC : process (CLK)
+ begin
+ read_land_data_fsm <= '1';
+ land_time_cntr_rst_fsm <= '1';
+ land_time_cntr_up_fsm <= '0';
+ reset_fifo_fsm <= '0';
+
+ case (LAND_DATA_ACQ_CURRENT) is
+ when IDLE =>
+ if land_data_cntr = 4 then
+ LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_A;
+ else
+ read_land_data_fsm <= '0';
+ LAND_DATA_ACQ_NEXT <= IDLE;
+ end if;
+ when LAND_DATA_ACQ_A =>
+ if land_data_cntr = 0 then
+ LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_B;
+ else
+
+ LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_A;
+ end if;
+ when LAND_DATA_ACQ_B =>
+ if land_time_cntr > 60 then
+ reset_fifo_fsm <= '1';
+ LAND_DATA_ACQ_NEXT <= IDLE;
+ else
+ land_time_cntr_rst_fsm <= '0';
+ land_time_cntr_up_fsm <= '1';
+ LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_B;
+ end if;
+ when others =>
+ LAND_DATA_ACQ_NEXT <= IDLE;
+ end case;
+ end process LAND_DATA_FSM_PROC;
+
+
+ SAVE_DATA : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or RESET_AFTER_LVL1 = '1' then
+ land_data_saved <= (others => '0');
+ elsif LAND_DATA_ACQ_CURRENT = LAND_DATA_ACQ_A and land_data_cntr = 3 then
+ land_data_saved(7 downto 0) <= land_data_fifo;
+ elsif LAND_DATA_ACQ_CURRENT = LAND_DATA_ACQ_A and land_data_cntr = 2 then
+ land_data_saved(15 downto 8) <= land_data_fifo;
+ elsif LAND_DATA_ACQ_CURRENT = LAND_DATA_ACQ_A and land_data_cntr = 1 then
+ land_data_saved(23 downto 16) <= land_data_fifo;
+ elsif LAND_DATA_ACQ_CURRENT = LAND_DATA_ACQ_A and land_data_cntr = 0 then
+ land_data_saved(31 downto 24) <= land_data_fifo;
+ else
+ land_data_saved <= land_data_saved;
+ end if;
+ end if;
+ end process SAVE_DATA;
+
+ REVERSE_GROUPS: for i in 0 to 3 generate
+ REVERSE_BITS: for j in 0 to 7 generate
+ land_trigg_num_out_corr(j+i*8) <= land_data_saved(7-j+i*8);
+ end generate REVERSE_BITS;
+ end generate REVERSE_GROUPS;
+ REVERS_TRIGG_NUMBER: for i in 0 to 23 generate
+ LAND_TRIGG_NUM_OUT(i) <= land_trigg_num_out_corr(23-i+1);
+ end generate REVERS_TRIGG_NUMBER;
+ LAND_TRIGG_NUM_OUT(30 downto 24) <= land_trigg_num_out_corr(31 downto 25);
+ LAND_TRIGG_NUM_OUT(31) <= '0';
+-- LAND_TRIGG_NUM_OUT(31 downto 27) <= (others => '0');
+-- LAND_TRIGG_NUM_OUT <= land_trigg_num_out_corr;
+-- MAKE_SYNCH : process (CLK_200MHZ, RESET)
+-- begin
+-- if rising_edge(CLK_200MHZ) then
+-- if RESET = '1' then
+-- LAND_TRIGG_NUM_OUT <= (others => '0');
+-- land_data_synch <= '0';
+-- else
+-- LAND_TRIGG_NUM_OUT <= land_data_saved(33 downto 2);
+-- land_data_synch <= LAND_DATA;
+-- end if;
+-- end if;
+-- end process MAKE_SYNCH;
+
+-- LAND_PREABULE_DETECTION: edge_to_pulse
+-- port map (
+-- clock => CLK_200MHZ,
+-- en_clk => '1',
+-- signal_in => land_data_synch,
+-- pulse => start_data_pulse);
+
+-- LAND_DATA_ACQ_CLK : process (CLK_200MHZ, RESET)
+-- begin
+-- if rising_edge(CLK_200MHZ) then
+-- if RESET = '1' then
+-- LAND_DATA_ACQ_CURRENT <= IDLE;
+-- land_time_cntr_up <= '1';
+-- land_time_cntr_rst <= '1';
+-- else
+-- LAND_DATA_ACQ_CURRENT <= LAND_DATA_ACQ_NEXT;
+-- land_time_cntr_up <= land_time_cntr_up_fsm;
+-- land_time_cntr_rst <= land_time_cntr_rst_fsm;
+-- end if;
+-- end if;
+-- end process LAND_DATA_ACQ_CLK;
+
+-- LAND_DATA_ACQ_PROC : process (CLK_200MHZ)
+-- begin
+-- land_time_cntr_up_fsm <= '1';
+-- land_time_cntr_rst_fsm <= '0';
+-- case (LAND_DATA_ACQ_CURRENT) is
+-- when IDLE =>
+-- if start_data_pulse = '1' then
+-- LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_A;
+-- else
+-- land_time_cntr_up_fsm <= '0';
+-- land_time_cntr_rst_fsm <= '1';
+-- LAND_DATA_ACQ_NEXT <= IDLE;
+-- end if;
+-- when LAND_DATA_ACQ_A =>
+-- if land_time_cntr(1 downto 0) = "11" then
+-- LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_B;
+-- else
+-- LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_A;
+-- end if;
+-- when LAND_DATA_ACQ_B =>
+-- land_time_cntr_up_fsm <= '1';
+-- if land_time_cntr > 31*4 then
+-- LAND_DATA_ACQ_NEXT <= IDLE;
+-- else
+-- LAND_DATA_ACQ_NEXT <= LAND_DATA_ACQ_A;
+-- end if;
+-- when others =>
+-- LAND_DATA_ACQ_NEXT <= IDLE;
+-- end case;
+-- end process LAND_DATA_ACQ_PROC;
+
+-- SAVE_LAND_DATA : process (CLK_200MHZ, RESET)
+-- variable land_bit : integer :=0;
+-- begin
+-- if rising_edge(CLK_200MHZ) then
+-- if RESET = '1' then
+-- land_data_saved <= (others => '0');
+-- land_bit :=0;
+-- elsif LAND_DATA_ACQ_CURRENT = LAND_DATA_ACQ_B then
+-- land_bit := land_bit + 1;
+-- land_data_saved(land_bit) <= land_data_synch;
+-- elsif LAND_DATA_ACQ_CURRENT = IDLE then
+-- land_data_saved <= land_data_saved;
+-- land_bit :=0;
+-- else
+-- land_bit := land_bit;
+-- land_data_saved <= land_data_saved;
+-- end if;
+-- end if;
+-- end process SAVE_LAND_DATA;
+
+
+-- LAND_DATA_CNTR: up_down_counter
+-- generic map (
+-- NUMBER_OF_BITS => 8)
+-- port map (
+-- CLK => CLK_200MHZ,
+-- RESET => land_time_cntr_rst,
+-- COUNT_OUT => land_time_cntr,
+-- UP_IN => land_time_cntr_up,
+-- DOWN_IN => '0');
+
+
+end land_interface;
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$ga0\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:23442<89:986>?0968454B<2:;4=:401G;0>67N;>0<KJI1:30?4(7<2;;<=?;;079MKVR\3KOH_O31583:42<9<0BB][[:@FGVG:6<3:5>=5>5;KMTPR=X[ELSHC_TX]WLWCT@5;?6=0=7:36>LHW]]0[^BIPELRW]ZROZLYCSL]\ESV?51<76;=0=84FNQWW>UTHOVOF\YWPTIPFWMYIMNK_I1?;:1<2b>722@D[YY4_RNE\BPJKW]BYI^F31583:70<9<0BB][[:QPLCZ@RDEU_D_K\H^CPW@TS48>1<3<9;079MKVR\3ZYCJQIUMN\PMTB[AUEIJO[E=37>58582;>6D@_UU8svjaXmdz\7fuRzgrdqk842=878<7<;5IORVP?vugnUna}zv_ujqavnXizyn~y2>4;2=62=6=3CE\XZ5psmd[`kw|pU\7fd\7fk|h^lfcdrb48>1<3?i;079MKVR\3zycjQiumn\pmtb{a6:87>127921?OIX\^1|\7fah_gwohZrozlycSl}|esv?51<76;<0=84FNQWW>uthoVl~`aQ{hsgplZhboh~n0<:50?3g?43=G\^[YY4KECWD[WBXXG^Y0<:50?3g?43=G\^[YY4KECWD[RTXXG^Y0<:50?3g?43=G\^[YY4]D^FBPDYDG\D_0<:50?3g?43=G\^[YY4XR^FBPDYDG\D_0<:50?3b?43=G\^[YY4kauc\gjsi|5;?6=0=5:36>JSSX\^1hhlzg^pg[uhszVzgy~?Pr=37>586n2;>6B[[PTV9``droVxoS}`{r^p?51<768l0=84@UURVP?bbj|mT~iQ\7fnup\s973294996?::NWWTPR=llh~kRy}_qlwvZvk}z;T{1?;:1<11>722F__\XZ5dd`vcZquWyd\7f~R~cur0\s973294:j6?::NWWTPR=llh~kRy}_qlwvZt;9=0;2<h4148LQQVR\3nnnxiPws]sjqtX\7f5;?6=0>d:36>JSSX\^1~iQkauc\gjsi|5;?6=0>d:36>JSSX\^1{\7fQkauc\gjsi|5;?6=0>;331?64=AGZ^X7JFA=12>586:2996D@_UU8GMG:493:5=>5<2;MVPUSS2MEJ0>?50?30?64=G\^[YY4KOC>05?699;1887GAPTV9@LH;;:0;2<<4358JJUSS2mce0>=50?37?62=G\^[YY4KOTV?76<768>0?94@UURVP?bh}}68?7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294m79xcx52eb4730$;;=68=;7;7?3?>>813866?0168<4?7923?74>?939:21=>>?337LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;?<IMNYM1?<>c9B@ATF48>1<374AEFQE973611JHI\N<0<;?DBCZH69255NDEPB868?3HNO^L2;>99B@ATF4<437LJKR@>5:==FLMXJ0:07;@FGVD:?611JHI\N<8<;?DBCZK6;245NDEPA846912KOH_L310<:?DBCZK6:>374AEFQF9746k1JHI\M<0694;?<IMNYN1?;>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE404?7LKNAb9BHHIT\VOF\YWm;@NNKVRXN\FG56O\YOA\V@A43K_946LZS^KMBJ7<Kh1HM^MNDDKMEd=DIZIJHHGABc9@EVEFLLE^XLl4C@Q@EACH]]H97NK=;BK7?FJLI=1H@FL<;BNQ=>EHEDC_XHJ8;BPFEQCC92Nm7IKMUF]QEHYBEY^Ri6JJBTE\VDKXN\FGj6JJBTE\VDKXZMUNBk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i2NDYY2<3;2=<>BH]]68?394DNWW86803ME^X1:17:FLQQ:26>1OCXZ36?58@JSS4>4<7IAZT=:=3>BH]]622?5J449FIUR^;2OEM>5JNC68B5@C:2L986H=0178BDJTM:1MHN:4FEAF7>@CM:1MH]:4FERF0>@AJO>0JKKI4:DEB@2<N\FG96Hnlrg2?B5<OGN:7D<4I108M44<A;80E>64IOKWTZ6702CEEY^P00:8MKOSXV:946GAIUR\46><AGC_\R>;8:KMMQVX8<20ECG[P^25<>OIA]ZT<:74IOKWWQGSM>1BBDZP0158MKOSW9;<7D@FT^213>OIA]U;?:5FNHV\411<AGC_S=;8;HLJPZ61?2CEEYQ?769JJLRX81=0ECG[_1;4?LHN\V:J;6GAIU]3F2=NF@^T<N94IOKW[5B03@DBXR>J7:KMMQY7N>1BBDZP1158MKOSW8;<7D@FT^313>OIA]U:?:5FNHV\511<AGC_S<;8;HLJPZ71?2CEEYQ>769JJLRX91=0ECG[_0;4?LHN\V;J;6GAIU]2F2=NF@^T=N94IOKW[4B03@DBXR?J7:KMMQY6N>1BBDZP2158MKOSW;;<7D@FT^013>OIA]U9?:5FNHV\611<AGC_S?;8;HLJPZ41?2CEEYQ=769JJLRX:1=0ECG[_3;4?LHN\V8J;6GAIU]1F2=NF@^T>N94IOKW[7B03@DBXR<J7:KMMQY5N>1BBDZP3158MKOSW:;<7D@FT^113>OIA]U8?:5FNHV\711<AGC_S>;8;HLJPZ51?2CEEYQ<769JJLRX;1=0ECG[_2;4?LHN\V9J;6GAIU]0F2=NF@^T?N94IOKW[6B03@DBXR=J7:KMMQY4N?1BBDZPA79JJLRXJ01BBDZPFHNF7>OI^880ECXJRU]@HNYCGHUYIJ?=;HLUAWRXKEATHBLPRDE0?LIE:2FB>6B@6:NLEACC?2FDKDMNL49OKRCU<2F^X<:4LTV12>JR\;UO86BZT268HPR3>2F^X9QK6:NVP1YK=2G^TNW:;LW[B03<E\RM:85BUYD4g>Kfbfx]i\7f}fooa8Ilhhz_oy\7fdaa2:L27>H68:1E=<;4N03540=I98<:96@>1706?K76>:?0B<?9429M572<F882?6@>359M56633G;8>95A1267?K74=:1E=9=4N077?K72<=1E=8;;;O3621=I9<=?7C?:859M50?43G;=86@>6168J406<2D::?:4N0400>H6>=>0B<8:4:L2232<F8<<86@>6968J40>;2D:;95A1627?K709=1E=:<;;O3471=I9>>?7C?8559M52033G;<;95A16:7?K701:1E=5:4N0:30>H608>0B<6=4:L2<62<F82?86@>8468J4>1<2D:4::4N0:;0>H60090B<7;;O3:01=I90??7C?6659M5<133G;2495A18;1?K443G8;86@=0168J766<2D9<?:4N3200>H58=>0B?>:4:L1432<F;:<86@=0918J7733G8:=95A2007?K46;=1E><:;;O0211=I:8<?7C<>759M64>33G8:5>5A2368J747<2D9><:4N3010>H5::>0B?<;4:L1605<F;987C<;3:L116=I:?90B?9;;O0401=I:><87C<74:L1<02<F;2<86@=8818J7?33G82=95A2817?K4>=:1E?=:4N2260>H48>90B>?<;O117>H4;:1E?9=4N270?K51;2D8;>5A3968J123<2D?8;:4N56;0>H3=9>0B9;=4:L7114<F<80B;=4N760?K01:2D<86@88108J=4<F0l0BOQMURRJJZVUGYY<7CK[WNPH0>HHFL;0C?5@K99LR@TADF]:7]:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RDc8TWI@WLG[XT?;;QPLCZCJX]STXE\JSI>3:43<X[ELSHC_TX]WLWCT@5;;2<;4PSMD[@KW\PU_D_K\H=32:43<X[ELSHC_TX]WLWCT@5;92<;4PSMD[@KW\PU_D_K\H=30:41<X[ELSHC_TX]WLWCT@5;?6=0>5:RQKBYBEY^RSYF]ERJ?5186<2ZYCJQJMQVZ[QNUMZB7=3?;;QPLCZCJX]STXE\JSI>1:42<X[ELSHC_TX]WLWCT@595=95_RNE\AHVSQV^C^H]G<5<20>VUGNUNA]ZV_UJQAVN;=7;?7]\@G^GNTQ_X\AXN_E29>068TWI@WLG[XTQ[HSGPL9199=1[^BIPELRW]ZROZLYC050>4:RQKBYBEY^RSYF]ERJ?=;7e3YXDKRKBPU[\PMTB[AUJ_^K]T=2=5f=WZFMTI@^[Y^VKV@UOWHYXI_Z311<2g>VUGNUNA]ZV_UJQAVNXIZYN^Y2>1?3`?UTHOVOF\YWPTIPFWMYF[ZOYX1?=>0a8TWI@WLG[XTQ[HSGPLZGT[LX_0<=11d9SVJAXMDZ_URZGRDQK[DUTM[^7=94?>0a8TWI@WLG[XTQ[HSGPLZGT[LX_0<:11c9SVJAXMDZ_URZGRDQK[DUTM[^7=3?m;QPLCZCJX]STXE\JSI]BWVCU\585=o5_RNE\AHVSQV^C^H]G_@QPAWR;;7;i7]\@G^GNTQ_X\AXN_EQNSRGQP9299k1[^BIPELRW]ZROZLYCSL]\ESV?1;7e3YXDKRKBPU[\PMTB[AUJ_^K]T=4=5g=WZFMTI@^[Y^VKV@UOWHYXI_Z37?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1611c9SVJAXMDZ_URZGRDQK[DUTM[^753?m;QPLCZCJX]STXE\JSI]MABGSM5:5=n5_RNE\AHVSQV^C^H]G_OGDEQC;994:o6^]OF]FIUR^W]BYI^FPNDEBP@:697;h7]\@G^GNTQ_X\AXN_EQAEFCWA97568i0\_AH_DOSP\YS@[OXDR@JG@VF84599l1[^BIPELRW]ZROZLYCSCKHAUG?51<768i0\_AH_DOSP\YS@[OXDR@JG@VF84299k1[^BIPELRW]ZROZLYCSCKHAUG?5;7e3YXDKRKBPU[\PMTB[AUEIJO[E=0=5g=WZFMTI@^[Y^VKV@UOWGOLMYK33?3a?UTHOVOF\YWPTIPFWMYIMNK_I1:11c9SVJAXMDZ_URZGRDQK[KC@I]O793?m;QPLCZCJX]STXE\JSI]MABGSM5<5=o5_RNE\AHVSQV^C^H]G_OGDEQC;?7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9>99k1[^BIPELRW]ZROZLYCSCKHAUG?=;?<X[ELSK[CL018TWI@WO_G@RZGRDQK8586<2ZYCJQIUMN\PMTB[A6:<3?;;QPLCZ@RDEU_D_K\H=32:42<X[ELSK[CL^VKV@UO4885=95_RNE\BPJKW]BYI^F312<22>VUGNUMYABPTIPFWM:6<3:5=95_RNE\BPJKW]BYI^F315<27>VUGNUMYABPTIPFWM:66890\_AH_GWOHZROZLYC0?0>3:RQKBYA]EFTXE\JSI>0:45<X[ELSK[CL^VKV@UO4=4:?6^]OF]EQIJX\AXN_E2:>018TWI@WO_G@RZGRDQK8386;2ZYCJQIUMN\PMTB[A6<2<=4PSMD[CSKDV^C^H]G<9<27>VUGNUMYABPTIPFWM:>68k0\_AH_GWOHZROZLYCSL]\ESV?4;7e3YXDKRHZLM]WLWCT@VKX_H\[<02=5g=WZFMTJXBC_UJQAVNXIZYN^Y2>1?3a?UTHOVL^@AQ[HSGPLZGT[LX_0<<11c9SVJAXN\FGSYF]ERJ\EVUBZ]6:?3?k;QPLCZ@RDEU_D_K\H^CPW@TS48>1<3?m;QPLCZ@RDEU_D_K\H^CPW@TS48>5=l5_RNE\BPJKW]BYI^FPARQFVQ:668k0\_AH_GWOHZROZLYCSL]\ESV?6;7f3YXDKRHZLM]WLWCT@VKX_H\[<2<2e>VUGNUMYABPTIPFWMYF[ZOYX1:11`9SVJAXN\FGSYF]ERJ\EVUBZ]6>2<o4PSMD[CSKDV^C^H]G_@QPAWR;>7;j7]\@G^DVHIYS@[OXDRO\SDPW8286i2ZYCJQIUMN\PMTB[AUJ_^K]T=:=5d=WZFMTJXBC_UJQAVNXIZYN^Y26>0c8TWI@WO_G@RZGRDQK[KC@I]O7<3?m;QPLCZ@RDEU_D_K\H^LFCDRB48:5=o5_RNE\BPJKW]BYI^FPNDEBP@:697;i7]\@G^DVHIYS@[OXDR@JG@VF84499k1[^BIPFTNO[QNUMZBTBHINTD>27;7c3YXDKRHZLM]WLWCT@VDNKLZJ<0694;7e3YXDKRHZLM]WLWCT@VDNKLZJ<06=5d=WZFMTJXBC_UJQAVNXFLMJXH2>>0c8TWI@WO_G@RZGRDQK[KC@I]O7>3?n;QPLCZ@RDEU_D_K\H^LFCDRB4:4:m6^]OF]EQIJX\AXN_EQAEFCWA9299h1[^BIPFTNO[QNUMZBTBHINTD>6:4g<X[ELSK[CL^VKV@UOWGOLMYK36?3b?UTHOVL^@AQ[HSGPLZHBOH^N0:0>a:RQKBYA]EFTXE\JSI]MABGSM525=l5_RNE\BPJKW]BYI^FPNDEBP@:>681Z:6\NMC35b>TFEVOSXH@\INL\Ec=UIDUNTYKASHMM[G0<ZMUH@F??;SF\@DRFWJE^BY2?>038VAYCI]KTOB[AT=33:47<ZMUOMYOPCNWMP97668;0^IQKAUC\GJSI\5;92<?4RE]GEQGXKF_EX1?<>018VAYCI]KTOB[AT=37>58692XOSIO[A^ALQKR;9=4:<6\K_ECWEZEH]G^7=3??;SF\@DRFWJE^BY2=>028VAYCI]KTOB[AT=1=55=ULVNJXLQLOTLW818682XOSIO[A^ALQKR;=7;;7_JPD@VB[FIRF]6=2<>4RE]GEQGXKF_EX191119Q@ZBF\HUHCX@[<9<24>TCWMK_MRM@UOV?=;3<ZMUNBn5]E@F\SLBS@VKh7_KND^UJ@QNXJ?1YIJMJA79QABEBJ:1Y_Y?4S99PESCCI]K:?6]FM^ALHIOTAFDTOEKLK79PKPTDM>1X^[OC_@58WWPFDVH?7^]]A59PWWD33]S[Ih5Z0.efjZgt&omj\7f45ZNEGQBIIP=2_[\H\n;TQFVZGKAHYh7X]JR^TJWLDKM<1]MAGK3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=f>QUA]OTJD\\Tb9TVLRBWDEOIROl;VPJP@YJGMOTNi5XRHVF[ROC\AUJh6Y]IUG\SLBS@VH=7Z\PABI5?RTXKEA:<6Y]_ECWEZEH]G^7<3?>;VP\@DRFWJE^BY2>0?32?RTXLH^JSNAZNU>25;763^XTHLZN_BMVJQ:6:7;:7Z\PD@VB[FIRF]6:?3?<;VP\@DRFWJE^BY2>4;2=54=PZVNJXLQLOTLW8429991\^RJNT@]@KPHS484:<6Y]_ECWEZEH]G^7>3??;VP\@DRFWJE^BY2<>028SWYCI]KTOB[AT=6=55=PZVNJXLQLOTLW808682]YSIO[A^ALQKR;>7;;7Z\PD@VB[FIRF]6<2<>4WS]GEQGXKF_EX161119TVZBF\HUHCX@[<8<6?RTXMG;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H991SSNA]E^KMBJYKAZ^=7Ujm_Hfe?]boWYxba[k}shmm55=_ldUFmga}Vdppmjh682RoaRCfnnpUawunggi0mac`su]fiur~j2kgab}{_gwoh3=eija~|i5mabivtZkfzboy?6mck368gjtbold$x`~ _be,hsj6Wk\7fmjh|P33iT*kahl2njxlQlotlw,5/c3mk\7fmRm`uov+5,c<lh~jSnaznu*24,c<lh~jSnaznu*25,c<lh~jSnaznu*26,c<lh~jSnaznu*27,c<lh~jSnaznu*20,b<lh~jSnaznu*1-a=ci}kTob{at)1*`>bf|hUhcx`{(5+g?agsiVidycz'5(f8`drfWje~by&9)e9geqgXkf\7fex%9&d:fbpdYdg|d\7f$5'k;ecweZeh}g~#5$j4d`vb[firf}6;2<>4d`vb[firf}6:87>17:famqcuz820hhlzg^pg[uhszVzgy~?Pr=2=5<=cmk\7flS\7fjPpovq[ujr{8Uy0<>1189gags`W{nT|cz}_qnvw4Yu48;5=45kecwd[wbXxg~yS}bzs0]q8449901oio{h_sf\tkruWyf~\7f<Q}<01=5g=cmk\7flS\7fjPpovq[ujr{8Uy0<:50?3:?ace}nUyhR~ats]shpu6W{6:83?7;egaqbYulVzex\7fQ\7fltq2[w:66820hhlzg^pg[uhszVzgy~?Pr=0=5==cmk\7flS\7fjPpovq[ujr{8Uy0>0>8:fffpaXzmU{by|Ppmwp5Zt;<7;37ikmuf]q`Zvi|{U{`x}>_s>6:4><llh~kR|k_qlwvZvk}z;T~181199gags`W{nT|cz}_qnvw4Yu4>4:46jjbte\vaYwf}xT|a{|1^p?<;7?3moiyjQ}d^rmpwYwd|y:S\7f26>008``droVxoS}`{r^p?4;743moiyjQ}d^rmpwYu48:5=>5kecwd[wbXxg~yS\7f2>1?30?ace}nUyhR~ats]q84499:1oio{h_sf\tkruW{6:?3?:;egaqbYulVzex\7fQ}<0694;743moiyjQ}d^rmpwYu48>5=?5kecwd[wbXxg~yS\7f2>>008``droVxoS}`{r^p?6;753moiyjQ}d^rmpwYu4:4:>6jjbte\vaYwf}xT~1:1139gags`W{nT|cz}_s>6:44<llh~kR|k_qlwvZt;>7;97ikmuf]q`Zvi|{Uy0:0>2:fffpaXzmU{by|Pr=:=57=cmk\7flS\7fjPpovq[w:>6880hhlzg^pg[uhszV}7<3?<;egaqbYulVzex\7fQx<02=56=cmk\7flS\7fjPpovq[r:697;87ikmuf]q`Zvi|{U|0<<1129gags`W{nT|cz}_v>27;723moiyjQ}d^rmpwYp48>1<3?<;egaqbYulVzex\7fQx<06=57=cmk\7flS\7fjPpovq[r:66880hhlzg^pg[uhszV}7>3?=;egaqbYulVzex\7fQx<2<26>bbj|mT~iQ\7fnup\s9299;1oio{h_sf\tkruW~6>2<<4dd`vcZtcWyd\7f~Ry36?31?ace}nUyhR~ats]t8286:2nnnxiPre]sjqtX\7f525=?5kecwd[wbXxg~ySz26>0:8``droV}yS}`{r^roqv7X\7f5:5=45kecwd[rtXxg~yS}bzs0]t8469901oio{h_vp\tkruWyf~\7f<Qx<03=5<=cmk\7flSz|Ppovq[ujr{8U|0<<1189gags`W~xT|cz}_qnvw4Yp4895=o5kecwd[rtXxg~yS}bzs0]t842=87;27ikmuf]tvZvi|{U{`x}>_v>20;7?3moiyjQxr^rmpwYwd|y:Sz2>>0:8``droV}yS}`{r^roqv7X\7f585=55kecwd[rtXxg~yS}bzs0]t868602nnnxiPws]sjqtXxe\7fx=Ry34?3;?ace}nU|~R~ats]shpu6W~6>2<64dd`vcZquWyd\7f~R~cur3\s909911oio{h_vp\tkruWyf~\7f<Qx<6<2<>bbj|mT{\7fQ\7fnup\tist9V}743?7;egaqbYpzVzex\7fQ\7fltq2[r:>6820hhlzg^uq[uhszVzgy~<Pw=2=5<=cmk\7flSz|Ppovq[ujr{;U|0<>1189gags`W~xT|cz}_qnvw7Yp48;5=45kecwd[rtXxg~yS}bzs3]t8449901oio{h_vp\tkruWyf~\7f?Qx<01=5g=cmk\7flSz|Ppovq[ujr{;U|0<:50?3:?ace}nU|~R~ats]shpu5W~6:83?7;egaqbYpzVzex\7fQ\7fltq1[r:66820hhlzg^uq[uhszVzgy~<Pw=0=5==cmk\7flSz|Ppovq[ujr{;U|0>0>8:fffpaX\7f{U{by|Ppmwp6Zq;<7;37ikmuf]tvZvi|{U{`x}=_v>6:4><llh~kRy}_qlwvZvk}z8T{181199gags`W~xT|cz}_qnvw7Yp4>4:46jjbte\swYwf}xT|a{|2^u?<;7?3moiyjQxr^rmpwYwd|y9Sz26>008``droV}yS}`{r^p?4;743moiyjQxr^rmpwYu48:5=>5kecwd[rtXxg~yS\7f2>1?30?ace}nU|~R~ats]q84499:1oio{h_vp\tkruW{6:?3?:;egaqbYpzVzex\7fQ}<0694;743moiyjQxr^rmpwYu48>5=?5kecwd[rtXxg~yS\7f2>>008``droV}yS}`{r^p?6;753moiyjQxr^rmpwYu4:4:>6jjbte\swYwf}xT~1:1139gags`W~xT|cz}_s>6:44<llh~kRy}_qlwvZt;>7;97ikmuf]tvZvi|{Uy0:0>2:fffpaX\7f{U{by|Pr=:=57=cmk\7flSz|Ppovq[w:>6880hhlzg^uq[uhszV}7<3?<;egaqbYpzVzex\7fQx<02=56=cmk\7flSz|Ppovq[r:697;87ikmuf]tvZvi|{U|0<<1129gags`W~xT|cz}_v>27;723moiyjQxr^rmpwYp48>1<3?<;egaqbYpzVzex\7fQx<06=57=cmk\7flSz|Ppovq[r:66880hhlzg^uq[uhszV}7>3?=;egaqbYpzVzex\7fQx<2<26>bbj|mT{\7fQ\7fnup\s9299;1oio{h_vp\tkruW~6>2<<4dd`vcZquWyd\7f~Ry36?31?ace}nU|~R~ats]t8286:2nnnxiPws]sjqtX\7f525=?5kecwd[rtXxg~ySz26>79gmk.7!?1oec&>)69gmk.68 =0hd`'10+4?aoi 88";6jfn)30-2=cag":8$94dhl+50/03mce$<8&7:fjj-70!>1oec&>8(58`lh/90#=7iga(3+4?aoi ;:";6jfn)02-2=cag"9>$94dhl+66/03mce$?:&7:fjj-42!>1oec&=6(58`lh/:>#<7iga(3:*3>bnf!82%;5kio*0-2=cag"8<$94dhl+74/03mce$><&7:fjj-54!?1oec&;)79gmk.2!?1oec&9)79gmk.0!?1oec&7)79gmk.>!?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8394dhl?50803mce0<817:fjj9706>1oec2>8?58`lh;904=7iga<0<4?aoi4;:5;6jfn=02:2=cag69>394dhl?66803mce0?:17:fjj9426>1oec2=6?58`lh;:>4<7iga<3:=3>bnf5822;5kio>1:2=cag68<394dhl?74803mce0><19:fjj954294<7iga<21=2>bnf595:6jfn=6=2>bnf5?5:6jfn=4=2>bnf5=5:6jfn=:=2>bnf535;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,><lf\7f\7f$<:&8:flqq.6= 20hb{{(04*<>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,><lf\7f\7f$>=&7:flqq.3!>1ocxz'5(58`jss ?#<7iazt)5*3>bh}}"3%:5kotv+=,1<lf\7f\7f0=07;emvp977611ocxz310<;?air|5;9255kotv?568?3me~x1?;>99gkpr;9<437iazt=35:==cg|~7=:07;emvp97?611ocxz318<4?air|5;546j`uu>14;><lf\7f\7f0??18:flqq:5:720hb{{<31=<>bh}}698364dnww873902ndyy2=6?:8`jss4;=546j`uu>1<;><lf\7f\7f0?717:flqq:5611ocxz331<;?air|59:255kotv?778f3me~x1=<:1<;?air|5982:5kotv?7;1<lf\7f\7f0908;emvp939?2ndyy29>69gkpr;?7=0hb{{<9<4?air|53546kkig0mca3<mdz\7fu??4fhdl[bcim{k\7fc\7fQy3^1/$Fiumnoe,4 =i048bl`hWnoei\7fo{os]u7Z5Xiz>0jxbc119ori7Xj|lmi\7fQ<2jU1e>jqd8Uiykhjr^11oRYaaoeTkh`jr`vlvZp4W:Us\7fyQ>8:ldggsndm<n7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2:<4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7==0Pru4e?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=37=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>25;Yu|?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4<>6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;92R|{6g9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>3?51?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<01=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979?81ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692:?4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>3Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw33?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq0b3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az818092dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929W{~=i6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5;<5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>638jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?3;163geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~414<=6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu171709mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>^pw30=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPltv?4;YNF_U;;=5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7==080:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>1?53?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=31:26<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8459?91ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9=4<?6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:83Q}t7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8681n2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:36?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<4=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0:09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f27>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs494=:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<02=23=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;:2;84nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>26;013geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>096:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8429><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1=1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop929><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx191649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9>9><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx171629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[5043geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U::95aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_0250>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<?7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>2768jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ74>=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<:93:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\635<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V9=?6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP4718jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ31;2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T:;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^557>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?90bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR784:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<397;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>6:8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9766Vx\7f;85aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=3==ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4885S\7fz85:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?568002dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=>0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw33?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5;:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<\vq133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2:94nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:398;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:087:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?3;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161769mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>658jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9W{~<n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSa{{<1<\MKPX8><0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>0?55?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;984<:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<00=33=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;82:84nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>20;1>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=90Pru56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|32?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|34?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|38?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;17<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop969>j1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5581k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>25;0d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=31:3e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<01=2f=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz315<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>>7`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0?09b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}682;l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4=4=n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:26?h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8381j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>4:3d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<9<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y26>7;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T<;74nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9?k0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\550f3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_035e>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?=6`9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:?;o4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9=<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[70>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_24:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS986;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[30>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_64:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS586;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2:<4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7==0Pru4e?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=37=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>25;Yu|?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4<>6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;92R|{6g9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>3?51?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<01=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979?81ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>3Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw33?52?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq0b3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az818092dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929W{~=i6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5;<5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{6d9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>638jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?3;163geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~414<=6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu171709mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>^pw30=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPltv?4;YNF_U;;=5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7==080:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>1?53?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=31:26<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8459?91ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9=4<?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:83Q}t7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8681n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:36?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<4=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0:09f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f27>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs494=:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<02=23=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;:2;84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>26;013geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>096:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8429><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1=1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop929><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx191649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9>9><1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx171629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[5043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U::95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_0250>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>2768jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ74>=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<:93:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\635<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V9=?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP4718jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ31;2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T:;=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^557>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?90bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR784:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;123geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<397;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>6:8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9766Vx\7f;85aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=3==ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4885S\7fz85:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?568002dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=>0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw33?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?3;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9W{~<n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSa{{<1<\MKPX8><0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>0?55?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;984<:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<00=33=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;82:84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>20;1>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=90Pru56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|32?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|34?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|38?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;17<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop969>j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5581k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>25;0d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=31:3e<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<01=2f=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz315<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0?09b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}682;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4=4=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:26?h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8381j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>4:3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<9<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y26>7;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T<;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9?k0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\550f3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_035e>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?=6`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:?;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9=<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[70>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_24:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS986;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[30>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_64:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS586;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9776>20bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1??>^pw30=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48;5;55aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=[wr0=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=?088:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?578Xz}=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>3?5;?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6;7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;103geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682R|{759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8180?2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs783Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~414<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<\vq1e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXd|~7<3QFNW]333=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;;2:84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>25;113geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=?086:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8459??1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?;>6;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6<7Uyx:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6?2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>6:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{632:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<1<5g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>0?4`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?>>7a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<<16b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>09c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:838m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;:7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop959>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?0;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=7=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz36?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1916c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7438m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|535:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY7>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]22d=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>07c8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=<8n;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW88=m6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ74>h1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]203?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP27;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T?;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\13?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP67;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T;;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\=7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1>1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZe~48:5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^az8479:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<00=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir0<=1299mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZe~484946``rlefj+`kjea$A~{m_uos[uhszVxThdhi_b{?6;4?3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot2<>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq5>5>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az808502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSnw36?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp6<2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}9>9:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<8<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0=0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss48:5>l5aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp9766;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQcuu>26;4f3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolT`xz312<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0<:1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5;5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp949:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=1=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1:1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5?5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp909:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=5=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x161289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|535>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv969:h1ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=33:7g<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUsc\7f2>1?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xpfx7=?0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu4895>l5aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9736;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQwos>2:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUsc\7f2=>3;8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcY\7fg{682?74nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]{kw:36;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQwos>6:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUsc\7f29>3;8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcY\7fg{6<2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]{kw:?6;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQwos>::7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu1>1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~48:5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^az8479:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRmv<00=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir0<=1299mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~484946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?6;4?3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot2<>3:8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYdq5>5>55aosodak(adkf`#@}zb^vntZvi|{U|Sigif^az808502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSnw36?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6<2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]`}9>9:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRmv<8<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWe\7f\7f0=0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[iss48:5>l5aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp9766;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQcuu>26;4f3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolT`xz312<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWe\7f\7f0<:1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|5;5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp949:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=1=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1:1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|5?5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp909:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=5=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x161289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|535>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^zlv969:h1ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRv`r=33:7g<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUsc\7f2>1?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx7=?0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[}iu4895>l5aosodak(adkf`#@}zb^vntZvi|{U|Sigif^zlv9736;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>2:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUsc\7f2=>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcY\7fg{682?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:36;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>6:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUsc\7f29>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcY\7fg{6<2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:?6;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>::47<ffxfkh`!fm`oo*H688;0bb|bgdl-bidkc&D:=<?4nnpnc`h)nehgg"@>2038jjtjold%jalck.L2747<ffxfkh`!fm`oo*H6<8;0bb|bgdl-bidkc&D:9<?4nnpnc`h)nehgg"@>6038jjtjold%jalck.L2347<ffxfkh`!fm`oo*H608;0bb|bgdl-bidkc&D:5<?4nnpnc`h)nehgg"@=0038jjtjold%jalck.L1547<ffxfkh`!fm`oo*H5:8;0bb|bgdl-bidkc&D9?<?4nnpnc`h)nehgg"@=4038jjtjold%jalck.L1147<ffxfkh`!fm`oo*H5>8;0bb|bgdl-bidkc&D9;<?4nnpnc`h)nehgg"@=8038jjtjold%jalck.L1=47<ffxfkh`!fm`oo*H488;0bb|bgdl-bidkc&D8=<?4nnpnc`h)nehgg"@<2038jjtjold%jalck.L0747<ffxfkh`!fm`oo*H4<8;0bb|bgdl-bidkc&D89<>4nnpnc`h)nehgg"@9119mkwk`mg$m`obd/O524>hhzdmnb#hcbmi,J=773geyajka.gnahn)I1:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89:;S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3457XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc\7f>?03]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R~ats]dgZ~hz9:;?R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!rez,I}iuWyd\7f~Ril_ymq4563W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?017\V`urd};846``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ\7fnup\cfY\7fg{:;<;Q]erwop45?3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVzex\7fQhc^zlv567?VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%~iv Mymq[uhszVmhSua}012;[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(ulq%Ftb|Ppovq[beXpfx;<=7PRdqvhq7402dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U{by|Pgb]{kw6799UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZvi|{UloRv`r1225ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=?=_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt7889T^h}zlu30f>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYseyU}ma{j6^6\|jt789:Ttb2?>2f8jjtjold%jalck.aoohic'jr%~iv Mymq[qkwW\7fkgyh8P4^zlv5678Vrd0=0>12f8jjtjold%jalck.aoohic'jr%~iv Mymq[qkwW\7fkgyh8P4^zlv5678Vrd0=0=1528jjtjold%jalck.aoohic'jr%~iv Mymq[qkwW\7fkgyh8P4^zlv5678Vrd0=0Pbef361=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV:986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_006?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX998>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1006?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX9;8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1206?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX9=8?7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP2368jjtjold%jalck.aoohic'jr%~iv POVQ[GY4:=1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNR:=4:llvhabf'lgnae cminka)dp'xot"^ATS]A[0433geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHT:?:4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]461=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV2986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_80b?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=>=b:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:;=?o4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw67888i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLPxnp34576:h1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r12377d<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?0231e>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>;2c9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;8<<n;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789?9i6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4562WZ];>l5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567>;h0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234375n2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}01255ZUP8;h0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234345n2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}0125[gbc8;k0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos23424f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?01:1g>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>7360`?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=6<9228jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<5Qbaq346c=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?8^obt3413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmh0=0=7:llvhabf'lgnae cminka)dp'xot"~ats]dg9776;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm310<13>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`k5;92?94nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?5685?2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1?;>348jjtjold%jalck.aoohic'jr%~iv povq[be;978=7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril<3<12>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`k595>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>7:70<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni793<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8385>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo191279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:?6;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm39?06?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW98>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_005?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW8:9:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^3263=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojU:>?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\56413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS<:=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ45=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR==5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ25=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR;=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ05=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR9=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ>5=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR7=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54949m6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z18469:h1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=32:7g<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?2>2?0b?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87=>0=a:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~548>5>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6979:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=0=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>1=1289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:5>5>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6939:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=4=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>191289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:525>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{69?9:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2^21<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9S<<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X99827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\544>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P130:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8T=><6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X9=837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\67><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q<299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V>946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[04?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P63:8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U<>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6Z>502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=_80;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq6;2?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|9776;30bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=32:7?<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt1?=>3;8jjtjold%jalck.aoohic'jr%~iv povq[beXp5;82?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|9736;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=3=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0?0=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;;7837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y>7:7><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt1;1299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f4?4946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z?3;4?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu27>3:8jjtjold%jalck.aoohic'jr%~iv povq[beXp535>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[5403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ>299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW8:946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z\544?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ>23:8jjtjold%jalck.aoohic'jr%~iv povq[beXpV;8>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[425?2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRvP2358jjtjold%jalck.aoohic'jr%~iv povq[beXpV99;6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z\071<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTtR;=7:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X>;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^513>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT4?94nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|Z?5j2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv`r12347d<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTtb|?0131f>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrd~=>?23`8jjtjold%jalck.aoohic'jr%~iv povq[beXpfx;<===b:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~hz9:;8?l4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|jt789?9n6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^zlv567>;h0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPxnp34515j2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv`r123<7d<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTtb|?01;1f>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrd~=>>03`8jjtjold%jalck.aoohic'jr%~iv povq[beXpfx;<<?=b:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~hz9::>?l4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|jt78898<6``rlefj+`kjea$oaeboe-`|+tcp&~f|Rxnltg5[5Y\7fg{:;<==>;omqibci&ofi`f!lljol`*e\7f&{ns#yc\7f_wcoq`0X8Vrd~=>?0316?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T<Rv`r12347YT_99:7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\4Z~hz9:;<9=:;omqibci&ofi`f!lljol`*e\7f&{ns#yc\7f_wcoq`0X8Vrd~=>?05]PS5533geyajka.gnahn)ddbgdh"mw.sf{+qkwW\7fkgyh8P0^zlv5678VY\<>;4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y7Wqey<=>?_cfg663<ffxfkh`!fm`oo*ekcdeo#nv!rez,phvX~hf~i;Q?_ymq4567Wkno:>>4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y6Wqey<=>?319mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V8Ttb|?01206>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S?Qwos234555;81ec\7fcheo,ehgjl'jf`abj cy,q`})seyU}ma{j6^0\|jt789:<?;5aosodak(adkf`#nbdmnf,g}(ulq%\7fa}Qyamwf2Z4Xpfx;<=>Pm`r2463<ffxfkh`!fm`oo*ekcdeo#nv!rez,phvX~hf~i;Q=_ymq4567Wdk{?>>4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y4Wqey<=>?339mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V9Ttb|?0122664<ffxfkh`!fm`oo*ekcdeo#nv!rez,phvX~hf~i;Q<_ymq4567:89:7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\7Z~hz9:;<>==;omqibci&ofi`f!lljol`*e\7f&{ns#yc\7f_wcoq`0X;Vrd~=>?02101>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S>Qwos23455X[^:896``rlefj+`kjea$oaeboe-`|+tcp&~f|Rxnltg5[6Y\7fg{:;<=Qmde602>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S>Qwos2345Yjiy;:?=5aosodak(adkf`#nbdmnf,g}(ulq%\7fa}Qyamwf2Z2Xpfx;<=><4:llvhabf'lgnae cminka)dp'xot"zbp^tbhpc1W=Usc\7f>?01]PS55?3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVzex\7fQhc^zlv5678VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%{\7fv Mymq[uhszVmhSua}0122[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=<PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw678:UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1230ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=>:_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt789<T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2342YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^rmpwY`kVrd~=>?8^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:2S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3446XZly~`y?<8:llvhabf'lgnae cminka)dp'}yt"Cwos]sjqtXojUsc\7f>?10]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*rt\7f'Drd~R~ats]dgZ~hz9::>R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuWyd\7f~Ril_ymq4574W[oxyaz>3c9mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\phvX~hf~i;Q;_ymq4567Wqe7<3=k;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^vntZpfd|o=S9Qwos2345Y\7fg5:5=<=k;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^vntZpfd|o=S9Qwos2345Y\7fg5:5><:?;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^vntZpfd|o=S9Qwos2345Y\7fg5:5Sojk0368jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY7:=1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNR?=5:llvhabf'lgnae cminka)dp'}yt"^ATS]A[465=2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS<?=5:llvhabf'lgnae cminka)dp'}yt"^ATS]A[445=2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS<==5:llvhabf'lgnae cminka)dp'}yt"^ATS]A[425<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS?<;;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\772<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKU?>95aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^710>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEW?8?7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLP7368jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY?:=1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNR7=a:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:;>o5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567888j7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34575j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012257g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?020a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<==>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;8?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678=;9m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4562:l1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r1231ZUP8;k0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos23434e3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01426c=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?60]PS54e3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01416c=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?6^`g`54f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?0151e>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>72b9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;4>9=c:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:3?4=?;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\|jt7892Tal~>73d8jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY\7fg{:;<5Qbaq412>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k5:5>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>24;403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh0<?1269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:6:78<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<01=62=ig{glic ilcnh+fjlefn$ou xry-sjqtXoj6:83<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8485>2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo1<1279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:46;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm34?05?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad4<49:6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc=4=63=ig{glic ilcnh+fjlefn$ou xry-sjqtXoj6<2?84nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa?<;413geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh040=5:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ65=2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloR?=6:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ77:?1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQ>1348jjtjold%jalck.aoohic'jr%{\7fv povq[beX9;8=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_0112>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV;?>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]160=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU8>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]760=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU>>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]560=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU<>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb];60=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU2>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6969:h1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2=33:7g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?2>1?0b?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87=?0=a:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54895>l5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{69736;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>2:7?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?2=>3;8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;682?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7:36;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>6:7?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?29>3;8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;6<2?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7:?6;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>::7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q?299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:V;956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[46512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_031=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S<<=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W89956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[42502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_30;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T??64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y3:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^71<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S;<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X?;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3];6==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R7=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~;87827ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y>24;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2>1?0:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6:>3<6;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:6;7827ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y>20;4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2>>3:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp585>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{868502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv34?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6>2?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|909:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<6<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr743<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:>6;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^213>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT=?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z77:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_031<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT=?<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}Y6;;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^3762=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsS?<8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}Y4:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_504?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU>>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{[3403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ8269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fW18<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y]:6g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc\7f>?010a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>>2c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;<?<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89:8>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw678=8i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq4562:k1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23434e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}01246g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc\7f>?090a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>62c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;==<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89;:>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw679;8i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq4574;91ec\7fcheo,ehgjl'jf`abj cy,tv})seyU}ma{j6^2\|jt789:8=6``rlefj+`kjea$oaeboe-`|+qup&~f|Rxnltg5[5Y\7fg{:;<=<<5:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W9Usc\7f>?010\WR6492dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_1]{kw6789>896``rlefj+`kjea$oaeboe-`|+qup&~f|Rxnltg5[5Y\7fg{:;<=:PSV200>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S=Qwos2345YT_99>7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'}g{S{ocud4\4Z~hz9:;<Rlkd316?kiuenoe"kbmlj-`hnkhl&is"z|w/uos[sgk}l<T<Rv`r1234Zdcl?9;7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'}g{S{ocud4\5Z~hz9:;<>>4nnpnc`h)nehgg"mcklmg+f~)\7f{r$x`~Pv`nva3Y5Wqey<=>?339mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V8Ttb|?0120667<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q=_ymq4567?:<0bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]1[}iu89:;S`o\7f1116?kiuenoe"kbmlj-`hnkhl&is"z|w/uos[sgk}l<T>Rv`r1234Zkfx:9;7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'}g{S{ocud4\7Z~hz9:;<><4nnpnc`h)nehgg"mcklmg+f~)\7f{r$x`~Pv`nva3Y4Wqey<=>?1311?kiuenoe"kbmlj-`hnkhl&is"z|w/uos[sgk}l<T?Rv`r123477492dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_2]{kw678998>6``rlefj+`kjea$oaeboe-`|+qup&~f|Rxnltg5[6Y\7fg{:;<==<349mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V9Ttb|?0120[VQ7;<1ec\7fcheo,ehgjl'jf`abj cy,tv})seyU}ma{j6^1\|jt789:Tnij;379mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V9Ttb|?012\idv69::0bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]7[}iu89:;?95aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z2Xpfx;<=>PSV22b>hhzdmnb#hcbmi,vaYci}kTob{at^k\44`<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ7582dd~`ijn/dofim(zmUomyoPcnwmpZoX998;7ca}mfgm*cjedb%yhRjnt`]`kphsW`U:=?>4nnpnc`h)nehgg"|k_ecweZeh}g~TeR?=219mkwk`mg$m`obd/sf\`drfWje~byQf_0114>hhzdmnb#hcbmi,vaYci}kTob{at^k\517a3geyajka.gnahn)ulVnjxlQlotlw[lY59o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW:;m7ca}mfgm*cjedb%yhRjnt`]`kphsW`U?=k5aosodak(adkf`#\7fjPd`vb[firf}UbS8?i;omqibci&ofi`f!}d^fbpdYdg|d\7fSdQ91g9mkwk`mg$m`obd/sf\`drfWje~byQf_63e?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h];5c=ig{glic ilcnh+wbXlh~jSnaznu]j[<473geyajka.gnahn)ux{ofci!]AL]Q@ZCI9;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:76;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:66;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:56;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:46;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:36;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:26;n0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="mnrs{maq:16:o0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="k~c`qf*go`&df{W=S!frv-icou{}9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!jqbcpa+dno'gg|V?R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP>P bie,ng|5e3geyajka.gnahn)s`{gdh"ojlnejg*efm8%n}no|e/`jc+kkxR9V"`gk.laz7g=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'l{hm~k!bhe-iiv\<T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)byjkxi#lfg/oot^3Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+`wdizo%ndi!mmrX2X(jam$fot=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-fufgtm'hbk#ccpZ5^*hoc&dir>:5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3?4;403geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=1?1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7;:78<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1=1=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;783<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5939:>1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?36?04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q95=5>k5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3\4Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5Z7Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7X:Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q9V9Tmcj?01226c=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;T8Road123444a3geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=R;Paof34566:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?P6^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1^5\jjr789::>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|5:5>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|5;5>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|585>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|595>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|5>5>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|5?5>i5aosodak(adkf`#yf}mnf,e`jho`i$olk=/bcqv|hb|5<5?h5aosodak(adkf`#yf}mnf,e`jho`i$olk=/ds`evc)j`m%aa~T0\,ewq(jn`xxx>l4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[0_-ilb)ejs8n6``rlefj+`kjea$xe|boe-baii`aj%hmh< epabw`(ean$f`}U=]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"k~c`qf*go`&df{W>S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nemmdmf)dil8$i|mnsd,amb(jdyQ?Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&ozol}j.ckd*hjwS<W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(mxij\7fh mif,nhu]1U'gbh#cly2`8jjtjold%jalck.vkvhic'hogcjgl/bcf6*cvkhyn"ogh.lns_2[)e`n%anw=7:llvhabf'lgnae tipnka)fmeelen!lad0,r4:76;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x><0<13>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8692?94nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t28685?2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<2;>358jjtjold%jalck.vkvhic'hogcjgl/bcf6*p64<49;6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0>5:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:0:0=f:llvhabf'lgnae tipnka)fmeelen!lad0,r4Y7Whdo<=>?13d8jjtjold%jalck.vkvhic'hogcjgl/bcf6*p6W8Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8U9Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:S>Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<Q;_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x>_4]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0]5[dhc89:;=>;4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t2[2Yig}:;<=?PIOT\47b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>3:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>2:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>1:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>0:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>7:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>6:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ij~\7fwaeu>5:6c<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ozol}j.ckd*hjwS9W%j~z!mgkqwq5e3geyajka.gnahn)s`{gdh"oilnejg*efn8%n}no|e/`jc+kkxR;V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'l{hm~k!bhe-iiv\:T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)byjkxi#lfg/oot^5Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+`wdizo%ndi!mmrX0X(jam$fot=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-fufgtm'hbk#ccpZ7^*hoc&dir?o5aosodak(adkf`#yf}mnf,ecjho`i$olh>/ds`evc)j`m%aa~T6\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!jqbcpa+dno'gg|V9R.lkg*he~:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#{?30?04?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)q95;5>:5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3?6;403geyajka.gnahn)s`{gdh"oilnejg*efn8%}=1=1269mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+s7;<78<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!y1=7=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'\7f;7:3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-u5919:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#{?P0^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!y1^3\ekb789::>k5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3\6Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-u5Z5Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+s7X<Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)q9V?Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'\7f;T:Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn8%}=R9Pnnv34566:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp959:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp929:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp939:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#no}rxlfp909;l1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(mxij\7fh mif,nhu]3U'gbh#cly2`8jjtjold%jalck.vkvhic'hlgcjgl/bce6*cvkhyn"ogh.lns_0[)e`n%anw<b:llvhabf'lgnae tipnka)fneelen!lag0,atef{l$iej blqY5Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.grgdub&kcl"`b\7f[6_-ilb)ejs9;6``rlefj+`kjea$xe|boe-bbii`aj%hmk< v0>3:71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&|:0<0=7:llvhabf'lgnae tipnka)fneelen!lag0,r4:56;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"x><2<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~86?2?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t28085?2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<29>358jjtjold%jalck.vkvhic'hlgcjgl/bce6*p64>49j6``rlefj+`kjea$xe|boe-bbii`aj%hmk< v0]3[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t2[4Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag0,r4Y5Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce6*p6W:Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~8U?Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&|:S8Qnne234575n2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<Q9_`lg45679:?0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"x>_6]mkq6789;TECXP03:8jjtjold%jalck.vkvhic'lfdkdm ELRW]ZHHF[OL>o5aosodak(adkf`#yf}mnf,aii`aj%NA]ZV_OMMV@AXa88<7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'[KFSHC_TX]j6d=ig{glic ilcnh+qnuefn$iaahib-`5*efz{seiy2?>3c8jjtjold%jalck.vkvhic'lfdkdm c0-`ewt~fl~7=3<n;omqibci&ofi`f!{hsol`*ckgnch#n? c`pq}kcs4;49m6``rlefj+`kjea$xe|boe-fhjank&i:#no}rxlfp959:h1ec\7fcheo,ehgjl'}byabj emmdmf)d9&ij~\7fwaeu>7:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g4)di{xrbhz35?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,gdtuqgo\7f0;0<b:llvhabf'lgnae tipnka)bdfmbo"m>/ds`evc)j`m%aa~T0\,ewq(jn`xxx>64nnpnc`h)nehgg"zgrlmg+`jho`i$o<!jqbcpa+dno'gg|V?R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d9&ozol}j.ckd*hjwS;W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX7X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n? epabw`(ean$f`}U;]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k8%n}no|e/`jc+kkxR?V"`gk.laz7==ig{glic ilcnh+qnuefn$iaahib-`5*cvkhyn"ogh.lns_3[)e`n%anw<8:llvhabf'lgnae tipnka)bdfmbo"m>/ds`evc)j`m%aa~T7\,nma(jkp8?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<2?>368jjtjold%jalck.vkvhic'lfdkdm c0-u5979:=1ec\7fcheo,ehgjl'}byabj emmdmf)d9&|:0?0=4:llvhabf'lgnae tipnka)bdfmbo"m>/w3?7;433geyajka.gnahn)s`{gdh"kcofk`+f7(~86?2?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=7=61=ig{glic ilcnh+qnuefn$iaahib-`5*p64?4986``rlefj+`kjea$xe|boe-fhjank&i:#{?37?0`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4Y7Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c0-u5Z7Xign;<=>>2b9mkwk`mg$m`obd/ujqijb(meelen!l1.t2[7Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m>/w3\7Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]7[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^7\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_7]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i:#{?P7^llp567888j7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$ol|}yogw8585i2dd~`ijn/dofim(|axfci!jlnejg*e5'jky~t`jt=3=6d=ig{glic ilcnh+qnuefn$iaahib-`6*efz{seiy2=>3c8jjtjold%jalck.vkvhic'lfdkdm c3-`ewt~fl~7?3<n;omqibci&ofi`f!{hsol`*ckgnch#n< c`pq}kcs4=49m6``rlefj+`kjea$xe|boe-fhjank&i9#no}rxlfp939:h1ec\7fcheo,ehgjl'}byabj emmdmf)d:&ij~\7fwaeu>5:6d<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+`wdizo%ndi!mmrX5X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n< epabw`(ean$f`}U=]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k;%n}no|e/`jc+kkxR9V"`gk.laz7==ig{glic ilcnh+qnuefn$iaahib-`6*cvkhyn"ogh.lns_1[)e`n%anw<8:llvhabf'lgnae tipnka)bdfmbo"m=/ds`evc)j`m%aa~T5\,nma(jkp937ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$i|mnsd,amb(jdyQ=Q#cfd/o`}6><ffxfkh`!fm`oo*rozdeo#hb`gha,g7)byjkxi#lfg/oot^1Z&dco"`mv259mkwk`mg$m`obd/ujqijb(meelen!l2.t28585<2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;7=3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>1:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9595>95aosodak(adkf`#yf}mnf,aii`aj%h>"x><5<10>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7;=78?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<29>368jjtjold%jalck.vkvhic'lfdkdm c3-u5919:j1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:S=Qnne234575k2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;T=Road123444d3geyajka.gnahn)s`{gdh"kcofk`+f4(~8U9Sl`k012357e<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9V9Tmcj?01226f=ig{glic ilcnh+qnuefn$iaahib-`6*p6W=Ujbi>?0131g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X=Vkeh=>?000`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4Y1Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c3-u5Z1Xff~;<=>>259mkwk`mg$m`obd/ujqijb(meelen!lolr2kpr5<2dd~`ijn/dofim(|axfci!jlnejg*ehey8dyy<8;omqibci&ofi`f!{hsol`*`kgnch#K[CL^LLJWC@:h1ec\7fcheo,ehgjl'}byabj fmmdmf)A]EFTBB@]EF]j570<ffxfkh`!fm`oo*rozdeo#kb`gha,VDKXN\FGSd<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~7<3<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~7=3<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~7>3<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~7?3<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~783<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~793<k;omqibci&ofi`f!{hsol`*`kgnch#nabp0-`ewt~fl~7:3=j;omqibci&ofi`f!{hsol`*`kgnch#nabp0-fufgtm'hbk#ccpZ2^*cus&dlb~~z<b:llvhabf'lgnae tipnka)adfmbo"m`mq3,atef{l$iej blqY2Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.grgdub&kcl"`b\7f[3_-ilb)ejs8n6``rlefj+`kjea$xe|boe-ehjank&ida}? epabw`(ean$f`}U<]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="k~c`qf*go`&df{W9S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!ilnejg*ehey;$i|mnsd,amb(jdyQ>Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ozol}j.ckd*hjwS?W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(mxij\7fh mif,nhu]0U'gbh#cly358jjtjold%jalck.vkvhic'ofdkdm cnos5*p64949;6``rlefj+`kjea$xe|boe-ehjank&ida}? v0>2:71<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&|:0?0=7:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4:46;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x><5<13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(~86>2?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.t28385?2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<28>3d8jjtjold%jalck.vkvhic'ofdkdm cnos5*p6W9Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(~8U:Sl`k012357`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&|:S?Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<Q<_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x>_5]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}? v0]6[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.t2[3Yffm:;<=?=f:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4Y0Wge\7f<=>?13f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy2?>3f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy2>>3f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy2=>3f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy2<>3f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy2;>3f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy2:>3f8jjtjold%jalck.vkvhic'ofdkdm cnos6*efz{seiy29>2g8jjtjold%jalck.vkvhic'ofdkdm cnos6*cvkhyn"ogh.lns_5[)nz~%akg}su1a?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)byjkxi#lfg/oot^7Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(neelen!lolr1+`wdizo%ndi!mmrX6X(jam$fot=m;omqibci&ofi`f!{hsol`*`kgnch#nabp3-fufgtm'hbk#ccpZ1^*hoc&dir?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/ds`evc)j`m%aa~T4\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V;R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#h\7flarg-fla)eezP:P bie,ng|5e3geyajka.gnahn)s`{gdh"hcofk`+fijx;%n}no|e/`jc+kkxR=V"`gk.laz62=ig{glic ilcnh+qnuefn$jaahib-`khv5'\7f;7<3<8;omqibci&ofi`f!{hsol`*`kgnch#nabp3-u5979:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#{?32?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q9595>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/w3?0;403geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=1;1269mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7;>78<7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!y1=5=6c=ig{glic ilcnh+qnuefn$jaahib-`khv5'\7f;T<Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=R?Paof34566:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#{?P2^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!y1^1\ekb789::>k5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/w3\0Zgil9:;<<<i;omqibci&ofi`f!{hsol`*`kgnch#nabp3-u5Z3Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7X>Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q9V=Tbbz?012263=ig{glic ilcnh+qnuefn$jaahib-`khvXn|fg=?84nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f_gwoh7473geyajka.gnahn)seyU{by|Pr^fjbc:76;;0bb|bgdl-bidkc&~f|R~ats]q[aoan5;;2??4nnpnc`h)nehgg"zbp^rmpwYuWmcmj1?>>338jjtjold%jalck.vntZvi|{UySigif=31:77<ffxfkh`!fm`oo*rjxVzex\7fQ}_ekeb9746;;0bb|bgdl-bidkc&~f|R~ats]q[aoan5;?2?>4nnpnc`h)nehgg"zbp^rmpwYuWmcmj1?1219mkwk`mg$m`obd/uos[uhszVxThdhi<3<14>hhzdmnb#hcbmi,phvXxg~yS\7fQkigd?7;473geyajka.gnahn)seyU{by|Pr^fjbc:36;:0bb|bgdl-bidkc&~f|R~ats]q[aoan5?5>=5aosodak(adkf`#yc\7f_qlwvZtXl`lm0;0=0:llvhabf'lgnae tlr\tkruW{Uoekh37?03?kiuenoe"kbmlj-wiuYwf}xT~Rjffg>;:76<ffxfkh`!fm`oo*rjxVzex\7fQ}_ekeb9?9:91ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a4949=6``rlefj+`kjea$x`~Ppovq[rYcaol7==0=1:llvhabf'lgnae tlr\tkruW~Uoekh310<15>hhzdmnb#hcbmi,phvXxg~ySzQkigd?578592dd~`ijn/dofim(|dzT|cz}_v]gmc`;9:49=6``rlefj+`kjea$x`~Ppovq[rYcaol7=90=0:llvhabf'lgnae tlr\tkruW~Uoekh31?03?kiuenoe"kbmlj-wiuYwf}xT{Rjffg>1:76<ffxfkh`!fm`oo*rjxVzex\7fQx_ekeb959:91ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a4=49<6``rlefj+`kjea$x`~Ppovq[rYcaol793<?;omqibci&ofi`f!{mq]sjqtX\7fVnbjk29>328jjtjold%jalck.vntZvi|{U|Sigif=5=65=ig{glic ilcnh+qkwWyd\7f~RyPdhde8=8582dd~`ijn/dofim(|dzT|cz}_v]gmc`;178;7ca}mfgm*cjedb%||\7fkboe-QEHYPZVOE=?<4nnpnc`h)nehgg"y\7frdol`*TFEV]YSH@>_03e?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]35c=ig{glic ilcnh+rtXlh~jSnaznu]j[4473geyajka.gnahn)pzVnjxlQlotlw[lY68;:0bb|bgdl-bidkc&}ySio{a^alqkrXaV;:>=5aosodak(adkf`#z|Pd`vb[firf}UbS<<=0:llvhabf'lgnae ws]geqgXkf\7fexRgP1203?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]204`<ffxfkh`!fm`oo*quWmk\7fmRm`uov\mZ46n2dd~`ijn/dofim(\7f{UomyoPcnwmpZoX;8l0bb|bgdl-bidkc&}ySio{a^alqkrXaV>:j6``rlefj+`kjea${\7fQkauc\gjsi|VcT9<h4nnpnc`h)nehgg"y}_ecweZeh}g~TeR8>f:llvhabf'lgnae ws]geqgXkf\7fexRgP70d8jjtjold%jalck.uq[agsiVidyczPi^:2b>hhzdmnb#hcbmi,swYci}kTob{at^k\=41<ffxfkh`!io`oo*tcW{y\7fSkl>a:llvhabf'cenae re]qwqYnf}U;=55aosodak(nfkf`#\7fjPrrv\v`a6?2dd~`ijn/kmfim(\7f{Uy\7fyQib0:8jjtjold%eclck.uq[wusW{ol:85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|V:Taxv?012223=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^33[hs\7f89:;=;84nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[d(eagnnoRgasu]b+`hh}}U:=Rczx12347013geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv\57Yj}q:;<=?96:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS<=Pmtz34565>?1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo eomvpZ73Wd\7fs<=>?1748jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWh$iecjjc^kmwqYf'lddyyQ>5^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX9Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP2^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX;Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP4^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX=Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP6^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX?Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP8^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX1Vg~t=>?0074?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&D?9:5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,J0303geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRl!bhlgafYnfz~Tn"@9659mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~xR>Pmtz3456102dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww[5Yj}q:;<=Q\W045?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxzP11]nq}6789;=;6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjssW8:Taxv?0121530<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu]25Zkrp9:;<<88;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZd)j`doinQfnrv\f*cig|~T=<Qbuy234576><1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvpZ75Wd\7fs<=>?689mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~xR?=_lw{4567WZ]::;5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|V;8S`{w0123531<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu]27Zkrp9:;<<?96:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7fS<:Pmtz34566>?1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvpZ72Wd\7fs<=>?1778jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyyQ>_lw{45679??0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqqY5Wd\7fs<=>?1778jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyyQ<_lw{45679?>0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqqY3Wd\7fs<=>?699mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~xR:Pmtz3456X[^;=96``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjssW<Ufyu>?01351>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_7]nq}6789;=96``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjssW>Ufyu>?01350>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_9]nq}6789<37ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX0Vg~t=>?0^QT533<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu]:[hs\7f89:;=9o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;87>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8469<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>25;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<00=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`hfWhxymt2>3?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0<:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip6:93:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jn`]bvwg~484?m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$icoPaspb}949<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>0:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*ciiVky~lw34?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr080;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5<58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:06=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oemRo}r`{?<;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<8<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akdXi{xju1>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6:<3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~48;58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:6:7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8459<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>20;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<07=0d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2>>5c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmfZguzhs7>3:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4:4?m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}929<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>6:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw36?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0:0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq5258l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:>6<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`0=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c1X8<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`0W8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya?V;;9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo=T=<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um;R?=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk9P127e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi7^371c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g5\503a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e3Z71=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c1X9>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya?V;39k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo=T=4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um;R<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj:Q=04d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh8_336b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf6]160`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d4[752n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b2Y5<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`0W;?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn>U9:8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl<S?9:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj:Q=84d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh8_3;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf6]01c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g5\753a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e3Z56=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c1X;;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya?V>>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn>U>9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo=T:8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl<S:;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um;R6:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj:Q65b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk8:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj;?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj;??5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk8>14g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh9137f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi6016a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf7371`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g4210c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d5533b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e2412m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b37?=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c061<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`1:<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`1:9?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya>;;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn?899h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo<9?8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl=>9;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um:?;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj;<95d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk8=74g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh9297f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi63;6`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf716a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf7131`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g4050c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d5773b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e2652l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b322l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b332l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b302l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b312l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b3>2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b3?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b3Y7=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c0X9<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`1W8:>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn?U:=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl=S<<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj;Q>34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh9_066b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf7]210`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d5[402n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b3Y6?<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`1W82>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn?U:58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl=S?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um:R<?5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk8P207e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi6^011c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g4\663a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e2Z43=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c0X:<?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya>V8=9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo<T>:;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um:R<75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk8P287f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi6^16b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf7]040`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d5[672n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b3Y4:<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`1W=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya>V?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn?U=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo<T;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl=S5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um:R7:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8?>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>24g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:127f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5066a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4361`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7220c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6523b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e14>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b07>=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c35=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c358<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:8?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;8>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<889h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?988k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>>8;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9?8:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8<85d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;=84g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:287g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi527f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5226a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4121`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7060c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6763c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e113c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e103c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e133c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e123c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1=3c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1<3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z62m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y6=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X99?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V;:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R?<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P157e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^361c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\533a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z70=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X91?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V;29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S?>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q=14d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_306b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]170`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[722n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y5=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W;<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U9;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S?6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q=94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_27e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^131c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\743a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z55=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X<<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W<?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V<>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U<9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T48k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S4;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl==8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl===;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um:<?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj;?=5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk8>34g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh9157f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi6076a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf7351`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g4230c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d55=3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e24?2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b342m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b347=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c059<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`1:;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya>;9>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn?8?9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo<998k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl=>;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um:?9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj;<75d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk8=94f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh934g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh9317f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi6236a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf7111`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g4070b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d500b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d510b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d520b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d530b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d5<0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d5=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d5[53b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e2Z72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b3Y68<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`1W8;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn?U:>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl=S<=:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj;Q>44d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh9_076b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf7]220`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d5[412n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b3Y60<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`1W83>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn?U99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo<T>=;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um:R<>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk8P237e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi6^001c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g4\613a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e2Z42=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c0X:??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya>V8<9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo<T>5;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um:R<65d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk8P34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh9_226b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf7]050`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d5[642m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b3Y3=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c0X=<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`1W??n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya>V=>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn?U39h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo<T5874nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\143e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4331g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:107a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8?=5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>=>;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<;?9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y29<?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[071=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6523e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_43;1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:24`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9?<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?9;8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=;2>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z351<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\163e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4131g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:307a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8==5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>?>;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z32=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]620g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP567b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS86:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?29n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<;;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?:=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>=?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9<=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8?;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;>54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:177f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi5056a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf43;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g72=0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d660c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6653b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1772m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b045=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c35;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2:=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya=;?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<8=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?9;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>>5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9?7:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8=?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;<14g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:337f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi5216a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4171`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g7010c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6733b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1612m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b05?=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c341<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2<<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2<9?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya==;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<>99h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo???8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>89;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um99;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8:95d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;;74g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:497f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi55;6`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf476a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4731`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g7650c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6173b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1052m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b033=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c32=<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2=??n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya=<=>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<?39h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?>58j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>:8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>:=;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9;?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj88=5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;934g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:657f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi5776a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4451`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g7530b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d630b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6<0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6[53b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1Z72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b0Y68<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2W8;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<U:>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>S<=:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8Q>44d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:_076b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4]220`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6[412n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b0Y60<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2W83>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<U99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?T>=;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9R<>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;P237e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi5^001c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g7\613a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1Z42=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c3X:??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya=V8<9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?T>5;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9R<65d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;P34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:_226b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4]050`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6[642n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b0Y4;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2W:>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<U898h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>S>8:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8Q<74d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:_2:6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4]0=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6[13a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1Z27=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c3X<8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya=V>99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?T8>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9R:;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;P447e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi5^651c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g7\023a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1Z2?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c3X<0?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya=V?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<U><8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>S8?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8Q:24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh:_416b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf4]600`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d6[032n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b0Y2><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`2W<=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn<U>48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl>S87:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8Q95g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;P617e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi5^421c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g7\273a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e1Z04=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c3X>=?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya=V<>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo?T:;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um9R9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj8Q75d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk;P94;8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:8o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>8?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[377=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]55562k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^42443e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7321g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9137a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?<5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU==9;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;>9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y19??i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[370=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]55=3e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_73:1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ924`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?>:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<9=8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;8>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z05;<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2722j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4110d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6346f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8=74`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?6:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<958o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>:?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[357=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5743e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7111g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9327a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;=;5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=?8;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?9=9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1;>?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[35?=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]57<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_766f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8;04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:9?:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<?>8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>=9>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z03<<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2132j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4720d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6556f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8;84`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:97:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<>9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1=9?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[336=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5173e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7701g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9557a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;;:5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=9;;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?<>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z01><h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2312j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^45<0g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP667b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;6:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<3=8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>18>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0?;<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2=22j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4;10d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6946f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8774`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:56:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<358o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>0?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3?7=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5=43e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7;11g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9927a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;7;5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=58;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?3=9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y11>?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3??=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5=<3d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e10b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d650c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d6553b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e1472m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b075=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c36;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`29=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya=8?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn<;=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo?:;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>=5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um9<7:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj8<:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj8<?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk;=14g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh:237f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi5316a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf4071`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g7110c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d6633b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e1712m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b04?=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c351<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`2;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`2;9?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya=:;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn<999h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo?8?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>88j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>98j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>48j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>S=;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um9R?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj8Q>04d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh:_036b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf4]260`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d6[452n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b0Y6<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`2W8?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn<U::8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>S<9:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj8Q>84d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh:_0;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf4]11c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g7\653a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e1Z46=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c3X:;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya=V889k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo?T>9;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um9R<:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk;P277e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi5^041c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g7\6=3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e1Z4>=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c3X;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`2W::>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn<U8=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl>S><:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj8Q;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk;P54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh:_77f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi5^56a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf4];1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g7\=0?<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP74c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;<;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>;;9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y099:>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1688?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[276=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4573e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6301g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8157a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:?:5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<=;;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>;<9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y091?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[27>=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]460d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7326f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9=14`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;?<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=9?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?;>>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z15=<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3702j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5130d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP73:6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9=94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;>;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>9;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0;8?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[255=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4763e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6171g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8347a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:=95c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<?:;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>939o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0;0?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[222j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5740d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7536f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9;24`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;9=:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=?88l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?=?>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z13><h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3112j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^57<0d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP75;6e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9:5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<9=;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>?:9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0=;?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[234=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4113e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6761g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8577b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:8:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV==:8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X??=>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z110<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\323f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6:6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9714`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;5<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=3?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?1>>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1?=<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3=02j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5;30d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP79:6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9794c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;4;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>3;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y018?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2?5=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4=63e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6;71g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8947a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:795c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<5:;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>339o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y010?27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_936f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6>04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4<?:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2:>8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X089>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>6<<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<432j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:220d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8056f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6>84c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4?;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1899o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?:>?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=4?=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];6<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_916f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6<04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4>?:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV28>8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0:9>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>3=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];10g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP877b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS59:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV239l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?1=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H68=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H689>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I99;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8:98h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;;?9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:<9:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E==;;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<>94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C??75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>096f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A11;7a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0330`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3251`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L25473m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J475<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K76;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H69=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I98??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;:;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:=5:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=<7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<<;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<<?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?=15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>236f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1317a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0070`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3111c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2632b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5713m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J44?<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K751=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6;9>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9:;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8998h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;8?9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:?9:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=>;;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<=94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?<75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>396f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A12;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N067a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0630`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3751c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2072b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5153m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J423<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K73==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6<?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9==?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8>38h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;?59j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:99k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:9=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=8?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<;=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?:35g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>556g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A176f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1707`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N057a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0530`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O34<1c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L23<2c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5=63m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J4>6<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K7?:=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H60:>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I91>?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F82>8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;3:9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:59k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:59:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>=<;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B??;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B???4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<>15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=196f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A20;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N307a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3030`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0151c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1672b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6753m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J743<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K45==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5:?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:;=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;838h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8959j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9?9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9?=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>>?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?==4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<<35g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=356f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2277a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3150`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0031c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L17=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M66?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J723m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J727<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K439=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5<;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:=9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;>?8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8?99k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D98;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>99;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?:74d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<;95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=55g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=516f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2437a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3710`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0671c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1112b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6033m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J731<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K42?=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5=1>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:<3?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;<?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;<;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8==9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9:?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>;=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?8;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<955g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=676f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2757a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N34;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O05=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L131c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1352b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6273m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J715<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K40;=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H50=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H51=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H48=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H49=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H498>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;;>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;:>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;=>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;<>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;?>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;>>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;1>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;0>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<9>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<8>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<8;?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=8?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=9?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=>?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=??h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=<?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F==?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=2?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=3?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<:?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<;:8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?98i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?88i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G??8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?>8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?=8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?<8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?38i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?28i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G<;8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G<:8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G<:=9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=>9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=?9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=89j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=99j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=:9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=;9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=49j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=59j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<<9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<=<:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;?:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;>:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;9:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;8:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;;:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;5:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E4=:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E4<:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E4<?;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5<;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5=;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5;;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B58;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B59;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B56;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B57;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4>;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4?>4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7=4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7<4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7;4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7:4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C794e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C784e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C774e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C76549mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksa969=<1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1?1549mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksa949=<1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1=1559mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksaZ62<2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS<;;;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg\602<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxlU88o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg6;87>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva4979<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc2?6;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm0=1=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo>34?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i<1;14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k:7:3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre85=58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg6;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva55:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`24979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b02?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>0=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<>37?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i==27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:=1>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:0<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8;7>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd695>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg764<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva54:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`259>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b00?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>2=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<<35?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?29>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;9050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj897<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6;5858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf45;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc308283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b01?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm15>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>4=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<:33?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=92;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:81;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8>7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9=6329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6=5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg72484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf43;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`21929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc368083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm14>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>5=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?9<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<831?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h::1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8<793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?6=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6>5=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg71414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf41;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`23949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc348683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b05?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm16>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?8<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<938?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=52?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:41?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;30?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj827?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd605?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?4?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4>;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5=:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2=969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3:8483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0;?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm18>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>9=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<736?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=428>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:51614c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;7<3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre95;58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7;:7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5959<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3?0;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm1=7=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?36?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=1914c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:96;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd585;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg474;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva65:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`14939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc038383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b32?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm21>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=1=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<><0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn??32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8:080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;;7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:86<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd595258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg45494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf74;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`16959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc018183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b30?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm23>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=2=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<=<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?=30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9?1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k880>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;9783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre::6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5;5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg444>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf75;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`10979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b36?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm25>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=4=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<;<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?:37?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>927>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h991>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;?7>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:<6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5=5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg424<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva60:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`119>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b34?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm27>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=6=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<9<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?835?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>;29>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9:1914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8=050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;=7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:>6:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5?5858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg404:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva62:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`13909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc048283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b35?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm29>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=8=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<7<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?633?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>52;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h941;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k830;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;27;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:16329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd515:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg4>484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf7?;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6<:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`1=929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc0:8083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b3;?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm28>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=9=:=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<30?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>1?14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k87>3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:5958o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg4;<7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6939<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc0?2;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm2=5=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?=2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8<1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9;0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj::7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;96?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd485?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg574?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf66;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva75:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`05969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc128483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b23?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm30>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<1=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=><4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>?36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?<28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8=1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k990=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:87=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;;6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4:5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg554=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf64;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva77:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`06919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc118=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b21?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm32>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<3=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=<<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>=34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?>2:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8?1814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k980:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:9743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;=6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4<5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg534;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf62;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva71:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`00939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc178383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b26?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm35>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<5=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=:<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>;32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?82<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h891:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9>080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:?7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;<6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4=5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg51494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf60;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva73:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`02959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc158183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b24?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm37>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<6=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=9<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>930?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?:2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8;1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9<0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:=783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;>6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4?5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg504>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf61;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva7=:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`0<979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1;8783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b2:?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm39>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<8=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=7<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>637?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?527>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h851>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k920<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:37>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;06829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd415>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5>4<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf6?;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva7<:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`0=9>9<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1?4;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm3=3=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=32?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?1=14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9783:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;5?58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5;>7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva7919<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm41>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;0=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:?<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9>33?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8=2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?<1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>;0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=:7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<96329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd395:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg26484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf17;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva04:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`75929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc628083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b53?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm40>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;1=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:=<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9<31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8?2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?>1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>9090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=8793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<;6=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3:5=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg25414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf15;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva06:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`77949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc608683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b51?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm42>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;3=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:<<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9=38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i892?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?81?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>?0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=>7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<=6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3<5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg234?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf12;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva01:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`71969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc668483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b57?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm44>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;5=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo::<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9;36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8828>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?91614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>=0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=<7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<?6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3>5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg214=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf10;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva03:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`72919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc658=83j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>3:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;<0<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn92=>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?0>0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=6?29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd34<4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:16=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`78283j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>;:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:<1<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn82>>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>0?0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<6829l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd24=4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:26=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`68383j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>4:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:<9<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;2?>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=0<0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6929l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd14:4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:36=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`58083j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>5:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl9<6<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;27>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<0=0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6:29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd04;4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:46=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`48183j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>6:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl8<7<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:28>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<050;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16;29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?484?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:56=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;8683j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>7:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl7<4<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn529>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h30:0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16329l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>494?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:66=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:8783j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>0:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl6<5<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn42:>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h20;0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj06<29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>414?m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf969<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc>2:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl32?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i0>0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj5>58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg:26=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`?2;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm<6<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn161469mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[`he<>>27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qjnc64642c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUnbo:820]PS42>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUnbo:8276g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYbfk><>;Q\W36:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYbfk><?;:7;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]fjg20<=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRkab557[VQ6<11ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSh`m46;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXmgh?;Rcnp007g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXmgh?;Rcnp36`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYbfk><S`o\7f55a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZcij==Tal~6689mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS9W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_46Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ32Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>2\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=>S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8>V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^72U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY22X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T16_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<6R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;2Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY14X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T20_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?<R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR88Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]5<T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX60[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[34^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ94P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:0W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:45aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_7[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[22^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V=>]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ8>P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\;:W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_62Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ16Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U<6\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP?:S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS:2V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^5>U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY0Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U;0\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP8<S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS=8V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^24U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY70X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T44_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W98R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR><Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]9?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]30T$ym` }/w6-vdk([MZ%OL]LAEGG[UTNE'IT_I^PB628jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR>3Q#|nm/p,r1(uid%XH] LARAB@@BXX[CF"NQ\DQ]W20=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W96R.scn*w)q<'xja"mnsbcgalhfW}g{:85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_1>Z&{kf"\7f!y4/pbi*ef{jkoid`m_uos35=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W97R.scn*w)q<'xja"]KP/ABWFGCMMU[^DC!C^QGTZD082dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T48_-vdk)z&|?"\7fob/RFS*FGTKHNNHR^]IL,@[VBWW]<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY7=X(uid$y#{:!r`o,gdudimobblQ{mq46?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ?5P }al,q+s2)zhg$ol}laegjjgYsey<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY7Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U173geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U:0\,qeh(u'\7f>%~lc SER-GDUDIMOOS]\FM/A\WAVXJ>:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ73Y+tfe'x$z9 }al-P@U(DIZIJHHJPPSKN*FYTLYU_:85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_06Z&{kf"\7f!y4/pbi*ef{jkoid`n_uos20=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W8>R.scn*w)q<'xja"mnsbcgalheW}g{;=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_07Z&{kf"\7f!y4/pbi*UCX'IJ_NOKEE]SVLK)KVYO\RL80:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\=8W%~lc!r.t7*wgj'ZN["NO\C@FF@ZVUAD$HS^J__U46?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ>=P }al,q+s2)zhg$ol}laegjjdYsey<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY65X(uid$y#{:!r`o,gdudimobboQ{mq53?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ>>P }al,q+s2)zhg$_I^!C@Q@EACCWYXBA#MPSER\F26<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V;=]/pbi+t(~=$ym`!\DQ,@EVEFLLNT\_GB.B]P@UYS><1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[40^*wgj&{%}8#|nm.abwfgcm`djSyc\7f649mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS<8V"\7fob.s-u0+tfe&ij\7fnokehla[qkw?91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[41^*wgj&{%}8#|nm.QGT+EF[JKOIIQ_RHO-GZUCXVH<<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX16[)zhg%~"x;.scn+VBW&JKXOLJJD^RQMH(DWZN[SY8:;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]2;T$ym` }/w6-vdk(khyhmikfn`]wiu023geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U:3\,qeh(u'\7f>%~lc c`q`eacnfkU\7fa}9?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]2<T$ym` }/w6-vdk([MZ%OL]LAEGG[UTNE'IT_I^PB628jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR??Q#|nm/p,r1(uid%XH] LARAB@@BXX[CF"NQ\DQ]W20=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W8:R.scn*w)q<'xja"mnsbcgalhfW}g{:85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_02Z&{kf"\7f!y4/pbi*ef{jkoid`m_uos2<=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W8S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_689mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS?W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:45aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_2[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>01ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[9_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2<=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W4S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_1b9mkwk`mg$fi`lck.vntZtfeVxoSh`>d:llvhabf'gnaobd/uos[wgjW{nTic?7;ntfvcjh\7fh1{~biPelrw}42<x{elShc\7ftx]wlwct`!:"=95\7frne\ahvsqV~c~h}g(0+21>vugnUna}zv_ujqavn/99#:96~}of]fiur~W}byi~f'10+21>vugnUna}zv_ujqavn/9;#:96~}of]fiur~W}byi~f'12+21>vugnUna}zv_ujqavn/9=#:86~}of]fiur~W}byi~f'2(37?uthoVof|ywPtipfwm.4!8>0|\7fah_dosp|Ys`{oxd%:&159svjaXmdz\7fuRzgrdqk,0/6<2zycjQjmqvz[qnumzb#:$?;;qplcZcjx}sTxe|jsi*4-42<x{elShc\7ftx]wlwct`!2"=95\7frne\ahvsqV~c~h}g(8+20>vugnUna}zv_ujqavn;87;>7}|`g^gntq\7fX|axn\7fe2>0?36?uthoVof|ywPtipfwm:697;>7}|`g^gntq\7fX|axn\7fe2>2?36?uthoVof|ywPtipfwm:6;7;<7}|`g^gntq\7fX|axn\7fe2>4;2=50=wzfmTi`~{y^vkv`uo48>5=95\7frne\ahvsqV~c~h}g<0<20>vugnUna}zv_ujqavn;:7;?7}|`g^gntq\7fX|axn\7fe2<>068twi`Wlg{xtQ{hsgpl9299=1{~biPelrw}Zrozlyc080>4:rqkbYbey~rSyf}erj?2;733yxdkRkbpu{\pmtb{a6<2<:4psmd[`kw|pU\7fd\7fk|h=:=51=wzfmTi`~{y^vkv`uo404:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6k2zycjQjmqvz[qnumzbTm~}jru*24,7d3yxdkRkbpu{\pmtb{aUj\7f~k}t)32-4e<x{elShc\7ftx]wlwct`Vkx\7fh|{(00*5f=wzfmTi`~{y^vkv`uoWhyxi\7fz'12+2g>vugnUna}zv_ujqavnXizyn~y&>4(3a?uthoVof|ywPtipfwmYf{zoyx%<&1c9svjaXmdz\7fuRzgrdqk[dutm{~#?$?m;qplcZcjx}sTxe|jsi]bwvcu|!>"=o5\7frne\ahvsqV~c~h}g_`qpawr/= ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-0.9k1{~biPelrw}ZrozlycSl}|esv+3,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t):*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'9(3a?uthoVof|ywPtipfwmYf{zoyx1>11b9svjaXmdz\7fuRzgrdqk[dutm{~7==0>c:rqkbYbey~rSyf}erj\evubz}6:=3?l;qplcZcjx}sTxe|jsi]bwvcu|5;92<m4psmd[`kw|pU\7fd\7fk|h^cpw`ts4895=h5\7frne\ahvsqV~c~h}g_`qpawr;9=0;2<m4psmd[`kw|pU\7fd\7fk|h^cpw`ts48>5=o5\7frne\ahvsqV~c~h}g_`qpawr;97;i7}|`g^gntq\7fX|axn\7feQnsrgqp9499k1{~biPelrw}ZrozlycSl}|esv?7;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=6=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz35?3a?uthoVof|ywPtipfwmYf{zoyx1811c9svjaXmdz\7fuRzgrdqk[dutm{~7;3?m;qplcZcjx}sTxe|jsi]bwvcu|525=o5\7frne\ahvsqV~c~h}g_`qpawr;17;i7}|`g^gntq\7fX|axn\7feQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7d3yxdkRkbpu{\pmtb{aUeijo{e)33-4e<x{elShc\7ftx]wlwct`Vdnklzj(03*5f=wzfmTi`~{y^vkv`uoWgolmyk'13+2g>vugnUna}zv_ujqavnXflmjxh&>3(3`?uthoVof|ywPtipfwmYimnk\7fi%?;)0`8twi`Wlg{xtQ{hsgplZhboh~n$?'>b:rqkbYbey~rSyf}erj\j`af|l"8%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb =#:n6~}of]fiur~W}byi~fPndebp`.2!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,3/6j2zycjQjmqvz[qnumzbTbhintd*4-4d<x{elShc\7ftx]wlwct`Vdnklzj(9+2f>vugnUna}zv_ujqavnXflmjxh&6)0`8twi`Wlg{xtQ{hsgplZhboh~n0=0>c:rqkbYbey~rSyf}erj\j`af|l6:<3?l;qplcZcjx}sTxe|jsi]mabgsm5;:2<m4psmd[`kw|pU\7fd\7fk|h^lfcdrb4885=n5\7frne\ahvsqV~c~h}g_ogdeqc;9:4:i6~}of]fiur~W}byi~fPndebp`:6<3:5=n5\7frne\ahvsqV~c~h}g_ogdeqc;9=4:n6~}of]fiur~W}byi~fPndebp`:668h0|\7fah_dosp|Ys`{oxdR`jg`vf8786j2zycjQjmqvz[qnumzbTbhintd>0:4d<x{elShc\7ftx]wlwct`Vdnklzj<5<2f>vugnUna}zv_ujqavnXflmjxh2:>0`8twi`Wlg{xtQ{hsgplZhboh~n0;0>b:rqkbYbey~rSyf}erj\j`af|l6<2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb414:n6~}of]fiur~W}byi~fPndebp`:>601{~biPftno56=wzfmTjxbc_ujqavn/8 ;87}|`g^dvhiYs`{oxd%?&159svjaXn|fgSyf}erj+55/6<2zycjQiumn\pmtb{a":=$?;;qplcZ`rdeU\7fd\7fk|h)31-42<x{elSk{cl^vkv`uo 89"=95\7frne\bpjkW}byi~f'15+27>vugnUmyabPtipfwm.5!890|\7fah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axn\7fe&9)018twi`Wo\7fg`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<=4psmd[cskdV~c~h}g(8+27>vugnUmyabPtipfwm:768>0|\7fah_gwohZrozlyc0<>1159svjaXn|fgSyf}erj?5486<2zycjQiumn\pmtb{a6:>3?;;qplcZ`rdeU\7fd\7fk|h=30:40<x{elSk{cl^vkv`uo48>1<3?;;qplcZ`rdeU\7fd\7fk|h=37:45<x{elSk{cl^vkv`uo484:?6~}of]eqijX|axn\7fe2=>018twi`Wo\7fg`Rzgrdqk8686;2zycjQiumn\pmtb{a6?2<=4psmd[cskdV~c~h}g<4<27>vugnUmyabPtipfwm:16890|\7fah_gwohZrozlyc0:0>3:rqkbYa}efTxe|jsi>;:45<x{elSk{cl^vkv`uo404:m6~}of]eqijX|axn\7feQnsrgqp-6.9h1{~biPftno[qnumzbTm~}jru*2-4d<x{elSk{cl^vkv`uoWhyxi\7fz'11+2f>vugnUmyabPtipfwmYf{zoyx%?>)0`8twi`Wo\7fg`Rzgrdqk[dutm{~#=?'>b:rqkbYa}efTxe|jsi]bwvcu|!;8%<l4psmd[cskdV~c~h}g_`qpawr/9=#:m6~}of]eqijX|axn\7feQnsrgqp-4.9h1{~biPftno[qnumzbTm~}jru*0-4g<x{elSk{cl^vkv`uoWhyxi\7fz'4(3b?uthoVl~`aQ{hsgplZgt{lx\7f$8'>a:rqkbYa}efTxe|jsi]bwvcu|!<"=l5\7frne\bpjkW}byi~fParqfvq.0!8k0|\7fah_gwohZrozlycSl}|esv+<,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(8+2e>vugnUmyabPtipfwmYf{zoyx1>11c9svjaXn|fgSyf}erj\evubz}6:<3?m;qplcZ`rdeU\7fd\7fk|h^cpw`ts48;5=o5\7frne\bpjkW}byi~fParqfvq:6:7;i7}|`g^dvhiYs`{oxdRo|sdpw84599m1{~biPftno[qnumzbTm~}jru>20?699k1{~biPftno[qnumzbTm~}jru>20;7f3yxdkRhzlm]wlwct`Vkx\7fh|{<0<2e>vugnUmyabPtipfwmYf{zoyx1<11`9svjaXn|fgSyf}erj\evubz}682<o4psmd[cskdV~c~h}g_`qpawr;<7;j7}|`g^dvhiYs`{oxdRo|sdpw8086i2zycjQiumn\pmtb{aUj\7f~k}t=4=5d=wzfmTjxbc_ujqavnXizyn~y28>0c8twi`Wo\7fg`Rzgrdqk[dutm{~743?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts404:m6~}of]eqijX|axn\7feQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4d<x{elSk{cl^vkv`uoWgolmyk'11+2f>vugnUmyabPtipfwmYimnk\7fi%?>)0`8twi`Wo\7fg`Rzgrdqk[kc`i}o#=?'>b:rqkbYa}efTxe|jsi]mabgsm!;8%<l4psmd[cskdV~c~h}g_ogdeqc/9=#:m6~}of]eqijX|axn\7feQaefcwa-4.9h1{~biPftno[qnumzbTbhintd*0-4g<x{elSk{cl^vkv`uoWgolmyk'4(3b?uthoVl~`aQ{hsgplZhboh~n$8'>a:rqkbYa}efTxe|jsi]mabgsm!<"=l5\7frne\bpjkW}byi~fPndebp`.0!8k0|\7fah_gwohZrozlycSckhaug+<,7f3yxdkRhzlm]wlwct`Vdnklzj(8+2e>vugnUmyabPtipfwmYimnk\7fi1>11c9svjaXn|fgSyf}erj\j`af|l6:<3?m;qplcZ`rdeU\7fd\7fk|h^lfcdrb48;5=o5\7frne\bpjkW}byi~fPndebp`:6:7;i7}|`g^dvhiYs`{oxdR`jg`vf84599m1{~biPftno[qnumzbTbhintd>20?699k1{~biPftno[qnumzbTbhintd>20;7f3yxdkRhzlm]wlwct`Vdnklzj<0<2e>vugnUmyabPtipfwmYimnk\7fi1<11`9svjaXn|fgSyf}erj\j`af|l682<o4psmd[cskdV~c~h}g_ogdeqc;<7;j7}|`g^dvhiYs`{oxdR`jg`vf8086i2zycjQiumn\pmtb{aUeijo{e=4=5d=wzfmTjxbc_ujqavnXflmjxh28>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o743?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb404=7\7fjPcmi24>tcWmk\7fmRm`uov+4,773{nThlzn_bmvjq.6!8;0~iQkauc\gjsi|!;;%<?4re]geqgXkf\7fex%?>)038vaYci}kTob{at)31-47<zmUomyoPcnwmp-74!8;0~iQkauc\gjsi|!;?%<>4re]geqgXkf\7fex%<&119q`Zbf|hUhcx`{(2+24>tcWmk\7fmRm`uov+0,773{nThlzn_bmvjq.2!8:0~iQkauc\gjsi|!<"==5}d^fbpdYdg|d\7f$:'>0:pg[agsiVidycz'8(33?wbXlh~jSnaznu*:-46<zmUomyoPcnwmp969981yhRjnt`]`kphs48:5=<5}d^fbpdYdg|d\7f0<?1109q`Zbf|hUhcx`{<00=54=ulVnjxlQlotlw84599:1yhRjnt`]`kphs48>1<3?>;sf\`drfWje~by2>4?33?wbXlh~jSnaznu>2:46<zmUomyoPcnwmp949991yhRjnt`]`kphs4:4:<6|k_ecweZeh}g~783??;sf\`drfWje~by2:>028vaYci}kTob{at=4=55=ulVnjxlQlotlw828682xoSio{a^alqkr;07;;7\7fjPd`vb[firf}62285}d^gm2>tcW{y\7f?6||t69pflrbz{>0\7f\7f}{9:wm``tadf}>7{ocie48swYfkb<0{\7fQllj33?rtXlh~jSnaznu*3-46<\7f{UomyoPcnwmp-7.981|~Rjnt`]`kphs 8:"=<5xr^fbpdYdg|d\7f$<?&109tvZbf|hUhcx`{(00*54=pzVnjxlQlotlw,45.981|~Rjnt`]`kphs 8>"==5xr^fbpdYdg|d\7f$?'>0:uq[agsiVidycz'3(33?rtXlh~jSnaznu*7-46<\7f{UomyoPcnwmp-3.991|~Rjnt`]`kphs ?#:<6y}_ecweZeh}g~#;$??;vp\`drfWje~by&7)028swYci}kTob{at);*55=pzVnjxlQlotlw858692}ySio{a^alqkr;994:=6y}_ecweZeh}g~7=<0>1:uq[agsiVidycz313<25>quWmk\7fmRm`uov?5686;2}ySio{a^alqkr;9=0;2<?4ws]geqgXkf\7fex1?;>028swYci}kTob{at=3=55=pzVnjxlQlotlw878682}ySio{a^alqkr;;7;;7z|Pd`vb[firf}6?2<>4ws]geqgXkf\7fex1;1119tvZbf|hUhcx`{<7<24>quWmk\7fmRm`uov?3;773~xThlzn_bmvjq:?68:0{\7fQkauc\gjsi|53596y}_dl5?rtXzz~:=6ÍĽ¼Ä½ÓɹϵµÛ´¾´j;ÐÀ¼ÔɸÐͲÃ˰ÈËr@Ar6=1b<HIr88:4I:582\7fV102=??6i4>33:fe7<61m28wc=;0;38j6262=1/?>k53258yV112=??6i4>33:fe7<61m287^?j9;66f?6=9:83il<518fb`>U0>3>>n7>5120;ad4=90ni<6j;5883>4<6sZ=<69;;:e8277>bi;0:5i6<;%10g?22>2|_?=950;395?140rY<;7::4;f9564?mh81=4j73:&051<6mh1]?>h52zw2b2<63|;m47>4}%0;7?5e3k>>57>56880>3?|@:;:7W8m:5y1=?4f2:91?<4r$22`>13>3-98o7::6:X07a<5s=?=69;9:|ke=2<722em;h4?:%0;1?`?k2d9494?;:me3a<72-8397h7c:l1<1<632em;n4?:%0;1?`?k2d9494=;:me3g<72-8397h7c:l1<1<432em;l4?:%0;1?`?k2d9494;;:me<3<72-8397h7c:l1<1<232em484?:%0;1?`?k2d94949;:me<1<72-8397h7c:l1<1<032em4>4?:%0;1?`?k2d94947;:me<7<72-8397h7c:l1<1<>32em4<4?:%0;1?`?k2d9494n;:me<5<72-8397h7c:l1<1<e32em;k4?:%0;1?`?k2d9494l;:me3<<72-8397h7c:l1<1<c32em;54?:%0;1?`?k2d9494j;:kefc<722emm;4?:%0;1?`e<2d9494?;:mee0<72-8397hm4:l1<1<632emm94?:%0;1?`e<2d9494=;:mee6<72-8397hm4:l1<1<432emm?4?:%0;1?`e<2d9494;;:mee`<72-8397hm4:l1<1<232emmi4?:%0;1?`e<2d94949;:meef<72-8397hm4:l1<1<032emmo4?:%0;1?`e<2d94947;:meed<72-8397hm4:l1<1<>32emm44?:%0;1?`e<2d9494n;:mee=<72-8397hm4:l1<1<e32emm:4?:%0;1?`e<2d9494l;:mee4<72-8397hm4:l1<1<c32emm=4?:%0;1?`e<2d9494j;:m71=<722e?984?::ke=c<722cm544?::m76d<72-8397:;b:l1<1<732e?>44?:%0;1?23j2d9494>;:m76=<72-8397:;b:l1<1<532e?>:4?:%0;1?23j2d9494<;:m760<72-8397:;b:l1<1<332e?>94?:%0;1?23j2d9494:;:m766<72-8397:;b:l1<1<132e?>?4?:%0;1?23j2d94948;:m764<72-8397:;b:l1<1<?32e?>=4?:%0;1?23j2d94946;:m75c<72-8397:;b:l1<1<f32e?=h4?:%0;1?23j2d9494m;:m75a<72-8397:;b:l1<1<d32e?=n4?:%0;1?23j2d9494k;:m75d<72-8397:;b:l1<1<b32e?=44?:%0;1?23j2d9494i;:m75=<72-8397:;b:l1<1<6821d8<950;&1<0<3<k1e>5:51098k171290/>5;545`8j7>328807b:>5;29 7>22=>i7c<74;30?>i39=0;6)<75;67f>h50=0:865`40194?"50<0?8o5a296950=<g=;96=4+297901d<f;2?6<84;n625?6=,;2>69:m;o0;0?7032e??=4?:%0;1?23j2d9494>8:9l07`=83.9484;4c9m6=2=9010c9<j:18'6=3=<=h0b?6;:0c8?j25l3:1(?6::56a?k4?<3;i76a;2b83>!4?=3>?n6`=8582g>=h<;h1<7*=84870g=i:1>1=i54o505>5<#:1?189l4n3:7>4c<3f>:n7>5$3:6>12e3g8387?i;:m755<72-8397:;b:l1<1<5821d8=h50;&1<0<3<k1e>5:52098m6c2290/>5;54148j7>32910e>k;:18'6=3=<9<0b?6;:098m6c4290/>5;54148j7>32;10e>k=:18'6=3=<9<0b?6;:298m6c7290/>5;54148j7>32=10e>ji:18'6=3=<9<0b?6;:498m6bb290/>5;54148j7>32?10e>jk:18'6=3=<9<0b?6;:698m6bd290/>5;54148j7>32110e>jm:18'6=3=<9<0b?6;:898m6bf290/>5;54148j7>32h10e>j6:18'6=3=<9<0b?6;:c98m6b?290/>5;54148j7>32j10e>j8:18'6=3=<9<0b?6;:e98m6b2290/>5;54148j7>32l10e>j;:18'6=3=<9<0b?6;:g98m6b4290/>5;54148j7>328:07d=k2;29 7>22=:=7c<74;32?>o4l80;6)<75;632>h50=0:>65f3e294?"50<0?<;5a296956=<a:im6=4+2979050<f;2?6<:4;h1`a?6=,;2>69>9;o0;0?7232c8oi4?:%0;1?27>2d9494>6:9j7fe=83.9484;079m6=2=9>10e>km:18'6=3=<9<0b?6;:0:8?l5bi3:1(?6::525?k4?<3;276g<e883>!4?=3>;:6`=8582e>=n;l21<7*=848743=i:1>1=o54i2g4>5<#:1?18=84n3:7>4e<3`9n:7>5$3:6>1613g8387?k;:k0a4<72-8397:?6:l1<1<6m21b?i850;&1<0<38?1e>5:51g98m6ee290/>5;54148j7>32;:07d=la;29 7>22=:=7c<74;02?>oa?>0;66l<1283>4<729qC?<?4$22`>6743f83>7>5;|`2=4<7280;6=uG3038 66d283:7b?60;29?xd1i3:1i?4<:bfxL6763S<i6<8t1682f?4>2821>l4>a;10>67=9j09=7?6:3396d<4;3826<653082g?7e28k1=44>7;\7f'75e=<<k0(<k9:0:e?!54k3>>:6*=7b81<5=h;=81<75ff8:94?=nmj81<7*=848f`5=i:1>1<65feb394?"50<0nh=5a29695>=nmj:1<7*=848f`5=i:1>1>65fecd94?"50<0nh=5a29697>=nmko1<7*=848f`5=i:1>1865febc94?"50<0nh=5a29691>=nmj31<7*=848f`5=i:1>1:65feb:94?"50<0nh=5a29693>=nmj=1<7*=848f`5=i:1>1465feb494?"50<0nh=5a2969=>=nmj?1<7*=848f`5=i:1>1m65feb694?"50<0nh=5a2969f>=nmj91<7*=848f`5=i:1>1o65fecf94?"50<0nh=5a2969`>=nmki1<7*=848f`5=i:1>1i65`f0;94?=nn0h1<75`f8f94?=nn:l1<7*=848e0a=i:1>1<65ff2g94?"50<0m8i5a29695>=nn:n1<7*=848e0a=i:1>1>65ff2a94?"50<0m8i5a29697>=nn:h1<7*=848e0a=i:1>1865ff5594?"50<0m8i5a29691>=nn=<1<7*=848e0a=i:1>1:65ff5794?"50<0m8i5a29693>=nn=>1<7*=848e0a=i:1>1465ff5194?"50<0m8i5a2969=>=nn=81<7*=848e0a=i:1>1m65ff5394?"50<0m8i5a2969f>=nn=:1<7*=848e0a=i:1>1o65ff2c94?"50<0m8i5a2969`>=nn:31<7*=848e0a=i:1>1i65ff8594?=hmkh1<75`ecc94?=n;=?1<75`f6g94?"50<0m4n5a29694>=hn>n1<7*=848e<f=i:1>1=65`f6a94?"50<0m4n5a29696>=hn>h1<7*=848e<f=i:1>1?65`f6c94?"50<0m4n5a29690>=hn1<1<7*=848e<f=i:1>1965`f9794?"50<0m4n5a29692>=hn1>1<7*=848e<f=i:1>1;65`f9194?"50<0m4n5a2969<>=hn181<7*=848e<f=i:1>1565`f9394?"50<0m4n5a2969e>=hn1:1<7*=848e<f=i:1>1n65`f6d94?"50<0m4n5a2969g>=hn>31<7*=848e<f=i:1>1h65`f6:94?"50<0m4n5a2969a>=nml;1<7*=848fac=i:1>1<65fed294?"50<0nik5a29695>=nmml1<7*=848fac=i:1>1>65feeg94?"50<0nik5a29697>=nmmn1<7*=848fac=i:1>1865fed;94?"50<0nik5a29691>=nml21<7*=848fac=i:1>1:65fed594?"50<0nik5a29693>=nml<1<7*=848fac=i:1>1465fed794?"50<0nik5a2969=>=nml>1<7*=848fac=i:1>1m65fed194?"50<0nik5a2969f>=nml81<7*=848fac=i:1>1o65feea94?"50<0nik5a2969`>=nmmh1<7*=848fac=i:1>1i65ffcd94?=hnh<1<7*=848ef1=i:1>1<65`f`794?"50<0mn95a29695>=hnh>1<7*=848ef1=i:1>1>65`f`194?"50<0mn95a29697>=hnh81<7*=848ef1=i:1>1865`f`g94?"50<0mn95a29691>=hnhn1<7*=848ef1=i:1>1:65`f`a94?"50<0mn95a29693>=hnhh1<7*=848ef1=i:1>1465`f`c94?"50<0mn95a2969=>=hnh31<7*=848ef1=i:1>1m65`f`:94?"50<0mn95a2969f>=hnh=1<7*=848ef1=i:1>1o65`f`394?"50<0mn95a2969`>=hnh:1<7*=848ef1=i:1>1i65`44:94?=h<<?1<75ff8d94?=nn;:1<7*=848e6`=i:1>1<65ff0d94?"50<0m>h5a29695>=nn8o1<7*=848e6`=i:1>1>65ff0f94?"50<0m>h5a29697>=nn8i1<7*=848e6`=i:1>1865ff3:94?"50<0m>h5a29691>=nn;=1<7*=848e6`=i:1>1:65ff3494?"50<0m>h5a29693>=nn;?1<7*=848e6`=i:1>1465ff3694?"50<0m>h5a2969=>=nn;91<7*=848e6`=i:1>1m65ff3094?"50<0m>h5a2969f>=nn;;1<7*=848e6`=i:1>1o65ff0`94?"50<0m>h5a2969`>=nn8k1<7*=848e6`=i:1>1i65f35494?=nnj:1<75`f8c94?=hn0o1<75`f8a94?=nn031<75`43c94?"50<0?8o5a29694>=h<;31<7*=84870g=i:1>1=65`43:94?"50<0?8o5a29696>=h<;=1<7*=84870g=i:1>1?65`43794?"50<0?8o5a29690>=h<;>1<7*=84870g=i:1>1965`43194?"50<0?8o5a29692>=h<;81<7*=84870g=i:1>1;65`43394?"50<0?8o5a2969<>=h<;:1<7*=84870g=i:1>1565`40d94?"50<0?8o5a2969e>=h<8o1<7*=84870g=i:1>1n65`40f94?"50<0?8o5a2969g>=h<8i1<7*=84870g=i:1>1h65`40c94?"50<0?8o5a2969a>=h<831<7*=84870g=i:1>1j65`40:94?"50<0?8o5a296955=<g=;<6=4+297901d<f;2?6<?4;n622?6=,;2>69:m;o0;0?7532e?=84?:%0;1?23j2d9494>3:9l042=83.9484;4c9m6=2=9=10c9?<:18'6=3=<=h0b?6;:078?j26:3:1(?6::56a?k4?<3;=76a;1083>!4?=3>?n6`=85823>=h<::1<7*=84870g=i:1>1=554o50e>5<#:1?189l4n3:7>4?<3f>9i7>5$3:6>12e3g8387?n;:m76a<72-8397:;b:l1<1<6j21d8?m50;&1<0<3<k1e>5:51b98k14e290/>5;545`8j7>328n07b:=6;29 7>22=>i7c<74;3f?>i39k0;6)<75;67f>h50=0:j65`40294?"50<0?8o5a296965=<g=:m6=4+297901d<f;2?6??4;h1f1?6=,;2>69>9;o0;0?6<3`9n87>5$3:6>1613g8387?4;h1f7?6=,;2>69>9;o0;0?4<3`9n>7>5$3:6>1613g8387=4;h1f4?6=,;2>69>9;o0;0?2<3`9oj7>5$3:6>1613g8387;4;h1ga?6=,;2>69>9;o0;0?0<3`9oh7>5$3:6>1613g838794;h1gg?6=,;2>69>9;o0;0?><3`9on7>5$3:6>1613g838774;h1ge?6=,;2>69>9;o0;0?g<3`9o57>5$3:6>1613g8387l4;h1g<?6=,;2>69>9;o0;0?e<3`9o;7>5$3:6>1613g8387j4;h1g1?6=,;2>69>9;o0;0?c<3`9o87>5$3:6>1613g8387h4;h1g7?6=,;2>69>9;o0;0?7732c8h?4?:%0;1?27>2d9494>1:9j7a7=83.9484;079m6=2=9;10e>j?:18'6=3=<9<0b?6;:018?l5dn3:1(?6::525?k4?<3;?76g<cd83>!4?=3>;:6`=85821>=n;jn1<7*=848743=i:1>1=;54i2a`>5<#:1?18=84n3:7>41<3`9nn7>5$3:6>1613g8387?7;:k0ad<72-8397:?6:l1<1<6121b?h750;&1<0<38?1e>5:51`98m6c?290/>5;54148j7>328h07d=j7;29 7>22=:=7c<74;3`?>o4m?0;6)<75;632>h50=0:h65f3d394?"50<0?<;5a29695`=<a:n=6=4+2979050<f;2?6<h4;h1`f?6=,;2>69>9;o0;0?4732c8ol4?:%0;1?27>2d9494=1:9l715=831b?9:50;9jb0c=83.9484i6b9m6=2=821bj8j50;&1<0<a>j1e>5:51:9jb0e=83.9484i6b9m6=2=:21bj8l50;&1<0<a>j1e>5:53:9jb0g=83.9484i6b9m6=2=<21bj;850;&1<0<a>j1e>5:55:9jb33=83.9484i6b9m6=2=>21bj;:50;&1<0<a>j1e>5:57:9jb35=83.9484i6b9m6=2=021bj;<50;&1<0<a>j1e>5:59:9jb37=83.9484i6b9m6=2=i21bj;>50;&1<0<a>j1e>5:5b:9jb0`=83.9484i6b9m6=2=k21bj8750;&1<0<a>j1e>5:5d:9jb0>=83.9484i6b9m6=2=m21d?9k50;&1<0<4=11e>5:50:9l71b=83.9484<599m6=2=921d?9m50;&1<0<4=11e>5:52:9l71d=83.9484<599m6=2=;21d?9o50;&1<0<4=11e>5:54:9l700=83.9484<599m6=2==21d?8;50;&1<0<4=11e>5:56:9l702=83.9484<599m6=2=?21d?8=50;&1<0<4=11e>5:58:9l704=83.9484<599m6=2=121d?8?50;&1<0<4=11e>5:5a:9l706=83.9484<599m6=2=j21d?9h50;&1<0<4=11e>5:5c:9l71?=83.9484<599m6=2=l21d?9650;&1<0<4=11e>5:5e:9l70?=831bj=>50;&1<0<a8l1e>5:50:9jac`=83.9484i0d9m6=2=921bikk50;&1<0<a8l1e>5:52:9jacb=83.9484i0d9m6=2=;21bikm50;&1<0<a8l1e>5:54:9jb5>=83.9484i0d9m6=2==21bj=950;&1<0<a8l1e>5:56:9jb50=83.9484i0d9m6=2=?21bj=;50;&1<0<a8l1e>5:58:9jb52=83.9484i0d9m6=2=121bj==50;&1<0<a8l1e>5:5a:9jb54=83.9484i0d9m6=2=j21bj=?50;&1<0<a8l1e>5:5c:9jacd=83.9484i0d9m6=2=l21biko50;&1<0<a8l1e>5:5e:9jb21=831i?>o50;394?6|,::h6<7>;I10=>N4981d=4>50;9~f66c290:9;4:2e85`f}O;8;0(>>l:574?_0e289p>:4=8;01>75=:=0:i7<?:3795a<5>3996<h513804?7128?1=94>3;32>x"ak;0mo<5`7c83>>i0i3:17d<ie;29?j0?2900e>><:188k2?=831d;n4?::m061<722e:;k4?::k073<722e8<h4?::m53?6=3`8nh7>5;n0;5?6=3f9:>7>5;n3;a?6=3f83;7>5;h111?6=3f8i47>5;n1a4?6=,;2>6>oi;o0;0?6<3f9ji7>5$3:6>6ga3g8387?4;n1b`?6=,;2>6>oi;o0;0?4<3f9jo7>5$3:6>6ga3g8387=4;n1bf?6=,;2>6>oi;o0;0?2<3f9i57>5$3:6>6ga3g8387;4;n1a<?6=,;2>6>oi;o0;0?0<3f9i;7>5$3:6>6ga3g838794;n1a2?6=,;2>6>oi;o0;0?><3f9i97>5$3:6>6ga3g838774;n1a0?6=,;2>6>oi;o0;0?g<3f9i?7>5$3:6>6ga3g8387l4;n1a6?6=,;2>6>oi;o0;0?e<3f9i=7>5$3:6>6ga3g8387j4;n1be?6=,;2>6>oi;o0;0?c<3f9h<7>5$3:6>6da3g8387>4;n1aa?6=,;2>6>li;o0;0?7<3f9ih7>5$3:6>6da3g8387<4;n1ag?6=,;2>6>li;o0;0?5<3f9in7>5$3:6>6da3g8387:4;n1`=?6=,;2>6>li;o0;0?3<3f9h47>5$3:6>6da3g838784;n1`3?6=,;2>6>li;o0;0?1<3f9h:7>5$3:6>6da3g838764;n1`1?6=,;2>6>li;o0;0??<3f9h87>5$3:6>6da3g8387o4;n1`7?6=,;2>6>li;o0;0?d<3f9h>7>5$3:6>6da3g8387m4;n1`5?6=,;2>6>li;o0;0?b<3f9im7>5$3:6>6da3g8387k4;n154?6=,;2>6>;i;o0;0?6<3f9>i7>5$3:6>63a3g8387?4;n16`?6=,;2>6>;i;o0;0?4<3f9>o7>5$3:6>63a3g8387=4;n16f?6=,;2>6>;i;o0;0?2<3f9=57>5$3:6>63a3g8387;4;n15<?6=,;2>6>;i;o0;0?0<3f9=;7>5$3:6>63a3g838794;n152?6=,;2>6>;i;o0;0?><3f9=97>5$3:6>63a3g838774;n150?6=,;2>6>;i;o0;0?g<3f9=?7>5$3:6>63a3g8387l4;n156?6=,;2>6>;i;o0;0?e<3f9==7>5$3:6>63a3g8387j4;n16e?6=,;2>6>;i;o0;0?c<3f9<<7>5$3:6>60a3g8387>4;n15a?6=,;2>6>8i;o0;0?7<3f9=h7>5$3:6>60a3g8387<4;n15g?6=,;2>6>8i;o0;0?5<3f9=n7>5$3:6>60a3g8387:4;n14=?6=,;2>6>8i;o0;0?3<3f9<47>5$3:6>60a3g838784;n143?6=,;2>6>8i;o0;0?1<3f9<:7>5$3:6>60a3g838764;n141?6=,;2>6>8i;o0;0??<3f9<87>5$3:6>60a3g8387o4;n147?6=,;2>6>8i;o0;0?d<3f9<>7>5$3:6>60a3g8387m4;n145?6=,;2>6>8i;o0;0?b<3f9=m7>5$3:6>60a3g8387k4;n1;4?6=,;2>6>9i;o0;0?6<3f9<i7>5$3:6>61a3g8387?4;n14`?6=,;2>6>9i;o0;0?4<3f9<o7>5$3:6>61a3g8387=4;n14f?6=,;2>6>9i;o0;0?2<3f9357>5$3:6>61a3g8387;4;n1;<?6=,;2>6>9i;o0;0?0<3f93;7>5$3:6>61a3g838794;n1;2?6=,;2>6>9i;o0;0?><3f9397>5$3:6>61a3g838774;n1;0?6=,;2>6>9i;o0;0?g<3f93?7>5$3:6>61a3g8387l4;n1;6?6=,;2>6>9i;o0;0?e<3f93=7>5$3:6>61a3g8387j4;n14e?6=,;2>6>9i;o0;0?c<3f386=4+2979=7=i:1>1<65`9083>!4?=3397c<74;38?j?7290/>5;5939m6=2=:21d4k4?:%0;1??53g8387=4;n:f>5<#:1?15?5a29690>=h1j0;6)<75;;1?k4?<3?07b7m:18'6=3=1;1e>5:56:9l=d<72-83977=;o0;0?1<3f326=4+2979=7=i:1>1465`9983>!4?=3397c<74;;8?j?0290/>5;5939m6=2=i21d5;4?:%0;1??53g8387l4;n;6>5<#:1?15?5a2969g>=h1=0;6)<75;;1?k4?<3n07b6k:18'6=3=1;1e>5:5e:9l77e=83.9484<2c9m6=2=821d??o50;&1<0<4:k1e>5:51:9l77?=83.9484<2c9m6=2=:21d??650;&1<0<4:k1e>5:53:9l771=83.9484<2c9m6=2=<21d?>;50;&1<0<4:k1e>5:55:9l762=83.9484<2c9m6=2=>21d?>=50;&1<0<4:k1e>5:57:9l764=83.9484<2c9m6=2=021d?>?50;&1<0<4:k1e>5:59:9l766=83.9484<2c9m6=2=i21d??h50;&1<0<4:k1e>5:5b:9l77c=83.9484<2c9m6=2=k21d??j50;&1<0<4:k1e>5:5d:9l770=83.9484<2c9m6=2=m21d?4>50;&1<0<40o1e>5:50:9l7=c=83.9484<8g9m6=2=921d?5j50;&1<0<40o1e>5:52:9l7=e=83.9484<8g9m6=2=;21d?5l50;&1<0<40o1e>5:54:9l7<?=83.9484<8g9m6=2==21d?4650;&1<0<40o1e>5:56:9l7<1=83.9484<8g9m6=2=?21d?4850;&1<0<40o1e>5:58:9l7<3=83.9484<8g9m6=2=121d?4:50;&1<0<40o1e>5:5a:9l7<5=83.9484<8g9m6=2=j21d?4<50;&1<0<40o1e>5:5c:9l7<7=83.9484<8g9m6=2=l21d?5o50;&1<0<40o1e>5:5e:9l<6<72-83976=;o0;0?6<3f2:6=4+2979<7=i:1>1=65`8183>!4?=3297c<74;08?j1a290/>5;5839m6=2=;21d;h4?:%0;1?>53g8387:4;n:`>5<#:1?14?5a29691>=h0k0;6)<75;:1?k4?<3<07b6n:18'6=3=0;1e>5:57:9l<<<72-83976=;o0;0?><3f236=4+2979<7=i:1>1565`8683>!4?=3297c<74;c8?j>1290/>5;5839m6=2=j21d484?:%0;1?>53g8387m4;n:7>5<#:1?14?5a2969`>=h?m0;6)<75;:1?k4?<3o07b=n0;29 7>22:3m7c<74;28?j5>m3:1(?6::2;e?k4?<3;07b=6d;29 7>22:3m7c<74;08?j5>k3:1(?6::2;e?k4?<3907b=6b;29 7>22:3m7c<74;68?j5f13:1(?6::2;e?k4?<3?07b=n8;29 7>22:3m7c<74;48?j5f?3:1(?6::2;e?k4?<3=07b=n6;29 7>22:3m7c<74;:8?j5f=3:1(?6::2;e?k4?<3307b=n4;29 7>22:3m7c<74;c8?j5f;3:1(?6::2;e?k4?<3h07b=n2;29 7>22:3m7c<74;a8?j5f93:1(?6::2;e?k4?<3n07b=6a;29 7>22:3m7c<74;g8?j70>3:1(?6::056?k4?<3:07b?84;29 7>228=>7c<74;38?j70;3:1(?6::056?k4?<3807b?82;29 7>228=>7c<74;18?j7083:1(?6::056?k4?<3>07b?9f;29 7>228=>7c<74;78?j71m3:1(?6::056?k4?<3<07b?9d;29 7>228=>7c<74;58?j71k3:1(?6::056?k4?<3207b?9b;29 7>228=>7c<74;;8?j71i3:1(?6::056?k4?<3k07b?99;29 7>228=>7c<74;`8?j7103:1(?6::056?k4?<3i07b?97;29 7>228=>7c<74;f8?j71=3:1(?6::056?k4?<3o07b?94;29 7>228=>7c<74;d8?j71;3:1(?6::056?k4?<3;;76a>6383>!4?=3;<96`=85825>=h9?;1<7*=848230=i:1>1=?54o043>5<#:1?1=:;4n3:7>45<3f;>j7>5$3:6>4123g8387?;;:m21`<72-8397?85:l1<1<6=21d=8j50;&1<0<6?<1e>5:51798k43d290/>5;51678j7>328=07b?8d;29 7>228=>7c<74;3;?>i6?j0;6)<75;341>h50=0:565`16`94?"50<0:;85a29695d=<g8=j6=4+2979523<f;2?6<l4;n34=?6=,;2>6<9:;o0;0?7d32e:;54?:%0;1?70=2d9494>d:9l521=83.9484>749m6=2=9l10c<9>:18'6=3=9>?0b?6;:0d8?j71>3:1(?6::056?k4?<38;76a>5c83>!4?=3;<96`=85815>=h:o>1<7*=8481b6=i:1>1<65`2g094?"50<09j>5a29695>=h:o;1<7*=8481b6=i:1>1>65`2g294?"50<09j>5a29697>=h:ll1<7*=8481b6=i:1>1865`2gf94?"50<09j>5a29691>=h:oi1<7*=8481b6=i:1>1:65`2g`94?"50<09j>5a29693>=h:ok1<7*=8481b6=i:1>1465`2g;94?"50<09j>5a2969=>=h:o21<7*=8481b6=i:1>1m65`2g594?"50<09j>5a2969f>=h:o<1<7*=8481b6=i:1>1o65`2g794?"50<09j>5a2969`>=h:lo1<7*=8481b6=i:1>1i65f28g94?"50<095i5a29694>=n:0i1<7*=8481=a=i:1>1=65f28`94?"50<095i5a29696>=n:0k1<7*=8481=a=i:1>1?65f28;94?"50<095i5a29690>=n:h=1<7*=8481=a=i:1>1965f2`494?"50<095i5a29692>=n:h?1<7*=8481=a=i:1>1;65f2`694?"50<095i5a2969<>=n:h91<7*=8481=a=i:1>1565f2`094?"50<095i5a2969e>=n:h;1<7*=8481=a=i:1>1n65f2`294?"50<095i5a2969g>=n:0l1<7*=8481=a=i:1>1h65f28:94?"50<095i5a2969a>=nnk0;6)<75;db?k4?<3:07dh6:18'6=3=nh1e>5:51:9jb=<72-8397hn;o0;0?4<3`l<6=4+2979bd=i:1>1?65ff483>!4?=3lj7c<74;68?l`3290/>5;5f`9m6=2==21bj>4?:%0;1?`f3g838784;hd1>5<#:1?1jl5a29693>=nn80;6)<75;db?k4?<3207dh?:18'6=3=nh1e>5:59:9jac<72-8397hn;o0;0?g<3`on6=4+2979bd=i:1>1n65fee83>!4?=3lj7c<74;a8?lcd290/>5;5f`9m6=2=l21bil4?:%0;1?`f3g8387k4;hg:>5<#:1?1jl5a2969b>=nm10;6)<75;db?k4?<3;;76gj7;29 7>22ok0b?6;:038?lc1290/>5;5f`9m6=2=9;10eh;50;&1<0<ai2d9494>3:9ja1<72-8397hn;o0;0?7332cn?7>5$3:6>cg<f;2?6<;4;hg1>5<#:1?1jl5a296953=<al;1<7*=848ee>h50=0:;65f11094?"50<0mm6`=8582<>=n99;1<7*=848ee>h50=0:565f11294?"50<0mm6`=8582e>=nno0;6)<75;db?k4?<3;i76gie;29 7>22ok0b?6;:0a8?l`c290/>5;5f`9m6=2=9m10ekm50;&1<0<ai2d9494>e:9jb3<72-8397hn;o0;0?7a32cnn7>5$3:6>cg<f;2?6?>4;hg3>5<#:1?1jl5a296964=<a;nm6=4+29796ac<f;2?6=54i3fg>5<#:1?1>ik4n3:7>4=<a;nh6=4+29796ac<f;2?6?54i3fa>5<#:1?1>ik4n3:7>6=<a;nj6=4+29796ac<f;2?6954i3g;>5<#:1?1>ik4n3:7>0=<a;o<6=4+29796ac<f;2?6;54i3g5>5<#:1?1>ik4n3:7>2=<a;o>6=4+29796ac<f;2?6554i3g7>5<#:1?1>ik4n3:7><=<a;o86=4+29796ac<f;2?6l54i3g1>5<#:1?1>ik4n3:7>g=<a;o:6=4+29796ac<f;2?6n54i3g3>5<#:1?1>ik4n3:7>a=<a;n26=4+29796ac<f;2?6h54i3ae>5<#:1?1>nk4n3:7>5=<a;io6=4+29796fc<f;2?6<54i3a`>5<#:1?1>nk4n3:7>7=<a;ii6=4+29796fc<f;2?6>54i3ab>5<#:1?1>nk4n3:7>1=<a;n36=4+29796fc<f;2?6854i3f4>5<#:1?1>nk4n3:7>3=<a;n=6=4+29796fc<f;2?6:54i3f6>5<#:1?1>nk4n3:7>==<a;n?6=4+29796fc<f;2?6454i3f0>5<#:1?1>nk4n3:7>d=<a;n96=4+29796fc<f;2?6o54i3f2>5<#:1?1>nk4n3:7>f=<a;n;6=4+29796fc<f;2?6i54i3a:>5<#:1?1>nk4n3:7>`=<a;hm6=4+29796gc<f;2?6=54i3`g>5<#:1?1>ok4n3:7>4=<a;hh6=4+29796gc<f;2?6?54i3`a>5<#:1?1>ok4n3:7>6=<a;hj6=4+29796gc<f;2?6954i3a;>5<#:1?1>ok4n3:7>0=<a;i<6=4+29796gc<f;2?6;54i3a5>5<#:1?1>ok4n3:7>2=<a;i>6=4+29796gc<f;2?6554i3a7>5<#:1?1>ok4n3:7><=<a;i86=4+29796gc<f;2?6l54i3a1>5<#:1?1>ok4n3:7>g=<a;i:6=4+29796gc<f;2?6n54i3a3>5<#:1?1>ok4n3:7>a=<a;h26=4+29796gc<f;2?6h54i3cf>5<#:1?1>lj4n3:7>5=<a;kh6=4+29796db<f;2?6<54i3ca>5<#:1?1>lj4n3:7>7=<a;kj6=4+29796db<f;2?6>54i3c:>5<#:1?1>lj4n3:7>1=<a;h<6=4+29796db<f;2?6854i3`5>5<#:1?1>lj4n3:7>3=<a;h>6=4+29796db<f;2?6:54i3`7>5<#:1?1>lj4n3:7>==<a;h86=4+29796db<f;2?6454i3`1>5<#:1?1>lj4n3:7>d=<a;h:6=4+29796db<f;2?6o54i3`3>5<#:1?1>lj4n3:7>f=<a;km6=4+29796db<f;2?6i54i3c;>5<#:1?1>lj4n3:7>`=<a;2n6=4+29796=b<f;2?6=54i3:`>5<#:1?1>5j4n3:7>4=<a;2i6=4+29796=b<f;2?6?54i3:b>5<#:1?1>5j4n3:7>6=<a;226=4+29796=b<f;2?6954i3;4>5<#:1?1>5j4n3:7>0=<a;3=6=4+29796=b<f;2?6;54i3;6>5<#:1?1>5j4n3:7>2=<a;3?6=4+29796=b<f;2?6554i3;0>5<#:1?1>5j4n3:7><=<a;396=4+29796=b<f;2?6l54i3;2>5<#:1?1>5j4n3:7>g=<a;3;6=4+29796=b<f;2?6n54i3:e>5<#:1?1>5j4n3:7>a=<a;236=4+29796=b<f;2?6h54b21b>5<6290;w)=?c;3:5>N4;01C?<?4o0;3>5<<uk98n7>51;294~"48j08=>5G32;8L6763f83>7>5;|`;aa<72=0;6=u+31a95=?<@:927E=>1:J5=>"61o0:7d8l:188m2>=831b>5850;9l6`?=831vn5h?:186>5<7s-9;o7?7a:J07<=O;8;0D;74$0;e>4=n>j0;66g81;29?l1?2900e?69:188k7c>2900qo6jf;290?6=8r.8<n4>889K76?<@:;:7E86;%3:b?7<a?i1<75f7983>>o50?0;66a=e883>>{e0lo1<7;50;2x 66d282j7E=<9:J054=O>01/=4h51:k5g?6=3`=:6=44i6:94?=n:1<1<75`2d;94?=zj<hj6=4::183\7f!57k3;3;6F<389K747<,83m6<5f6b83>>o093:17d9::188m2>=831d>h750;9~f0de290>6=4?{%13g?7?i2B8?45G3038 4?a2j1b:n4?::k45?6=3`=36=44i3:5>5<<g;o26=44}c7`5?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;l2;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?h?7>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg3d<3:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c7`1?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;l6;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?h;7>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg3d03:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c7ag?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;md;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?ii7>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg3en3:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c7`4?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;70;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn866:187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi9l<50;194?6|,::h6>=7;I10=>N4981b;?4?::k2a2<722e9;k4?::\7fa1=d=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`6e6<72:0;6=u+31a976><@:927E=>1:k46?6=3`;n;7>5;n04b?6=3th>4i4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk?j87>53;294~"48j08?55G32;8L6763`=96=44i0g4>5<<g;=m6=44}c7;b?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb4c6>5<4290;w)=?c;10<>N4;01C?<?4i6094?=n9l=1<75`26d94?=zj<3:6=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e=h<1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm58194?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl:a683>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd21<0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3f03:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo;67;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn8o6:180>5<7s-9;o7=<8:J07<=O;8;0e:<50;9j5`1=831d>:h50;9~f0?>290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa1dg=8391<7>t$22`>65?3A9856F<109j37<722c:i:4?::m13c<722wi95?50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th>5n4?:283>5}#;9i1?>64H21:?M5692c<>7>5;h3f3?6=3f8<j7>5;|`6<6<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c7:`?6=;3:1<v*<0b807==O;:30D>?>;h51>5<<a8o<6=44o35e>5<<uk?397>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj<3n6=4<:183\7f!57k39846F<389K747<a>81<75f1d594?=h:>l1<75rb4:4>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm58d94?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=h:1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm56394?3=83:p(>>l:0:b?M5412B8=<5+18d9g>o1k3:17d9>:188m2>=831b>5850;9l6`?=831vn89=:186>5<7s-9;o7?77:J07<=O;8;0(<7i:09j2f<722c<=7>5;h56>5<<a>21<75`2d;94?=zj<=36=4::183\7f!57k3;3;6F<389K747<,83m6<5f6b83>>o093:17d9::188m2>=831d>h750;9~f01>290>6=4?{%13g?7??2B8?45G3038 4?a281b:n4?::k45?6=3`=>6=44i6:94?=h:l31<75rb45b>5<2290;w)=?c;3;3>N4;01C?<?4$0;e>4=n>j0;66g81;29?l122900e:650;9l6`?=831vn89m:186>5<7s-9;o7?77:J07<=O;8;0(<7i:09j2f<722c<=7>5;h56>5<<a>21<75`2d;94?=zj<=h6=4::183\7f!57k3;3;6F<389K747<,83m6<5f6b83>>o093:17d9::188m2>=831d>h750;9~f01c290>6=4?{%13g?7??2B8?45G3038 4?a281b:n4?::k45?6=3`=>6=44i6:94?=h:l31<75rb45f>5<2290;w)=?c;3;3>N4;01C?<?4$0;e>4=n>j0;66g81;29?l122900e:650;9l6`?=831vn89i:186>5<7s-9;o7?77:J07<=O;8;0(<7i:09j2f<722c<=7>5;h56>5<<a>21<75`2d;94?=zj<=86=4::183\7f!57k3;3;6F<389K747<,83m6<5f6b83>>o093:17d9::188m2>=831d>h750;9~f013290>6=4?{%13g?7??2B8?45G3038 4?a281b:n4?::k45?6=3`=>6=44i6:94?=h:l31<75rb456>5<2290;w)=?c;3;3>N4;01C?<?4$0;e>4=n>j0;66g81;29?l122900e:650;9l6`?=831vn899:186>5<7s-9;o7?77:J07<=O;8;0(<7i:09j2f<722c<=7>5;h56>5<<a>21<75`2d;94?=zj<=<6=4::183\7f!57k3;3;6F<389K747<,83m6<5f6b83>>o093:17d9::188m2>=831d>h750;9~f050290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa116=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`61<<72:0;6=u+31a976><@:927E=>1:k46?6=3`;n;7>5;n04b?6=3th>8?4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk?>m7>53;294~"48j08?55G32;8L6763`=96=44i0g4>5<<g;=m6=44}c770?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb47a>5<4290;w)=?c;10<>N4;01C?<?4i6094?=n9l=1<75`26d94?=zj<>=6=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e=<i1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm55:94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl:5e83>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2<h0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg32m3:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo;;c;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn8;i:180>5<7s-9;o7=<8:J07<=O;8;0e:<50;9j5`1=831d>:h50;9~f02b290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa136=8391<7>t$22`>65?3A9856F<109j37<722c:i:4?::m13c<722wi98>50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th>:<4?:283>5}#;9i1?>64H21:?M5692c<>7>5;h3f3?6=3f8<j7>5;|`67=<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c767?6=;3:1<v*<0b807==O;:30D>?>;h51>5<<a8o<6=44o35e>5<<uk?8m7>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj<??6=4<:183\7f!57k39846F<389K747<a>81<75f1d594?=h:>l1<75rb41`>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm54794?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=:o1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd2=?0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:5683>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd3lo0;684?:1y'75e=91k0D>=6;I125>"61o0h7d8l:188m27=831b;54?::k1<3<722e9i44?::\7fa0`6=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm4d494?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi8h950;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<l21<7;50;2x 66d282<7E=<9:J054=#90l1=6g9c;29?l162900e:;50;9j3=<722e9i44?::\7fa0`?=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm4dc94?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi8hl50;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<li1<7;50;2x 66d282<7E=<9:J054=#90l1=6g9c;29?l162900e:;50;9j3=<722e9i44?::\7fa0`b=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm4d394?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi8h<50;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<l91<7;50;2x 66d282<7E=<9:J054=#90l1=6g9c;29?l162900e:;50;9j3=<722e9i44?::\7fa0`2=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm4d794?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi8l;50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th?mh4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk>h;7>53;294~"48j08?55G32;8L6763`=96=44i0g4>5<<g;=m6=44}c6a4?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb5a;>5<4290;w)=?c;10<>N4;01C?<?4i6094?=n9l=1<75`26d94?=zj=h96=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e<j31<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm4c694?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl;c`83>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd3j?0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg2dj3:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo:m8;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn9ml:180>5<7s-9;o7=<8:J07<=O;8;0e:<50;9j5`1=831d>:h50;9~f1df290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa0fb=8391<7>t$22`>65?3A9856F<109j37<722c:i:4?::m13c<722wi8om50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th?oh4?:283>5}#;9i1?>64H21:?M5692c<>7>5;h3f3?6=3f8<j7>5;|`7f`<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c6`b?6=;3:1<v*<0b807==O;:30D>?>;h51>5<<a8o<6=44o35e>5<<uk>j:7>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj=i:6=4<:183\7f!57k39846F<389K747<a>81<75f1d594?=h:>l1<75rb5c;>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm4b094?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e<hk1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd3k:0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl;ab83>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo:l4;297?6=8r.8<n4<399K76?<@:;:7d9=:188m4c02900c?9i:188yg2d=3:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo;=8;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?957>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg35n3:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c704?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;<1;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?8>7>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg34;3:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c700?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;<5;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?8:7>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg35i3:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c71f?6==3:1<v*<0b82<2=O;:30D>?>;%3:b?7<a?i1<75f7083>>o0=3:17d97:188k7c>2900qo;=c;291?6=8r.8<n4>869K76?<@:;:7)?6f;38m3e=831b;<4?::k41?6=3`=36=44o3g:>5<<uk?9h7>55;294~"48j0:4:5G32;8L6763-;2j7?4i7a94?=n?80;66g85;29?l1?2900c?k6:188yg35m3:197>50z&04f<60>1C?>74H232?!7>n3;0e;m50;9j34<722c<97>5;h5;>5<<g;o26=44}c6fa?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb5d4>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm50294?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e<o31<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd2980;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl;fc83>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;>2;297?6=8r.8<n4<399K76?<@:;:7d9=:188m4c02900c?9i:188yg2al3:187>50z&04f<6n:1C?>74H232?l152900e4j50;9j752=831d>:h50;9~f07429086=4?{%13g?5402B8?45G3038m24=831b=h950;9l62`=831vn9hi:187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi9<:50;194?6|,::h6>=7;I10=>N4981b;?4?::k2a2<722e9;k4?::\7fa157=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`650<72:0;6=u+31a976><@:927E=>1:k46?6=3`;n;7>5;n04b?6=3th><>4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk?::7>53;294~"48j08?55G32;8L6763`=96=44i0g4>5<<g;=m6=44}c731?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb434>5<4290;w)=?c;10<>N4;01C?<?4i6094?=n9l=1<75`26d94?=zj<:<6=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e=821<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm4dd94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl:0`83>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd3n80;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg37j3:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo:i3;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn8>l:180>5<7s-9;o7=<8:J07<=O;8;0e:<50;9j5`1=831d>:h50;9~f1`2290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa15b=8391<7>t$22`>65?3A9856F<109j37<722c:i:4?::m13c<722wi9=k50;194?6|,::h6>=7;I10=>N4981b;?4?::k2a2<722e9;k4?::\7fa0<0=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm48594?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi84j50;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<0o1<7;50;2x 66d282<7E=<9:J054=#90l1=6g9c;29?l162900e:;50;9j3=<722e9i44?::\7fa0<`=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm4`294?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi8l?50;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<h81<7;50;2x 66d282<7E=<9:J054=#90l1=6g9c;29?l162900e:;50;9j3=<722e9i44?::\7fa0d5=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm4`694?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi84650;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<031<7;50;2x 66d282<7E=<9:J054=#90l1=6g9c;29?l162900e:;50;9j3=<722e9i44?::\7fa0<g=83?1<7>t$22`>4>03A9856F<109'5<`=92c=o7>5;h52>5<<a>?1<75f7983>>i5m00;66sm48`94?3=83:p(>>l:0:4?M5412B8=<5+18d95>o1k3:17d9>:188m23=831b;54?::m1a<<722wi84m50;794?6|,::h6<68;I10=>N4981/=4h51:k5g?6=3`=:6=44i6794?=n?10;66a=e883>>{e<<i1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd3><0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg20m3:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo:97;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn99i:180>5<7s-9;o7=<8:J07<=O;8;0e:<50;9j5`1=831d>:h50;9~f10>290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa0=6=8391<7>t$22`>65?3A9856F<109j37<722c:i:4?::m13c<722wi8;l50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th?4<4?:283>5}#;9i1?>64H21:?M5692c<>7>5;h3f3?6=3f8<j7>5;|`72a<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c6;6?6=;3:1<v*<0b807==O;:30D>?>;h51>5<<a8o<6=44o35e>5<<uk>=j7>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj=286=4<:183\7f!57k39846F<389K747<a>81<75f1d594?=h:>l1<75rb552>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm49694?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e<>91<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd30<0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl;7483>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo:76;297?6=8r.8<n4<399K76?<@:;:7d9=:188m4c02900c?9i:188yg22l3:187>50z&04f<6n:1C?>74H232?l152900e4j50;9j752=831d>:h50;9~f11?29086=4?{%13g?5402B8?45G3038m24=831b=h950;9l62`=831vn9;i:187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi8:750;194?6|,::h6>=7;I10=>N4981b;?4?::k2a2<722e9;k4?::\7fa037=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`73d<72:0;6=u+31a976><@:927E=>1:k46?6=3`;n;7>5;n04b?6=3th?:>4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk><n7>53;294~"48j08?55G32;8L6763`=96=44i0g4>5<<g;=m6=44}c64g?6=;3:1<v*<0b807==O;:30D>?>;h51>5<<a8o<6=44o35e>5<<uk2?=7>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj1>96=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e0=91<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd?<=0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg>3=3:187>50z&04f<6n:1C?>74H232?l152900e4j50;9j752=831d>:h50;9~f=21290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa<11=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`;0=<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c:54?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb942>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm87094?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl76283>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo694;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn58::187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi4;850;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th3::4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk2857>54;294~"48j0:445G32;8L6763-;2j7m4i7a94?=n?10;66g=8783>>i5m00;66sm89694?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl78483>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo676;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn568:187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi45650;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th3444?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk23m7>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj12i6=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e0h91<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd?i=0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg>f=3:187>50z&04f<6n:1C?>74H232?l152900e4j50;9j752=831d>:h50;9~f=g1290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa<d1=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`;e=<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c:b=?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb9cb>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm86a94?2=83:p(>>l:0::?M5412B8=<5+18d95>o1k3:17d97:188m7>12900c?k6:188yg1d:3:187>50z&04f<6n:1C?>74H232?l152900e4j50;9j752=831d>:h50;9~f2e4290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa3f2=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`4g0<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c5`2?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb6a4>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm7b:94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl8c883>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo9j1;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn:k=:187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi;h=50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th<i94?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk=n97>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj>o=6=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e?l=1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd0m10;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg>783:187>50z&04f<6n:1C?>74H232?l152900e4j50;9j752=831d>:h50;9~f=66290?6=4?{%13g?7a;2B8?45G3038m24=831b5i4?::k041<722e9;k4?::\7fa<54=83>1<7>t$22`>4`43A9856F<109j37<722c2h7>5;h130?6=3f8<j7>5;|`;46<72=0;6=u+31a95c5<@:927E=>1:k46?6=3`3o6=44i227>5<<g;=m6=44}c:30?6=<3:1<v*<0b82b6=O;:30D>?>;h51>5<<a0n1<75f31694?=h:>l1<75rb926>5<3290;w)=?c;3e7>N4;01C?<?4i6094?=n1m0;66g<0583>>i5?o0;66sm81494?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl70683>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo6>f;290?6=8r.8<n4>f29K76?<@:;:7d9=:188m<b=831b?=:50;9l62`=831vn5<?:187>5<7s-9;o7?i3:J07<=O;8;0e:<50;9j=a<722c8<94?::m13c<722wi4??50;694?6|,::h6<h<;I10=>N4981b;?4?::k:`?6=3`9;87>5;n04b?6=3th3>?4?:583>5}#;9i1=k=4H21:?M5692c<>7>5;h;g>5<<a::?6=44o35e>5<<uk29?7>54;294~"48j0:j>5G32;8L6763`=96=44i8f94?=n;9>1<75`26d94?=zj18?6=4;:183\7f!57k3;m?6F<389K747<a>81<75f9e83>>o48=0;66a=7g83>>{e0;?1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd?:?0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg02?3:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th=9;4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm64794?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f333290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk<>?7>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl95g83>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa20c=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj??o6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo8:c;290?6=8r.8<n4>879K76?<@:;:7)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`51g<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e><k1<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn;;6:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c46<?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd1=;0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi:8?50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb7c;>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg0f?3:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th=m;4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm6`794?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f3g3290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk<i<7>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl9ag83>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa2dc=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj?ko6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo8nc;290?6=8r.8<n4>879K76?<@:;:7)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`5eg<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e>hk1<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn;o6:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c4b7?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd1i;0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi:n850;694?6|,::h6<69;I10=>N4981C:45+18d95>o1k3:17d9::188m2>=831d>h750;9~f3e2290?6=4?{%13g?7?>2B8?45G3038L3?<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo8l4;290?6=8r.8<n4>879K76?<@:;:7E86;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd1k:0;694?:1y'75e=91<0D>=6;I125>N112.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm6b094?2=83:p(>>l:0:5?M5412B8=<5G689'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj?in6=4;:183\7f!57k3;3:6F<389K747<@?30(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c4``?6=<3:1<v*<0b82<3=O;:30D>?>;I4:?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th=on4?:583>5}#;9i1=584H21:?M5692B=56*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa2fd=83>1<7>t$22`>4>13A9856F<109K2<=#90l1=6g9c;29?l122900e:650;9l6`?=831vn;mn:187>5<7s-9;o7?76:J07<=O;8;0D;74$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg0d13:187>50z&04f<60?1C?>74H232?M0>3-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl9c983>1<729q/?=m51948L65>3A9:=6F99:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e>j=1<7:50;2x 66d282=7E=<9:J054=O>01/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb7a2>5<3290;w)=?c;3;2>N4;01C?<?4H7;8 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk<h<7>54;294~"48j0:4;5G32;8L6763A<27)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`5<d<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e>131<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn;67:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c4;3?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd10?0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi:4<50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb7;2>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg0>83:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th=4k4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm69g94?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f3>c290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk<3o7>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl98c83>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa2=3=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj?2?6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo9?d;290?6=8r.8<n4>879K76?<@:;:7)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`44f<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e?9h1<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn:>n:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c53=?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd09<0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi;<:50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb630>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg16:3:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th<=<4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm70294?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f26a290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk=;i7>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl80983>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa351=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj>?n6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo9:d;290?6=8r.8<n4>879K76?<@:;:7)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`41f<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e?<h1<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn:;n:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c552?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd0><0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi;;:50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb640>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg11:3:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th<:<4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm77294?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f23a290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk=>57>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl85983>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa32e=83>1<7>t$22`>4>13A9856F<109K2<=#90l1=6g9c;29?l122900e:650;9l6`?=831vn:9m:187>5<7s-9;o7?76:J07<=O;8;0D;74$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg10i3:187>50z&04f<60?1C?>74H232?M0>3-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl87883>1<729q/?=m51948L65>3A9:=6F99:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e?>21<7:50;2x 66d282=7E=<9:J054=O>01/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb6:7>5<3290;w)=?c;3;2>N4;01C?<?4H7;8 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk=3?7>54;294~"48j0:4;5G32;8L6763A<27)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`4<7<72=0;6=u+31a95=0<@:927E=>1:J5=>"61o0:7d8l:188m23=831b;54?::m1a<<722wi;5?50;694?6|,::h6<69;I10=>N4981C:45+18d95>o1k3:17d9::188m2>=831d>h750;9~f2>7290?6=4?{%13g?7?>2B8?45G3038L3?<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo98f;290?6=8r.8<n4>879K76?<@:;:7E86;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd0?l0;694?:1y'75e=91<0D>=6;I125>N112.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm76f94?2=83:p(>>l:0:5?M5412B8=<5G689'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj>=<6=4;:183\7f!57k3;3:6F<389K747<@?30(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c542?6=<3:1<v*<0b82<3=O;:30D>?>;I4:?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th<8=4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm72d94?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f25b290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk=8h7>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl83b83>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa31>=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj>><6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo9;6;290?6=8r.8<n4>879K76?<@:;:7)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`400<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e?=>1<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn::<:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c576?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd0<80;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi;>l50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb61b>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg3bj3:1?7>50z&04f<4;11C?>74H232?l152900e<k8:188k71a2900qo;kc;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:e`83>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2km0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3cj3:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2m00;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:cb83>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;ka;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:e983>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2kk0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3c13:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2m>0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:c`83>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;k8;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:f583>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2l?0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3b=3:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2n:0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:d483>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;j4;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:f383>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2l=0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3b;3:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2n80;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:d283>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;j2;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:f183>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2l;0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3b93:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2mo0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:d083>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;j0;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:ed83>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2l90;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3cn3:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2mm0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:cg83>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;ke;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl:eb83>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd2kl0;694?:1y'75e=9o90D>=6;I125>o0:3:17d7k:188m6632900c?9i:188yg3cl3:1?7>50z&04f<6mm1C?>74H232?!7>n3>97d?63;29?l7><3:17b<8f;29?xd2m?0;6>4?:1y'75e=;:20D>=6;I125>o0:3:17d?j7;29?j40n3:17pl:c883>1<729q/?=m51g18L65>3A9:=6g82;29?l?c2900e>>;:188k71a2900qo;k7;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl91683>6<729q/?=m532:8L65>3A9:=6g82;29?l7b?3:17b<8f;29?xd1810;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>8<1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm5g;94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl90683>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60794?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=o21<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd18?0;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>8>1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm5g594?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl90483>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60194?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=o<1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd18=0;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>;:1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm61094?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl91083>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60d94?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e>9;1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd1990;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>8o1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm61294?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl90g83>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60f94?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=ol1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd18l0;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>8i1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm5gg94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl90e83>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60`94?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=on1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd18j0;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>8k1<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm5ga94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl90c83>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60;94?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=oh1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd18h0;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e>821<7=50;2x 66d2:937E=<9:J054=n?;0;66g>e683>>i5?o0;66sm5gc94?2=83:p(>>l:0d0?M5412B8=<5f7383>>o>l3:17d=?4;29?j40n3:17pl90883>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm60094?5=83:p(>>l:21;?M5412B8=<5f7383>>o6m>0;66a=7g83>>{e=o?1<7:50;2x 66d28l87E=<9:J054=n?;0;66g6d;29?l57<3:17b<8f;29?xd18:0;6>4?:1y'75e=9ln0D>=6;I125>"61o0?>6g>9283>>o61=0;66a=7g83>>{e?k;1<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn:l?:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c5bb?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd0il0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi;lj50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb6`:>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg1e03:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th<n:4?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm7c494?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f2d2290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk=i87>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl8b283>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa3g4=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj>kh6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo9nb;290?6=8r.8<n4>879K76?<@:;:7)?6f;38m3e=831b;84?::k4<?6=3f8n57>5;|`;a6<72=0;6=u+31a95=0<@:927E=>1:&2=c<63`<h6=44i6794?=n?10;66a=e883>>{e0l81<7:50;2x 66d282=7E=<9:J054=#90l1=6g9c;29?l122900e:650;9l6`?=831vn5k>:187>5<7s-9;o7?76:J07<=O;8;0(<7i:09j2f<722c<97>5;h5;>5<<g;o26=44}c:f4?6=<3:1<v*<0b82<3=O;:30D>?>;%3:b?7<a?i1<75f7483>>o003:17b<j9;29?xd?lo0;694?:1y'75e=91<0D>=6;I125>"61o0:7d8l:188m23=831b;54?::m1a<<722wi4hl50;694?6|,::h6<69;I10=>N4981/=4h51:k5g?6=3`=>6=44i6:94?=h:l31<75rb9gb>5<3290;w)=?c;3;2>N4;01C?<?4$0;e>4=n>j0;66g85;29?l1?2900c?k6:188yg>b13:187>50z&04f<60?1C?>74H232?!7>n3;0e;m50;9j30<722c<47>5;n0f=?6=3th3i54?:583>5}#;9i1=584H21:?M5692.:5k4>;h4`>5<<a>?1<75f7983>>i5m00;66sm8d594?2=83:p(>>l:0:5?M5412B8=<5+18d95>o1k3:17d9::188m2>=831d>h750;9~f=c1290?6=4?{%13g?7?>2B8?45G3038 4?a281b:n4?::k41?6=3`=36=44o3g:>5<<uk2n97>54;294~"48j0:4;5G32;8L6763-;2j7?4i7a94?=n?<0;66g88;29?j4b13:17pl7e583>1<729q/?=m51948L65>3A9:=6*>9g82?l0d2900e:;50;9j3=<722e9i44?::\7fa<ac=83>1<7>t$22`>4>13A9856F<109'5<`=92c=o7>5;h56>5<<a>21<75`2d;94?=zj1no6=4;:183\7f!57k3;3:6F<389K747<,83m6<5f6b83>>o0=3:17d97:188k7c>2900qo7<b;290?6=8r.8<n4>f79K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl63b83>1<729q/?=m51g48L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sm92f94?2=83:p(>>l:0d5?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb86;>5<3290;w)=?c;3e2>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}c;67?6=<3:1<v*<0b82b3=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:12<72=0;6=u+31a95c0<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fa=0>=83>1<7>t$22`>4`13A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~f<3>290?6=4?{%13g?7a>2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg?2i3:187>50z&04f<6n?1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>=k0;694?:1y'75e=9o<0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e1<i1<7:50;2x 66d28l=7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zj09n6=4;:183\7f!57k3;m:6F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<uk38j7>54;294~"48j0:j;5G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th28=4?:583>5}#;9i1=k84H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wi59?50;694?6|,::h6<h9;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vn4:=:187>5<7s-9;o7?i6:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo7;3;290?6=8r.8<n4>f79K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl64583>1<729q/?=m51g48L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sm95794?2=83:p(>>l:0d5?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb8a`>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}ccb0?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:<<<72=0;6=u+31a95c3<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faed3=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fg22290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188ygg7n3:187>50z&04f<6n<1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd><?0;694?:1y'75e=9o<0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e1jn1<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjhk=6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<uk33m7>54;294~"48j0:j85G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3thjm54?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win9850;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl??:187>5<7s-9;o7?i5:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo7;7;290?6=8r.8<n4>f79K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6cg83>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sma`;94?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb8:`>5<3290;w)=?c;3e1>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}ccbe?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`a0=<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fae44=83>1<7>t$22`>4`23A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~f<2>290?6=4?{%13g?7a>2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg?3i3:187>50z&04f<6n?1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>l80;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{eihn1<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zj02n6=4;:183\7f!57k3;m96F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukkji7>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3thi8l4?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wim<:50;694?6|,::h6<h:;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vn4:m:187>5<7s-9;o7?i6:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo7k2;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17plnag83>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sm99d94?2=83:p(>>l:0d6?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb``3>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}c`7f?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`b50<72=0;6=u+31a95c3<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fa=1e=83>1<7>t$22`>4`13A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~f<b4290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188ygge93:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>190;694?:1y'75e=9o?0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{eik91<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjk>h6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukk::7>54;294~"48j0:j85G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th28i4?:583>5}#;9i1=k84H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wi5i:50;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnll;:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo761;290?6=8r.8<n4>f49K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17plnb483>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb5f94?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`34>5<3290;w)=?c;3e1>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}c;7a?6=<3:1<v*<0b82b3=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:0c<72=0;6=u+31a95c0<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fa=06=83>1<7>t$22`>4`13A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~f<36290?6=4?{%13g?7a>2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg?2:3:187>50z&04f<6n?1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>==0;694?:1y'75e=9o<0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e1<?1<7:50;2x 66d28l=7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zj0?=6=4;:183\7f!57k3;m:6F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukiji7>54;294~"48j0:455G32;8L6763-;2j7?4i7a94?=n?80;66g88;29?j4b13:17pllae83>1<729q/?=m519:8L65>3A9:=6*>9g82?l0d2900e:?50;9j3=<722e9i44?::\7fagde=83>1<7>t$22`>4>?3A9856F<109'5<`=92c=o7>5;h52>5<<a>21<75`2d;94?=zjjki6=4;:183\7f!57k3;346F<389K747<,83m6<5f6b83>>o093:17d97:188k7c>2900qo6<5;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6<4;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6<3;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6<2;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6<1;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6<0;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6=f;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i7;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i6;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i5;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i4;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i3;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i2;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo9i1;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;a8m4?42900e<7;:188k71a2900qo6>7;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;61?l7>;3:17d?64;29?j40n3:17pl8d883>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm80494?3=83:p(>>l:0d3?M5412B8=<5+18d906=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb936>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>15<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c:20?6==3:1<v*<0b82b5=O;:30D>?>;%3:b?243`;2?7>5;h3:0?6=3`;297>5;h3:2?6=3f8<j7>5;|`;56<72<0;6=u+31a95c6<@:927E=>1:&2=c<3;2c:5>4?::k2=1<722c:584?::k2=3<722e9;k4?::\7fa<44=83?1<7>t$22`>4`73A9856F<109'5<`=<:1b=4=50;9j5<2=831b=4;50;9j5<0=831d>:h50;9~f=76290>6=4?{%13g?7a82B8?45G3038 4?a2=90e<7<:188m4?32900e<7::188m4?12900c?9i:188yg>683:197>50z&04f<6n91C?>74H232?!7>n3>87d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd0l10;684?:1y'75e=9o:0D>=6;I125>"61o0??6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e?m=1<7;50;2x 66d28l;7E=<9:J054=#90l18>5f18194?=n90>1<75f18794?=n90<1<75`26d94?=zj>n=6=4::183\7f!57k3;m<6F<389K747<,83m69=4i0;0>5<<a83?6=44i0;6>5<<a83=6=44o35e>5<<uk=o97>55;294~"48j0:j=5G32;8L6763-;2j7:<;h3:7?6=3`;287>5;h3:1?6=3`;2:7>5;n04b?6=3th<h94?:483>5}#;9i1=k>4H21:?M5692.:5k4;3:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi;i=50;794?6|,::h6<h?;I10=>N4981/=4h5429j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn:j=:186>5<7s-9;o7?i0:J07<=O;8;0(<7i:518m4?42900e<7;:188m4?22900e<79:188k71a2900qo9>7;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;1`?l7>;3:17d?64;29?j40n3:17pl96083>6<729q/?=m51df8L65>3A9:=6*>9g80g>o61:0;66g>9583>>i5?o0;66sm71094?5=83:p(>>l:0gg?M5412B8=<5+18d97f=n9091<75f18694?=h:>l1<75rb622>5<4290;w)=?c;3f`>N4;01C?<?4$0;e>6e<a8386=44i0;7>5<<g;=m6=44}c534?6=;3:1<v*<0b82aa=O;:30D>?>;%3:b?5d3`;2?7>5;h3:0?6=3f8<j7>5;|`5bc<72:0;6=u+31a95`b<@:927E=>1:&2=c<4k2c:5>4?::k2=1<722e9;k4?::\7fa2cc=8391<7>t$22`>4cc3A9856F<109'5<`=;j1b=4=50;9j5<2=831d>:h50;9~f3`c29086=4?{%13g?7bl2B8?45G3038 4?a2:i0e<7<:188m4?32900c?9i:188yg0ak3:1?7>50z&04f<6mm1C?>74H232?!7>n39h7d?63;29?l7><3:17b<8f;29?xd1nk0;6>4?:1y'75e=9ln0D>=6;I125>"61o08o6g>9283>>o61=0;66a=7g83>>{e>ok1<7=50;2x 66d28oo7E=<9:J054=#90l1?n5f18194?=n90>1<75`26d94?=zj?l26=4<:183\7f!57k3;nh6F<389K747<,83m6>m4i0;0>5<<a83?6=44o35e>5<<uk<m47>53;294~"48j0:ii5G32;8L6763-;2j7=l;h3:7?6=3`;287>5;n04b?6=3th=j:4?:283>5}#;9i1=hj4H21:?M5692.:5k4<c:k2=6<722c:594?::m13c<722wi:k850;194?6|,::h6<kk;I10=>N4981/=4h53b9j5<5=831b=4:50;9l62`=831vn;h::180>5<7s-9;o7?jd:J07<=O;8;0(<7i:2a8m4?42900e<7;:188k71a2900qo8;c;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;1`?l7>;3:17d?64;29?j40n3:17pl94c83>6<729q/?=m51df8L65>3A9:=6*>9g80g>o61:0;66g>9583>>i5?o0;66sm65c94?5=83:p(>>l:0gg?M5412B8=<5+18d97f=n9091<75f18694?=h:>l1<75rb76:>5<4290;w)=?c;3f`>N4;01C?<?4$0;e>6e<a8386=44i0;7>5<<g;=m6=44}c47<?6=;3:1<v*<0b82aa=O;:30D>?>;%3:b?5d3`;2?7>5;h3:0?6=3f8<j7>5;|`502<72:0;6=u+31a95`b<@:927E=>1:&2=c<4k2c:5>4?::k2=1<722e9;k4?::\7fa210=8391<7>t$22`>4cc3A9856F<109'5<`=;j1b=4=50;9j5<2=831d>:h50;9~f32229086=4?{%13g?7bl2B8?45G3038 4?a2:i0e<7<:188m4?32900c?9i:188yg03<3:1?7>50z&04f<6mm1C?>74H232?!7>n39h7d?63;29?l7><3:17b<8f;29?xd1<:0;6>4?:1y'75e=9ln0D>=6;I125>"61o08o6g>9283>>o61=0;66a=7g83>>{e>=81<7=50;2x 66d28oo7E=<9:J054=#90l1?n5f18194?=n90>1<75`26d94?=zj?>:6=4<:183\7f!57k3;nh6F<389K747<,83m6>m4i0;0>5<<a83?6=44o35e>5<<uk<?<7>53;294~"48j0:ii5G32;8L6763-;2j7=l;h3:7?6=3`;287>5;n04b?6=3th=?k4?:283>5}#;9i1=hj4H21:?M5692.:5k4<c:k2=6<722c:594?::m13c<722wi;<750;694?6|,::h6<ki;I10=>N4981/=4h5459j5<5=831b=4:50;9j5<3=831d>:h50;9~f304290?6=4?{%13g?7bn2B8?45G3038 4?a2=>0e<7<:188m4?32900e<7::188k71a2900qo9>f;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;1`?l7>;3:17d?64;29?j40n3:17pl81d83>0<729q/?=m51g28L65>3A9:=6*>9g871>o61:0;66g>9583>>o61<0;66g>9783>>i5?o0;66sm67;94?5=83:p(>>l:0gg?M5412B8=<5+18d97f=n9091<75f18694?=h:>l1<75rb74;>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>13<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c52f?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?5c3`;2?7>5;h3:0?6=3`;297>5;n04b?6=3th=:84?:583>5}#;9i1=hh4H21:?M5692.:5k4<d:k2=6<722c:594?::k2=0<722e9;k4?::\7fa34b=83?1<7>t$22`>4`73A9856F<109'5<`=<<1b=4=50;9j5<2=831b=4;50;9j5<0=831d>:h50;9~f300290>6=4?{%13g?7a82B8?45G3038 4?a2=?0e<7<:188m4?32900e<7::188m4?12900c?9i:188yg15=3:197>50z&04f<6n91C?>74H232?!7>n3>>7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd1>o0;684?:1y'75e=9o:0D>=6;I125>"61o0?96g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e?hk1<7;50;2x 66d28l;7E=<9:J054=#90l1?h5f18194?=n90>1<75f18794?=n90<1<75`26d94?=zj>k26=4::183\7f!57k3;m<6F<389K747<,83m69;4i0;0>5<<a83?6=44i0;6>5<<a83=6=44o35e>5<<uk<m87>55;294~"48j0:j=5G32;8L6763-;2j7=j;h3:7?6=3`;287>5;h3:1?6=3`;2:7>5;n04b?6=3th=j>4?:483>5}#;9i1=k>4H21:?M5692.:5k4;5:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi;l<50;794?6|,::h6<h?;I10=>N4981/=4h53d9j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn:o<:187>5<7s-9;o7?jf:J07<=O;8;0(<7i:2f8m4?42900e<7;:188m4?22900c?9i:188yg1f=3:187>50z&04f<6mo1C?>74H232?!7>n3>?7d?63;29?l7><3:17d?65;29?j40n3:17pl89d83>1<729q/?=m51dd8L65>3A9:=6*>9g870>o61:0;66g>9583>>o61<0;66a=7g83>>{e?0n1<7:50;2x 66d28om7E=<9:J054=#90l1895f18194?=n90>1<75f18794?=h:>l1<75rb7g`>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>6c<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c4f`?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?5c3`;2?7>5;h3:0?6=3`;297>5;n04b?6=3th=ik4?:583>5}#;9i1=hh4H21:?M5692.:5k4;4:k2=6<722c:594?::k2=0<722e9;k4?::\7fa2`>=83>1<7>t$22`>4ca3A9856F<109'5<`=<=1b=4=50;9j5<2=831b=4;50;9l62`=831vn;k8:187>5<7s-9;o7?jf:J07<=O;8;0(<7i:568m4?42900e<7;:188m4?22900c?9i:188yg15?3:197>50z&04f<6n91C?>74H232?!7>n39n7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd1?80;684?:1y'75e=9o:0D>=6;I125>"61o08i6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e?9?1<7:50;2x 66d28om7E=<9:J054=#90l1?i5f18194?=n90>1<75f18794?=h:>l1<75rb76e>5<3290;w)=?c;3fb>N4;01C?<?4$0;e>6b<a8386=44i0;7>5<<a83>6=44o35e>5<<uk=j:7>53;294~"48j0:ii5G32;8L6763-;2j7=l;h3:7?6=3`;287>5;n04b?6=3th<m94?:483>5}#;9i1=k>4H21:?M5692.:5k4;5:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi:k>50;194?6|,::h6<kk;I10=>N4981/=4h53b9j5<5=831b=4:50;9l62`=831vn;kj:186>5<7s-9;o7?i0:J07<=O;8;0(<7i:578m4?42900e<7;:188m4?22900e<79:188k71a2900qo6kb;297?6=8r.8<n4>ee9K76?<@:;:7)?6f;17?l7>;3:17d?64;29?j40n3:17pl82283>0<729q/?=m51g28L65>3A9:=6*>9g871>o61:0;66g>9583>>o61<0;66g>9783>>i5?o0;66sm67f94?3=83:p(>>l:0d3?M5412B8=<5+18d900=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb6;a>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>6c<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c4f1?6==3:1<v*<0b82b5=O;:30D>?>;%3:b?5b3`;2?7>5;h3:0?6=3`;297>5;h3:2?6=3f8<j7>5;|`4==<72=0;6=u+31a95``<@:927E=>1:&2=c<4l2c:5>4?::k2=1<722c:584?::m13c<722wi;4=50;794?6|,::h6<h?;I10=>N4981/=4h5449j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn;k=:187>5<7s-9;o7?jf:J07<=O;8;0(<7i:2f8m4?42900e<7;:188m4?22900c?9i:188yg0cl3:197>50z&04f<6n91C?>74H232?!7>n3>>7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd01<0;6>4?:1y'75e=9ln0D>=6;I125>"61o08o6g>9283>>o61=0;66a=7g83>>{e?0>1<7;50;2x 66d28l;7E=<9:J054=#90l1?h5f18194?=n90>1<75f18794?=n90<1<75`26d94?=zj>896=4<:183\7f!57k3;nh6F<389K747<,83m6>m4i0;0>5<<a83?6=44o35e>5<<uk=9=7>55;294~"48j0:j=5G32;8L6763-;2j7::;h3:7?6=3`;287>5;h3:1?6=3`;2:7>5;n04b?6=3th=hk4?:283>5}#;9i1=hj4H21:?M5692.:5k4<c:k2=6<722c:594?::m13c<722wi:ik50;794?6|,::h6<h?;I10=>N4981/=4h53d9j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn;8l:180>5<7s-9;o7?jd:J07<=O;8;0(<7i:2a8m4?42900e<7;:188k71a2900qo89b;291?6=8r.8<n4>f19K76?<@:;:7)?6f;66?l7>;3:17d?64;29?l7>=3:17d?66;29?j40n3:17pl7b`83>6<729q/?=m51df8L65>3A9:=6*>9g876>o61:0;66g>9583>>i5?o0;66sm88`94?5=83:p(>>l:0gg?M5412B8=<5+18d907=n9091<75f18694?=h:>l1<75rb954>5<4290;w)=?c;3f`>N4;01C?<?4$0;e>14<a8386=44i0;7>5<<g;=m6=44}c:6<?6=;3:1<v*<0b82aa=O;:30D>?>;%3:b?253`;2?7>5;h3:0?6=3f8<j7>5;|`;f<<72<0;6=u+31a95c6<@:927E=>1:&2=c<3;2c:5>4?::k2=1<722c:584?::k2=3<722e9;k4?::\7fa<<g=83?1<7>t$22`>4`73A9856F<109'5<`=<:1b=4=50;9j5<2=831b=4;50;9j5<0=831d>:h50;9~f=11290>6=4?{%13g?7a82B8?45G3038 4?a2=90e<7<:188m4?32900e<7::188m4?12900c?9i:188yg>2?3:197>50z&04f<6n91C?>74H232?!7>n3>87d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd01>0;694?:1y'75e=9ll0D>=6;I125>"61o08h6g>9283>>o61=0;66g>9483>>i5?o0;66sm6d394?2=83:p(>>l:0ge?M5412B8=<5+18d97a=n9091<75f18694?=n90?1<75`26d94?=zj1h36=4::183\7f!57k3;m<6F<389K747<,83m69=4i0;0>5<<a83?6=44i0;6>5<<a83=6=44o35e>5<<uk2257>55;294~"48j0:j=5G32;8L6763-;2j7:<;h3:7?6=3`;287>5;h3:1?6=3`;2:7>5;n04b?6=3th3;84?:483>5}#;9i1=k>4H21:?M5692.:5k4;3:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi48850;794?6|,::h6<h?;I10=>N4981/=4h5429j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn5l8:186>5<7s-9;o7?i0:J07<=O;8;0(<7i:518m4?42900e<7;:188m4?22900e<79:188k71a2900qo668;291?6=8r.8<n4>f19K76?<@:;:7)?6f;60?l7>;3:17d?64;29?l7>=3:17d?66;29?j40n3:17pl77583>0<729q/?=m51g28L65>3A9:=6*>9g877>o61:0;66g>9583>>o61<0;66g>9783>>i5?o0;66sm84794?3=83:p(>>l:0d3?M5412B8=<5+18d906=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb9`5>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>15<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c::3?6==3:1<v*<0b82b5=O;:30D>?>;%3:b?243`;2?7>5;h3:0?6=3`;297>5;h3:2?6=3f8<j7>5;|`;36<72<0;6=u+31a95c6<@:927E=>1:&2=c<3;2c:5>4?::k2=1<722c:584?::k2=3<722e9;k4?::\7fa<02=83?1<7>t$22`>4`73A9856F<109'5<`=<:1b=4=50;9j5<2=831b=4;50;9j5<0=831d>:h50;9~f=d2290>6=4?{%13g?7a82B8?45G3038 4?a2=90e<7<:188m4?32900e<7::188m4?12900c?9i:188yg>>>3:197>50z&04f<6n91C?>74H232?!7>n3>87d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd??;0;684?:1y'75e=9o:0D>=6;I125>"61o0??6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e0<91<7;50;2x 66d28l;7E=<9:J054=#90l18>5f18194?=n90>1<75f18794?=n90<1<75`26d94?=zj1h?6=4::183\7f!57k3;m<6F<389K747<,83m69=4i0;0>5<<a83?6=44i0;6>5<<a83=6=44o35e>5<<uk2297>55;294~"48j0:j=5G32;8L6763-;2j7:<;h3:7?6=3`;287>5;h3:1?6=3`;2:7>5;n04b?6=3th3;<4?:483>5}#;9i1=k>4H21:?M5692.:5k4;3:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi48<50;794?6|,::h6<h?;I10=>N4981/=4h5429j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn5l<:186>5<7s-9;o7?i0:J07<=O;8;0(<7i:518m4?42900e<7;:188m4?22900e<79:188k71a2900qo664;291?6=8r.8<n4>f19K76?<@:;:7)?6f;60?l7>;3:17d?64;29?l7>=3:17d?66;29?j40n3:17pl77183>0<729q/?=m51g28L65>3A9:=6*>9g877>o61:0;66g>9583>>o61<0;66g>9783>>i5?o0;66sm84394?3=83:p(>>l:0d3?M5412B8=<5+18d906=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb9de>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>0=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb9da>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>0=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb9d7>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>3=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb9d2>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>1=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb83b>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>==n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb834>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>==n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb835>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>==n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb836>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>==n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb831>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>`=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb832>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>`=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb833>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>`=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb82e>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>16<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c;3a?6==3:1<v*<0b82b5=O;:30D>?>;%3:b?273`;2?7>5;h3:0?6=3`;297>5;h3:2?6=3f8<j7>5;|`:4f<72<0;6=u+31a95c6<@:927E=>1:&2=c<382c:5>4?::k2=1<722c:584?::k2=3<722e9;k4?::\7fa=5>=83?1<7>t$22`>4`73A9856F<109'5<`=02c:5>4?::k2=1<722c:584?::k2=3<722e9;k4?::\7fa=50=83?1<7>t$22`>4`73A9856F<109'5<`=02c:5>4?::k2=1<722c:584?::k2=3<722e9;k4?::\7faa32=83?1<7>t$22`>4`73A9856F<109'5<`=91n0e<7<:188m4?32900e<7::188m4?12900c?9i:188yg1ei3:1?7>50z&04f<6mm1C?>74H232?!7>n39?7d?63;29?l7><3:17b<8f;29?xd20h0;6?4?:1y'75e=9li0D>=6;I125>"61o09n6g>9283>>i5?o0;66sm59a94?4=83:p(>>l:0g`?M5412B8=<5+18d96g=n9091<75`26d94?=zj<2n6=4=:183\7f!57k3;no6F<389K747<,83m6?l4i0;0>5<<g;=m6=44}c7:4?6=:3:1<v*<0b82af=O;:30D>?>;%3:b?4e3`;2?7>5;n04b?6=3th>5?4?:383>5}#;9i1=hm4H21:?M5692.:5k4=b:k2=6<722e9;k4?::\7fa1<2=8381<7>t$22`>4cd3A9856F<109'5<`=:k1b=4=50;9l62`=831vn879:181>5<7s-9;o7?jc:J07<=O;8;0(<7i:3`8m4?42900c?9i:188yg3>03:1>7>50z&04f<6mj1C?>74H232?!7>n38i7d?63;29?j40n3:17pl:9`83>7<729q/?=m51da8L65>3A9:=6*>9g81f>o61:0;66a=7g83>>{e=181<7<50;2x 66d28oh7E=<9:J054=#90l1>o5f18194?=h:>l1<75rb4:7>5<5290;w)=?c;3fg>N4;01C?<?4$0;e>7d<a8386=44o35e>5<<uk?3:7>52;294~"48j0:in5G32;8L6763-;2j7<m;h3:7?6=3f8<j7>5;|`6<=<72;0;6=u+31a95`e<@:927E=>1:&2=c<5j2c:5>4?::m13c<722wi99?50;094?6|,::h6<kl;I10=>N4981/=4h52c9j5<5=831d>:h50;9~f02429096=4?{%13g?7bk2B8?45G3038 4?a2;h0e<7<:188k71a2900qo;;5;296?6=8r.8<n4>eb9K76?<@:;:7)?6f;0a?l7>;3:17b<8f;29?xd2<>0;6?4?:1y'75e=9li0D>=6;I125>"61o09n6g>9283>>i5?o0;66sm55;94?4=83:p(>>l:0g`?M5412B8=<5+18d96g=n9091<75`26d94?=zj<>i6=4=:183\7f!57k3;no6F<389K747<,83m6?l4i0;0>5<<g;=m6=44}c77`?6=:3:1<v*<0b82af=O;:30D>?>;%3:b?4e3`;2?7>5;n04b?6=3th>8k4?:383>5}#;9i1=hm4H21:?M5692.:5k4=b:k2=6<722e9;k4?::\7fa107=8381<7>t$22`>4cd3A9856F<109'5<`=:k1b=4=50;9l62`=831vn8=6:181>5<7s-9;o7?jc:J07<=O;8;0(<7i:3`8m4?42900c?9i:188yg34j3:1>7>50z&04f<6mj1C?>74H232?!7>n38i7d?63;29?j40n3:17pl:3e83>7<729q/?=m51da8L65>3A9:=6*>9g81f>o61:0;66a=7g83>>{e=:l1<7<50;2x 66d28oh7E=<9:J054=#90l1>o5f18194?=h:>l1<75rb5ce>5<5290;w)=?c;3fg>N4;01C?<?4$0;e>7d<a8386=44o35e>5<<uk>i=7>52;294~"48j0:in5G32;8L6763-;2j7<m;h3:7?6=3f8<j7>5;|`7f6<72;0;6=u+31a95`e<@:927E=>1:&2=c<5j2c:5>4?::m13c<722wi8o;50;094?6|,::h6<kl;I10=>N4981/=4h52c9j5<5=831d>:h50;9~f1d029096=4?{%13g?7bk2B8?45G3038 4?a2;h0e<7<:188k71a2900qo:m9;296?6=8r.8<n4>eb9K76?<@:;:7)?6f;0a?l7>;3:17b<8f;29?xd3jk0;6?4?:1y'75e=9li0D>=6;I125>"61o09n6g>9283>>i5?o0;66sm4cf94?4=83:p(>>l:0g`?M5412B8=<5+18d96g=n9091<75`26d94?=zj=hm6=4=:183\7f!57k3;no6F<389K747<,83m6?l4i0;0>5<<g;=m6=44}c6b3?6=:3:1<v*<0b82af=O;:30D>?>;%3:b?4e3`;2?7>5;n04b?6=3th?m44?:383>5}#;9i1=hm4H21:?M5692.:5k4=b:k2=6<722e9;k4?::\7fa0dd=8381<7>t$22`>4cd3A9856F<109'5<`=:k1b=4=50;9l62`=831vn9ok:181>5<7s-9;o7?jc:J07<=O;8;0(<7i:3`8m4?42900c?9i:188yg2a03:1>7>50z&04f<6mj1C?>74H232?!7>n38i7d?63;29?j40n3:17pl;f`83>7<729q/?=m51da8L65>3A9:=6*>9g81f>o61:0;66a=7g83>>{e<oi1<7<50;2x 66d28oh7E=<9:J054=#90l1>o5f18194?=h:>l1<75rb5df>5<5290;w)=?c;3fg>N4;01C?<?4$0;e>7d<a8386=44o35e>5<<uk?;<7>52;294~"48j0:in5G32;8L6763-;2j7<m;h3:7?6=3f8<j7>5;|`647<72;0;6=u+31a95`e<@:927E=>1:&2=c<5j2c:5>4?::m13c<722wi9=:50;094?6|,::h6<kl;I10=>N4981/=4h52c9j5<5=831d>:h50;9~f06129096=4?{%13g?7bk2B8?45G3038 4?a2;h0e<7<:188k71a2900qo;?8;296?6=8r.8<n4>eb9K76?<@:;:7)?6f;0a?l7>;3:17b<8f;29?xd3n90;6?4?:1y'75e=9li0D>=6;I125>"61o09n6g>9283>>i5?o0;66sm4g094?4=83:p(>>l:0g`?M5412B8=<5+18d96g=n9091<75`26d94?=zj=l?6=4=:183\7f!57k3;no6F<389K747<,83m6?l4i0;0>5<<g;=m6=44}c6e2?6=:3:1<v*<0b82af=O;:30D>?>;%3:b?4e3`;2?7>5;n04b?6=3th?:;4?:383>5}#;9i1=hm4H21:?M5692.:5k4=b:k2=6<722e9;k4?::\7fa03>=8381<7>t$22`>4cd3A9856F<109'5<`=:k1b=4=50;9l62`=831vn98n:181>5<7s-9;o7?jc:J07<=O;8;0(<7i:3`8m4?42900c?9i:188yg21k3:1>7>50z&04f<6mj1C?>74H232?!7>n38i7d?63;29?j40n3:17pl;6d83>7<729q/?=m51da8L65>3A9:=6*>9g81f>o61:0;66a=7g83>>{e<>:1<7<50;2x 66d28oh7E=<9:J054=#90l1>o5f18194?=h:>l1<75rb551>5<5290;w)=?c;3fg>N4;01C?<?4$0;e>7d<a8386=44o35e>5<<uk><87>52;294~"48j0:in5G32;8L6763-;2j7<m;h3:7?6=3f8<j7>5;|`733<72;0;6=u+31a95`e<@:927E=>1:&2=c<5j2c:5>4?::m13c<722wi88k50;094?6|,::h6<kl;I10=>N4981/=4h52c9j5<5=831d>:h50;9~f10729096=4?{%13g?7bk2B8?45G3038 4?a2;h0e<7<:188k71a2900qo:92;296?6=8r.8<n4>eb9K76?<@:;:7)?6f;0a?l7>;3:17b<8f;29?xd3>=0;6?4?:1y'75e=9li0D>=6;I125>"61o09n6g>9283>>i5?o0;66sm5`394?4=83:p(>>l:0g`?M5412B8=<5+18d96g=n9091<75`26d94?=zj<?36=4=:183\7f!57k3;no6F<389K747<,83m6?l4i0;0>5<<g;=m6=44}c6`2?6=:3:1<v*<0b82af=O;:30D>?>;%3:b?4e3`;2?7>5;n04b?6=3th><k4?:383>5}#;9i1=hm4H21:?M5692.:5k4=b:k2=6<722e9;k4?::\7fa02b=8381<7>t$22`>4cd3A9856F<109'5<`=:k1b=4=50;9l62`=831vnhl6:187>5<7s-9;o7?jf:J07<=O;8;0(<7i:0:0?l7>;3:17d?64;29?l7>=3:17b<8f;29?xd?n?0;684?:1y'75e=9o:0D>=6;I125>"61o037d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?n<0;684?:1y'75e=9o:0D>=6;I125>"61o037d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?nl0;684?:1y'75e=9o:0D>=6;I125>"61o037d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?nm0;684?:1y'75e=9o:0D>=6;I125>"61o0=7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?nj0;684?:1y'75e=9o:0D>=6;I125>"61o0=7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?n00;684?:1y'75e=9o:0D>=6;I125>"61o0>7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?n10;684?:1y'75e=9o:0D>=6;I125>"61o0>7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?n:0;684?:1y'75e=9o:0D>=6;I125>"61o037d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?nh0;684?:1y'75e=9o:0D>=6;I125>"61o0=7d?63;29?l7><3:17d?65;29?l7>>3:17b<8f;29?xd?n>0;684?:1y'75e=9o:0D>=6;I125>"61o0?<6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e1821<7;50;2x 66d28l;7E=<9:J054=#90l1m6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e1891<7;50;2x 66d28l;7E=<9:J054=#90l1m6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e19k1<7;50;2x 66d28l;7E=<9:J054=#90l1m6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{e19>1<7;50;2x 66d28l;7E=<9:J054=#90l1m6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{em?:1<7;50;2x 66d28l;7E=<9:J054=#90l156g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{em??1<7;50;2x 66d28l;7E=<9:J054=#90l1;6g>9283>>o61=0;66g>9483>>o61?0;66a=7g83>>{em?81<7;50;2x 66d28l;7E=<9:J054=#90l1=5:4i0;0>5<<a83?6=44i0;6>5<<a83=6=44o35e>5<<ukkh47>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae70=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qool9;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej<i1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccg2?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win8j50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd1i3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smb`f94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk9;7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae`7=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qoojc;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej>?1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}cce3?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win5>50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd?j3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smb`g94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8=7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faec`=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol?3;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej091<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`30?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722wino650;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd>?3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smb8:94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8:7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf5c=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qoola;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ejh81<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}cc``?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win8k50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd193:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbcf94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8n7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faefc=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qoolf;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej?81<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccg4?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win;=50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd1<3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb094?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8o7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faea7=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qook2;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej??1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccg7?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win;850;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd1?3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb194?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8h7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faea2=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qook5;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej?21<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccg3?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win;750;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd1j3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb694?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8i7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faea>=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qook9;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej?i1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccge?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win;j50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd1m3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb794?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8j7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faead=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qookc;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej?l1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`45?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722wimij50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd083:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb494?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk?<7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faeac=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qookf;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej>81<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccf4?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win:=50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd0<3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb594?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk947>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae`4=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooj3;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej><1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccf0?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win:950;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd003:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smb`d94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk957>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae`3=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooj6;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej>31<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccf3?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win:o50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd0j3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc294?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk9m7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae`>=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooj9;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej>i1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccfe?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win:j50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd0m3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc394?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk9n7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae`d=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qoojd;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej>l1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccfa?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win5?50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd?:3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc094?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk9o7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae``=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooi0;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej191<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`;0?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722wimk?50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd?=3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc194?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk9h7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faec4=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooi3;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej1<1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}cce0?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win5950;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd?03:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc694?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukkm:7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7fae7c=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooi5;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej131<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}cce<?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win5o50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd?k3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc794?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk9j7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faec?=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooia;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej1n1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccef?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win5k50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd?n3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc494?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8<7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faece=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qooid;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej0:1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}ccea?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win4?50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd>:3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc594?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8>7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf56=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol?1;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej0>1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`36?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win4;50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd>>3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc;94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8?7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf53=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol?6;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej031<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`33?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win4o50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd>j3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbcc94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk887>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf5>=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol?9;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej0i1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`3e?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win4j50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd>m3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbc`94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk897>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf5d=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol?c;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ej0l1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`3`?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722winl>50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygdf93:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbca94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8;7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf5`=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol>0;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ejh91<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`25?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722winl:50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygdf=3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbcg94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk847>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf44=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol>3;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ejh<1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`20?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722winl950;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygdf03:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbcd94?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk857>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf43=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qol>6;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ejh31<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}c`23?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722winlo50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygdfj3:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb294?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk8m7>54;294~"48j0:ik5G32;8L6763-;2j7?8e:k2=6<722c:594?::k2=0<722e9;k4?::\7faf4>=83>1<7>t$22`>4ca3A9856F<109'5<`=9>o0e<7<:188m4?32900e<7::188k71a2900qoolb;290?6=8r.8<n4>eg9K76?<@:;:7)?6f;34a>o61:0;66g>9583>>o61<0;66a=7g83>>{ejhi1<7:50;2x 66d28om7E=<9:J054=#90l1=:k4i0;0>5<<a83?6=44i0;6>5<<g;=m6=44}cc`g?6=<3:1<v*<0b82ac=O;:30D>?>;%3:b?70m2c:5>4?::k2=1<722c:584?::m13c<722win8h50;694?6|,::h6<ki;I10=>N4981/=4h516g8m4?42900e<7;:188m4?22900c?9i:188ygd183:187>50z&04f<6mo1C?>74H232?!7>n3;<i6g>9283>>o61=0;66g>9483>>i5?o0;66smbb394?2=83:p(>>l:0ge?M5412B8=<5+18d952c<a8386=44i0;7>5<<a83>6=44o35e>5<<ukk?=7>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2m=4?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win<750;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl6::187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qoo76;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6c383>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb2`94?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`;2>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}cc:g?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:g6<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf6e=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fdg0290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188ygge:3:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>kl0;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{ej==1<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjhho6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukkh97>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2h44?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win8<50;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnlm9:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qool7;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6de83>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sma9594?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rbc75>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}cc;<?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:``<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf01=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fd>>290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188ygg?i3:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>lo0;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{ej<21<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh2i6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukk3o7>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2i=4?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win8750;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl6k:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qoo7e;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6e083>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb4c94?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`:e>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}cc:4?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:a7<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf0d=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fd?5290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188ygg>;3:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>k=0;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{ej:n1<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh3?6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukk297>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2o84?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win>k50;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl79:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qoo67;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6c783>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb2d94?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`;;>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}cc:=?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:g2<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf16=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fd?f290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188ygg>j3:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>k10;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{ej=;1<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh3o6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukk2i7>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2o44?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win9<50;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl7i:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qoon0;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6c`83>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb5194?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`c2>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}ccb6?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:gg<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf12=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fdg4290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yggfj3:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>l90;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{ej=31<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjhkh6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukki:7>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2h84?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win9k50;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnll8:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qoom8;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6d783>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb5d94?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb``:>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}ccae?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:`2<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf06=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fdde290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yggek3:187>50z&04f<6n=1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>l10;694?:1y'75e=9o>0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{ej<;1<7:50;2x 66d28l?7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjhhn6=4;:183\7f!57k3;m86F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<ukkij7>54;294~"48j0:j95G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3th2hl4?:583>5}#;9i1=k:4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722win8=50;694?6|,::h6<h;;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnlm?:187>5<7s-9;o7?i4:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qool1;290?6=8r.8<n4>f59K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pl6dc83>1<729q/?=m51g68L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66smb4694?2=83:p(>>l:0d7?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`a1>5<3290;w)=?c;3e0>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}cc`7?6=<3:1<v*<0b82b1=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`:`f<72=0;6=u+31a95c2<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7faf03=83>1<7>t$22`>4`33A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fde3290?6=4?{%13g?7a<2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg?2l3:187>50z&04f<6n<1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xd>m:0;694?:1y'75e=9o?0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e1>l1<7:50;2x 66d28l>7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh:>6=4;:183\7f!57k3;m96F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<uk33<7>54;294~"48j0:j85G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3thj<;4?:583>5}#;9i1=k;4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wi55l50;694?6|,::h6<h:;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl?>:187>5<7s-9;o7?i5:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo766;290?6=8r.8<n4>f49K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pln1b83>1<729q/?=m51g78L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sm98c94?2=83:p(>>l:0d6?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`03>5<3290;w)=?c;3e1>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}c;:f?6=<3:1<v*<0b82b0=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`b64<72=0;6=u+31a95c3<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fa=<e=83>1<7>t$22`>4`23A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fd45290?6=4?{%13g?7a=2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg?>l3:187>50z&04f<6n<1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xdf::0;694?:1y'75e=9o?0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e10o1<7:50;2x 66d28l>7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh8?6=4;:183\7f!57k3;m96F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<uk32j7>54;294~"48j0:j85G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3thj>84?:583>5}#;9i1=k;4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wi55?50;694?6|,::h6<h:;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl>8:187>5<7s-9;o7?i5:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo772;290?6=8r.8<n4>f49K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pln0983>1<729q/?=m51g78L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sm99194?2=83:p(>>l:0d6?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`2:>5<3290;w)=?c;3e1>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}c;;0?6=<3:1<v*<0b82b0=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`b4d<72=0;6=u+31a95c3<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fa==3=83>1<7>t$22`>4`23A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fd6e290?6=4?{%13g?7a=2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg??>3:187>50z&04f<6n<1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xdf8j0;694?:1y'75e=9o?0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e11=1<7:50;2x 66d28l>7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh:o6=4;:183\7f!57k3;m96F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<uk3347>54;294~"48j0:j85G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3thj<h4?:583>5}#;9i1=k;4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wi55j50;694?6|,::h6<h:;I10=>N4981b=4=50;9j5<2=831b?=:50;9l62`=831vnl?<:187>5<7s-9;o7?i5:J07<=O;8;0e<7<:188m4?32900e>>;:188k71a2900qo762;290?6=8r.8<n4>f49K76?<@:;:7d?63;29?l7><3:17d=?4;29?j40n3:17pln1983>1<729q/?=m51g78L65>3A9:=6g>9283>>o61=0;66g<0583>>i5?o0;66sm98194?2=83:p(>>l:0d6?M5412B8=<5f18194?=n90>1<75f31694?=h:>l1<75rb`3:>5<3290;w)=?c;3e1>N4;01C?<?4i0;0>5<<a83?6=44i227>5<<g;=m6=44}c;:0?6=<3:1<v*<0b82b0=O;:30D>?>;h3:7?6=3`;287>5;h130?6=3f8<j7>5;|`b5d<72=0;6=u+31a95c3<@:927E=>1:k2=6<722c:594?::k041<722e9;k4?::\7fa=<3=83>1<7>t$22`>4`23A9856F<109j5<5=831b=4:50;9j752=831d>:h50;9~fd7e290?6=4?{%13g?7a=2B8?45G3038m4?42900e<7;:188m6632900c?9i:188yg?>?3:187>50z&04f<6n<1C?>74H232?l7>;3:17d?64;29?l57<3:17b<8f;29?xdf9m0;694?:1y'75e=9o?0D>=6;I125>o61:0;66g>9583>>o48=0;66a=7g83>>{e1021<7:50;2x 66d28l>7E=<9:J054=n9091<75f18694?=n;9>1<75`26d94?=zjh;n6=4;:183\7f!57k3;m96F<389K747<a8386=44i0;7>5<<a::?6=44o35e>5<<uk3257>54;294~"48j0:j85G32;8L6763`;2?7>5;h3:0?6=3`9;87>5;n04b?6=3thj=k4?:583>5}#;9i1=k;4H21:?M5692c:5>4?::k2=1<722c8<94?::m13c<722wi5=>50;794?6|,::h6<h?;I10=>N4981/=4h56:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi4k<50;794?6|,::h6<h?;I10=>N4981/=4h56:k2=6<722c:594?::k2=0<722c:5;4?::m13c<722wi;?650;794?6|,::h6<h?;I10=>N4981/=4h53d9j5<5=831b=4:50;9j5<3=831b=4850;9l62`=831vn;9=:186>5<7s-9;o7?i0:J07<=O;8;0(<7i:2g8m4?42900e<7;:188m4?22900e<79:188k71a2900qo9?4;291?6=8r.8<n4>f19K76?<@:;:7)?6f;1f?l7>;3:17d?64;29?l7>=3:17d?66;29?j40n3:17pl94d83>0<729q/?=m51g28L65>3A9:=6*>9g80a>o61:0;66g>9583>>o61<0;66g>9783>>i5?o0;66sm86`94?3=83:p(>>l:0d3?M5412B8=<5+18d923=n9091<75f18694?=n90?1<75f18494?=h:>l1<75rb91;>5<2290;w)=?c;3e4>N4;01C?<?4$0;e>30<a8386=44i0;7>5<<a83>6=44i0;5>5<<g;=m6=44}c7:f?6=:3:1<v*<0b82a6=O;:30D>?>;h3:6?6=3f8<j7>5;|`617<72;0;6=u+31a95`5<@:927E=>1:k2=7<722e9;k4?::\7fa0f6=8381<7>t$22`>4c43A9856F<109j5<4=831d>:h50;9~f06>29096=4?{%13g?7b;2B8?45G3038m4?52900c?9i:188yg20?3:1>7>50z&04f<6m:1C?>74H232?l7>:3:17b<8f;29?xd?;?0;6?4?:1y'75e=9l90D>=6;I125>o61;0;66a=7g83>>{e?o21<7<50;2x 66d28o87E=<9:J054=n9081<75`26d94?=zj1nh6=4<:183\7f!57k3;nh6F<389K747<,83m6>:4i0;0>5<<a83?6=44o35e>5<<uko=o7>5c383>5}#;9i1>ho4H21:?M5692P=n7mtf;33>7e=:m0897=9:2597=<5m38m6>753`8~ 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o96?9j;%3f4?4<,8o:6?5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;n87?75:&2a0<60<1/>hl526g8 7cd2;=n7c<if;;8j667201/?=8526g8 4?c2:1/=4k53:&065<49o1/???530d8j645201e??=59:l26`<73g;><7>4$226>4>23-9;47<4$0c3>6=#9h;1?6*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(>>6:39j2a<722c=i7>5;h50>5<<a>>1<75f19294?=n;9;1<75f31094?=n91;1<75f31c94?=n;9h1<75`6g83>>i083:17d:l:18'6=3=<k1e>5:50:9j0d<72-8397:m;o0;0?7<3`>26=4+29790g=i:1>1>65f4983>!4?=3>i7c<74;18?l20290/>5;54c9m6=2=<21b984?:%0;1?2e3g8387;4;h77>5<#:1?18o5a29692>=n=:0;6)<75;6a?k4?<3=07d;=:18'6=3=<k1e>5:58:9j14<72-8397:m;o0;0??<3`?;6=4+29790g=i:1>1m65f4g83>!4?=3>i7c<74;`8?l2b290/>5;54c9m6=2=k21b8i4?:%0;1?2e3g8387j4;h65>5<#:1?18o5a2969a>=n=j0;6)<75;7a?k4?<3:07d;n:18'6=3==k1e>5:51:9j1<<72-8397;m;o0;0?4<3`?36=4+29791g=i:1>1?65f5683>!4?=3?i7c<74;68?l02290/>5;55c9m6=2==21b:94?:%0;1?3e3g838784;h40>5<#:1?19o5a29693>=n>;0;6)<75;7a?k4?<3207d8>:18'6=3==k1e>5:59:9j25<72-8397;m;o0;0?g<3`?m6=4+29791g=i:1>1n65f5d83>!4?=3?i7c<74;a8?l3c290/>5;55c9m6=2=l21b9;4?:%0;1?3e3g8387k4;h`4>5<#:1?1n;5a29694>=nj<0;6)<75;`5?k4?<3;07dl<:18'6=3=j?1e>5:52:9jf7<72-8397l9;o0;0?5<3`h:6=4+2979f3=i:1>1865fb183>!4?=3h=7c<74;78?lga290/>5;5b79m6=2=>21bmh4?:%0;1?d13g838794;hcg>5<#:1?1n;5a2969<>=nij0;6)<75;`5?k4?<3307dom:18'6=3=j?1e>5:5a:9jed<72-8397l9;o0;0?d<3`k36=4+2979f3=i:1>1o65fa683>!4?=3h=7c<74;f8?lg1290/>5;5b79m6=2=m21bm84?:%0;1?d13g8387h4;hc7>5<#:1?1n;5a296955=<ah91<7*=848a2>h50=0:=65fa383>!4?=3h=7c<74;31?>of93:1(?6::c48j7>328907do?:18'6=3=j?1e>5:51598m<`=83.9484m6:l1<1<6=21bnh4?:%0;1?d13g8387?9;:ka`?6=,;2>6o84n3:7>41<3`hh6=4+2979f3=i:1>1=554ic`94?"50<0i:6`=8582=>=njh0;6)<75;`5?k4?<3;j76gm9;29 7>22k<0b?6;:0`8?ld?290/>5;5b79m6=2=9j10eo:50;&1<0<e>2d9494>d:9je<<72-8397l9;o0;0?7b32c2i7>5$3:6>g0<f;2?6<h4;hf;>5<#:1?1h:5a29694>=nl?0;6)<75;f4?k4?<3;07dj;:18'6=3=l>1e>5:52:9j`6<72-8397j8;o0;0?5<3`n96=4+2979`2=i:1>1865fd083>!4?=3n<7c<74;78?lb7290/>5;5d69m6=2=>21bok4?:%0;1?b03g838794;haf>5<#:1?1h:5a2969<>=nkm0;6)<75;f4?k4?<3307dml:18'6=3=l>1e>5:5a:9jgg<72-8397j8;o0;0?d<3`i26=4+2979`2=i:1>1o65fc983>!4?=3n<7c<74;f8?le0290/>5;5d69m6=2=m21bo;4?:%0;1?b03g8387h4;ha6>5<#:1?1h:5a296955=<aj>1<7*=848g3>h50=0:=65fc283>!4?=3n<7c<74;31?>od:3:1(?6::e58j7>328907dm>:18'6=3=l>1e>5:51598mf6=83.9484k7:l1<1<6=21bhk4?:%0;1?b03g8387?9;:kga?6=,;2>6i94n3:7>41<3`no6=4+2979`2=i:1>1=554iea94?"50<0o;6`=8582=>=nlk0;6)<75;f4?k4?<3;j76gka;29 7>22m=0b?6;:0`8?lb>290/>5;5d69m6=2=9j10ei;50;&1<0<c?2d9494>d:9jgd<72-8397j8;o0;0?7b32cij7>5$3:6>a1<f;2?6<h4;h333?6=,;2>6<>9;o0;0?6<3`;;97>5$3:6>4613g8387?4;h330?6=,;2>6<>9;o0;0?4<3`;;?7>5$3:6>4613g8387=4;h33g?6=,;2>6<>m;o0;0?6<3`;;m7>5$3:6>46e3g8387?4;h33=?6=,;2>6<>m;o0;0?4<3`;;47>5$3:6>46e3g8387=4;h12=?6=,;2>6>?7;o0;0?6<3`9:;7>5$3:6>67?3g8387?4;h122?6=,;2>6>?7;o0;0?4<3`9:97>5$3:6>67?3g8387=4;h12a?6=,;2>6>?k;o0;0?6<3`9:o7>5$3:6>67c3g8387?4;h12f?6=,;2>6>?k;o0;0?4<3`9:m7>5$3:6>67c3g8387=4;n312?6=,;2>6<<:;o0;0?6<3f;987>5$3:6>4423g8387?4;n316?6=,;2>6<<:;o0;0?4<3f;9=7>5$3:6>4423g8387=4;n314?6=,;2>6<<:;o0;0?2<3f;:j7>5$3:6>4423g8387;4;n32a?6=,;2>6<<:;o0;0?0<3f;:h7>5$3:6>4423g838794;n32g?6=,;2>6<<:;o0;0?><3f;:n7>5$3:6>4423g838774;n32e?6=,;2>6<<:;o0;0?g<3f;:57>5$3:6>4423g8387l4;n323?6=,;2>6<<:;o0;0?e<3f;::7>5$3:6>4423g8387j4;n321?6=,;2>6<<:;o0;0?c<3f;:87>5$3:6>4423g8387h4;n327?6=,;2>6<<:;o0;0?7732e:=?4?:%0;1?75=2d9494>1:9l547=83.9484>249m6=2=9;10c<??:18'6=3=9;?0b?6;:018?j77n3:1(?6::006?k4?<3;?76a>0d83>!4?=3;996`=85821>=h9;n1<7*=848260=i:1>1=;54o00`>5<#:1?1=?;4n3:7>41<3f;9n7>5$3:6>4423g8387?7;:m26d<72-8397?=5:l1<1<6121d=?750;&1<0<6:<1e>5:51`98k44?290/>5;51378j7>328h07b?=7;29 7>2288>7c<74;3`?>i6::0;6)<75;311>h50=0:h65`10:94?"50<0:>85a29695`=<g8:o6=4+2979573<f;2?6<h4;n37<?6=,;2>6<:8;o0;0?6<3f;?:7>5$3:6>4203g8387?4;n370?6=,;2>6<:8;o0;0?4<3f;??7>5$3:6>4203g8387=4;n376?6=,;2>6<:8;o0;0?2<3f;?=7>5$3:6>4203g8387;4;n374?6=,;2>6<:8;o0;0?0<3f;8j7>5$3:6>4203g838794;n30a?6=,;2>6<:8;o0;0?><3f;8h7>5$3:6>4203g838774;n30g?6=,;2>6<:8;o0;0?g<3f;8n7>5$3:6>4203g8387l4;n30=?6=,;2>6<:8;o0;0?e<3f;847>5$3:6>4203g8387j4;n303?6=,;2>6<:8;o0;0?c<3f;8:7>5$3:6>4203g8387h4;n301?6=,;2>6<:8;o0;0?7732e:?94?:%0;1?73?2d9494>1:9l565=83.9484>469m6=2=9;10c<==:18'6=3=9==0b?6;:018?j7493:1(?6::064?k4?<3;?76a>3183>!4?=3;?;6`=85821>=h9=l1<7*=848202=i:1>1=;54o06f>5<#:1?1=994n3:7>41<3f;?h7>5$3:6>4203g8387?7;:m20f<72-8397?;7:l1<1<6121d=9l50;&1<0<6<>1e>5:51`98k42f290/>5;51558j7>328h07b?;9;29 7>228><7c<74;3`?>i6<<0;6)<75;373>h50=0:h65`12c94?"50<0:8:5a29695`=<g88m6=4+2979511<f;2?6<h4;n361?6=,;2>6<;;;o0;0?6<3f;>?7>5$3:6>4333g8387?4;n366?6=,;2>6<;;;o0;0?4<3f;>=7>5$3:6>4333g8387=4;n36e?6=,;2>6<;6;o0;0?6<3f;>47>5$3:6>43>3g8387?4;n363?6=,;2>6<;6;o0;0?4<3f;>:7>5$3:6>43>3g8387=4;|`f32<72j81<7>t$22`>7cf3A9856F<109Y2g<dso0:<7<l:3f970<4>39<6>652d81b?5>2:k1q)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f6?40m2.:i=4=;%3f5?4<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2a1<60<1/=h;51978 7ce2;=n7)<jc;04a>h5no027c=?0;;8 6612;=n7)?6d;18 4?b2:1/??>530d8 6462:;m7c==2;;8j644201e=?k50:l215<73-9;97?75:&04=<53-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!571380e;j50;9j2`<722c<?7>5;h57>5<<a82;6=44i222>5<<a::96=44i0:2>5<<a::j6=44i22a>5<<g?l1<75`7183>>o3k3:1(?6::5`8j7>32910e9o50;&1<0<3j2d9494>;:k7=?6=,;2>69l4n3:7>7=<a=21<7*=8487f>h50=0876g;7;29 7>22=h0b?6;:598m03=83.9484;b:l1<1<232c>87>5$3:6>1d<f;2?6;54i4194?"50<0?n6`=8584?>o2:3:1(?6::5`8j7>32110e8?50;&1<0<3j2d94946;:k64?6=,;2>69l4n3:7>d=<a=l1<7*=8487f>h50=0i76g;e;29 7>22=h0b?6;:b98m1b=83.9484;b:l1<1<c32c?:7>5$3:6>1d<f;2?6h54i4a94?"50<0>n6`=8583?>o2i3:1(?6::4`8j7>32810e8750;&1<0<2j2d9494=;:k6<?6=,;2>68l4n3:7>6=<a<=1<7*=8486f>h50=0?76g95;29 7>22<h0b?6;:498m32=83.9484:b:l1<1<132c=?7>5$3:6>0d<f;2?6:54i7094?"50<0>n6`=858;?>o193:1(?6::4`8j7>32010e;>50;&1<0<2j2d9494n;:k6b?6=,;2>68l4n3:7>g=<a<o1<7*=8486f>h50=0h76g:d;29 7>22<h0b?6;:e98m00=83.9484:b:l1<1<b32ci;7>5$3:6>g0<f;2?6=54ic794?"50<0i:6`=8582?>oe;3:1(?6::c48j7>32;10eo<50;&1<0<e>2d9494<;:ka5?6=,;2>6o84n3:7>1=<ak:1<7*=848a2>h50=0>76gnf;29 7>22k<0b?6;:798mdc=83.9484m6:l1<1<032cjh7>5$3:6>g0<f;2?6554i`a94?"50<0i:6`=858:?>ofj3:1(?6::c48j7>32h10elo50;&1<0<e>2d9494m;:kb<?6=,;2>6o84n3:7>f=<ah=1<7*=848a2>h50=0o76gn6;29 7>22k<0b?6;:d98md3=83.9484m6:l1<1<a32cj87>5$3:6>g0<f;2?6<>4;hc0>5<#:1?1n;5a296954=<ah81<7*=848a2>h50=0:>65fa083>!4?=3h=7c<74;30?>of83:1(?6::c48j7>328>07d7i:18'6=3=j?1e>5:51498mgc=83.9484m6:l1<1<6>21bni4?:%0;1?d13g8387?8;:kag?6=,;2>6o84n3:7>4><3`hi6=4+2979f3=i:1>1=454icc94?"50<0i:6`=8582e>=nj00;6)<75;`5?k4?<3;i76gm8;29 7>22k<0b?6;:0a8?ld3290/>5;5b79m6=2=9m10el750;&1<0<e>2d9494>e:9j=`<72-8397l9;o0;0?7a32co47>5$3:6>a1<f;2?6=54ie494?"50<0o;6`=8582?>oc<3:1(?6::e58j7>32;10ei=50;&1<0<c?2d9494<;:kg6?6=,;2>6i94n3:7>1=<am;1<7*=848g3>h50=0>76gk0;29 7>22m=0b?6;:798mf`=83.9484k7:l1<1<032chi7>5$3:6>a1<f;2?6554ibf94?"50<0o;6`=858:?>odk3:1(?6::e58j7>32h10enl50;&1<0<c?2d9494m;:k`=?6=,;2>6i94n3:7>f=<aj21<7*=848g3>h50=0o76gl7;29 7>22m=0b?6;:d98mf0=83.9484k7:l1<1<a32ch97>5$3:6>a1<f;2?6<>4;ha7>5<#:1?1h:5a296954=<aj91<7*=848g3>h50=0:>65fc383>!4?=3n<7c<74;30?>od93:1(?6::e58j7>328>07dm?:18'6=3=l>1e>5:51498ma`=83.9484k7:l1<1<6>21bhh4?:%0;1?b03g8387?8;:kg`?6=,;2>6i94n3:7>4><3`nh6=4+2979`2=i:1>1=454ie`94?"50<0o;6`=8582e>=nlh0;6)<75;f4?k4?<3;i76gk9;29 7>22m=0b?6;:0a8?lb2290/>5;5d69m6=2=9m10eno50;&1<0<c?2d9494>e:9jfc<72-8397j8;o0;0?7a32c:<:4?:%0;1?77>2d9494?;:k240<72-8397??6:l1<1<632c:<94?:%0;1?77>2d9494=;:k246<72-8397??6:l1<1<432c:<n4?:%0;1?77j2d9494?;:k24d<72-8397??b:l1<1<632c:<44?:%0;1?77j2d9494=;:k24=<72-8397??b:l1<1<432c8=44?:%0;1?5602d9494?;:k052<72-8397=>8:l1<1<632c8=;4?:%0;1?5602d9494=;:k050<72-8397=>8:l1<1<432c8=h4?:%0;1?56l2d9494?;:k05f<72-8397=>d:l1<1<632c8=o4?:%0;1?56l2d9494=;:k05d<72-8397=>d:l1<1<432e:>;4?:%0;1?75=2d9494?;:m261<72-8397?=5:l1<1<632e:>?4?:%0;1?75=2d9494=;:m264<72-8397?=5:l1<1<432e:>=4?:%0;1?75=2d9494;;:m25c<72-8397?=5:l1<1<232e:=h4?:%0;1?75=2d94949;:m25a<72-8397?=5:l1<1<032e:=n4?:%0;1?75=2d94947;:m25g<72-8397?=5:l1<1<>32e:=l4?:%0;1?75=2d9494n;:m25<<72-8397?=5:l1<1<e32e:=:4?:%0;1?75=2d9494l;:m253<72-8397?=5:l1<1<c32e:=84?:%0;1?75=2d9494j;:m251<72-8397?=5:l1<1<a32e:=>4?:%0;1?75=2d9494>0:9l544=83.9484>249m6=2=9810c<?>:18'6=3=9;?0b?6;:008?j7683:1(?6::006?k4?<3;876a>0g83>!4?=3;996`=85820>=h99o1<7*=848260=i:1>1=854o00g>5<#:1?1=?;4n3:7>40<3f;9o7>5$3:6>4423g8387?8;:m26g<72-8397?=5:l1<1<6021d=?o50;&1<0<6:<1e>5:51898k44>290/>5;51378j7>328k07b?=8;29 7>2288>7c<74;3a?>i6:>0;6)<75;311>h50=0:o65`13194?"50<0:>85a29695a=<g8;36=4+2979573<f;2?6<k4;n33`?6=,;2>6<<:;o0;0?7a32e:854?:%0;1?73?2d9494?;:m203<72-8397?;7:l1<1<632e:894?:%0;1?73?2d9494=;:m206<72-8397?;7:l1<1<432e:8?4?:%0;1?73?2d9494;;:m204<72-8397?;7:l1<1<232e:8=4?:%0;1?73?2d94949;:m27c<72-8397?;7:l1<1<032e:?h4?:%0;1?73?2d94947;:m27a<72-8397?;7:l1<1<>32e:?n4?:%0;1?73?2d9494n;:m27g<72-8397?;7:l1<1<e32e:?44?:%0;1?73?2d9494l;:m27=<72-8397?;7:l1<1<c32e:?:4?:%0;1?73?2d9494j;:m273<72-8397?;7:l1<1<a32e:?84?:%0;1?73?2d9494>0:9l562=83.9484>469m6=2=9810c<=<:18'6=3=9==0b?6;:008?j74:3:1(?6::064?k4?<3;876a>3083>!4?=3;?;6`=85820>=h9::1<7*=848202=i:1>1=854o06e>5<#:1?1=994n3:7>40<3f;?i7>5$3:6>4203g8387?8;:m20a<72-8397?;7:l1<1<6021d=9m50;&1<0<6<>1e>5:51898k42e290/>5;51558j7>328k07b?;a;29 7>228><7c<74;3a?>i6<00;6)<75;373>h50=0:o65`15794?"50<0:8:5a29695a=<g89j6=4+2979511<f;2?6<k4;n31b?6=,;2>6<:8;o0;0?7a32e:984?:%0;1?72<2d9494?;:m216<72-8397?:4:l1<1<632e:9?4?:%0;1?72<2d9494=;:m214<72-8397?:4:l1<1<432e:9l4?:%0;1?7212d9494?;:m21=<72-8397?:9:l1<1<632e:9:4?:%0;1?7212d9494=;:m213<72-8397?:9:l1<1<432wii5<50;a1>5<7s-9;o7<ja:J07<=O;8;0V;l5czd955<5k38o6>;537803?5?2;o1>k4<9;1b>x"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i?4=7d9'5`6=:2.:i<4=;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=h:51978 4c2282>7)<jb;04a>"5mj09;h5a2gd9=>h489027)=?6;04a>"61m087)?6e;18 6472:;m7)==1;12b>h4:;027c==3;;8j44b291e=8>50:&040<60<1/?=652:&2e5<43-;j=7=4$0c1>6=#9h91?6*>a580?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*<0881?l0c2900e;k50;9j36<722c<87>5;h3;4?6=3`9;=7>5;h136?6=3`;3=7>5;h13e?6=3`9;n7>5;n4e>5<<g>:1<75f4b83>!4?=3>i7c<74;28?l2f290/>5;54c9m6=2=921b844?:%0;1?2e3g8387<4;h6;>5<#:1?18o5a29697>=n<>0;6)<75;6a?k4?<3>07d;::18'6=3=<k1e>5:55:9j11<72-8397:m;o0;0?0<3`?86=4+29790g=i:1>1;65f5383>!4?=3>i7c<74;:8?l36290/>5;54c9m6=2=121b9=4?:%0;1?2e3g8387o4;h6e>5<#:1?18o5a2969f>=n<l0;6)<75;6a?k4?<3i07d:k:18'6=3=<k1e>5:5d:9j03<72-8397:m;o0;0?c<3`?h6=4+29791g=i:1>1<65f5`83>!4?=3?i7c<74;38?l3>290/>5;55c9m6=2=:21b954?:%0;1?3e3g8387=4;h74>5<#:1?19o5a29690>=n><0;6)<75;7a?k4?<3?07d8;:18'6=3==k1e>5:56:9j26<72-8397;m;o0;0?1<3`<96=4+29791g=i:1>1465f6083>!4?=3?i7c<74;;8?l07290/>5;55c9m6=2=i21b9k4?:%0;1?3e3g8387l4;h7f>5<#:1?19o5a2969g>=n=m0;6)<75;7a?k4?<3n07d;9:18'6=3==k1e>5:5e:9jf2<72-8397l9;o0;0?6<3`h>6=4+2979f3=i:1>1=65fb283>!4?=3h=7c<74;08?ld5290/>5;5b79m6=2=;21bn<4?:%0;1?d13g8387:4;h`3>5<#:1?1n;5a29691>=nio0;6)<75;`5?k4?<3<07doj:18'6=3=j?1e>5:57:9jea<72-8397l9;o0;0?><3`kh6=4+2979f3=i:1>1565fac83>!4?=3h=7c<74;c8?lgf290/>5;5b79m6=2=j21bm54?:%0;1?d13g8387m4;hc4>5<#:1?1n;5a2969`>=ni?0;6)<75;`5?k4?<3o07do::18'6=3=j?1e>5:5f:9je1<72-8397l9;o0;0?7732cj?7>5$3:6>g0<f;2?6<?4;hc1>5<#:1?1n;5a296957=<ah;1<7*=848a2>h50=0:?65fa183>!4?=3h=7c<74;37?>o>n3:1(?6::c48j7>328?07dlj:18'6=3=j?1e>5:51798mgb=83.9484m6:l1<1<6?21bnn4?:%0;1?d13g8387?7;:kaf?6=,;2>6o84n3:7>4?<3`hj6=4+2979f3=i:1>1=l54ic;94?"50<0i:6`=8582f>=nj10;6)<75;`5?k4?<3;h76gm4;29 7>22k<0b?6;:0f8?lg>290/>5;5b79m6=2=9l10e4k50;&1<0<e>2d9494>f:9j`=<72-8397j8;o0;0?6<3`n=6=4+2979`2=i:1>1=65fd583>!4?=3n<7c<74;08?lb4290/>5;5d69m6=2=;21bh?4?:%0;1?b03g8387:4;hf2>5<#:1?1h:5a29691>=nl90;6)<75;f4?k4?<3<07dmi:18'6=3=l>1e>5:57:9jg`<72-8397j8;o0;0?><3`io6=4+2979`2=i:1>1565fcb83>!4?=3n<7c<74;c8?lee290/>5;5d69m6=2=j21bo44?:%0;1?b03g8387m4;ha;>5<#:1?1h:5a2969`>=nk>0;6)<75;f4?k4?<3o07dm9:18'6=3=l>1e>5:5f:9jg0<72-8397j8;o0;0?7732ch87>5$3:6>a1<f;2?6<?4;ha0>5<#:1?1h:5a296957=<aj81<7*=848g3>h50=0:?65fc083>!4?=3n<7c<74;37?>od83:1(?6::e58j7>328?07dji:18'6=3=l>1e>5:51798mac=83.9484k7:l1<1<6?21bhi4?:%0;1?b03g8387?7;:kgg?6=,;2>6i94n3:7>4?<3`ni6=4+2979`2=i:1>1=l54iec94?"50<0o;6`=8582f>=nl00;6)<75;f4?k4?<3;h76gk5;29 7>22m=0b?6;:0f8?lef290/>5;5d69m6=2=9l10eoh50;&1<0<c?2d9494>f:9j551=83.9484>079m6=2=821b==;50;&1<0<68?1e>5:51:9j552=83.9484>079m6=2=:21b===50;&1<0<68?1e>5:53:9j55e=83.9484>0c9m6=2=821b==o50;&1<0<68k1e>5:51:9j55?=83.9484>0c9m6=2=:21b==650;&1<0<68k1e>5:53:9j74?=83.9484<199m6=2=821b?<950;&1<0<4911e>5:51:9j740=83.9484<199m6=2=:21b?<;50;&1<0<4911e>5:53:9j74c=83.9484<1e9m6=2=821b?<m50;&1<0<49m1e>5:51:9j74d=83.9484<1e9m6=2=:21b?<o50;&1<0<49m1e>5:53:9l570=83.9484>249m6=2=821d=?:50;&1<0<6:<1e>5:51:9l574=83.9484>249m6=2=:21d=??50;&1<0<6:<1e>5:53:9l576=83.9484>249m6=2=<21d=<h50;&1<0<6:<1e>5:55:9l54c=83.9484>249m6=2=>21d=<j50;&1<0<6:<1e>5:57:9l54e=83.9484>249m6=2=021d=<l50;&1<0<6:<1e>5:59:9l54g=83.9484>249m6=2=i21d=<750;&1<0<6:<1e>5:5b:9l541=83.9484>249m6=2=k21d=<850;&1<0<6:<1e>5:5d:9l543=83.9484>249m6=2=m21d=<:50;&1<0<6:<1e>5:5f:9l545=83.9484>249m6=2=9910c<?=:18'6=3=9;?0b?6;:038?j7693:1(?6::006?k4?<3;976a>1183>!4?=3;996`=85827>=h99l1<7*=848260=i:1>1=954o02f>5<#:1?1=?;4n3:7>43<3f;9h7>5$3:6>4423g8387?9;:m26f<72-8397?=5:l1<1<6?21d=?l50;&1<0<6:<1e>5:51998k44f290/>5;51378j7>328307b?=9;29 7>2288>7c<74;3b?>i6:10;6)<75;311>h50=0:n65`13594?"50<0:>85a29695f=<g8886=4+2979573<f;2?6<j4;n32<?6=,;2>6<<:;o0;0?7b32e:<i4?:%0;1?75=2d9494>f:9l51>=83.9484>469m6=2=821d=9850;&1<0<6<>1e>5:51:9l512=83.9484>469m6=2=:21d=9=50;&1<0<6<>1e>5:53:9l514=83.9484>469m6=2=<21d=9?50;&1<0<6<>1e>5:55:9l516=83.9484>469m6=2=>21d=>h50;&1<0<6<>1e>5:57:9l56c=83.9484>469m6=2=021d=>j50;&1<0<6<>1e>5:59:9l56e=83.9484>469m6=2=i21d=>l50;&1<0<6<>1e>5:5b:9l56?=83.9484>469m6=2=k21d=>650;&1<0<6<>1e>5:5d:9l561=83.9484>469m6=2=m21d=>850;&1<0<6<>1e>5:5f:9l563=83.9484>469m6=2=9910c<=;:18'6=3=9==0b?6;:038?j74;3:1(?6::064?k4?<3;976a>3383>!4?=3;?;6`=85827>=h9:;1<7*=848202=i:1>1=954o013>5<#:1?1=994n3:7>43<3f;?j7>5$3:6>4203g8387?9;:m20`<72-8397?;7:l1<1<6?21d=9j50;&1<0<6<>1e>5:51998k42d290/>5;51558j7>328307b?;b;29 7>228><7c<74;3b?>i6<h0;6)<75;373>h50=0:n65`15;94?"50<0:8:5a29695f=<g8>>6=4+2979511<f;2?6<j4;n30e?6=,;2>6<:8;o0;0?7b32e:>k4?:%0;1?73?2d9494>f:9l503=83.9484>559m6=2=821d=8=50;&1<0<6==1e>5:51:9l504=83.9484>559m6=2=:21d=8?50;&1<0<6==1e>5:53:9l50g=83.9484>589m6=2=821d=8650;&1<0<6=01e>5:51:9l501=83.9484>589m6=2=:21d=8850;&1<0<6=01e>5:53:9~f`>c290h>7>50z&04f<5mh1C?>74H232?_0e2jqm6<>52b81`?522:<1?:4<8;0f>7`=;008m7s+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`4=:>o0(<k?:39'5`7=:2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4c3282>7)?j5;3;1>"5mk09;h5+2da962c<f;lm645a3129=>"48?09;h5+18f97>"61l087)==0;12b>"4:808=k5a3309=>h4::027c?=e;28j437291/?=;51978 66?2;1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#;931>6g9d;29?l0b2900e:=50;9j31<722c:4=4?::k044<722c8<?4?::k2<4<722c8<l4?::k04g<722e=j7>5;n53>5<<a=i1<7*=8487f>h50=0;76g;a;29 7>22=h0b?6;:098m1?=83.9484;b:l1<1<532c?47>5$3:6>1d<f;2?6>54i5594?"50<0?n6`=8587?>o2=3:1(?6::5`8j7>32<10e8:50;&1<0<3j2d94949;:k67?6=,;2>69l4n3:7>2=<a<81<7*=8487f>h50=0376g:1;29 7>22=h0b?6;:898m06=83.9484;b:l1<1<f32c?j7>5$3:6>1d<f;2?6o54i5g94?"50<0?n6`=858`?>o3l3:1(?6::5`8j7>32m10e9850;&1<0<3j2d9494j;:k6g?6=,;2>68l4n3:7>5=<a<k1<7*=8486f>h50=0:76g:9;29 7>22<h0b?6;:398m0>=83.9484:b:l1<1<432c>;7>5$3:6>0d<f;2?6954i7794?"50<0>n6`=8586?>o1<3:1(?6::4`8j7>32?10e;=50;&1<0<2j2d94948;:k56?6=,;2>68l4n3:7>==<a?;1<7*=8486f>h50=0276g90;29 7>22<h0b?6;:`98m0`=83.9484:b:l1<1<e32c>i7>5$3:6>0d<f;2?6n54i4f94?"50<0>n6`=858g?>o2>3:1(?6::4`8j7>32l10eo950;&1<0<e>2d9494?;:ka1?6=,;2>6o84n3:7>4=<ak91<7*=848a2>h50=0976gm2;29 7>22k<0b?6;:298mg7=83.9484m6:l1<1<332ci<7>5$3:6>g0<f;2?6854i`d94?"50<0i:6`=8585?>ofm3:1(?6::c48j7>32>10elj50;&1<0<e>2d94947;:kbg?6=,;2>6o84n3:7><=<ahh1<7*=848a2>h50=0j76gna;29 7>22k<0b?6;:c98md>=83.9484m6:l1<1<d32cj;7>5$3:6>g0<f;2?6i54i`494?"50<0i:6`=858f?>of=3:1(?6::c48j7>32o10el:50;&1<0<e>2d9494>0:9je6<72-8397l9;o0;0?7632cj>7>5$3:6>g0<f;2?6<<4;hc2>5<#:1?1n;5a296956=<ah:1<7*=848a2>h50=0:865f9g83>!4?=3h=7c<74;36?>oem3:1(?6::c48j7>328<07dlk:18'6=3=j?1e>5:51698mge=83.9484m6:l1<1<6021bno4?:%0;1?d13g8387?6;:kae?6=,;2>6o84n3:7>4g<3`h26=4+2979f3=i:1>1=o54ic:94?"50<0i:6`=8582g>=nj=0;6)<75;`5?k4?<3;o76gn9;29 7>22k<0b?6;:0g8?l?b290/>5;5b79m6=2=9o10ei650;&1<0<c?2d9494?;:kg2?6=,;2>6i94n3:7>4=<am>1<7*=848g3>h50=0976gk3;29 7>22m=0b?6;:298ma4=83.9484k7:l1<1<332co=7>5$3:6>a1<f;2?6854ie294?"50<0o;6`=8585?>odn3:1(?6::e58j7>32>10enk50;&1<0<c?2d94947;:k``?6=,;2>6i94n3:7><=<aji1<7*=848g3>h50=0j76glb;29 7>22m=0b?6;:c98mf?=83.9484k7:l1<1<d32ch47>5$3:6>a1<f;2?6i54ib594?"50<0o;6`=858f?>od>3:1(?6::e58j7>32o10en;50;&1<0<c?2d9494>0:9jg1<72-8397j8;o0;0?7632ch?7>5$3:6>a1<f;2?6<<4;ha1>5<#:1?1h:5a296956=<aj;1<7*=848g3>h50=0:865fc183>!4?=3n<7c<74;36?>ocn3:1(?6::e58j7>328<07djj:18'6=3=l>1e>5:51698mab=83.9484k7:l1<1<6021bhn4?:%0;1?b03g8387?6;:kgf?6=,;2>6i94n3:7>4g<3`nj6=4+2979`2=i:1>1=o54ie;94?"50<0o;6`=8582g>=nl<0;6)<75;f4?k4?<3;o76gla;29 7>22m=0b?6;:0g8?lda290/>5;5d69m6=2=9o10e<>8:18'6=3=99<0b?6;:198m462290/>5;51148j7>32810e<>;:18'6=3=99<0b?6;:398m464290/>5;51148j7>32:10e<>l:18'6=3=99h0b?6;:198m46f290/>5;511`8j7>32810e<>6:18'6=3=99h0b?6;:398m46?290/>5;511`8j7>32:10e>?6:18'6=3=;820b?6;:198m670290/>5;530:8j7>32810e>?9:18'6=3=;820b?6;:398m672290/>5;530:8j7>32:10e>?j:18'6=3=;8n0b?6;:198m67d290/>5;530f8j7>32810e>?m:18'6=3=;8n0b?6;:398m67f290/>5;530f8j7>32:10c<<9:18'6=3=9;?0b?6;:198k443290/>5;51378j7>32810c<<=:18'6=3=9;?0b?6;:398k446290/>5;51378j7>32:10c<<?:18'6=3=9;?0b?6;:598k47a290/>5;51378j7>32<10c<?j:18'6=3=9;?0b?6;:798k47c290/>5;51378j7>32>10c<?l:18'6=3=9;?0b?6;:998k47e290/>5;51378j7>32010c<?n:18'6=3=9;?0b?6;:`98k47>290/>5;51378j7>32k10c<?8:18'6=3=9;?0b?6;:b98k471290/>5;51378j7>32m10c<?::18'6=3=9;?0b?6;:d98k473290/>5;51378j7>32o10c<?<:18'6=3=9;?0b?6;:028?j76:3:1(?6::006?k4?<3;:76a>1083>!4?=3;996`=85826>=h98:1<7*=848260=i:1>1=>54o02e>5<#:1?1=?;4n3:7>42<3f;;i7>5$3:6>4423g8387?:;:m26a<72-8397?=5:l1<1<6>21d=?m50;&1<0<6:<1e>5:51698k44e290/>5;51378j7>328207b?=a;29 7>2288>7c<74;3:?>i6:00;6)<75;311>h50=0:m65`13:94?"50<0:>85a29695g=<g88<6=4+2979573<f;2?6<m4;n317?6=,;2>6<<:;o0;0?7c32e:=54?:%0;1?75=2d9494>e:9l55b=83.9484>249m6=2=9o10c<:7:18'6=3=9==0b?6;:198k421290/>5;51558j7>32810c<:;:18'6=3=9==0b?6;:398k424290/>5;51558j7>32:10c<:=:18'6=3=9==0b?6;:598k426290/>5;51558j7>32<10c<:?:18'6=3=9==0b?6;:798k45a290/>5;51558j7>32>10c<=j:18'6=3=9==0b?6;:998k45c290/>5;51558j7>32010c<=l:18'6=3=9==0b?6;:`98k45e290/>5;51558j7>32k10c<=6:18'6=3=9==0b?6;:b98k45?290/>5;51558j7>32m10c<=8:18'6=3=9==0b?6;:d98k451290/>5;51558j7>32o10c<=::18'6=3=9==0b?6;:028?j74<3:1(?6::064?k4?<3;:76a>3283>!4?=3;?;6`=85826>=h9:81<7*=848202=i:1>1=>54o012>5<#:1?1=994n3:7>42<3f;8<7>5$3:6>4203g8387?:;:m20c<72-8397?;7:l1<1<6>21d=9k50;&1<0<6<>1e>5:51698k42c290/>5;51558j7>328207b?;c;29 7>228><7c<74;3:?>i6<k0;6)<75;373>h50=0:m65`15c94?"50<0:8:5a29695g=<g8>26=4+2979511<f;2?6<m4;n371?6=,;2>6<:8;o0;0?7c32e:?l4?:%0;1?73?2d9494>e:9l57`=83.9484>469m6=2=9o10c<;::18'6=3=9<>0b?6;:198k434290/>5;51468j7>32810c<;=:18'6=3=9<>0b?6;:398k436290/>5;51468j7>32:10c<;n:18'6=3=9<30b?6;:198k43?290/>5;514;8j7>32810c<;8:18'6=3=9<30b?6;:398k431290/>5;514;8j7>32:10qok6e;29g7<729q/?=m52dc8L65>3A9:=6T9b;axb?772;i1>i4<5;15>61=;109i7<i:2;97d<z,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k=:35f?!7b8380(<k>:39'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?j4;3;1>"6m<0:485+2d`962c<,;oh6?9j;o0eb??<f::;645+314962c<,83o6>5+18g97>"4:908=k5+333974`<f:89645a3319=>h6:l0;7c?:0;28 662282>7)=?8;08 4g72:1/=l?53:&2e7<43-;j?7=4$0c7>6=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$22:>7=n>m0;66g9e;29?l142900e::50;9j5=6=831b?=?50;9j754=831b=5?50;9j75g=831b?=l50;9l2c<722e<<7>5;h6`>5<#:1?18o5a29694>=n<h0;6)<75;6a?k4?<3;07d:6:18'6=3=<k1e>5:52:9j0=<72-8397:m;o0;0?5<3`><6=4+29790g=i:1>1865f5483>!4?=3>i7c<74;78?l33290/>5;54c9m6=2=>21b9>4?:%0;1?2e3g838794;h71>5<#:1?18o5a2969<>=n=80;6)<75;6a?k4?<3307d;?:18'6=3=<k1e>5:5a:9j0c<72-8397:m;o0;0?d<3`>n6=4+29790g=i:1>1o65f4e83>!4?=3>i7c<74;f8?l21290/>5;54c9m6=2=m21b9n4?:%0;1?3e3g8387>4;h7b>5<#:1?19o5a29695>=n=00;6)<75;7a?k4?<3807d;7:18'6=3==k1e>5:53:9j12<72-8397;m;o0;0?2<3`<>6=4+29791g=i:1>1965f6583>!4?=3?i7c<74;48?l04290/>5;55c9m6=2=?21b:?4?:%0;1?3e3g838764;h42>5<#:1?19o5a2969=>=n>90;6)<75;7a?k4?<3k07d;i:18'6=3==k1e>5:5b:9j1`<72-8397;m;o0;0?e<3`?o6=4+29791g=i:1>1h65f5783>!4?=3?i7c<74;g8?ld0290/>5;5b79m6=2=821bn84?:%0;1?d13g8387?4;h`0>5<#:1?1n;5a29696>=nj;0;6)<75;`5?k4?<3907dl>:18'6=3=j?1e>5:54:9jf5<72-8397l9;o0;0?3<3`km6=4+2979f3=i:1>1:65fad83>!4?=3h=7c<74;58?lgc290/>5;5b79m6=2=021bmn4?:%0;1?d13g838774;hca>5<#:1?1n;5a2969e>=nih0;6)<75;`5?k4?<3h07do7:18'6=3=j?1e>5:5c:9je2<72-8397l9;o0;0?b<3`k=6=4+2979f3=i:1>1i65fa483>!4?=3h=7c<74;d8?lg3290/>5;5b79m6=2=9910el=50;&1<0<e>2d9494>1:9je7<72-8397l9;o0;0?7532cj=7>5$3:6>g0<f;2?6<=4;hc3>5<#:1?1n;5a296951=<a0l1<7*=848a2>h50=0:965fbd83>!4?=3h=7c<74;35?>oel3:1(?6::c48j7>328=07dll:18'6=3=j?1e>5:51998mgd=83.9484m6:l1<1<6121bnl4?:%0;1?d13g8387?n;:ka=?6=,;2>6o84n3:7>4d<3`h36=4+2979f3=i:1>1=n54ic694?"50<0i:6`=8582`>=ni00;6)<75;`5?k4?<3;n76g6e;29 7>22k<0b?6;:0d8?lb?290/>5;5d69m6=2=821bh;4?:%0;1?b03g8387?4;hf7>5<#:1?1h:5a29696>=nl:0;6)<75;f4?k4?<3907dj=:18'6=3=l>1e>5:54:9j`4<72-8397j8;o0;0?3<3`n;6=4+2979`2=i:1>1:65fcg83>!4?=3n<7c<74;58?leb290/>5;5d69m6=2=021boi4?:%0;1?b03g838774;ha`>5<#:1?1h:5a2969e>=nkk0;6)<75;f4?k4?<3h07dm6:18'6=3=l>1e>5:5c:9jg=<72-8397j8;o0;0?b<3`i<6=4+2979`2=i:1>1i65fc783>!4?=3n<7c<74;d8?le2290/>5;5d69m6=2=9910en:50;&1<0<c?2d9494>1:9jg6<72-8397j8;o0;0?7532ch>7>5$3:6>a1<f;2?6<=4;ha2>5<#:1?1h:5a296951=<aj:1<7*=848g3>h50=0:965fdg83>!4?=3n<7c<74;35?>ocm3:1(?6::e58j7>328=07djk:18'6=3=l>1e>5:51998mae=83.9484k7:l1<1<6121bho4?:%0;1?b03g8387?n;:kge?6=,;2>6i94n3:7>4d<3`n26=4+2979`2=i:1>1=n54ie794?"50<0o;6`=8582`>=nkh0;6)<75;f4?k4?<3;n76gmf;29 7>22m=0b?6;:0d8?l77?3:1(?6::025?k4?<3:07d??5;29 7>228:=7c<74;38?l77<3:1(?6::025?k4?<3807d??3;29 7>228:=7c<74;18?l77k3:1(?6::02a?k4?<3:07d??a;29 7>228:i7c<74;38?l7713:1(?6::02a?k4?<3807d??8;29 7>228:i7c<74;18?l5613:1(?6::23;?k4?<3:07d=>7;29 7>22:;37c<74;38?l56>3:1(?6::23;?k4?<3807d=>5;29 7>22:;37c<74;18?l56m3:1(?6::23g?k4?<3:07d=>c;29 7>22:;o7c<74;38?l56j3:1(?6::23g?k4?<3807d=>a;29 7>22:;o7c<74;18?j75>3:1(?6::006?k4?<3:07b?=4;29 7>2288>7c<74;38?j75:3:1(?6::006?k4?<3807b?=1;29 7>2288>7c<74;18?j7583:1(?6::006?k4?<3>07b?>f;29 7>2288>7c<74;78?j76m3:1(?6::006?k4?<3<07b?>d;29 7>2288>7c<74;58?j76k3:1(?6::006?k4?<3207b?>b;29 7>2288>7c<74;;8?j76i3:1(?6::006?k4?<3k07b?>9;29 7>2288>7c<74;`8?j76?3:1(?6::006?k4?<3i07b?>6;29 7>2288>7c<74;f8?j76=3:1(?6::006?k4?<3o07b?>4;29 7>2288>7c<74;d8?j76;3:1(?6::006?k4?<3;;76a>1383>!4?=3;996`=85825>=h98;1<7*=848260=i:1>1=?54o033>5<#:1?1=?;4n3:7>45<3f;;j7>5$3:6>4423g8387?;;:m24`<72-8397?=5:l1<1<6=21d=?j50;&1<0<6:<1e>5:51798k44d290/>5;51378j7>328=07b?=b;29 7>2288>7c<74;3;?>i6:h0;6)<75;311>h50=0:565`13;94?"50<0:>85a29695d=<g8836=4+2979573<f;2?6<l4;n313?6=,;2>6<<:;o0;0?7d32e:>>4?:%0;1?75=2d9494>d:9l54>=83.9484>249m6=2=9l10c<>k:18'6=3=9;?0b?6;:0d8?j7303:1(?6::064?k4?<3:07b?;6;29 7>228><7c<74;38?j73<3:1(?6::064?k4?<3807b?;3;29 7>228><7c<74;18?j73:3:1(?6::064?k4?<3>07b?;1;29 7>228><7c<74;78?j7383:1(?6::064?k4?<3<07b?<f;29 7>228><7c<74;58?j74m3:1(?6::064?k4?<3207b?<d;29 7>228><7c<74;;8?j74k3:1(?6::064?k4?<3k07b?<b;29 7>228><7c<74;`8?j7413:1(?6::064?k4?<3i07b?<8;29 7>228><7c<74;f8?j74?3:1(?6::064?k4?<3o07b?<6;29 7>228><7c<74;d8?j74=3:1(?6::064?k4?<3;;76a>3583>!4?=3;?;6`=85825>=h9:91<7*=848202=i:1>1=?54o011>5<#:1?1=994n3:7>45<3f;8=7>5$3:6>4203g8387?;;:m275<72-8397?;7:l1<1<6=21d=9h50;&1<0<6<>1e>5:51798k42b290/>5;51558j7>328=07b?;d;29 7>228><7c<74;3;?>i6<j0;6)<75;373>h50=0:565`15`94?"50<0:8:5a29695d=<g8>j6=4+2979511<f;2?6<l4;n37=?6=,;2>6<:8;o0;0?7d32e:884?:%0;1?73?2d9494>d:9l56g=83.9484>469m6=2=9l10c<<i:18'6=3=9==0b?6;:0d8?j72=3:1(?6::077?k4?<3:07b?:3;29 7>228??7c<74;38?j72:3:1(?6::077?k4?<3807b?:1;29 7>228??7c<74;18?j72i3:1(?6::07:?k4?<3:07b?:8;29 7>228?27c<74;38?j72?3:1(?6::07:?k4?<3807b?:6;29 7>228?27c<74;18?xdbj:0;6n<50;2x 66d2;oj7E=<9:J054=]>k0hwk4>0;0`>7b=;<08:7=8:2:96`<5n3926>o5}%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b:38<i6*>e181?!7b9380(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"6m=0:485+1d795=3<,;oi6?9j;%0fg?40m2d9jk46;o134??<,::=6?9j;%3:`?5<,83n6>5+332974`<,:8:6>?i;o116??<f:88645a13g94>h6=90;7)=?5;3;1>"481097)?n0;18 4g62:1/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-9;57<4i7f94?=n>l0;66g83;29?l132900e<6?:188m6662900e>>=:188m4>62900e>>n:188m66e2900c;h50;9l35<722c?o7>5$3:6>1d<f;2?6=54i5c94?"50<0?n6`=8582?>o313:1(?6::5`8j7>32;10e9650;&1<0<3j2d9494<;:k73?6=,;2>69l4n3:7>1=<a<?1<7*=8487f>h50=0>76g:4;29 7>22=h0b?6;:798m05=83.9484;b:l1<1<032c>>7>5$3:6>1d<f;2?6554i4394?"50<0?n6`=858:?>o283:1(?6::5`8j7>32h10e9h50;&1<0<3j2d9494m;:k7a?6=,;2>69l4n3:7>f=<a=n1<7*=8487f>h50=0o76g;6;29 7>22=h0b?6;:d98m0e=83.9484:b:l1<1<732c>m7>5$3:6>0d<f;2?6<54i4;94?"50<0>n6`=8581?>o203:1(?6::4`8j7>32:10e8950;&1<0<2j2d9494;;:k51?6=,;2>68l4n3:7>0=<a?>1<7*=8486f>h50=0=76g93;29 7>22<h0b?6;:698m34=83.9484:b:l1<1<?32c==7>5$3:6>0d<f;2?6454i7294?"50<0>n6`=858b?>o2n3:1(?6::4`8j7>32k10e8k50;&1<0<2j2d9494l;:k6`?6=,;2>68l4n3:7>a=<a<<1<7*=8486f>h50=0n76gm7;29 7>22k<0b?6;:198mg3=83.9484m6:l1<1<632ci?7>5$3:6>g0<f;2?6?54ic094?"50<0i:6`=8580?>oe93:1(?6::c48j7>32=10eo>50;&1<0<e>2d9494:;:kbb?6=,;2>6o84n3:7>3=<aho1<7*=848a2>h50=0<76gnd;29 7>22k<0b?6;:998mde=83.9484m6:l1<1<>32cjn7>5$3:6>g0<f;2?6l54i`c94?"50<0i:6`=858a?>of03:1(?6::c48j7>32j10el950;&1<0<e>2d9494k;:kb2?6=,;2>6o84n3:7>`=<ah?1<7*=848a2>h50=0m76gn4;29 7>22k<0b?6;:028?lg4290/>5;5b79m6=2=9810el<50;&1<0<e>2d9494>2:9je4<72-8397l9;o0;0?7432cj<7>5$3:6>g0<f;2?6<:4;h;e>5<#:1?1n;5a296950=<ako1<7*=848a2>h50=0::65fbe83>!4?=3h=7c<74;34?>oek3:1(?6::c48j7>328207dlm:18'6=3=j?1e>5:51898mgg=83.9484m6:l1<1<6i21bn44?:%0;1?d13g8387?m;:ka<?6=,;2>6o84n3:7>4e<3`h?6=4+2979f3=i:1>1=i54i`;94?"50<0i:6`=8582a>=n1l0;6)<75;`5?k4?<3;m76gk8;29 7>22m=0b?6;:198ma0=83.9484k7:l1<1<632co87>5$3:6>a1<f;2?6?54ie194?"50<0o;6`=8580?>oc:3:1(?6::e58j7>32=10ei?50;&1<0<c?2d9494:;:kg4?6=,;2>6i94n3:7>3=<ajl1<7*=848g3>h50=0<76gle;29 7>22m=0b?6;:998mfb=83.9484k7:l1<1<>32cho7>5$3:6>a1<f;2?6l54ib`94?"50<0o;6`=858a?>od13:1(?6::e58j7>32j10en650;&1<0<c?2d9494k;:k`3?6=,;2>6i94n3:7>`=<aj<1<7*=848g3>h50=0m76gl5;29 7>22m=0b?6;:028?le3290/>5;5d69m6=2=9810en=50;&1<0<c?2d9494>2:9jg7<72-8397j8;o0;0?7432ch=7>5$3:6>a1<f;2?6<:4;ha3>5<#:1?1h:5a296950=<aml1<7*=848g3>h50=0::65fdd83>!4?=3n<7c<74;34?>ocl3:1(?6::e58j7>328207djl:18'6=3=l>1e>5:51898mad=83.9484k7:l1<1<6i21bhl4?:%0;1?b03g8387?m;:kg=?6=,;2>6i94n3:7>4e<3`n>6=4+2979`2=i:1>1=i54ibc94?"50<0o;6`=8582a>=njo0;6)<75;f4?k4?<3;m76g>0683>!4?=3;;:6`=8583?>o68<0;6)<75;332>h50=0:76g>0583>!4?=3;;:6`=8581?>o68:0;6)<75;332>h50=0876g>0b83>!4?=3;;n6`=8583?>o68h0;6)<75;33f>h50=0:76g>0883>!4?=3;;n6`=8581?>o6810;6)<75;33f>h50=0876g<1883>!4?=39:46`=8583?>o49>0;6)<75;12<>h50=0:76g<1783>!4?=39:46`=8581?>o49<0;6)<75;12<>h50=0876g<1d83>!4?=39:h6`=8583?>o49j0;6)<75;12`>h50=0:76g<1c83>!4?=39:h6`=8581?>o49h0;6)<75;12`>h50=0876a>2783>!4?=3;996`=8583?>i6:=0;6)<75;311>h50=0:76a>2383>!4?=3;996`=8581?>i6:80;6)<75;311>h50=0876a>2183>!4?=3;996`=8587?>i69o0;6)<75;311>h50=0>76a>1d83>!4?=3;996`=8585?>i69m0;6)<75;311>h50=0<76a>1b83>!4?=3;996`=858;?>i69k0;6)<75;311>h50=0276a>1`83>!4?=3;996`=858b?>i6900;6)<75;311>h50=0i76a>1683>!4?=3;996`=858`?>i69?0;6)<75;311>h50=0o76a>1483>!4?=3;996`=858f?>i69=0;6)<75;311>h50=0m76a>1283>!4?=3;996`=85824>=h9881<7*=848260=i:1>1=<54o032>5<#:1?1=?;4n3:7>44<3f;:<7>5$3:6>4423g8387?<;:m24c<72-8397?=5:l1<1<6<21d==k50;&1<0<6:<1e>5:51498k44c290/>5;51378j7>328<07b?=c;29 7>2288>7c<74;34?>i6:k0;6)<75;311>h50=0:465`13c94?"50<0:>85a29695<=<g8826=4+2979573<f;2?6<o4;n31<?6=,;2>6<<:;o0;0?7e32e:>:4?:%0;1?75=2d9494>c:9l575=83.9484>249m6=2=9m10c<?7:18'6=3=9;?0b?6;:0g8?j77l3:1(?6::006?k4?<3;m76a>4983>!4?=3;?;6`=8583?>i6<?0;6)<75;373>h50=0:76a>4583>!4?=3;?;6`=8581?>i6<:0;6)<75;373>h50=0876a>4383>!4?=3;?;6`=8587?>i6<80;6)<75;373>h50=0>76a>4183>!4?=3;?;6`=8585?>i6;o0;6)<75;373>h50=0<76a>3d83>!4?=3;?;6`=858;?>i6;m0;6)<75;373>h50=0276a>3b83>!4?=3;?;6`=858b?>i6;k0;6)<75;373>h50=0i76a>3883>!4?=3;?;6`=858`?>i6;10;6)<75;373>h50=0o76a>3683>!4?=3;?;6`=858f?>i6;?0;6)<75;373>h50=0m76a>3483>!4?=3;?;6`=85824>=h9:>1<7*=848202=i:1>1=<54o010>5<#:1?1=994n3:7>44<3f;8>7>5$3:6>4203g8387?<;:m274<72-8397?;7:l1<1<6<21d=>>50;&1<0<6<>1e>5:51498k42a290/>5;51558j7>328<07b?;e;29 7>228><7c<74;34?>i6<m0;6)<75;373>h50=0:465`15a94?"50<0:8:5a29695<=<g8>i6=4+2979511<f;2?6<o4;n37e?6=,;2>6<:8;o0;0?7e32e:844?:%0;1?73?2d9494>c:9l513=83.9484>469m6=2=9m10c<=n:18'6=3=9==0b?6;:0g8?j75n3:1(?6::064?k4?<3;m76a>5483>!4?=3;>86`=8583?>i6=:0;6)<75;360>h50=0:76a>5383>!4?=3;>86`=8581?>i6=80;6)<75;360>h50=0876a>5`83>!4?=3;>56`=8583?>i6=10;6)<75;36=>h50=0:76a>5683>!4?=3;>56`=8581?>i6=?0;6)<75;36=>h50=0876smec694?e5290;w)=?c;0fe>N4;01C?<?4Z7`9g~`=9909o7<k:27973<4?3936?k52g80=?5f2t.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e3813`=#9l:1>6*>e081?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+1d695=3<,8o>6<6:;%0ff?40m2.9in4=7d9m6c`=12d8<=46;%132?40m2.:5i4<;%3:a?5<,:8;6>?i;%115?56n2d8>?46;o117??<f88n6=5a14294>"48<0:485+31:96>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&04<<53`<o6=44i7g94?=n?:0;66g84;29?l7?83:17d=?1;29?l57:3:17d?71;29?l57i3:17d=?b;29?j0a2900c:>50;9j0f<72-8397:m;o0;0?6<3`>j6=4+29790g=i:1>1=65f4883>!4?=3>i7c<74;08?l2?290/>5;54c9m6=2=;21b8:4?:%0;1?2e3g8387:4;h76>5<#:1?18o5a29691>=n==0;6)<75;6a?k4?<3<07d;<:18'6=3=<k1e>5:57:9j17<72-8397:m;o0;0?><3`?:6=4+29790g=i:1>1565f5183>!4?=3>i7c<74;c8?l2a290/>5;54c9m6=2=j21b8h4?:%0;1?2e3g8387m4;h6g>5<#:1?18o5a2969`>=n<?0;6)<75;6a?k4?<3o07d;l:18'6=3==k1e>5:50:9j1d<72-8397;m;o0;0?7<3`?26=4+29791g=i:1>1>65f5983>!4?=3?i7c<74;18?l30290/>5;55c9m6=2=<21b:84?:%0;1?3e3g8387;4;h47>5<#:1?19o5a29692>=n>:0;6)<75;7a?k4?<3=07d8=:18'6=3==k1e>5:58:9j24<72-8397;m;o0;0??<3`<;6=4+29791g=i:1>1m65f5g83>!4?=3?i7c<74;`8?l3b290/>5;55c9m6=2=k21b9i4?:%0;1?3e3g8387j4;h75>5<#:1?19o5a2969a>=nj>0;6)<75;`5?k4?<3:07dl::18'6=3=j?1e>5:51:9jf6<72-8397l9;o0;0?4<3`h96=4+2979f3=i:1>1?65fb083>!4?=3h=7c<74;68?ld7290/>5;5b79m6=2==21bmk4?:%0;1?d13g838784;hcf>5<#:1?1n;5a29693>=nim0;6)<75;`5?k4?<3207dol:18'6=3=j?1e>5:59:9jeg<72-8397l9;o0;0?g<3`kj6=4+2979f3=i:1>1n65fa983>!4?=3h=7c<74;a8?lg0290/>5;5b79m6=2=l21bm;4?:%0;1?d13g8387k4;hc6>5<#:1?1n;5a2969b>=ni=0;6)<75;`5?k4?<3;;76gn3;29 7>22k<0b?6;:038?lg5290/>5;5b79m6=2=9;10el?50;&1<0<e>2d9494>3:9je5<72-8397l9;o0;0?7332c2j7>5$3:6>g0<f;2?6<;4;h`f>5<#:1?1n;5a296953=<akn1<7*=848a2>h50=0:;65fbb83>!4?=3h=7c<74;3;?>oej3:1(?6::c48j7>328307dln:18'6=3=j?1e>5:51`98mg?=83.9484m6:l1<1<6j21bn54?:%0;1?d13g8387?l;:ka0?6=,;2>6o84n3:7>4b<3`k26=4+2979f3=i:1>1=h54i8g94?"50<0i:6`=8582b>=nl10;6)<75;f4?k4?<3:07dj9:18'6=3=l>1e>5:51:9j`1<72-8397j8;o0;0?4<3`n86=4+2979`2=i:1>1?65fd383>!4?=3n<7c<74;68?lb6290/>5;5d69m6=2==21bh=4?:%0;1?b03g838784;hae>5<#:1?1h:5a29693>=nkl0;6)<75;f4?k4?<3207dmk:18'6=3=l>1e>5:59:9jgf<72-8397j8;o0;0?g<3`ii6=4+2979`2=i:1>1n65fc883>!4?=3n<7c<74;a8?le?290/>5;5d69m6=2=l21bo:4?:%0;1?b03g8387k4;ha5>5<#:1?1h:5a2969b>=nk<0;6)<75;f4?k4?<3;;76gl4;29 7>22m=0b?6;:038?le4290/>5;5d69m6=2=9;10en<50;&1<0<c?2d9494>3:9jg4<72-8397j8;o0;0?7332ch<7>5$3:6>a1<f;2?6<;4;hfe>5<#:1?1h:5a296953=<amo1<7*=848g3>h50=0:;65fde83>!4?=3n<7c<74;3;?>ock3:1(?6::e58j7>328307djm:18'6=3=l>1e>5:51`98mag=83.9484k7:l1<1<6j21bh44?:%0;1?b03g8387?l;:kg1?6=,;2>6i94n3:7>4b<3`ij6=4+2979`2=i:1>1=h54icd94?"50<0o;6`=8582b>=n99=1<7*=848243=i:1>1<65f11794?"50<0:<;5a29695>=n99>1<7*=848243=i:1>1>65f11194?"50<0:<;5a29697>=n99i1<7*=84824g=i:1>1<65f11c94?"50<0:<o5a29695>=n9931<7*=84824g=i:1>1>65f11:94?"50<0:<o5a29697>=n;831<7*=84805==i:1>1<65f30594?"50<08=55a29695>=n;8<1<7*=84805==i:1>1>65f30794?"50<08=55a29697>=n;8o1<7*=84805a=i:1>1<65f30a94?"50<08=i5a29695>=n;8h1<7*=84805a=i:1>1>65f30c94?"50<08=i5a29697>=h9;<1<7*=848260=i:1>1<65`13694?"50<0:>85a29695>=h9;81<7*=848260=i:1>1>65`13394?"50<0:>85a29697>=h9;:1<7*=848260=i:1>1865`10d94?"50<0:>85a29691>=h98o1<7*=848260=i:1>1:65`10f94?"50<0:>85a29693>=h98i1<7*=848260=i:1>1465`10`94?"50<0:>85a2969=>=h98k1<7*=848260=i:1>1m65`10;94?"50<0:>85a2969f>=h98=1<7*=848260=i:1>1o65`10494?"50<0:>85a2969`>=h98?1<7*=848260=i:1>1i65`10694?"50<0:>85a2969b>=h9891<7*=848260=i:1>1==54o031>5<#:1?1=?;4n3:7>47<3f;:=7>5$3:6>4423g8387?=;:m255<72-8397?=5:l1<1<6;21d==h50;&1<0<6:<1e>5:51598k46b290/>5;51378j7>328?07b?=d;29 7>2288>7c<74;35?>i6:j0;6)<75;311>h50=0:;65`13`94?"50<0:>85a29695==<g88j6=4+2979573<f;2?6<74;n31=?6=,;2>6<<:;o0;0?7f32e:>54?:%0;1?75=2d9494>b:9l571=83.9484>249m6=2=9j10c<<<:18'6=3=9;?0b?6;:0f8?j7603:1(?6::006?k4?<3;n76a>0e83>!4?=3;996`=8582b>=h9=21<7*=848202=i:1>1<65`15494?"50<0:8:5a29695>=h9=>1<7*=848202=i:1>1>65`15194?"50<0:8:5a29697>=h9=81<7*=848202=i:1>1865`15394?"50<0:8:5a29691>=h9=:1<7*=848202=i:1>1:65`12d94?"50<0:8:5a29693>=h9:o1<7*=848202=i:1>1465`12f94?"50<0:8:5a2969=>=h9:i1<7*=848202=i:1>1m65`12`94?"50<0:8:5a2969f>=h9:31<7*=848202=i:1>1o65`12:94?"50<0:8:5a2969`>=h9:=1<7*=848202=i:1>1i65`12494?"50<0:8:5a2969b>=h9:?1<7*=848202=i:1>1==54o017>5<#:1?1=994n3:7>47<3f;8?7>5$3:6>4203g8387?=;:m277<72-8397?;7:l1<1<6;21d=>?50;&1<0<6<>1e>5:51598k457290/>5;51558j7>328?07b?;f;29 7>228><7c<74;35?>i6<l0;6)<75;373>h50=0:;65`15f94?"50<0:8:5a29695==<g8>h6=4+2979511<f;2?6<74;n37f?6=,;2>6<:8;o0;0?7f32e:8l4?:%0;1?73?2d9494>b:9l51?=83.9484>469m6=2=9j10c<:::18'6=3=9==0b?6;:0f8?j74i3:1(?6::064?k4?<3;n76a>2g83>!4?=3;?;6`=8582b>=h9<?1<7*=848211=i:1>1<65`14194?"50<0:995a29695>=h9<81<7*=848211=i:1>1>65`14394?"50<0:995a29697>=h9<k1<7*=84821<=i:1>1<65`14:94?"50<0:945a29695>=h9<=1<7*=84821<=i:1>1>65`14494?"50<0:945a29697>=zjlh>6=4l2;294~"48j09il5G32;8L6763S<i6nui:0296f<5l39>6>853680<?4b2;l1?44<a;\7f'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l81>:k4$0g3>7=#9l;1>6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,8o?6<6:;%3f1?7?=2.9io4=7d9'6`e=:>o0b?hi:89m756=12.8<;4=7d9'5<b=;2.:5h4<;%114?56n2.8><4<1g9m774=12d8>>46;o31a?6<f8?;6=5+31795=3<,::36?5+1`297>"6i8087)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/?=752:k5`?6=3`<n6=44i6194?=n?=0;66g>8183>>o4880;66g<0383>>o6080;66g<0`83>>o48k0;66a9f;29?j172900e9m50;&1<0<3j2d9494?;:k7e?6=,;2>69l4n3:7>4=<a=31<7*=8487f>h50=0976g;8;29 7>22=h0b?6;:298m11=83.9484;b:l1<1<332c>97>5$3:6>1d<f;2?6854i4694?"50<0?n6`=8585?>o2;3:1(?6::5`8j7>32>10e8<50;&1<0<3j2d94947;:k65?6=,;2>69l4n3:7><=<a<:1<7*=8487f>h50=0j76g;f;29 7>22=h0b?6;:c98m1c=83.9484;b:l1<1<d32c?h7>5$3:6>1d<f;2?6i54i5494?"50<0?n6`=858f?>o2k3:1(?6::4`8j7>32910e8o50;&1<0<2j2d9494>;:k6=?6=,;2>68l4n3:7>7=<a<21<7*=8486f>h50=0876g:7;29 7>22<h0b?6;:598m33=83.9484:b:l1<1<232c=87>5$3:6>0d<f;2?6;54i7194?"50<0>n6`=8584?>o1:3:1(?6::4`8j7>32110e;?50;&1<0<2j2d94946;:k54?6=,;2>68l4n3:7>d=<a<l1<7*=8486f>h50=0i76g:e;29 7>22<h0b?6;:b98m0b=83.9484:b:l1<1<c32c>:7>5$3:6>0d<f;2?6h54ic594?"50<0i:6`=8583?>oe=3:1(?6::c48j7>32810eo=50;&1<0<e>2d9494=;:ka6?6=,;2>6o84n3:7>6=<ak;1<7*=848a2>h50=0?76gm0;29 7>22k<0b?6;:498md`=83.9484m6:l1<1<132cji7>5$3:6>g0<f;2?6:54i`f94?"50<0i:6`=858;?>ofk3:1(?6::c48j7>32010ell50;&1<0<e>2d9494n;:kbe?6=,;2>6o84n3:7>g=<ah21<7*=848a2>h50=0h76gn7;29 7>22k<0b?6;:e98md0=83.9484m6:l1<1<b32cj97>5$3:6>g0<f;2?6k54i`694?"50<0i:6`=85824>=ni:0;6)<75;`5?k4?<3;:76gn2;29 7>22k<0b?6;:008?lg6290/>5;5b79m6=2=9:10el>50;&1<0<e>2d9494>4:9j=c<72-8397l9;o0;0?7232cii7>5$3:6>g0<f;2?6<84;h`g>5<#:1?1n;5a296952=<aki1<7*=848a2>h50=0:465fbc83>!4?=3h=7c<74;3:?>oei3:1(?6::c48j7>328k07dl6:18'6=3=j?1e>5:51c98mg>=83.9484m6:l1<1<6k21bn94?:%0;1?d13g8387?k;:kb=?6=,;2>6o84n3:7>4c<3`3n6=4+2979f3=i:1>1=k54ie:94?"50<0o;6`=8583?>oc>3:1(?6::e58j7>32810ei:50;&1<0<c?2d9494=;:kg7?6=,;2>6i94n3:7>6=<am81<7*=848g3>h50=0?76gk1;29 7>22m=0b?6;:498ma6=83.9484k7:l1<1<132chj7>5$3:6>a1<f;2?6:54ibg94?"50<0o;6`=858;?>odl3:1(?6::e58j7>32010enm50;&1<0<c?2d9494n;:k`f?6=,;2>6i94n3:7>g=<aj31<7*=848g3>h50=0h76gl8;29 7>22m=0b?6;:e98mf1=83.9484k7:l1<1<b32ch:7>5$3:6>a1<f;2?6k54ib794?"50<0o;6`=85824>=nk=0;6)<75;f4?k4?<3;:76gl3;29 7>22m=0b?6;:008?le5290/>5;5d69m6=2=9:10en?50;&1<0<c?2d9494>4:9jg5<72-8397j8;o0;0?7232coj7>5$3:6>a1<f;2?6<84;hff>5<#:1?1h:5a296952=<amn1<7*=848g3>h50=0:465fdb83>!4?=3n<7c<74;3:?>ocj3:1(?6::e58j7>328k07djn:18'6=3=l>1e>5:51c98ma?=83.9484k7:l1<1<6k21bh84?:%0;1?b03g8387?k;:k`e?6=,;2>6i94n3:7>4c<3`hm6=4+2979`2=i:1>1=k54i024>5<#:1?1==84n3:7>5=<a8:>6=4+2979550<f;2?6<54i027>5<#:1?1==84n3:7>7=<a8:86=4+2979550<f;2?6>54i02`>5<#:1?1==l4n3:7>5=<a8:j6=4+297955d<f;2?6<54i02:>5<#:1?1==l4n3:7>7=<a8:36=4+297955d<f;2?6>54i23:>5<#:1?1?<64n3:7>5=<a:;<6=4+297974><f;2?6<54i235>5<#:1?1?<64n3:7>7=<a:;>6=4+297974><f;2?6>54i23f>5<#:1?1?<j4n3:7>5=<a:;h6=4+297974b<f;2?6<54i23a>5<#:1?1?<j4n3:7>7=<a:;j6=4+297974b<f;2?6>54o005>5<#:1?1=?;4n3:7>5=<g88?6=4+2979573<f;2?6<54o001>5<#:1?1=?;4n3:7>7=<g88:6=4+2979573<f;2?6>54o003>5<#:1?1=?;4n3:7>1=<g8;m6=4+2979573<f;2?6854o03f>5<#:1?1=?;4n3:7>3=<g8;o6=4+2979573<f;2?6:54o03`>5<#:1?1=?;4n3:7>==<g8;i6=4+2979573<f;2?6454o03b>5<#:1?1=?;4n3:7>d=<g8;26=4+2979573<f;2?6o54o034>5<#:1?1=?;4n3:7>f=<g8;=6=4+2979573<f;2?6i54o036>5<#:1?1=?;4n3:7>`=<g8;?6=4+2979573<f;2?6k54o030>5<#:1?1=?;4n3:7>46<3f;:>7>5$3:6>4423g8387?>;:m254<72-8397?=5:l1<1<6:21d=<>50;&1<0<6:<1e>5:51298k46a290/>5;51378j7>328>07b??e;29 7>2288>7c<74;36?>i6:m0;6)<75;311>h50=0::65`13a94?"50<0:>85a296952=<g88i6=4+2979573<f;2?6<64;n31e?6=,;2>6<<:;o0;0?7>32e:>44?:%0;1?75=2d9494>a:9l57>=83.9484>249m6=2=9k10c<<8:18'6=3=9;?0b?6;:0a8?j75;3:1(?6::006?k4?<3;o76a>1983>!4?=3;996`=8582a>=h99n1<7*=848260=i:1>1=k54o06;>5<#:1?1=994n3:7>5=<g8>=6=4+2979511<f;2?6<54o067>5<#:1?1=994n3:7>7=<g8>86=4+2979511<f;2?6>54o061>5<#:1?1=994n3:7>1=<g8>:6=4+2979511<f;2?6854o063>5<#:1?1=994n3:7>3=<g89m6=4+2979511<f;2?6:54o01f>5<#:1?1=994n3:7>==<g89o6=4+2979511<f;2?6454o01`>5<#:1?1=994n3:7>d=<g89i6=4+2979511<f;2?6o54o01:>5<#:1?1=994n3:7>f=<g8936=4+2979511<f;2?6i54o014>5<#:1?1=994n3:7>`=<g89=6=4+2979511<f;2?6k54o016>5<#:1?1=994n3:7>46<3f;887>5$3:6>4203g8387?>;:m276<72-8397?;7:l1<1<6:21d=><50;&1<0<6<>1e>5:51298k456290/>5;51558j7>328>07b?<0;29 7>228><7c<74;36?>i6<o0;6)<75;373>h50=0::65`15g94?"50<0:8:5a296952=<g8>o6=4+2979511<f;2?6<64;n37g?6=,;2>6<:8;o0;0?7>32e:8o4?:%0;1?73?2d9494>a:9l51g=83.9484>469m6=2=9k10c<:6:18'6=3=9==0b?6;:0a8?j73=3:1(?6::064?k4?<3;o76a>3`83>!4?=3;?;6`=8582a>=h9;l1<7*=848202=i:1>1=k54o076>5<#:1?1=8:4n3:7>5=<g8?86=4+2979502<f;2?6<54o071>5<#:1?1=8:4n3:7>7=<g8?:6=4+2979502<f;2?6>54o07b>5<#:1?1=874n3:7>5=<g8?36=4+297950?<f;2?6<54o074>5<#:1?1=874n3:7>7=<g8?=6=4+297950?<f;2?6>54}cga2?6=k;0;6=u+31a96`g<@:927E=>1:X5f?e|n3;;6?m52e801?512:=1?54=e;0e>6?=;h0v(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g1>71b3-;n<7<4$0g2>7=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3f0?7?=2.:i84>849'6`d=:>o0(?kl:35f?k4an330b>>?:89'750=:>o0(<7k:29'5<c=;2.8>=4<1g9'777=;8l0b><=:89m775=12d:>h4?;o364?6<,::>6<6:;%13<?4<,8k;6>5+1`397>"6i;087)?n3;18 4g32:1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 66>2;1b:i4?::k5a?6=3`=86=44i6694?=n91:1<75f31394?=n;981<75f19394?=n;9k1<75f31`94?=h>o0;66a80;29?l2d290/>5;54c9m6=2=821b8l4?:%0;1?2e3g8387?4;h6:>5<#:1?18o5a29696>=n<10;6)<75;6a?k4?<3907d:8:18'6=3=<k1e>5:54:9j10<72-8397:m;o0;0?3<3`??6=4+29790g=i:1>1:65f5283>!4?=3>i7c<74;58?l35290/>5;54c9m6=2=021b9<4?:%0;1?2e3g838774;h73>5<#:1?18o5a2969e>=n<o0;6)<75;6a?k4?<3h07d:j:18'6=3=<k1e>5:5c:9j0a<72-8397:m;o0;0?b<3`>=6=4+29790g=i:1>1i65f5b83>!4?=3?i7c<74;28?l3f290/>5;55c9m6=2=921b944?:%0;1?3e3g8387<4;h7;>5<#:1?19o5a29697>=n=>0;6)<75;7a?k4?<3>07d8::18'6=3==k1e>5:55:9j21<72-8397;m;o0;0?0<3`<86=4+29791g=i:1>1;65f6383>!4?=3?i7c<74;:8?l06290/>5;55c9m6=2=121b:=4?:%0;1?3e3g8387o4;h7e>5<#:1?19o5a2969f>=n=l0;6)<75;7a?k4?<3i07d;k:18'6=3==k1e>5:5d:9j13<72-8397;m;o0;0?c<3`h<6=4+2979f3=i:1>1<65fb483>!4?=3h=7c<74;38?ld4290/>5;5b79m6=2=:21bn?4?:%0;1?d13g8387=4;h`2>5<#:1?1n;5a29690>=nj90;6)<75;`5?k4?<3?07doi:18'6=3=j?1e>5:56:9je`<72-8397l9;o0;0?1<3`ko6=4+2979f3=i:1>1465fab83>!4?=3h=7c<74;;8?lge290/>5;5b79m6=2=i21bml4?:%0;1?d13g8387l4;hc;>5<#:1?1n;5a2969g>=ni>0;6)<75;`5?k4?<3n07do9:18'6=3=j?1e>5:5e:9je0<72-8397l9;o0;0?`<3`k?6=4+2979f3=i:1>1==54i`194?"50<0i:6`=85825>=ni;0;6)<75;`5?k4?<3;976gn1;29 7>22k<0b?6;:018?lg7290/>5;5b79m6=2=9=10e4h50;&1<0<e>2d9494>5:9jf`<72-8397l9;o0;0?7132cih7>5$3:6>g0<f;2?6<94;h``>5<#:1?1n;5a29695==<akh1<7*=848a2>h50=0:565fb`83>!4?=3h=7c<74;3b?>oe13:1(?6::c48j7>328h07dl7:18'6=3=j?1e>5:51b98mg2=83.9484m6:l1<1<6l21bm44?:%0;1?d13g8387?j;:k:a?6=,;2>6o84n3:7>4`<3`n36=4+2979`2=i:1>1<65fd783>!4?=3n<7c<74;38?lb3290/>5;5d69m6=2=:21bh>4?:%0;1?b03g8387=4;hf1>5<#:1?1h:5a29690>=nl80;6)<75;f4?k4?<3?07dj?:18'6=3=l>1e>5:56:9jgc<72-8397j8;o0;0?1<3`in6=4+2979`2=i:1>1465fce83>!4?=3n<7c<74;;8?led290/>5;5d69m6=2=i21boo4?:%0;1?b03g8387l4;ha:>5<#:1?1h:5a2969g>=nk10;6)<75;f4?k4?<3n07dm8:18'6=3=l>1e>5:5e:9jg3<72-8397j8;o0;0?`<3`i>6=4+2979`2=i:1>1==54ib694?"50<0o;6`=85825>=nk:0;6)<75;f4?k4?<3;976gl2;29 7>22m=0b?6;:018?le6290/>5;5d69m6=2=9=10en>50;&1<0<c?2d9494>5:9j`c<72-8397j8;o0;0?7132coi7>5$3:6>a1<f;2?6<94;hfg>5<#:1?1h:5a29695==<ami1<7*=848g3>h50=0:565fdc83>!4?=3n<7c<74;3b?>oci3:1(?6::e58j7>328h07dj6:18'6=3=l>1e>5:51b98ma3=83.9484k7:l1<1<6l21bol4?:%0;1?b03g8387?j;:kab?6=,;2>6i94n3:7>4`<3`;;;7>5$3:6>4613g8387>4;h331?6=,;2>6<>9;o0;0?7<3`;;87>5$3:6>4613g8387<4;h337?6=,;2>6<>9;o0;0?5<3`;;o7>5$3:6>46e3g8387>4;h33e?6=,;2>6<>m;o0;0?7<3`;;57>5$3:6>46e3g8387<4;h33<?6=,;2>6<>m;o0;0?5<3`9:57>5$3:6>67?3g8387>4;h123?6=,;2>6>?7;o0;0?7<3`9::7>5$3:6>67?3g8387<4;h121?6=,;2>6>?7;o0;0?5<3`9:i7>5$3:6>67c3g8387>4;h12g?6=,;2>6>?k;o0;0?7<3`9:n7>5$3:6>67c3g8387<4;h12e?6=,;2>6>?k;o0;0?5<3f;9:7>5$3:6>4423g8387>4;n310?6=,;2>6<<:;o0;0?7<3f;9>7>5$3:6>4423g8387<4;n315?6=,;2>6<<:;o0;0?5<3f;9<7>5$3:6>4423g8387:4;n32b?6=,;2>6<<:;o0;0?3<3f;:i7>5$3:6>4423g838784;n32`?6=,;2>6<<:;o0;0?1<3f;:o7>5$3:6>4423g838764;n32f?6=,;2>6<<:;o0;0??<3f;:m7>5$3:6>4423g8387o4;n32=?6=,;2>6<<:;o0;0?d<3f;:;7>5$3:6>4423g8387m4;n322?6=,;2>6<<:;o0;0?b<3f;:97>5$3:6>4423g8387k4;n320?6=,;2>6<<:;o0;0?`<3f;:?7>5$3:6>4423g8387??;:m257<72-8397?=5:l1<1<6921d=<?50;&1<0<6:<1e>5:51398k477290/>5;51378j7>328907b??f;29 7>2288>7c<74;37?>i68l0;6)<75;311>h50=0:965`13f94?"50<0:>85a296953=<g88h6=4+2979573<f;2?6<94;n31f?6=,;2>6<<:;o0;0?7?32e:>l4?:%0;1?75=2d9494>9:9l57?=83.9484>249m6=2=9h10c<<7:18'6=3=9;?0b?6;:0`8?j75?3:1(?6::006?k4?<3;h76a>2283>!4?=3;996`=8582`>=h9821<7*=848260=i:1>1=h54o02g>5<#:1?1=?;4n3:7>4`<3f;?47>5$3:6>4203g8387>4;n372?6=,;2>6<:8;o0;0?7<3f;?87>5$3:6>4203g8387<4;n377?6=,;2>6<:8;o0;0?5<3f;?>7>5$3:6>4203g8387:4;n375?6=,;2>6<:8;o0;0?3<3f;?<7>5$3:6>4203g838784;n30b?6=,;2>6<:8;o0;0?1<3f;8i7>5$3:6>4203g838764;n30`?6=,;2>6<:8;o0;0??<3f;8o7>5$3:6>4203g8387o4;n30f?6=,;2>6<:8;o0;0?d<3f;857>5$3:6>4203g8387m4;n30<?6=,;2>6<:8;o0;0?b<3f;8;7>5$3:6>4203g8387k4;n302?6=,;2>6<:8;o0;0?`<3f;897>5$3:6>4203g8387??;:m271<72-8397?;7:l1<1<6921d=>=50;&1<0<6<>1e>5:51398k455290/>5;51558j7>328907b?<1;29 7>228><7c<74;37?>i6;90;6)<75;373>h50=0:965`15d94?"50<0:8:5a296953=<g8>n6=4+2979511<f;2?6<94;n37`?6=,;2>6<:8;o0;0?7?32e:8n4?:%0;1?73?2d9494>9:9l51d=83.9484>469m6=2=9h10c<:n:18'6=3=9==0b?6;:0`8?j7313:1(?6::064?k4?<3;h76a>4483>!4?=3;?;6`=8582`>=h9:k1<7*=848202=i:1>1=h54o00e>5<#:1?1=994n3:7>4`<3f;>97>5$3:6>4333g8387>4;n367?6=,;2>6<;;;o0;0?7<3f;>>7>5$3:6>4333g8387<4;n365?6=,;2>6<;;;o0;0?5<3f;>m7>5$3:6>43>3g8387>4;n36<?6=,;2>6<;6;o0;0?7<3f;>;7>5$3:6>43>3g8387<4;n362?6=,;2>6<;6;o0;0?5<3thnn:4?:b094?6|,::h6?kn;I10=>N4981Q:o4l{g824?4d2;n1?84<6;14>6>=:l09j7=6:2c9y!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n>7<8e:&2a5<53-;n=7<4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:i94>849'5`3=91?0(?km:35f?!4bk38<i6`=fg8:?k578330(>>9:35f?!7>l390(<7j:29'776=;8l0(><>:23e?k55:330b><<:89m57c=82d:9=4?;%131?7?=2.8<54=;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)=?9;08m3b=831b:h4?::k47?6=3`=?6=44i0:3>5<<a:::6=44i221>5<<a82:6=44i22b>5<<a::i6=44o7d94?=h?90;66g;c;29 7>22=h0b?6;:198m1g=83.9484;b:l1<1<632c?57>5$3:6>1d<f;2?6?54i5:94?"50<0?n6`=8580?>o3?3:1(?6::5`8j7>32=10e8;50;&1<0<3j2d9494:;:k60?6=,;2>69l4n3:7>3=<a<91<7*=8487f>h50=0<76g:2;29 7>22=h0b?6;:998m07=83.9484;b:l1<1<>32c><7>5$3:6>1d<f;2?6l54i5d94?"50<0?n6`=858a?>o3m3:1(?6::5`8j7>32j10e9j50;&1<0<3j2d9494k;:k72?6=,;2>69l4n3:7>`=<a<i1<7*=8486f>h50=0;76g:a;29 7>22<h0b?6;:098m0?=83.9484:b:l1<1<532c>47>5$3:6>0d<f;2?6>54i4594?"50<0>n6`=8587?>o1=3:1(?6::4`8j7>32<10e;:50;&1<0<2j2d94949;:k57?6=,;2>68l4n3:7>2=<a?81<7*=8486f>h50=0376g91;29 7>22<h0b?6;:898m36=83.9484:b:l1<1<f32c>j7>5$3:6>0d<f;2?6o54i4g94?"50<0>n6`=858`?>o2l3:1(?6::4`8j7>32m10e8850;&1<0<2j2d9494j;:ka3?6=,;2>6o84n3:7>5=<ak?1<7*=848a2>h50=0:76gm3;29 7>22k<0b?6;:398mg4=83.9484m6:l1<1<432ci=7>5$3:6>g0<f;2?6954ic294?"50<0i:6`=8586?>ofn3:1(?6::c48j7>32?10elk50;&1<0<e>2d94948;:kb`?6=,;2>6o84n3:7>==<ahi1<7*=848a2>h50=0276gnb;29 7>22k<0b?6;:`98mdg=83.9484m6:l1<1<e32cj47>5$3:6>g0<f;2?6n54i`594?"50<0i:6`=858g?>of>3:1(?6::c48j7>32l10el;50;&1<0<e>2d9494i;:kb0?6=,;2>6o84n3:7>46<3`k86=4+2979f3=i:1>1=<54i`094?"50<0i:6`=85826>=ni80;6)<75;`5?k4?<3;876gn0;29 7>22k<0b?6;:068?l?a290/>5;5b79m6=2=9<10eok50;&1<0<e>2d9494>6:9jfa<72-8397l9;o0;0?7032cio7>5$3:6>g0<f;2?6<64;h`a>5<#:1?1n;5a29695<=<akk1<7*=848a2>h50=0:m65fb883>!4?=3h=7c<74;3a?>oe03:1(?6::c48j7>328i07dl;:18'6=3=j?1e>5:51e98md?=83.9484m6:l1<1<6m21b5h4?:%0;1?d13g8387?i;:kg<?6=,;2>6i94n3:7>5=<am<1<7*=848g3>h50=0:76gk4;29 7>22m=0b?6;:398ma5=83.9484k7:l1<1<432co>7>5$3:6>a1<f;2?6954ie394?"50<0o;6`=8586?>oc83:1(?6::e58j7>32?10enh50;&1<0<c?2d94948;:k`a?6=,;2>6i94n3:7>==<ajn1<7*=848g3>h50=0276glc;29 7>22m=0b?6;:`98mfd=83.9484k7:l1<1<e32ch57>5$3:6>a1<f;2?6n54ib:94?"50<0o;6`=858g?>od?3:1(?6::e58j7>32l10en850;&1<0<c?2d9494i;:k`1?6=,;2>6i94n3:7>46<3`i?6=4+2979`2=i:1>1=<54ib194?"50<0o;6`=85826>=nk;0;6)<75;f4?k4?<3;876gl1;29 7>22m=0b?6;:068?le7290/>5;5d69m6=2=9<10eih50;&1<0<c?2d9494>6:9j``<72-8397j8;o0;0?7032coh7>5$3:6>a1<f;2?6<64;hf`>5<#:1?1h:5a29695<=<amh1<7*=848g3>h50=0:m65fd`83>!4?=3n<7c<74;3a?>oc13:1(?6::e58j7>328i07dj::18'6=3=l>1e>5:51e98mfg=83.9484k7:l1<1<6m21bnk4?:%0;1?b03g8387?i;:k242<72-8397??6:l1<1<732c:<84?:%0;1?77>2d9494>;:k241<72-8397??6:l1<1<532c:<>4?:%0;1?77>2d9494<;:k24f<72-8397??b:l1<1<732c:<l4?:%0;1?77j2d9494>;:k24<<72-8397??b:l1<1<532c:<54?:%0;1?77j2d9494<;:k05<<72-8397=>8:l1<1<732c8=:4?:%0;1?5602d9494>;:k053<72-8397=>8:l1<1<532c8=84?:%0;1?5602d9494<;:k05`<72-8397=>d:l1<1<732c8=n4?:%0;1?56l2d9494>;:k05g<72-8397=>d:l1<1<532c8=l4?:%0;1?56l2d9494<;:m263<72-8397?=5:l1<1<732e:>94?:%0;1?75=2d9494>;:m267<72-8397?=5:l1<1<532e:><4?:%0;1?75=2d9494<;:m265<72-8397?=5:l1<1<332e:=k4?:%0;1?75=2d9494:;:m25`<72-8397?=5:l1<1<132e:=i4?:%0;1?75=2d94948;:m25f<72-8397?=5:l1<1<?32e:=o4?:%0;1?75=2d94946;:m25d<72-8397?=5:l1<1<f32e:=44?:%0;1?75=2d9494m;:m252<72-8397?=5:l1<1<d32e:=;4?:%0;1?75=2d9494k;:m250<72-8397?=5:l1<1<b32e:=94?:%0;1?75=2d9494i;:m256<72-8397?=5:l1<1<6821d=<<50;&1<0<6:<1e>5:51098k476290/>5;51378j7>328807b?>0;29 7>2288>7c<74;30?>i68o0;6)<75;311>h50=0:865`11g94?"50<0:>85a296950=<g88o6=4+2979573<f;2?6<84;n31g?6=,;2>6<<:;o0;0?7032e:>o4?:%0;1?75=2d9494>8:9l57g=83.9484>249m6=2=9010c<<6:18'6=3=9;?0b?6;:0c8?j7503:1(?6::006?k4?<3;i76a>2683>!4?=3;996`=8582g>=h9;91<7*=848260=i:1>1=i54o03;>5<#:1?1=?;4n3:7>4c<3f;;h7>5$3:6>4423g8387?i;:m20=<72-8397?;7:l1<1<732e:8;4?:%0;1?73?2d9494>;:m201<72-8397?;7:l1<1<532e:8>4?:%0;1?73?2d9494<;:m207<72-8397?;7:l1<1<332e:8<4?:%0;1?73?2d9494:;:m205<72-8397?;7:l1<1<132e:?k4?:%0;1?73?2d94948;:m27`<72-8397?;7:l1<1<?32e:?i4?:%0;1?73?2d94946;:m27f<72-8397?;7:l1<1<f32e:?o4?:%0;1?73?2d9494m;:m27<<72-8397?;7:l1<1<d32e:?54?:%0;1?73?2d9494k;:m272<72-8397?;7:l1<1<b32e:?;4?:%0;1?73?2d9494i;:m270<72-8397?;7:l1<1<6821d=>:50;&1<0<6<>1e>5:51098k454290/>5;51558j7>328807b?<2;29 7>228><7c<74;30?>i6;80;6)<75;373>h50=0:865`12294?"50<0:8:5a296950=<g8>m6=4+2979511<f;2?6<84;n37a?6=,;2>6<:8;o0;0?7032e:8i4?:%0;1?73?2d9494>8:9l51e=83.9484>469m6=2=9010c<:m:18'6=3=9==0b?6;:0c8?j73i3:1(?6::064?k4?<3;i76a>4883>!4?=3;?;6`=8582g>=h9=?1<7*=848202=i:1>1=i54o01b>5<#:1?1=994n3:7>4c<3f;9j7>5$3:6>4203g8387?i;:m210<72-8397?:4:l1<1<732e:9>4?:%0;1?72<2d9494>;:m217<72-8397?:4:l1<1<532e:9<4?:%0;1?72<2d9494<;:m21d<72-8397?:9:l1<1<732e:954?:%0;1?7212d9494>;:m212<72-8397?:9:l1<1<532e:9;4?:%0;1?7212d9494<;:\7faa3b=83i96=4?{%13g?4bi2B8?45G3038^3d=krl1==4=c;0g>63=;?08;7=7:3g96c<4139j6p*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a7<5?l1/=h>52:&2a4<53-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5`2=91?0(<k::0:6?!4bj38<i6*=eb813`=i:ol156`<018:?!57>38<i6*>9e80?!7>m390(><?:23e?!55939:j6`<238:?k55;330b<<j:19m506=82.8<84>849'75>=:2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"480097d8k:188m3c=831b;>4?::k40?6=3`;3<7>5;h135?6=3`9;>7>5;h3;5?6=3`9;m7>5;h13f?6=3f<m6=44o6294?=n<j0;6)<75;6a?k4?<3:07d:n:18'6=3=<k1e>5:51:9j0<<72-8397:m;o0;0?4<3`>36=4+29790g=i:1>1?65f4683>!4?=3>i7c<74;68?l32290/>5;54c9m6=2==21b994?:%0;1?2e3g838784;h70>5<#:1?18o5a29693>=n=;0;6)<75;6a?k4?<3207d;>:18'6=3=<k1e>5:59:9j15<72-8397:m;o0;0?g<3`>m6=4+29790g=i:1>1n65f4d83>!4?=3>i7c<74;a8?l2c290/>5;54c9m6=2=l21b8;4?:%0;1?2e3g8387k4;h7`>5<#:1?19o5a29694>=n=h0;6)<75;7a?k4?<3;07d;6:18'6=3==k1e>5:52:9j1=<72-8397;m;o0;0?5<3`?<6=4+29791g=i:1>1865f6483>!4?=3?i7c<74;78?l03290/>5;55c9m6=2=>21b:>4?:%0;1?3e3g838794;h41>5<#:1?19o5a2969<>=n>80;6)<75;7a?k4?<3307d8?:18'6=3==k1e>5:5a:9j1c<72-8397;m;o0;0?d<3`?n6=4+29791g=i:1>1o65f5e83>!4?=3?i7c<74;f8?l31290/>5;55c9m6=2=m21bn:4?:%0;1?d13g8387>4;h`6>5<#:1?1n;5a29695>=nj:0;6)<75;`5?k4?<3807dl=:18'6=3=j?1e>5:53:9jf4<72-8397l9;o0;0?2<3`h;6=4+2979f3=i:1>1965fag83>!4?=3h=7c<74;48?lgb290/>5;5b79m6=2=?21bmi4?:%0;1?d13g838764;hc`>5<#:1?1n;5a2969=>=nik0;6)<75;`5?k4?<3k07don:18'6=3=j?1e>5:5b:9je=<72-8397l9;o0;0?e<3`k<6=4+2979f3=i:1>1h65fa783>!4?=3h=7c<74;g8?lg2290/>5;5b79m6=2=n21bm94?:%0;1?d13g8387??;:kb7?6=,;2>6o84n3:7>47<3`k96=4+2979f3=i:1>1=?54i`394?"50<0i:6`=85827>=ni90;6)<75;`5?k4?<3;?76g6f;29 7>22k<0b?6;:078?ldb290/>5;5b79m6=2=9?10eoj50;&1<0<e>2d9494>7:9jff<72-8397l9;o0;0?7?32cin7>5$3:6>g0<f;2?6<74;h`b>5<#:1?1n;5a29695d=<ak31<7*=848a2>h50=0:n65fb983>!4?=3h=7c<74;3`?>oe<3:1(?6::c48j7>328n07do6:18'6=3=j?1e>5:51d98m<c=83.9484m6:l1<1<6n21bh54?:%0;1?b03g8387>4;hf5>5<#:1?1h:5a29695>=nl=0;6)<75;f4?k4?<3807dj<:18'6=3=l>1e>5:53:9j`7<72-8397j8;o0;0?2<3`n:6=4+2979`2=i:1>1965fd183>!4?=3n<7c<74;48?lea290/>5;5d69m6=2=?21boh4?:%0;1?b03g838764;hag>5<#:1?1h:5a2969=>=nkj0;6)<75;f4?k4?<3k07dmm:18'6=3=l>1e>5:5b:9jg<<72-8397j8;o0;0?e<3`i36=4+2979`2=i:1>1h65fc683>!4?=3n<7c<74;g8?le1290/>5;5d69m6=2=n21bo84?:%0;1?b03g8387??;:k`0?6=,;2>6i94n3:7>47<3`i86=4+2979`2=i:1>1=?54ib094?"50<0o;6`=85827>=nk80;6)<75;f4?k4?<3;?76gl0;29 7>22m=0b?6;:078?lba290/>5;5d69m6=2=9?10eik50;&1<0<c?2d9494>7:9j`a<72-8397j8;o0;0?7?32coo7>5$3:6>a1<f;2?6<74;hfa>5<#:1?1h:5a29695d=<amk1<7*=848g3>h50=0:n65fd883>!4?=3n<7c<74;3`?>oc=3:1(?6::e58j7>328n07dmn:18'6=3=l>1e>5:51d98mg`=83.9484k7:l1<1<6n21b==950;&1<0<68?1e>5:50:9j553=83.9484>079m6=2=921b==:50;&1<0<68?1e>5:52:9j555=83.9484>079m6=2=;21b==m50;&1<0<68k1e>5:50:9j55g=83.9484>0c9m6=2=921b==750;&1<0<68k1e>5:52:9j55>=83.9484>0c9m6=2=;21b?<750;&1<0<4911e>5:50:9j741=83.9484<199m6=2=921b?<850;&1<0<4911e>5:52:9j743=83.9484<199m6=2=;21b?<k50;&1<0<49m1e>5:50:9j74e=83.9484<1e9m6=2=921b?<l50;&1<0<49m1e>5:52:9j74g=83.9484<1e9m6=2=;21d=?850;&1<0<6:<1e>5:50:9l572=83.9484>249m6=2=921d=?<50;&1<0<6:<1e>5:52:9l577=83.9484>249m6=2=;21d=?>50;&1<0<6:<1e>5:54:9l54`=83.9484>249m6=2==21d=<k50;&1<0<6:<1e>5:56:9l54b=83.9484>249m6=2=?21d=<m50;&1<0<6:<1e>5:58:9l54d=83.9484>249m6=2=121d=<o50;&1<0<6:<1e>5:5a:9l54?=83.9484>249m6=2=j21d=<950;&1<0<6:<1e>5:5c:9l540=83.9484>249m6=2=l21d=<;50;&1<0<6:<1e>5:5e:9l542=83.9484>249m6=2=n21d=<=50;&1<0<6:<1e>5:51198k475290/>5;51378j7>328;07b?>1;29 7>2288>7c<74;31?>i6990;6)<75;311>h50=0:?65`11d94?"50<0:>85a296951=<g8:n6=4+2979573<f;2?6<;4;n31`?6=,;2>6<<:;o0;0?7132e:>n4?:%0;1?75=2d9494>7:9l57d=83.9484>249m6=2=9110c<<n:18'6=3=9;?0b?6;:0;8?j7513:1(?6::006?k4?<3;j76a>2983>!4?=3;996`=8582f>=h9;=1<7*=848260=i:1>1=n54o000>5<#:1?1=?;4n3:7>4b<3f;:47>5$3:6>4423g8387?j;:m24a<72-8397?=5:l1<1<6n21d=9650;&1<0<6<>1e>5:50:9l510=83.9484>469m6=2=921d=9:50;&1<0<6<>1e>5:52:9l515=83.9484>469m6=2=;21d=9<50;&1<0<6<>1e>5:54:9l517=83.9484>469m6=2==21d=9>50;&1<0<6<>1e>5:56:9l56`=83.9484>469m6=2=?21d=>k50;&1<0<6<>1e>5:58:9l56b=83.9484>469m6=2=121d=>m50;&1<0<6<>1e>5:5a:9l56d=83.9484>469m6=2=j21d=>750;&1<0<6<>1e>5:5c:9l56>=83.9484>469m6=2=l21d=>950;&1<0<6<>1e>5:5e:9l560=83.9484>469m6=2=n21d=>;50;&1<0<6<>1e>5:51198k453290/>5;51558j7>328;07b?<3;29 7>228><7c<74;31?>i6;;0;6)<75;373>h50=0:?65`12394?"50<0:8:5a296951=<g89;6=4+2979511<f;2?6<;4;n37b?6=,;2>6<:8;o0;0?7132e:8h4?:%0;1?73?2d9494>7:9l51b=83.9484>469m6=2=9110c<:l:18'6=3=9==0b?6;:0;8?j73j3:1(?6::064?k4?<3;j76a>4`83>!4?=3;?;6`=8582f>=h9=31<7*=848202=i:1>1=n54o066>5<#:1?1=994n3:7>4b<3f;8m7>5$3:6>4203g8387?j;:m26c<72-8397?;7:l1<1<6n21d=8;50;&1<0<6==1e>5:50:9l505=83.9484>559m6=2=921d=8<50;&1<0<6==1e>5:52:9l507=83.9484>559m6=2=;21d=8o50;&1<0<6=01e>5:50:9l50>=83.9484>589m6=2=921d=8950;&1<0<6=01e>5:52:9l500=83.9484>589m6=2=;21vnh8j:18`6?6=8r.8<n4=e`9K76?<@:;:7W8m:bye>46=:j09h7=::24972<4038n6?h53880e?{#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h<526g8 4c72;1/=h?52:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<k;:0:6?!7b=3;396*=ec813`=#:li1>:k4n3de><=i;9:156*<07813`=#90n1?6*>9d80?!55839:j6*<20805c=i;;8156`<228:?k75m3:0b<;?:19'753=91?0(>>7:39'5d6=;2.:m<4<;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+31;96>o1l3:17d8j:188m25=831b;94?::k2<5<722c8<<4?::k047<722c:4<4?::k04d<722c8<o4?::m5b?6=3f=;6=44i5a94?"50<0?n6`=8583?>o3i3:1(?6::5`8j7>32810e9750;&1<0<3j2d9494=;:k7<?6=,;2>69l4n3:7>6=<a==1<7*=8487f>h50=0?76g:5;29 7>22=h0b?6;:498m02=83.9484;b:l1<1<132c>?7>5$3:6>1d<f;2?6:54i4094?"50<0?n6`=858;?>o293:1(?6::5`8j7>32010e8>50;&1<0<3j2d9494n;:k7b?6=,;2>69l4n3:7>g=<a=o1<7*=8487f>h50=0h76g;d;29 7>22=h0b?6;:e98m10=83.9484;b:l1<1<b32c>o7>5$3:6>0d<f;2?6=54i4c94?"50<0>n6`=8582?>o213:1(?6::4`8j7>32;10e8650;&1<0<2j2d9494<;:k63?6=,;2>68l4n3:7>1=<a??1<7*=8486f>h50=0>76g94;29 7>22<h0b?6;:798m35=83.9484:b:l1<1<032c=>7>5$3:6>0d<f;2?6554i7394?"50<0>n6`=858:?>o183:1(?6::4`8j7>32h10e8h50;&1<0<2j2d9494m;:k6a?6=,;2>68l4n3:7>f=<a<n1<7*=8486f>h50=0o76g:6;29 7>22<h0b?6;:d98mg1=83.9484m6:l1<1<732ci97>5$3:6>g0<f;2?6<54ic194?"50<0i:6`=8581?>oe:3:1(?6::c48j7>32:10eo?50;&1<0<e>2d9494;;:ka4?6=,;2>6o84n3:7>0=<ahl1<7*=848a2>h50=0=76gne;29 7>22k<0b?6;:698mdb=83.9484m6:l1<1<?32cjo7>5$3:6>g0<f;2?6454i``94?"50<0i:6`=858b?>ofi3:1(?6::c48j7>32k10el650;&1<0<e>2d9494l;:kb3?6=,;2>6o84n3:7>a=<ah<1<7*=848a2>h50=0n76gn5;29 7>22k<0b?6;:g98md2=83.9484m6:l1<1<6821bm>4?:%0;1?d13g8387?>;:kb6?6=,;2>6o84n3:7>44<3`k:6=4+2979f3=i:1>1=>54i`294?"50<0i:6`=85820>=n1o0;6)<75;`5?k4?<3;>76gme;29 7>22k<0b?6;:048?ldc290/>5;5b79m6=2=9>10eom50;&1<0<e>2d9494>8:9jfg<72-8397l9;o0;0?7>32cim7>5$3:6>g0<f;2?6<o4;h`:>5<#:1?1n;5a29695g=<ak21<7*=848a2>h50=0:o65fb583>!4?=3h=7c<74;3g?>of13:1(?6::c48j7>328o07d7j:18'6=3=j?1e>5:51g98ma>=83.9484k7:l1<1<732co:7>5$3:6>a1<f;2?6<54ie694?"50<0o;6`=8581?>oc;3:1(?6::e58j7>32:10ei<50;&1<0<c?2d9494;;:kg5?6=,;2>6i94n3:7>0=<am:1<7*=848g3>h50=0=76glf;29 7>22m=0b?6;:698mfc=83.9484k7:l1<1<?32chh7>5$3:6>a1<f;2?6454iba94?"50<0o;6`=858b?>odj3:1(?6::e58j7>32k10en750;&1<0<c?2d9494l;:k`<?6=,;2>6i94n3:7>a=<aj=1<7*=848g3>h50=0n76gl6;29 7>22m=0b?6;:g98mf3=83.9484k7:l1<1<6821bo94?:%0;1?b03g8387?>;:k`7?6=,;2>6i94n3:7>44<3`i96=4+2979`2=i:1>1=>54ib394?"50<0o;6`=85820>=nk90;6)<75;f4?k4?<3;>76gkf;29 7>22m=0b?6;:048?lbb290/>5;5d69m6=2=9>10eij50;&1<0<c?2d9494>8:9j`f<72-8397j8;o0;0?7>32con7>5$3:6>a1<f;2?6<o4;hfb>5<#:1?1h:5a29695g=<am31<7*=848g3>h50=0:o65fd483>!4?=3n<7c<74;3g?>odi3:1(?6::e58j7>328o07dli:18'6=3=l>1e>5:51g98m460290/>5;51148j7>32910e<>::18'6=3=99<0b?6;:098m463290/>5;51148j7>32;10e<><:18'6=3=99<0b?6;:298m46d290/>5;511`8j7>32910e<>n:18'6=3=99h0b?6;:098m46>290/>5;511`8j7>32;10e<>7:18'6=3=99h0b?6;:298m67>290/>5;530:8j7>32910e>?8:18'6=3=;820b?6;:098m671290/>5;530:8j7>32;10e>?::18'6=3=;820b?6;:298m67b290/>5;530f8j7>32910e>?l:18'6=3=;8n0b?6;:098m67e290/>5;530f8j7>32;10e>?n:18'6=3=;8n0b?6;:298k441290/>5;51378j7>32910c<<;:18'6=3=9;?0b?6;:098k445290/>5;51378j7>32;10c<<>:18'6=3=9;?0b?6;:298k447290/>5;51378j7>32=10c<?i:18'6=3=9;?0b?6;:498k47b290/>5;51378j7>32?10c<?k:18'6=3=9;?0b?6;:698k47d290/>5;51378j7>32110c<?m:18'6=3=9;?0b?6;:898k47f290/>5;51378j7>32h10c<?6:18'6=3=9;?0b?6;:c98k470290/>5;51378j7>32j10c<?9:18'6=3=9;?0b?6;:e98k472290/>5;51378j7>32l10c<?;:18'6=3=9;?0b?6;:g98k474290/>5;51378j7>328:07b?>2;29 7>2288>7c<74;32?>i6980;6)<75;311>h50=0:>65`10294?"50<0:>85a296956=<g8:m6=4+2979573<f;2?6<:4;n33a?6=,;2>6<<:;o0;0?7232e:>i4?:%0;1?75=2d9494>6:9l57e=83.9484>249m6=2=9>10c<<m:18'6=3=9;?0b?6;:0:8?j75i3:1(?6::006?k4?<3;276a>2883>!4?=3;996`=8582e>=h9;21<7*=848260=i:1>1=o54o004>5<#:1?1=?;4n3:7>4e<3f;9?7>5$3:6>4423g8387?k;:m25=<72-8397?=5:l1<1<6m21d==j50;&1<0<6:<1e>5:51g98k42?290/>5;51558j7>32910c<:9:18'6=3=9==0b?6;:098k423290/>5;51558j7>32;10c<:<:18'6=3=9==0b?6;:298k425290/>5;51558j7>32=10c<:>:18'6=3=9==0b?6;:498k427290/>5;51558j7>32?10c<=i:18'6=3=9==0b?6;:698k45b290/>5;51558j7>32110c<=k:18'6=3=9==0b?6;:898k45d290/>5;51558j7>32h10c<=m:18'6=3=9==0b?6;:c98k45>290/>5;51558j7>32j10c<=7:18'6=3=9==0b?6;:e98k450290/>5;51558j7>32l10c<=9:18'6=3=9==0b?6;:g98k452290/>5;51558j7>328:07b?<4;29 7>228><7c<74;32?>i6;:0;6)<75;373>h50=0:>65`12094?"50<0:8:5a296956=<g89:6=4+2979511<f;2?6<:4;n304?6=,;2>6<:8;o0;0?7232e:8k4?:%0;1?73?2d9494>6:9l51c=83.9484>469m6=2=9>10c<:k:18'6=3=9==0b?6;:0:8?j73k3:1(?6::064?k4?<3;276a>4c83>!4?=3;?;6`=8582e>=h9=k1<7*=848202=i:1>1=o54o06:>5<#:1?1=994n3:7>4e<3f;?97>5$3:6>4203g8387?k;:m27d<72-8397?;7:l1<1<6m21d=?h50;&1<0<6<>1e>5:51g98k432290/>5;51468j7>32910c<;<:18'6=3=9<>0b?6;:098k435290/>5;51468j7>32;10c<;>:18'6=3=9<>0b?6;:298k43f290/>5;514;8j7>32910c<;7:18'6=3=9<30b?6;:098k430290/>5;514;8j7>32;10c<;9:18'6=3=9<30b?6;:298ygc1n3:1o?4?:1y'75e=:lk0D>=6;I125>\1j3ipj7??:3a96a<4=39=6>953981a?4a2:31?l4r$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c52;=n7)?j0;08 4c62;1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7b<3;396*>e482<0=#:lh1>:k4$3g`>71b3g8mj774n223><=#;9<1>:k4$0;g>6=#90o1?6*<21805c=#;;;1?<h4n201><=i;;9156`>2d83?k7283:0(>>::0:6?!570380(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,::26?5f6e83>>o1m3:17d9<:188m22=831b=5>50;9j757=831b?=<50;9j5=7=831b?=o50;9j75d=831d:k4?::m44?6=3`>h6=4+29790g=i:1>1<65f4`83>!4?=3>i7c<74;38?l2>290/>5;54c9m6=2=:21b854?:%0;1?2e3g8387=4;h64>5<#:1?18o5a29690>=n=<0;6)<75;6a?k4?<3?07d;;:18'6=3=<k1e>5:56:9j16<72-8397:m;o0;0?1<3`?96=4+29790g=i:1>1465f5083>!4?=3>i7c<74;;8?l37290/>5;54c9m6=2=i21b8k4?:%0;1?2e3g8387l4;h6f>5<#:1?18o5a2969g>=n<m0;6)<75;6a?k4?<3n07d:9:18'6=3=<k1e>5:5e:9j1f<72-8397;m;o0;0?6<3`?j6=4+29791g=i:1>1=65f5883>!4?=3?i7c<74;08?l3?290/>5;55c9m6=2=;21b9:4?:%0;1?3e3g8387:4;h46>5<#:1?19o5a29691>=n>=0;6)<75;7a?k4?<3<07d8<:18'6=3==k1e>5:57:9j27<72-8397;m;o0;0?><3`<:6=4+29791g=i:1>1565f6183>!4?=3?i7c<74;c8?l3a290/>5;55c9m6=2=j21b9h4?:%0;1?3e3g8387m4;h7g>5<#:1?19o5a2969`>=n=?0;6)<75;7a?k4?<3o07dl8:18'6=3=j?1e>5:50:9jf0<72-8397l9;o0;0?7<3`h86=4+2979f3=i:1>1>65fb383>!4?=3h=7c<74;18?ld6290/>5;5b79m6=2=<21bn=4?:%0;1?d13g8387;4;hce>5<#:1?1n;5a29692>=nil0;6)<75;`5?k4?<3=07dok:18'6=3=j?1e>5:58:9jef<72-8397l9;o0;0??<3`ki6=4+2979f3=i:1>1m65fa`83>!4?=3h=7c<74;`8?lg?290/>5;5b79m6=2=k21bm:4?:%0;1?d13g8387j4;hc5>5<#:1?1n;5a2969a>=ni<0;6)<75;`5?k4?<3l07do;:18'6=3=j?1e>5:51198md5=83.9484m6:l1<1<6921bm?4?:%0;1?d13g8387?=;:kb5?6=,;2>6o84n3:7>45<3`k;6=4+2979f3=i:1>1=954i8d94?"50<0i:6`=85821>=njl0;6)<75;`5?k4?<3;=76gmd;29 7>22k<0b?6;:058?ldd290/>5;5b79m6=2=9110eol50;&1<0<e>2d9494>9:9jfd<72-8397l9;o0;0?7f32ci57>5$3:6>g0<f;2?6<l4;h`;>5<#:1?1n;5a29695f=<ak>1<7*=848a2>h50=0:h65fa883>!4?=3h=7c<74;3f?>o>m3:1(?6::c48j7>328l07dj7:18'6=3=l>1e>5:50:9j`3<72-8397j8;o0;0?7<3`n?6=4+2979`2=i:1>1>65fd283>!4?=3n<7c<74;18?lb5290/>5;5d69m6=2=<21bh<4?:%0;1?b03g8387;4;hf3>5<#:1?1h:5a29692>=nko0;6)<75;f4?k4?<3=07dmj:18'6=3=l>1e>5:58:9jga<72-8397j8;o0;0??<3`ih6=4+2979`2=i:1>1m65fcc83>!4?=3n<7c<74;`8?le>290/>5;5d69m6=2=k21bo54?:%0;1?b03g8387j4;ha4>5<#:1?1h:5a2969a>=nk?0;6)<75;f4?k4?<3l07dm::18'6=3=l>1e>5:51198mf2=83.9484k7:l1<1<6921bo>4?:%0;1?b03g8387?=;:k`6?6=,;2>6i94n3:7>45<3`i:6=4+2979`2=i:1>1=954ib294?"50<0o;6`=85821>=nlo0;6)<75;f4?k4?<3;=76gke;29 7>22m=0b?6;:058?lbc290/>5;5d69m6=2=9110eim50;&1<0<c?2d9494>9:9j`g<72-8397j8;o0;0?7f32com7>5$3:6>a1<f;2?6<l4;hf:>5<#:1?1h:5a29695f=<am?1<7*=848g3>h50=0:h65fc`83>!4?=3n<7c<74;3f?>oen3:1(?6::e58j7>328l07d??7;29 7>228:=7c<74;28?l77=3:1(?6::025?k4?<3;07d??4;29 7>228:=7c<74;08?l77;3:1(?6::025?k4?<3907d??c;29 7>228:i7c<74;28?l77i3:1(?6::02a?k4?<3;07d??9;29 7>228:i7c<74;08?l7703:1(?6::02a?k4?<3907d=>9;29 7>22:;37c<74;28?l56?3:1(?6::23;?k4?<3;07d=>6;29 7>22:;37c<74;08?l56=3:1(?6::23;?k4?<3907d=>e;29 7>22:;o7c<74;28?l56k3:1(?6::23g?k4?<3;07d=>b;29 7>22:;o7c<74;08?l56i3:1(?6::23g?k4?<3907b?=6;29 7>2288>7c<74;28?j75<3:1(?6::006?k4?<3;07b?=2;29 7>2288>7c<74;08?j7593:1(?6::006?k4?<3907b?=0;29 7>2288>7c<74;68?j76n3:1(?6::006?k4?<3?07b?>e;29 7>2288>7c<74;48?j76l3:1(?6::006?k4?<3=07b?>c;29 7>2288>7c<74;:8?j76j3:1(?6::006?k4?<3307b?>a;29 7>2288>7c<74;c8?j7613:1(?6::006?k4?<3h07b?>7;29 7>2288>7c<74;a8?j76>3:1(?6::006?k4?<3n07b?>5;29 7>2288>7c<74;g8?j76<3:1(?6::006?k4?<3l07b?>3;29 7>2288>7c<74;33?>i69;0;6)<75;311>h50=0:=65`10394?"50<0:>85a296957=<g8;;6=4+2979573<f;2?6<=4;n33b?6=,;2>6<<:;o0;0?7332e:<h4?:%0;1?75=2d9494>5:9l57b=83.9484>249m6=2=9?10c<<l:18'6=3=9;?0b?6;:058?j75j3:1(?6::006?k4?<3;376a>2`83>!4?=3;996`=8582=>=h9;31<7*=848260=i:1>1=l54o00;>5<#:1?1=?;4n3:7>4d<3f;9;7>5$3:6>4423g8387?l;:m266<72-8397?=5:l1<1<6l21d=<650;&1<0<6:<1e>5:51d98k46c290/>5;51378j7>328l07b?;8;29 7>228><7c<74;28?j73>3:1(?6::064?k4?<3;07b?;4;29 7>228><7c<74;08?j73;3:1(?6::064?k4?<3907b?;2;29 7>228><7c<74;68?j7393:1(?6::064?k4?<3?07b?;0;29 7>228><7c<74;48?j74n3:1(?6::064?k4?<3=07b?<e;29 7>228><7c<74;:8?j74l3:1(?6::064?k4?<3307b?<c;29 7>228><7c<74;c8?j74j3:1(?6::064?k4?<3h07b?<9;29 7>228><7c<74;a8?j7403:1(?6::064?k4?<3n07b?<7;29 7>228><7c<74;g8?j74>3:1(?6::064?k4?<3l07b?<5;29 7>228><7c<74;33?>i6;=0;6)<75;373>h50=0:=65`12194?"50<0:8:5a296957=<g8996=4+2979511<f;2?6<=4;n305?6=,;2>6<:8;o0;0?7332e:?=4?:%0;1?73?2d9494>5:9l51`=83.9484>469m6=2=9?10c<:j:18'6=3=9==0b?6;:058?j73l3:1(?6::064?k4?<3;376a>4b83>!4?=3;?;6`=8582=>=h9=h1<7*=848202=i:1>1=l54o06b>5<#:1?1=994n3:7>4d<3f;?57>5$3:6>4203g8387?l;:m200<72-8397?;7:l1<1<6l21d=>o50;&1<0<6<>1e>5:51d98k44a290/>5;51558j7>328l07b?:5;29 7>228??7c<74;28?j72;3:1(?6::077?k4?<3;07b?:2;29 7>228??7c<74;08?j7293:1(?6::077?k4?<3907b?:a;29 7>228?27c<74;28?j7203:1(?6::07:?k4?<3;07b?:7;29 7>228?27c<74;08?j72>3:1(?6::07:?k4?<3907plj7183>f4=83:p(>>l:3gb?M5412B8=<5U6c8`\7fc<6838h6?j534802?502:21>h4=f;1:>6g=u-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j2;04a>"6m9097)?j1;08 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>e582<0=#9l?1=5;4$3ga>71b3-8no7<8e:l1bc<>3g9;<774$225>71b3-;2h7=4$0;f>6=#;;:1?<h4$202>67a3g99>774n200><=i9;o1<6`>5183?!57=3;396*<0981?!7f8390(<o>:29'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%13=?4<a?n1<75f6d83>>o0;3:17d9;:188m4>72900e>>>:188m6652900e<6>:188m66f2900e>>m:188k3`=831d;=4?::k7g?6=,;2>69l4n3:7>5=<a=k1<7*=8487f>h50=0:76g;9;29 7>22=h0b?6;:398m1>=83.9484;b:l1<1<432c?;7>5$3:6>1d<f;2?6954i4794?"50<0?n6`=8586?>o2<3:1(?6::5`8j7>32?10e8=50;&1<0<3j2d94948;:k66?6=,;2>69l4n3:7>==<a<;1<7*=8487f>h50=0276g:0;29 7>22=h0b?6;:`98m1`=83.9484;b:l1<1<e32c?i7>5$3:6>1d<f;2?6n54i5f94?"50<0?n6`=858g?>o3>3:1(?6::5`8j7>32l10e8m50;&1<0<2j2d9494?;:k6e?6=,;2>68l4n3:7>4=<a<31<7*=8486f>h50=0976g:8;29 7>22<h0b?6;:298m01=83.9484:b:l1<1<332c=97>5$3:6>0d<f;2?6854i7694?"50<0>n6`=8585?>o1;3:1(?6::4`8j7>32>10e;<50;&1<0<2j2d94947;:k55?6=,;2>68l4n3:7><=<a?:1<7*=8486f>h50=0j76g:f;29 7>22<h0b?6;:c98m0c=83.9484:b:l1<1<d32c>h7>5$3:6>0d<f;2?6i54i4494?"50<0>n6`=858f?>oe?3:1(?6::c48j7>32910eo;50;&1<0<e>2d9494>;:ka7?6=,;2>6o84n3:7>7=<ak81<7*=848a2>h50=0876gm1;29 7>22k<0b?6;:598mg6=83.9484m6:l1<1<232cjj7>5$3:6>g0<f;2?6;54i`g94?"50<0i:6`=8584?>ofl3:1(?6::c48j7>32110elm50;&1<0<e>2d94946;:kbf?6=,;2>6o84n3:7>d=<ahk1<7*=848a2>h50=0i76gn8;29 7>22k<0b?6;:b98md1=83.9484m6:l1<1<c32cj:7>5$3:6>g0<f;2?6h54i`794?"50<0i:6`=858e?>of<3:1(?6::c48j7>328:07do<:18'6=3=j?1e>5:51098md4=83.9484m6:l1<1<6:21bm<4?:%0;1?d13g8387?<;:kb4?6=,;2>6o84n3:7>42<3`3m6=4+2979f3=i:1>1=854icg94?"50<0i:6`=85822>=njm0;6)<75;`5?k4?<3;<76gmc;29 7>22k<0b?6;:0:8?lde290/>5;5b79m6=2=9010eoo50;&1<0<e>2d9494>a:9jf<<72-8397l9;o0;0?7e32ci47>5$3:6>g0<f;2?6<m4;h`7>5<#:1?1n;5a29695a=<ah31<7*=848a2>h50=0:i65f9d83>!4?=3h=7c<74;3e?>oc03:1(?6::e58j7>32910ei850;&1<0<c?2d9494>;:kg0?6=,;2>6i94n3:7>7=<am91<7*=848g3>h50=0876gk2;29 7>22m=0b?6;:598ma7=83.9484k7:l1<1<232co<7>5$3:6>a1<f;2?6;54ibd94?"50<0o;6`=8584?>odm3:1(?6::e58j7>32110enj50;&1<0<c?2d94946;:k`g?6=,;2>6i94n3:7>d=<ajh1<7*=848g3>h50=0i76gl9;29 7>22m=0b?6;:b98mf>=83.9484k7:l1<1<c32ch;7>5$3:6>a1<f;2?6h54ib494?"50<0o;6`=858e?>od=3:1(?6::e58j7>328:07dm;:18'6=3=l>1e>5:51098mf5=83.9484k7:l1<1<6:21bo?4?:%0;1?b03g8387?<;:k`5?6=,;2>6i94n3:7>42<3`i;6=4+2979`2=i:1>1=854ied94?"50<0o;6`=85822>=nll0;6)<75;f4?k4?<3;<76gkd;29 7>22m=0b?6;:0:8?lbd290/>5;5d69m6=2=9010eil50;&1<0<c?2d9494>a:9j`d<72-8397j8;o0;0?7e32co57>5$3:6>a1<f;2?6<m4;hf6>5<#:1?1h:5a29695a=<ajk1<7*=848g3>h50=0:i65fbg83>!4?=3n<7c<74;3e?>o68>0;6)<75;332>h50=0;76g>0483>!4?=3;;:6`=8582?>o68=0;6)<75;332>h50=0976g>0283>!4?=3;;:6`=8580?>o68j0;6)<75;33f>h50=0;76g>0`83>!4?=3;;n6`=8582?>o6800;6)<75;33f>h50=0976g>0983>!4?=3;;n6`=8580?>o4900;6)<75;12<>h50=0;76g<1683>!4?=39:46`=8582?>o49?0;6)<75;12<>h50=0976g<1483>!4?=39:46`=8580?>o49l0;6)<75;12`>h50=0;76g<1b83>!4?=39:h6`=8582?>o49k0;6)<75;12`>h50=0976g<1`83>!4?=39:h6`=8580?>i6:?0;6)<75;311>h50=0;76a>2583>!4?=3;996`=8582?>i6:;0;6)<75;311>h50=0976a>2083>!4?=3;996`=8580?>i6:90;6)<75;311>h50=0?76a>1g83>!4?=3;996`=8586?>i69l0;6)<75;311>h50=0=76a>1e83>!4?=3;996`=8584?>i69j0;6)<75;311>h50=0376a>1c83>!4?=3;996`=858:?>i69h0;6)<75;311>h50=0j76a>1883>!4?=3;996`=858a?>i69>0;6)<75;311>h50=0h76a>1783>!4?=3;996`=858g?>i69<0;6)<75;311>h50=0n76a>1583>!4?=3;996`=858e?>i69:0;6)<75;311>h50=0:<65`10094?"50<0:>85a296954=<g8;:6=4+2979573<f;2?6<<4;n324?6=,;2>6<<:;o0;0?7432e:<k4?:%0;1?75=2d9494>4:9l55c=83.9484>249m6=2=9<10c<<k:18'6=3=9;?0b?6;:048?j75k3:1(?6::006?k4?<3;<76a>2c83>!4?=3;996`=8582<>=h9;k1<7*=848260=i:1>1=454o00:>5<#:1?1=?;4n3:7>4g<3f;947>5$3:6>4423g8387?m;:m262<72-8397?=5:l1<1<6k21d=?=50;&1<0<6:<1e>5:51e98k47?290/>5;51378j7>328o07b??d;29 7>2288>7c<74;3e?>i6<10;6)<75;373>h50=0;76a>4783>!4?=3;?;6`=8582?>i6<=0;6)<75;373>h50=0976a>4283>!4?=3;?;6`=8580?>i6<;0;6)<75;373>h50=0?76a>4083>!4?=3;?;6`=8586?>i6<90;6)<75;373>h50=0=76a>3g83>!4?=3;?;6`=8584?>i6;l0;6)<75;373>h50=0376a>3e83>!4?=3;?;6`=858:?>i6;j0;6)<75;373>h50=0j76a>3c83>!4?=3;?;6`=858a?>i6;00;6)<75;373>h50=0h76a>3983>!4?=3;?;6`=858g?>i6;>0;6)<75;373>h50=0n76a>3783>!4?=3;?;6`=858e?>i6;<0;6)<75;373>h50=0:<65`12694?"50<0:8:5a296954=<g8986=4+2979511<f;2?6<<4;n306?6=,;2>6<:8;o0;0?7432e:?<4?:%0;1?73?2d9494>4:9l566=83.9484>469m6=2=9<10c<:i:18'6=3=9==0b?6;:048?j73m3:1(?6::064?k4?<3;<76a>4e83>!4?=3;?;6`=8582<>=h9=i1<7*=848202=i:1>1=454o06a>5<#:1?1=994n3:7>4g<3f;?m7>5$3:6>4203g8387?m;:m20<<72-8397?;7:l1<1<6k21d=9;50;&1<0<6<>1e>5:51e98k45f290/>5;51558j7>328o07b?=f;29 7>228><7c<74;3e?>i6=<0;6)<75;360>h50=0;76a>5283>!4?=3;>86`=8582?>i6=;0;6)<75;360>h50=0976a>5083>!4?=3;>86`=8580?>i6=h0;6)<75;36=>h50=0;76a>5983>!4?=3;>56`=8582?>i6=>0;6)<75;36=>h50=0976a>5783>!4?=3;>56`=8580?>{em>;1<7m=:183\7f!57k38nm6F<389K747<R?h1ovh51181g?4c2:?1?;4<7;1;>7c=:o0857=n:|&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m;09;h5+1d296>"6m8097)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#9l>1=5;4$0g6>4>23-8nn7<8e:&1af<5?l1e>kh59:l045<>3-9;:7<8e:&2=a<43-;2i7=4$203>67a3-99=7=>f:l067<>3g99?774n00f>5=i9<:1<6*<0482<0=#;921>6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.8<44=;h4g>5<<a?o1<75f7283>>o0<3:17d?70;29?l5793:17d=?2;29?l7?93:17d=?a;29?l57j3:17b8i:188k26=831b8n4?:%0;1?2e3g8387>4;h6b>5<#:1?18o5a29695>=n<00;6)<75;6a?k4?<3807d:7:18'6=3=<k1e>5:53:9j02<72-8397:m;o0;0?2<3`?>6=4+29790g=i:1>1965f5583>!4?=3>i7c<74;48?l34290/>5;54c9m6=2=?21b9?4?:%0;1?2e3g838764;h72>5<#:1?18o5a2969=>=n=90;6)<75;6a?k4?<3k07d:i:18'6=3=<k1e>5:5b:9j0`<72-8397:m;o0;0?e<3`>o6=4+29790g=i:1>1h65f4783>!4?=3>i7c<74;g8?l3d290/>5;55c9m6=2=821b9l4?:%0;1?3e3g8387?4;h7:>5<#:1?19o5a29696>=n=10;6)<75;7a?k4?<3907d;8:18'6=3==k1e>5:54:9j20<72-8397;m;o0;0?3<3`<?6=4+29791g=i:1>1:65f6283>!4?=3?i7c<74;58?l05290/>5;55c9m6=2=021b:<4?:%0;1?3e3g838774;h43>5<#:1?19o5a2969e>=n=o0;6)<75;7a?k4?<3h07d;j:18'6=3==k1e>5:5c:9j1a<72-8397;m;o0;0?b<3`?=6=4+29791g=i:1>1i65fb683>!4?=3h=7c<74;28?ld2290/>5;5b79m6=2=921bn>4?:%0;1?d13g8387<4;h`1>5<#:1?1n;5a29697>=nj80;6)<75;`5?k4?<3>07dl?:18'6=3=j?1e>5:55:9jec<72-8397l9;o0;0?0<3`kn6=4+2979f3=i:1>1;65fae83>!4?=3h=7c<74;:8?lgd290/>5;5b79m6=2=121bmo4?:%0;1?d13g8387o4;hcb>5<#:1?1n;5a2969f>=ni10;6)<75;`5?k4?<3i07do8:18'6=3=j?1e>5:5d:9je3<72-8397l9;o0;0?c<3`k>6=4+2979f3=i:1>1j65fa583>!4?=3h=7c<74;33?>of;3:1(?6::c48j7>328;07do=:18'6=3=j?1e>5:51398md7=83.9484m6:l1<1<6;21bm=4?:%0;1?d13g8387?;;:k:b?6=,;2>6o84n3:7>43<3`hn6=4+2979f3=i:1>1=;54icf94?"50<0i:6`=85823>=njj0;6)<75;`5?k4?<3;376gmb;29 7>22k<0b?6;:0;8?ldf290/>5;5b79m6=2=9h10eo750;&1<0<e>2d9494>b:9jf=<72-8397l9;o0;0?7d32ci87>5$3:6>g0<f;2?6<j4;hc:>5<#:1?1n;5a29695`=<a0o1<7*=848a2>h50=0:j65fd983>!4?=3n<7c<74;28?lb1290/>5;5d69m6=2=921bh94?:%0;1?b03g8387<4;hf0>5<#:1?1h:5a29697>=nl;0;6)<75;f4?k4?<3>07dj>:18'6=3=l>1e>5:55:9j`5<72-8397j8;o0;0?0<3`im6=4+2979`2=i:1>1;65fcd83>!4?=3n<7c<74;:8?lec290/>5;5d69m6=2=121bon4?:%0;1?b03g8387o4;haa>5<#:1?1h:5a2969f>=nk00;6)<75;f4?k4?<3i07dm7:18'6=3=l>1e>5:5d:9jg2<72-8397j8;o0;0?c<3`i=6=4+2979`2=i:1>1j65fc483>!4?=3n<7c<74;33?>od<3:1(?6::e58j7>328;07dm<:18'6=3=l>1e>5:51398mf4=83.9484k7:l1<1<6;21bo<4?:%0;1?b03g8387?;;:k`4?6=,;2>6i94n3:7>43<3`nm6=4+2979`2=i:1>1=;54ieg94?"50<0o;6`=85823>=nlm0;6)<75;f4?k4?<3;376gkc;29 7>22m=0b?6;:0;8?lbe290/>5;5d69m6=2=9h10eio50;&1<0<c?2d9494>b:9j`<<72-8397j8;o0;0?7d32co97>5$3:6>a1<f;2?6<j4;hab>5<#:1?1h:5a29695`=<akl1<7*=848g3>h50=0:j65f11594?"50<0:<;5a29694>=n99?1<7*=848243=i:1>1=65f11694?"50<0:<;5a29696>=n9991<7*=848243=i:1>1?65f11a94?"50<0:<o5a29694>=n99k1<7*=84824g=i:1>1=65f11;94?"50<0:<o5a29696>=n9921<7*=84824g=i:1>1?65f30;94?"50<08=55a29694>=n;8=1<7*=84805==i:1>1=65f30494?"50<08=55a29696>=n;8?1<7*=84805==i:1>1?65f30g94?"50<08=i5a29694>=n;8i1<7*=84805a=i:1>1=65f30`94?"50<08=i5a29696>=n;8k1<7*=84805a=i:1>1?65`13494?"50<0:>85a29694>=h9;>1<7*=848260=i:1>1=65`13094?"50<0:>85a29696>=h9;;1<7*=848260=i:1>1?65`13294?"50<0:>85a29690>=h98l1<7*=848260=i:1>1965`10g94?"50<0:>85a29692>=h98n1<7*=848260=i:1>1;65`10a94?"50<0:>85a2969<>=h98h1<7*=848260=i:1>1565`10c94?"50<0:>85a2969e>=h9831<7*=848260=i:1>1n65`10594?"50<0:>85a2969g>=h98<1<7*=848260=i:1>1h65`10794?"50<0:>85a2969a>=h98>1<7*=848260=i:1>1j65`10194?"50<0:>85a296955=<g8;96=4+2979573<f;2?6<?4;n325?6=,;2>6<<:;o0;0?7532e:==4?:%0;1?75=2d9494>3:9l55`=83.9484>249m6=2=9=10c<>j:18'6=3=9;?0b?6;:078?j75l3:1(?6::006?k4?<3;=76a>2b83>!4?=3;996`=85823>=h9;h1<7*=848260=i:1>1=554o00b>5<#:1?1=?;4n3:7>4?<3f;957>5$3:6>4423g8387?n;:m26=<72-8397?=5:l1<1<6j21d=?950;&1<0<6:<1e>5:51b98k444290/>5;51378j7>328n07b?>8;29 7>2288>7c<74;3f?>i68m0;6)<75;311>h50=0:j65`15:94?"50<0:8:5a29694>=h9=<1<7*=848202=i:1>1=65`15694?"50<0:8:5a29696>=h9=91<7*=848202=i:1>1?65`15094?"50<0:8:5a29690>=h9=;1<7*=848202=i:1>1965`15294?"50<0:8:5a29692>=h9:l1<7*=848202=i:1>1;65`12g94?"50<0:8:5a2969<>=h9:n1<7*=848202=i:1>1565`12a94?"50<0:8:5a2969e>=h9:h1<7*=848202=i:1>1n65`12;94?"50<0:8:5a2969g>=h9:21<7*=848202=i:1>1h65`12594?"50<0:8:5a2969a>=h9:<1<7*=848202=i:1>1j65`12794?"50<0:8:5a296955=<g89?6=4+2979511<f;2?6<?4;n307?6=,;2>6<:8;o0;0?7532e:??4?:%0;1?73?2d9494>3:9l567=83.9484>469m6=2=9=10c<=?:18'6=3=9==0b?6;:078?j73n3:1(?6::064?k4?<3;=76a>4d83>!4?=3;?;6`=85823>=h9=n1<7*=848202=i:1>1=554o06`>5<#:1?1=994n3:7>4?<3f;?n7>5$3:6>4203g8387?n;:m20d<72-8397?;7:l1<1<6j21d=9750;&1<0<6<>1e>5:51b98k422290/>5;51558j7>328n07b?<a;29 7>228><7c<74;3f?>i6:o0;6)<75;373>h50=0:j65`14794?"50<0:995a29694>=h9<91<7*=848211=i:1>1=65`14094?"50<0:995a29696>=h9<;1<7*=848211=i:1>1?65`14c94?"50<0:945a29694>=h9<21<7*=84821<=i:1>1=65`14594?"50<0:945a29696>=h9<<1<7*=84821<=i:1>1?65rbd51>5<d:3:1<v*<0b81ad=O;:30D>?>;[4a>f}a28:1>n4=d;16>60=;>0847<j:3d97<<4i3w/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d0962c<,8o;6?5+1d396>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0g7>4>23-;n97?75:&1ag<5?l1/>hm526g8j7`a201e?=>59:&043<5?l1/=4j53:&2=`<43-99<7=>f:&064<49o1e??<59:l066<>3g;9i7>4n073>5=#;9?1=5;4$22;>7=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'75?=:2c=h7>5;h4f>5<<a>91<75f7583>>o6090;66g<0083>>o48;0;66g>8083>>o48h0;66g<0c83>>i1n3:17b9?:188m1e=83.9484;b:l1<1<732c?m7>5$3:6>1d<f;2?6<54i5;94?"50<0?n6`=8581?>o303:1(?6::5`8j7>32:10e9950;&1<0<3j2d9494;;:k61?6=,;2>69l4n3:7>0=<a<>1<7*=8487f>h50=0=76g:3;29 7>22=h0b?6;:698m04=83.9484;b:l1<1<?32c>=7>5$3:6>1d<f;2?6454i4294?"50<0?n6`=858b?>o3n3:1(?6::5`8j7>32k10e9k50;&1<0<3j2d9494l;:k7`?6=,;2>69l4n3:7>a=<a=<1<7*=8487f>h50=0n76g:c;29 7>22<h0b?6;:198m0g=83.9484:b:l1<1<632c>57>5$3:6>0d<f;2?6?54i4:94?"50<0>n6`=8580?>o2?3:1(?6::4`8j7>32=10e;;50;&1<0<2j2d9494:;:k50?6=,;2>68l4n3:7>3=<a?91<7*=8486f>h50=0<76g92;29 7>22<h0b?6;:998m37=83.9484:b:l1<1<>32c=<7>5$3:6>0d<f;2?6l54i4d94?"50<0>n6`=858a?>o2m3:1(?6::4`8j7>32j10e8j50;&1<0<2j2d9494k;:k62?6=,;2>68l4n3:7>`=<ak=1<7*=848a2>h50=0;76gm5;29 7>22k<0b?6;:098mg5=83.9484m6:l1<1<532ci>7>5$3:6>g0<f;2?6>54ic394?"50<0i:6`=8587?>oe83:1(?6::c48j7>32<10elh50;&1<0<e>2d94949;:kba?6=,;2>6o84n3:7>2=<ahn1<7*=848a2>h50=0376gnc;29 7>22k<0b?6;:898mdd=83.9484m6:l1<1<f32cjm7>5$3:6>g0<f;2?6o54i`:94?"50<0i:6`=858`?>of?3:1(?6::c48j7>32m10el850;&1<0<e>2d9494j;:kb1?6=,;2>6o84n3:7>c=<ah>1<7*=848a2>h50=0:<65fa283>!4?=3h=7c<74;32?>of:3:1(?6::c48j7>328807do>:18'6=3=j?1e>5:51298md6=83.9484m6:l1<1<6<21b5k4?:%0;1?d13g8387?:;:kaa?6=,;2>6o84n3:7>40<3`ho6=4+2979f3=i:1>1=:54ica94?"50<0i:6`=8582<>=njk0;6)<75;`5?k4?<3;276gma;29 7>22k<0b?6;:0c8?ld>290/>5;5b79m6=2=9k10eo650;&1<0<e>2d9494>c:9jf1<72-8397l9;o0;0?7c32cj57>5$3:6>g0<f;2?6<k4;h;f>5<#:1?1n;5a29695c=<am21<7*=848g3>h50=0;76gk6;29 7>22m=0b?6;:098ma2=83.9484k7:l1<1<532co?7>5$3:6>a1<f;2?6>54ie094?"50<0o;6`=8587?>oc93:1(?6::e58j7>32<10ei>50;&1<0<c?2d94949;:k`b?6=,;2>6i94n3:7>2=<ajo1<7*=848g3>h50=0376gld;29 7>22m=0b?6;:898mfe=83.9484k7:l1<1<f32chn7>5$3:6>a1<f;2?6o54ib;94?"50<0o;6`=858`?>od03:1(?6::e58j7>32m10en950;&1<0<c?2d9494j;:k`2?6=,;2>6i94n3:7>c=<aj?1<7*=848g3>h50=0:<65fc583>!4?=3n<7c<74;32?>od;3:1(?6::e58j7>328807dm=:18'6=3=l>1e>5:51298mf7=83.9484k7:l1<1<6<21bo=4?:%0;1?b03g8387?:;:kgb?6=,;2>6i94n3:7>40<3`nn6=4+2979`2=i:1>1=:54ief94?"50<0o;6`=8582<>=nlj0;6)<75;f4?k4?<3;276gkb;29 7>22m=0b?6;:0c8?lbf290/>5;5d69m6=2=9k10ei750;&1<0<c?2d9494>c:9j`0<72-8397j8;o0;0?7c32chm7>5$3:6>a1<f;2?6<k4;h`e>5<#:1?1h:5a29695c=<a8:<6=4+2979550<f;2?6=54i026>5<#:1?1==84n3:7>4=<a8:?6=4+2979550<f;2?6?54i020>5<#:1?1==84n3:7>6=<a8:h6=4+297955d<f;2?6=54i02b>5<#:1?1==l4n3:7>4=<a8:26=4+297955d<f;2?6?54i02;>5<#:1?1==l4n3:7>6=<a:;26=4+297974><f;2?6=54i234>5<#:1?1?<64n3:7>4=<a:;=6=4+297974><f;2?6?54i236>5<#:1?1?<64n3:7>6=<a:;n6=4+297974b<f;2?6=54i23`>5<#:1?1?<j4n3:7>4=<a:;i6=4+297974b<f;2?6?54i23b>5<#:1?1?<j4n3:7>6=<g88=6=4+2979573<f;2?6=54o007>5<#:1?1=?;4n3:7>4=<g8896=4+2979573<f;2?6?54o002>5<#:1?1=?;4n3:7>6=<g88;6=4+2979573<f;2?6954o03e>5<#:1?1=?;4n3:7>0=<g8;n6=4+2979573<f;2?6;54o03g>5<#:1?1=?;4n3:7>2=<g8;h6=4+2979573<f;2?6554o03a>5<#:1?1=?;4n3:7><=<g8;j6=4+2979573<f;2?6l54o03:>5<#:1?1=?;4n3:7>g=<g8;<6=4+2979573<f;2?6n54o035>5<#:1?1=?;4n3:7>a=<g8;>6=4+2979573<f;2?6h54o037>5<#:1?1=?;4n3:7>c=<g8;86=4+2979573<f;2?6<>4;n326?6=,;2>6<<:;o0;0?7632e:=<4?:%0;1?75=2d9494>2:9l546=83.9484>249m6=2=9:10c<>i:18'6=3=9;?0b?6;:068?j77m3:1(?6::006?k4?<3;>76a>2e83>!4?=3;996`=85822>=h9;i1<7*=848260=i:1>1=:54o00a>5<#:1?1=?;4n3:7>4><3f;9m7>5$3:6>4423g8387?6;:m26<<72-8397?=5:l1<1<6i21d=?650;&1<0<6:<1e>5:51c98k440290/>5;51378j7>328i07b?=3;29 7>2288>7c<74;3g?>i6910;6)<75;311>h50=0:i65`11f94?"50<0:>85a29695c=<g8>36=4+2979511<f;2?6=54o065>5<#:1?1=994n3:7>4=<g8>?6=4+2979511<f;2?6?54o060>5<#:1?1=994n3:7>6=<g8>96=4+2979511<f;2?6954o062>5<#:1?1=994n3:7>0=<g8>;6=4+2979511<f;2?6;54o01e>5<#:1?1=994n3:7>2=<g89n6=4+2979511<f;2?6554o01g>5<#:1?1=994n3:7><=<g89h6=4+2979511<f;2?6l54o01a>5<#:1?1=994n3:7>g=<g8926=4+2979511<f;2?6n54o01;>5<#:1?1=994n3:7>a=<g89<6=4+2979511<f;2?6h54o015>5<#:1?1=994n3:7>c=<g89>6=4+2979511<f;2?6<>4;n300?6=,;2>6<:8;o0;0?7632e:?>4?:%0;1?73?2d9494>2:9l564=83.9484>469m6=2=9:10c<=>:18'6=3=9==0b?6;:068?j7483:1(?6::064?k4?<3;>76a>4g83>!4?=3;?;6`=85822>=h9=o1<7*=848202=i:1>1=:54o06g>5<#:1?1=994n3:7>4><3f;?o7>5$3:6>4203g8387?6;:m20g<72-8397?;7:l1<1<6i21d=9o50;&1<0<6<>1e>5:51c98k42>290/>5;51558j7>328i07b?;5;29 7>228><7c<74;3g?>i6;h0;6)<75;373>h50=0:i65`13d94?"50<0:8:5a29695c=<g8?>6=4+2979502<f;2?6=54o070>5<#:1?1=8:4n3:7>4=<g8?96=4+2979502<f;2?6?54o072>5<#:1?1=8:4n3:7>6=<g8?j6=4+297950?<f;2?6=54o07;>5<#:1?1=874n3:7>4=<g8?<6=4+297950?<f;2?6?54o075>5<#:1?1=874n3:7>6=<uko<?7>5c383>5}#;9i1>ho4H21:?M5692P=n7mtf;33>7e=:m0897=9:2597=<5m38m6>753`8~ 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o96?9j;%3f4?4<,8o:6?5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;n87?75:&2a0<60<1/>hl526g8 7cd2;=n7c<if;;8j667201/?=8526g8 4?c2:1/=4k53:&065<49o1/???530d8j645201e??=59:l26`<73g;><7>4$226>4>23-9;47<4$0c3>6=#9h;1?6*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(>>6:39j2a<722c=i7>5;h50>5<<a>>1<75f19294?=n;9;1<75f31094?=n91;1<75f31c94?=n;9h1<75`6g83>>i083:17d:l:18'6=3=<k1e>5:50:9j0d<72-8397:m;o0;0?7<3`>26=4+29790g=i:1>1>65f4983>!4?=3>i7c<74;18?l20290/>5;54c9m6=2=<21b984?:%0;1?2e3g8387;4;h77>5<#:1?18o5a29692>=n=:0;6)<75;6a?k4?<3=07d;=:18'6=3=<k1e>5:58:9j14<72-8397:m;o0;0??<3`?;6=4+29790g=i:1>1m65f4g83>!4?=3>i7c<74;`8?l2b290/>5;54c9m6=2=k21b8i4?:%0;1?2e3g8387j4;h65>5<#:1?18o5a2969a>=n=j0;6)<75;7a?k4?<3:07d;n:18'6=3==k1e>5:51:9j1<<72-8397;m;o0;0?4<3`?36=4+29791g=i:1>1?65f5683>!4?=3?i7c<74;68?l02290/>5;55c9m6=2==21b:94?:%0;1?3e3g838784;h40>5<#:1?19o5a29693>=n>;0;6)<75;7a?k4?<3207d8>:18'6=3==k1e>5:59:9j25<72-8397;m;o0;0?g<3`?m6=4+29791g=i:1>1n65f5d83>!4?=3?i7c<74;a8?l3c290/>5;55c9m6=2=l21b9;4?:%0;1?3e3g8387k4;h`4>5<#:1?1n;5a29694>=nj<0;6)<75;`5?k4?<3;07dl<:18'6=3=j?1e>5:52:9jf7<72-8397l9;o0;0?5<3`h:6=4+2979f3=i:1>1865fb183>!4?=3h=7c<74;78?lga290/>5;5b79m6=2=>21bmh4?:%0;1?d13g838794;hcg>5<#:1?1n;5a2969<>=nij0;6)<75;`5?k4?<3307dom:18'6=3=j?1e>5:5a:9jed<72-8397l9;o0;0?d<3`k36=4+2979f3=i:1>1o65fa683>!4?=3h=7c<74;f8?lg1290/>5;5b79m6=2=m21bm84?:%0;1?d13g8387h4;hc7>5<#:1?1n;5a296955=<ah91<7*=848a2>h50=0:=65fa383>!4?=3h=7c<74;31?>of93:1(?6::c48j7>328907do?:18'6=3=j?1e>5:51598m<`=83.9484m6:l1<1<6=21bnh4?:%0;1?d13g8387?9;:ka`?6=,;2>6o84n3:7>41<3`hh6=4+2979f3=i:1>1=554ic`94?"50<0i:6`=8582=>=njh0;6)<75;`5?k4?<3;j76gm9;29 7>22k<0b?6;:0`8?ld?290/>5;5b79m6=2=9j10eo:50;&1<0<e>2d9494>d:9je<<72-8397l9;o0;0?7b32c2i7>5$3:6>g0<f;2?6<h4;hf;>5<#:1?1h:5a29694>=nl?0;6)<75;f4?k4?<3;07dj;:18'6=3=l>1e>5:52:9j`6<72-8397j8;o0;0?5<3`n96=4+2979`2=i:1>1865fd083>!4?=3n<7c<74;78?lb7290/>5;5d69m6=2=>21bok4?:%0;1?b03g838794;haf>5<#:1?1h:5a2969<>=nkm0;6)<75;f4?k4?<3307dml:18'6=3=l>1e>5:5a:9jgg<72-8397j8;o0;0?d<3`i26=4+2979`2=i:1>1o65fc983>!4?=3n<7c<74;f8?le0290/>5;5d69m6=2=m21bo;4?:%0;1?b03g8387h4;ha6>5<#:1?1h:5a296955=<aj>1<7*=848g3>h50=0:=65fc283>!4?=3n<7c<74;31?>od:3:1(?6::e58j7>328907dm>:18'6=3=l>1e>5:51598mf6=83.9484k7:l1<1<6=21bhk4?:%0;1?b03g8387?9;:kga?6=,;2>6i94n3:7>41<3`no6=4+2979`2=i:1>1=554iea94?"50<0o;6`=8582=>=nlk0;6)<75;f4?k4?<3;j76gka;29 7>22m=0b?6;:0`8?lb>290/>5;5d69m6=2=9j10ei;50;&1<0<c?2d9494>d:9jgd<72-8397j8;o0;0?7b32cij7>5$3:6>a1<f;2?6<h4;h333?6=,;2>6<>9;o0;0?6<3`;;97>5$3:6>4613g8387?4;h330?6=,;2>6<>9;o0;0?4<3`;;?7>5$3:6>4613g8387=4;h33g?6=,;2>6<>m;o0;0?6<3`;;m7>5$3:6>46e3g8387?4;h33=?6=,;2>6<>m;o0;0?4<3`;;47>5$3:6>46e3g8387=4;h12=?6=,;2>6>?7;o0;0?6<3`9:;7>5$3:6>67?3g8387?4;h122?6=,;2>6>?7;o0;0?4<3`9:97>5$3:6>67?3g8387=4;h12a?6=,;2>6>?k;o0;0?6<3`9:o7>5$3:6>67c3g8387?4;h12f?6=,;2>6>?k;o0;0?4<3`9:m7>5$3:6>67c3g8387=4;n312?6=,;2>6<<:;o0;0?6<3f;987>5$3:6>4423g8387?4;n316?6=,;2>6<<:;o0;0?4<3f;9=7>5$3:6>4423g8387=4;n314?6=,;2>6<<:;o0;0?2<3f;:j7>5$3:6>4423g8387;4;n32a?6=,;2>6<<:;o0;0?0<3f;:h7>5$3:6>4423g838794;n32g?6=,;2>6<<:;o0;0?><3f;:n7>5$3:6>4423g838774;n32e?6=,;2>6<<:;o0;0?g<3f;:57>5$3:6>4423g8387l4;n323?6=,;2>6<<:;o0;0?e<3f;::7>5$3:6>4423g8387j4;n321?6=,;2>6<<:;o0;0?c<3f;:87>5$3:6>4423g8387h4;n327?6=,;2>6<<:;o0;0?7732e:=?4?:%0;1?75=2d9494>1:9l547=83.9484>249m6=2=9;10c<??:18'6=3=9;?0b?6;:018?j77n3:1(?6::006?k4?<3;?76a>0d83>!4?=3;996`=85821>=h9;n1<7*=848260=i:1>1=;54o00`>5<#:1?1=?;4n3:7>41<3f;9n7>5$3:6>4423g8387?7;:m26d<72-8397?=5:l1<1<6121d=?750;&1<0<6:<1e>5:51`98k44?290/>5;51378j7>328h07b?=7;29 7>2288>7c<74;3`?>i6::0;6)<75;311>h50=0:h65`10:94?"50<0:>85a29695`=<g8:o6=4+2979573<f;2?6<h4;n37<?6=,;2>6<:8;o0;0?6<3f;?:7>5$3:6>4203g8387?4;n370?6=,;2>6<:8;o0;0?4<3f;??7>5$3:6>4203g8387=4;n376?6=,;2>6<:8;o0;0?2<3f;?=7>5$3:6>4203g8387;4;n374?6=,;2>6<:8;o0;0?0<3f;8j7>5$3:6>4203g838794;n30a?6=,;2>6<:8;o0;0?><3f;8h7>5$3:6>4203g838774;n30g?6=,;2>6<:8;o0;0?g<3f;8n7>5$3:6>4203g8387l4;n30=?6=,;2>6<:8;o0;0?e<3f;847>5$3:6>4203g8387j4;n303?6=,;2>6<:8;o0;0?c<3f;8:7>5$3:6>4203g8387h4;n301?6=,;2>6<:8;o0;0?7732e:?94?:%0;1?73?2d9494>1:9l565=83.9484>469m6=2=9;10c<==:18'6=3=9==0b?6;:018?j7493:1(?6::064?k4?<3;?76a>3183>!4?=3;?;6`=85821>=h9=l1<7*=848202=i:1>1=;54o06f>5<#:1?1=994n3:7>41<3f;?h7>5$3:6>4203g8387?7;:m20f<72-8397?;7:l1<1<6121d=9l50;&1<0<6<>1e>5:51`98k42f290/>5;51558j7>328h07b?;9;29 7>228><7c<74;3`?>i6<<0;6)<75;373>h50=0:h65`12c94?"50<0:8:5a29695`=<g88m6=4+2979511<f;2?6<h4;n361?6=,;2>6<;;;o0;0?6<3f;>?7>5$3:6>4333g8387?4;n366?6=,;2>6<;;;o0;0?4<3f;>=7>5$3:6>4333g8387=4;n36e?6=,;2>6<;6;o0;0?6<3f;>47>5$3:6>43>3g8387?4;n363?6=,;2>6<;6;o0;0?4<3f;>:7>5$3:6>43>3g8387=4;|`f31<72j81<7>t$22`>7cf3A9856F<109Y2g<dso0:<7<l:3f970<4>39<6>652d81b?5>2:k1q)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f6?40m2.:i=4=;%3f5?4<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2a1<60<1/=h;51978 7ce2;=n7)<jc;04a>h5no027c=?0;;8 6612;=n7)?6d;18 4?b2:1/??>530d8 6462:;m7c==2;;8j644201e=?k50:l215<73-9;97?75:&04=<53-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!571380e;j50;9j2`<722c<?7>5;h57>5<<a82;6=44i222>5<<a::96=44i0:2>5<<a::j6=44i22a>5<<g?l1<75`7183>>o3k3:1(?6::5`8j7>32910e9o50;&1<0<3j2d9494>;:k7=?6=,;2>69l4n3:7>7=<a=21<7*=8487f>h50=0876g;7;29 7>22=h0b?6;:598m03=83.9484;b:l1<1<232c>87>5$3:6>1d<f;2?6;54i4194?"50<0?n6`=8584?>o2:3:1(?6::5`8j7>32110e8?50;&1<0<3j2d94946;:k64?6=,;2>69l4n3:7>d=<a=l1<7*=8487f>h50=0i76g;e;29 7>22=h0b?6;:b98m1b=83.9484;b:l1<1<c32c?:7>5$3:6>1d<f;2?6h54i4a94?"50<0>n6`=8583?>o2i3:1(?6::4`8j7>32810e8750;&1<0<2j2d9494=;:k6<?6=,;2>68l4n3:7>6=<a<=1<7*=8486f>h50=0?76g95;29 7>22<h0b?6;:498m32=83.9484:b:l1<1<132c=?7>5$3:6>0d<f;2?6:54i7094?"50<0>n6`=858;?>o193:1(?6::4`8j7>32010e;>50;&1<0<2j2d9494n;:k6b?6=,;2>68l4n3:7>g=<a<o1<7*=8486f>h50=0h76g:d;29 7>22<h0b?6;:e98m00=83.9484:b:l1<1<b32ci;7>5$3:6>g0<f;2?6=54ic794?"50<0i:6`=8582?>oe;3:1(?6::c48j7>32;10eo<50;&1<0<e>2d9494<;:ka5?6=,;2>6o84n3:7>1=<ak:1<7*=848a2>h50=0>76gnf;29 7>22k<0b?6;:798mdc=83.9484m6:l1<1<032cjh7>5$3:6>g0<f;2?6554i`a94?"50<0i:6`=858:?>ofj3:1(?6::c48j7>32h10elo50;&1<0<e>2d9494m;:kb<?6=,;2>6o84n3:7>f=<ah=1<7*=848a2>h50=0o76gn6;29 7>22k<0b?6;:d98md3=83.9484m6:l1<1<a32cj87>5$3:6>g0<f;2?6<>4;hc0>5<#:1?1n;5a296954=<ah81<7*=848a2>h50=0:>65fa083>!4?=3h=7c<74;30?>of83:1(?6::c48j7>328>07d7i:18'6=3=j?1e>5:51498mgc=83.9484m6:l1<1<6>21bni4?:%0;1?d13g8387?8;:kag?6=,;2>6o84n3:7>4><3`hi6=4+2979f3=i:1>1=454icc94?"50<0i:6`=8582e>=nj00;6)<75;`5?k4?<3;i76gm8;29 7>22k<0b?6;:0a8?ld3290/>5;5b79m6=2=9m10el750;&1<0<e>2d9494>e:9j=`<72-8397l9;o0;0?7a32co47>5$3:6>a1<f;2?6=54ie494?"50<0o;6`=8582?>oc<3:1(?6::e58j7>32;10ei=50;&1<0<c?2d9494<;:kg6?6=,;2>6i94n3:7>1=<am;1<7*=848g3>h50=0>76gk0;29 7>22m=0b?6;:798mf`=83.9484k7:l1<1<032chi7>5$3:6>a1<f;2?6554ibf94?"50<0o;6`=858:?>odk3:1(?6::e58j7>32h10enl50;&1<0<c?2d9494m;:k`=?6=,;2>6i94n3:7>f=<aj21<7*=848g3>h50=0o76gl7;29 7>22m=0b?6;:d98mf0=83.9484k7:l1<1<a32ch97>5$3:6>a1<f;2?6<>4;ha7>5<#:1?1h:5a296954=<aj91<7*=848g3>h50=0:>65fc383>!4?=3n<7c<74;30?>od93:1(?6::e58j7>328>07dm?:18'6=3=l>1e>5:51498ma`=83.9484k7:l1<1<6>21bhh4?:%0;1?b03g8387?8;:kg`?6=,;2>6i94n3:7>4><3`nh6=4+2979`2=i:1>1=454ie`94?"50<0o;6`=8582e>=nlh0;6)<75;f4?k4?<3;i76gk9;29 7>22m=0b?6;:0a8?lb2290/>5;5d69m6=2=9m10eno50;&1<0<c?2d9494>e:9jfc<72-8397j8;o0;0?7a32c:<:4?:%0;1?77>2d9494?;:k240<72-8397??6:l1<1<632c:<94?:%0;1?77>2d9494=;:k246<72-8397??6:l1<1<432c:<n4?:%0;1?77j2d9494?;:k24d<72-8397??b:l1<1<632c:<44?:%0;1?77j2d9494=;:k24=<72-8397??b:l1<1<432c8=44?:%0;1?5602d9494?;:k052<72-8397=>8:l1<1<632c8=;4?:%0;1?5602d9494=;:k050<72-8397=>8:l1<1<432c8=h4?:%0;1?56l2d9494?;:k05f<72-8397=>d:l1<1<632c8=o4?:%0;1?56l2d9494=;:k05d<72-8397=>d:l1<1<432e:>;4?:%0;1?75=2d9494?;:m261<72-8397?=5:l1<1<632e:>?4?:%0;1?75=2d9494=;:m264<72-8397?=5:l1<1<432e:>=4?:%0;1?75=2d9494;;:m25c<72-8397?=5:l1<1<232e:=h4?:%0;1?75=2d94949;:m25a<72-8397?=5:l1<1<032e:=n4?:%0;1?75=2d94947;:m25g<72-8397?=5:l1<1<>32e:=l4?:%0;1?75=2d9494n;:m25<<72-8397?=5:l1<1<e32e:=:4?:%0;1?75=2d9494l;:m253<72-8397?=5:l1<1<c32e:=84?:%0;1?75=2d9494j;:m251<72-8397?=5:l1<1<a32e:=>4?:%0;1?75=2d9494>0:9l544=83.9484>249m6=2=9810c<?>:18'6=3=9;?0b?6;:008?j7683:1(?6::006?k4?<3;876a>0g83>!4?=3;996`=85820>=h99o1<7*=848260=i:1>1=854o00g>5<#:1?1=?;4n3:7>40<3f;9o7>5$3:6>4423g8387?8;:m26g<72-8397?=5:l1<1<6021d=?o50;&1<0<6:<1e>5:51898k44>290/>5;51378j7>328k07b?=8;29 7>2288>7c<74;3a?>i6:>0;6)<75;311>h50=0:o65`13194?"50<0:>85a29695a=<g8;36=4+2979573<f;2?6<k4;n33`?6=,;2>6<<:;o0;0?7a32e:854?:%0;1?73?2d9494?;:m203<72-8397?;7:l1<1<632e:894?:%0;1?73?2d9494=;:m206<72-8397?;7:l1<1<432e:8?4?:%0;1?73?2d9494;;:m204<72-8397?;7:l1<1<232e:8=4?:%0;1?73?2d94949;:m27c<72-8397?;7:l1<1<032e:?h4?:%0;1?73?2d94947;:m27a<72-8397?;7:l1<1<>32e:?n4?:%0;1?73?2d9494n;:m27g<72-8397?;7:l1<1<e32e:?44?:%0;1?73?2d9494l;:m27=<72-8397?;7:l1<1<c32e:?:4?:%0;1?73?2d9494j;:m273<72-8397?;7:l1<1<a32e:?84?:%0;1?73?2d9494>0:9l562=83.9484>469m6=2=9810c<=<:18'6=3=9==0b?6;:008?j74:3:1(?6::064?k4?<3;876a>3083>!4?=3;?;6`=85820>=h9::1<7*=848202=i:1>1=854o06e>5<#:1?1=994n3:7>40<3f;?i7>5$3:6>4203g8387?8;:m20a<72-8397?;7:l1<1<6021d=9m50;&1<0<6<>1e>5:51898k42e290/>5;51558j7>328k07b?;a;29 7>228><7c<74;3a?>i6<00;6)<75;373>h50=0:o65`15794?"50<0:8:5a29695a=<g89j6=4+2979511<f;2?6<k4;n31b?6=,;2>6<:8;o0;0?7a32e:984?:%0;1?72<2d9494?;:m216<72-8397?:4:l1<1<632e:9?4?:%0;1?72<2d9494=;:m214<72-8397?:4:l1<1<432e:9l4?:%0;1?7212d9494?;:m21=<72-8397?:9:l1<1<632e:9:4?:%0;1?7212d9494=;:m213<72-8397?:9:l1<1<432wii:;50;a1>5<7s-9;o7<ja:J07<=O;8;0V;l5czd955<5k38o6>;537803?5?2;o1>k4<9;1b>x"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i?4=7d9'5`6=:2.:i<4=;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=h:51978 4c2282>7)<jb;04a>"5mj09;h5a2gd9=>h489027)=?6;04a>"61m087)?6e;18 6472:;m7)==1;12b>h4:;027c==3;;8j44b291e=8>50:&040<60<1/?=652:&2e5<43-;j=7=4$0c1>6=#9h91?6*>a580?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*<0881?l0c2900e;k50;9j36<722c<87>5;h3;4?6=3`9;=7>5;h136?6=3`;3=7>5;h13e?6=3`9;n7>5;n4e>5<<g>:1<75f4b83>!4?=3>i7c<74;28?l2f290/>5;54c9m6=2=921b844?:%0;1?2e3g8387<4;h6;>5<#:1?18o5a29697>=n<>0;6)<75;6a?k4?<3>07d;::18'6=3=<k1e>5:55:9j11<72-8397:m;o0;0?0<3`?86=4+29790g=i:1>1;65f5383>!4?=3>i7c<74;:8?l36290/>5;54c9m6=2=121b9=4?:%0;1?2e3g8387o4;h6e>5<#:1?18o5a2969f>=n<l0;6)<75;6a?k4?<3i07d:k:18'6=3=<k1e>5:5d:9j03<72-8397:m;o0;0?c<3`?h6=4+29791g=i:1>1<65f5`83>!4?=3?i7c<74;38?l3>290/>5;55c9m6=2=:21b954?:%0;1?3e3g8387=4;h74>5<#:1?19o5a29690>=n><0;6)<75;7a?k4?<3?07d8;:18'6=3==k1e>5:56:9j26<72-8397;m;o0;0?1<3`<96=4+29791g=i:1>1465f6083>!4?=3?i7c<74;;8?l07290/>5;55c9m6=2=i21b9k4?:%0;1?3e3g8387l4;h7f>5<#:1?19o5a2969g>=n=m0;6)<75;7a?k4?<3n07d;9:18'6=3==k1e>5:5e:9jf2<72-8397l9;o0;0?6<3`h>6=4+2979f3=i:1>1=65fb283>!4?=3h=7c<74;08?ld5290/>5;5b79m6=2=;21bn<4?:%0;1?d13g8387:4;h`3>5<#:1?1n;5a29691>=nio0;6)<75;`5?k4?<3<07doj:18'6=3=j?1e>5:57:9jea<72-8397l9;o0;0?><3`kh6=4+2979f3=i:1>1565fac83>!4?=3h=7c<74;c8?lgf290/>5;5b79m6=2=j21bm54?:%0;1?d13g8387m4;hc4>5<#:1?1n;5a2969`>=ni?0;6)<75;`5?k4?<3o07do::18'6=3=j?1e>5:5f:9je1<72-8397l9;o0;0?7732cj?7>5$3:6>g0<f;2?6<?4;hc1>5<#:1?1n;5a296957=<ah;1<7*=848a2>h50=0:?65fa183>!4?=3h=7c<74;37?>o>n3:1(?6::c48j7>328?07dlj:18'6=3=j?1e>5:51798mgb=83.9484m6:l1<1<6?21bnn4?:%0;1?d13g8387?7;:kaf?6=,;2>6o84n3:7>4?<3`hj6=4+2979f3=i:1>1=l54ic;94?"50<0i:6`=8582f>=nj10;6)<75;`5?k4?<3;h76gm4;29 7>22k<0b?6;:0f8?lg>290/>5;5b79m6=2=9l10e4k50;&1<0<e>2d9494>f:9j`=<72-8397j8;o0;0?6<3`n=6=4+2979`2=i:1>1=65fd583>!4?=3n<7c<74;08?lb4290/>5;5d69m6=2=;21bh?4?:%0;1?b03g8387:4;hf2>5<#:1?1h:5a29691>=nl90;6)<75;f4?k4?<3<07dmi:18'6=3=l>1e>5:57:9jg`<72-8397j8;o0;0?><3`io6=4+2979`2=i:1>1565fcb83>!4?=3n<7c<74;c8?lee290/>5;5d69m6=2=j21bo44?:%0;1?b03g8387m4;ha;>5<#:1?1h:5a2969`>=nk>0;6)<75;f4?k4?<3o07dm9:18'6=3=l>1e>5:5f:9jg0<72-8397j8;o0;0?7732ch87>5$3:6>a1<f;2?6<?4;ha0>5<#:1?1h:5a296957=<aj81<7*=848g3>h50=0:?65fc083>!4?=3n<7c<74;37?>od83:1(?6::e58j7>328?07dji:18'6=3=l>1e>5:51798mac=83.9484k7:l1<1<6?21bhi4?:%0;1?b03g8387?7;:kgg?6=,;2>6i94n3:7>4?<3`ni6=4+2979`2=i:1>1=l54iec94?"50<0o;6`=8582f>=nl00;6)<75;f4?k4?<3;h76gk5;29 7>22m=0b?6;:0f8?lef290/>5;5d69m6=2=9l10eoh50;&1<0<c?2d9494>f:9j551=83.9484>079m6=2=821b==;50;&1<0<68?1e>5:51:9j552=83.9484>079m6=2=:21b===50;&1<0<68?1e>5:53:9j55e=83.9484>0c9m6=2=821b==o50;&1<0<68k1e>5:51:9j55?=83.9484>0c9m6=2=:21b==650;&1<0<68k1e>5:53:9j74?=83.9484<199m6=2=821b?<950;&1<0<4911e>5:51:9j740=83.9484<199m6=2=:21b?<;50;&1<0<4911e>5:53:9j74c=83.9484<1e9m6=2=821b?<m50;&1<0<49m1e>5:51:9j74d=83.9484<1e9m6=2=:21b?<o50;&1<0<49m1e>5:53:9l570=83.9484>249m6=2=821d=?:50;&1<0<6:<1e>5:51:9l574=83.9484>249m6=2=:21d=??50;&1<0<6:<1e>5:53:9l576=83.9484>249m6=2=<21d=<h50;&1<0<6:<1e>5:55:9l54c=83.9484>249m6=2=>21d=<j50;&1<0<6:<1e>5:57:9l54e=83.9484>249m6=2=021d=<l50;&1<0<6:<1e>5:59:9l54g=83.9484>249m6=2=i21d=<750;&1<0<6:<1e>5:5b:9l541=83.9484>249m6=2=k21d=<850;&1<0<6:<1e>5:5d:9l543=83.9484>249m6=2=m21d=<:50;&1<0<6:<1e>5:5f:9l545=83.9484>249m6=2=9910c<?=:18'6=3=9;?0b?6;:038?j7693:1(?6::006?k4?<3;976a>1183>!4?=3;996`=85827>=h99l1<7*=848260=i:1>1=954o02f>5<#:1?1=?;4n3:7>43<3f;9h7>5$3:6>4423g8387?9;:m26f<72-8397?=5:l1<1<6?21d=?l50;&1<0<6:<1e>5:51998k44f290/>5;51378j7>328307b?=9;29 7>2288>7c<74;3b?>i6:10;6)<75;311>h50=0:n65`13594?"50<0:>85a29695f=<g8886=4+2979573<f;2?6<j4;n32<?6=,;2>6<<:;o0;0?7b32e:<i4?:%0;1?75=2d9494>f:9l51>=83.9484>469m6=2=821d=9850;&1<0<6<>1e>5:51:9l512=83.9484>469m6=2=:21d=9=50;&1<0<6<>1e>5:53:9l514=83.9484>469m6=2=<21d=9?50;&1<0<6<>1e>5:55:9l516=83.9484>469m6=2=>21d=>h50;&1<0<6<>1e>5:57:9l56c=83.9484>469m6=2=021d=>j50;&1<0<6<>1e>5:59:9l56e=83.9484>469m6=2=i21d=>l50;&1<0<6<>1e>5:5b:9l56?=83.9484>469m6=2=k21d=>650;&1<0<6<>1e>5:5d:9l561=83.9484>469m6=2=m21d=>850;&1<0<6<>1e>5:5f:9l563=83.9484>469m6=2=9910c<=;:18'6=3=9==0b?6;:038?j74;3:1(?6::064?k4?<3;976a>3383>!4?=3;?;6`=85827>=h9:;1<7*=848202=i:1>1=954o013>5<#:1?1=994n3:7>43<3f;?j7>5$3:6>4203g8387?9;:m20`<72-8397?;7:l1<1<6?21d=9j50;&1<0<6<>1e>5:51998k42d290/>5;51558j7>328307b?;b;29 7>228><7c<74;3b?>i6<h0;6)<75;373>h50=0:n65`15;94?"50<0:8:5a29695f=<g8>>6=4+2979511<f;2?6<j4;n30e?6=,;2>6<:8;o0;0?7b32e:>k4?:%0;1?73?2d9494>f:9l503=83.9484>559m6=2=821d=8=50;&1<0<6==1e>5:51:9l504=83.9484>559m6=2=:21d=8?50;&1<0<6==1e>5:53:9l50g=83.9484>589m6=2=821d=8650;&1<0<6=01e>5:51:9l501=83.9484>589m6=2=:21d=8850;&1<0<6=01e>5:53:9~f`11290h>7>50z&04f<5mh1C?>74H232?_0e2jqm6<>52b81`?522:<1?:4<8;0f>7`=;008m7s+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`4=:>o0(<k?:39'5`7=:2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4c3282>7)?j5;3;1>"5mk09;h5+2da962c<f;lm645a3129=>"48?09;h5+18f97>"61l087)==0;12b>"4:808=k5a3309=>h4::027c?=e;28j437291/?=;51978 66?2;1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#;931>6g9d;29?l0b2900e:=50;9j31<722c:4=4?::k044<722c8<?4?::k2<4<722c8<l4?::k04g<722e=j7>5;n53>5<<a=i1<7*=8487f>h50=0;76g;a;29 7>22=h0b?6;:098m1?=83.9484;b:l1<1<532c?47>5$3:6>1d<f;2?6>54i5594?"50<0?n6`=8587?>o2=3:1(?6::5`8j7>32<10e8:50;&1<0<3j2d94949;:k67?6=,;2>69l4n3:7>2=<a<81<7*=8487f>h50=0376g:1;29 7>22=h0b?6;:898m06=83.9484;b:l1<1<f32c?j7>5$3:6>1d<f;2?6o54i5g94?"50<0?n6`=858`?>o3l3:1(?6::5`8j7>32m10e9850;&1<0<3j2d9494j;:k6g?6=,;2>68l4n3:7>5=<a<k1<7*=8486f>h50=0:76g:9;29 7>22<h0b?6;:398m0>=83.9484:b:l1<1<432c>;7>5$3:6>0d<f;2?6954i7794?"50<0>n6`=8586?>o1<3:1(?6::4`8j7>32?10e;=50;&1<0<2j2d94948;:k56?6=,;2>68l4n3:7>==<a?;1<7*=8486f>h50=0276g90;29 7>22<h0b?6;:`98m0`=83.9484:b:l1<1<e32c>i7>5$3:6>0d<f;2?6n54i4f94?"50<0>n6`=858g?>o2>3:1(?6::4`8j7>32l10eo950;&1<0<e>2d9494?;:ka1?6=,;2>6o84n3:7>4=<ak91<7*=848a2>h50=0976gm2;29 7>22k<0b?6;:298mg7=83.9484m6:l1<1<332ci<7>5$3:6>g0<f;2?6854i`d94?"50<0i:6`=8585?>ofm3:1(?6::c48j7>32>10elj50;&1<0<e>2d94947;:kbg?6=,;2>6o84n3:7><=<ahh1<7*=848a2>h50=0j76gna;29 7>22k<0b?6;:c98md>=83.9484m6:l1<1<d32cj;7>5$3:6>g0<f;2?6i54i`494?"50<0i:6`=858f?>of=3:1(?6::c48j7>32o10el:50;&1<0<e>2d9494>0:9je6<72-8397l9;o0;0?7632cj>7>5$3:6>g0<f;2?6<<4;hc2>5<#:1?1n;5a296956=<ah:1<7*=848a2>h50=0:865f9g83>!4?=3h=7c<74;36?>oem3:1(?6::c48j7>328<07dlk:18'6=3=j?1e>5:51698mge=83.9484m6:l1<1<6021bno4?:%0;1?d13g8387?6;:kae?6=,;2>6o84n3:7>4g<3`h26=4+2979f3=i:1>1=o54ic:94?"50<0i:6`=8582g>=nj=0;6)<75;`5?k4?<3;o76gn9;29 7>22k<0b?6;:0g8?l?b290/>5;5b79m6=2=9o10ei650;&1<0<c?2d9494?;:kg2?6=,;2>6i94n3:7>4=<am>1<7*=848g3>h50=0976gk3;29 7>22m=0b?6;:298ma4=83.9484k7:l1<1<332co=7>5$3:6>a1<f;2?6854ie294?"50<0o;6`=8585?>odn3:1(?6::e58j7>32>10enk50;&1<0<c?2d94947;:k``?6=,;2>6i94n3:7><=<aji1<7*=848g3>h50=0j76glb;29 7>22m=0b?6;:c98mf?=83.9484k7:l1<1<d32ch47>5$3:6>a1<f;2?6i54ib594?"50<0o;6`=858f?>od>3:1(?6::e58j7>32o10en;50;&1<0<c?2d9494>0:9jg1<72-8397j8;o0;0?7632ch?7>5$3:6>a1<f;2?6<<4;ha1>5<#:1?1h:5a296956=<aj;1<7*=848g3>h50=0:865fc183>!4?=3n<7c<74;36?>ocn3:1(?6::e58j7>328<07djj:18'6=3=l>1e>5:51698mab=83.9484k7:l1<1<6021bhn4?:%0;1?b03g8387?6;:kgf?6=,;2>6i94n3:7>4g<3`nj6=4+2979`2=i:1>1=o54ie;94?"50<0o;6`=8582g>=nl<0;6)<75;f4?k4?<3;o76gla;29 7>22m=0b?6;:0g8?lda290/>5;5d69m6=2=9o10e<>8:18'6=3=99<0b?6;:198m462290/>5;51148j7>32810e<>;:18'6=3=99<0b?6;:398m464290/>5;51148j7>32:10e<>l:18'6=3=99h0b?6;:198m46f290/>5;511`8j7>32810e<>6:18'6=3=99h0b?6;:398m46?290/>5;511`8j7>32:10e>?6:18'6=3=;820b?6;:198m670290/>5;530:8j7>32810e>?9:18'6=3=;820b?6;:398m672290/>5;530:8j7>32:10e>?j:18'6=3=;8n0b?6;:198m67d290/>5;530f8j7>32810e>?m:18'6=3=;8n0b?6;:398m67f290/>5;530f8j7>32:10c<<9:18'6=3=9;?0b?6;:198k443290/>5;51378j7>32810c<<=:18'6=3=9;?0b?6;:398k446290/>5;51378j7>32:10c<<?:18'6=3=9;?0b?6;:598k47a290/>5;51378j7>32<10c<?j:18'6=3=9;?0b?6;:798k47c290/>5;51378j7>32>10c<?l:18'6=3=9;?0b?6;:998k47e290/>5;51378j7>32010c<?n:18'6=3=9;?0b?6;:`98k47>290/>5;51378j7>32k10c<?8:18'6=3=9;?0b?6;:b98k471290/>5;51378j7>32m10c<?::18'6=3=9;?0b?6;:d98k473290/>5;51378j7>32o10c<?<:18'6=3=9;?0b?6;:028?j76:3:1(?6::006?k4?<3;:76a>1083>!4?=3;996`=85826>=h98:1<7*=848260=i:1>1=>54o02e>5<#:1?1=?;4n3:7>42<3f;;i7>5$3:6>4423g8387?:;:m26a<72-8397?=5:l1<1<6>21d=?m50;&1<0<6:<1e>5:51698k44e290/>5;51378j7>328207b?=a;29 7>2288>7c<74;3:?>i6:00;6)<75;311>h50=0:m65`13:94?"50<0:>85a29695g=<g88<6=4+2979573<f;2?6<m4;n317?6=,;2>6<<:;o0;0?7c32e:=54?:%0;1?75=2d9494>e:9l55b=83.9484>249m6=2=9o10c<:7:18'6=3=9==0b?6;:198k421290/>5;51558j7>32810c<:;:18'6=3=9==0b?6;:398k424290/>5;51558j7>32:10c<:=:18'6=3=9==0b?6;:598k426290/>5;51558j7>32<10c<:?:18'6=3=9==0b?6;:798k45a290/>5;51558j7>32>10c<=j:18'6=3=9==0b?6;:998k45c290/>5;51558j7>32010c<=l:18'6=3=9==0b?6;:`98k45e290/>5;51558j7>32k10c<=6:18'6=3=9==0b?6;:b98k45?290/>5;51558j7>32m10c<=8:18'6=3=9==0b?6;:d98k451290/>5;51558j7>32o10c<=::18'6=3=9==0b?6;:028?j74<3:1(?6::064?k4?<3;:76a>3283>!4?=3;?;6`=85826>=h9:81<7*=848202=i:1>1=>54o012>5<#:1?1=994n3:7>42<3f;8<7>5$3:6>4203g8387?:;:m20c<72-8397?;7:l1<1<6>21d=9k50;&1<0<6<>1e>5:51698k42c290/>5;51558j7>328207b?;c;29 7>228><7c<74;3:?>i6<k0;6)<75;373>h50=0:m65`15c94?"50<0:8:5a29695g=<g8>26=4+2979511<f;2?6<m4;n371?6=,;2>6<:8;o0;0?7c32e:?l4?:%0;1?73?2d9494>e:9l57`=83.9484>469m6=2=9o10c<;::18'6=3=9<>0b?6;:198k434290/>5;51468j7>32810c<;=:18'6=3=9<>0b?6;:398k436290/>5;51468j7>32:10c<;n:18'6=3=9<30b?6;:198k43?290/>5;514;8j7>32810c<;8:18'6=3=9<30b?6;:398k431290/>5;514;8j7>32:10qok88;29g7<729q/?=m52dc8L65>3A9:=6T9b;axb?772;i1>i4<5;15>61=;109i7<i:2;97d<z,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k=:35f?!7b8380(<k>:39'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?j4;3;1>"6m<0:485+2d`962c<,;oh6?9j;o0eb??<f::;645+314962c<,83o6>5+18g97>"4:908=k5+333974`<f:89645a3319=>h6:l0;7c?:0;28 662282>7)=?8;08 4g72:1/=l?53:&2e7<43-;j?7=4$0c7>6=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$22:>7=n>m0;66g9e;29?l142900e::50;9j5=6=831b?=?50;9j754=831b=5?50;9j75g=831b?=l50;9l2c<722e<<7>5;h6`>5<#:1?18o5a29694>=n<h0;6)<75;6a?k4?<3;07d:6:18'6=3=<k1e>5:52:9j0=<72-8397:m;o0;0?5<3`><6=4+29790g=i:1>1865f5483>!4?=3>i7c<74;78?l33290/>5;54c9m6=2=>21b9>4?:%0;1?2e3g838794;h71>5<#:1?18o5a2969<>=n=80;6)<75;6a?k4?<3307d;?:18'6=3=<k1e>5:5a:9j0c<72-8397:m;o0;0?d<3`>n6=4+29790g=i:1>1o65f4e83>!4?=3>i7c<74;f8?l21290/>5;54c9m6=2=m21b9n4?:%0;1?3e3g8387>4;h7b>5<#:1?19o5a29695>=n=00;6)<75;7a?k4?<3807d;7:18'6=3==k1e>5:53:9j12<72-8397;m;o0;0?2<3`<>6=4+29791g=i:1>1965f6583>!4?=3?i7c<74;48?l04290/>5;55c9m6=2=?21b:?4?:%0;1?3e3g838764;h42>5<#:1?19o5a2969=>=n>90;6)<75;7a?k4?<3k07d;i:18'6=3==k1e>5:5b:9j1`<72-8397;m;o0;0?e<3`?o6=4+29791g=i:1>1h65f5783>!4?=3?i7c<74;g8?ld0290/>5;5b79m6=2=821bn84?:%0;1?d13g8387?4;h`0>5<#:1?1n;5a29696>=nj;0;6)<75;`5?k4?<3907dl>:18'6=3=j?1e>5:54:9jf5<72-8397l9;o0;0?3<3`km6=4+2979f3=i:1>1:65fad83>!4?=3h=7c<74;58?lgc290/>5;5b79m6=2=021bmn4?:%0;1?d13g838774;hca>5<#:1?1n;5a2969e>=nih0;6)<75;`5?k4?<3h07do7:18'6=3=j?1e>5:5c:9je2<72-8397l9;o0;0?b<3`k=6=4+2979f3=i:1>1i65fa483>!4?=3h=7c<74;d8?lg3290/>5;5b79m6=2=9910el=50;&1<0<e>2d9494>1:9je7<72-8397l9;o0;0?7532cj=7>5$3:6>g0<f;2?6<=4;hc3>5<#:1?1n;5a296951=<a0l1<7*=848a2>h50=0:965fbd83>!4?=3h=7c<74;35?>oel3:1(?6::c48j7>328=07dll:18'6=3=j?1e>5:51998mgd=83.9484m6:l1<1<6121bnl4?:%0;1?d13g8387?n;:ka=?6=,;2>6o84n3:7>4d<3`h36=4+2979f3=i:1>1=n54ic694?"50<0i:6`=8582`>=ni00;6)<75;`5?k4?<3;n76g6e;29 7>22k<0b?6;:0d8?lb?290/>5;5d69m6=2=821bh;4?:%0;1?b03g8387?4;hf7>5<#:1?1h:5a29696>=nl:0;6)<75;f4?k4?<3907dj=:18'6=3=l>1e>5:54:9j`4<72-8397j8;o0;0?3<3`n;6=4+2979`2=i:1>1:65fcg83>!4?=3n<7c<74;58?leb290/>5;5d69m6=2=021boi4?:%0;1?b03g838774;ha`>5<#:1?1h:5a2969e>=nkk0;6)<75;f4?k4?<3h07dm6:18'6=3=l>1e>5:5c:9jg=<72-8397j8;o0;0?b<3`i<6=4+2979`2=i:1>1i65fc783>!4?=3n<7c<74;d8?le2290/>5;5d69m6=2=9910en:50;&1<0<c?2d9494>1:9jg6<72-8397j8;o0;0?7532ch>7>5$3:6>a1<f;2?6<=4;ha2>5<#:1?1h:5a296951=<aj:1<7*=848g3>h50=0:965fdg83>!4?=3n<7c<74;35?>ocm3:1(?6::e58j7>328=07djk:18'6=3=l>1e>5:51998mae=83.9484k7:l1<1<6121bho4?:%0;1?b03g8387?n;:kge?6=,;2>6i94n3:7>4d<3`n26=4+2979`2=i:1>1=n54ie794?"50<0o;6`=8582`>=nkh0;6)<75;f4?k4?<3;n76gmf;29 7>22m=0b?6;:0d8?l77?3:1(?6::025?k4?<3:07d??5;29 7>228:=7c<74;38?l77<3:1(?6::025?k4?<3807d??3;29 7>228:=7c<74;18?l77k3:1(?6::02a?k4?<3:07d??a;29 7>228:i7c<74;38?l7713:1(?6::02a?k4?<3807d??8;29 7>228:i7c<74;18?l5613:1(?6::23;?k4?<3:07d=>7;29 7>22:;37c<74;38?l56>3:1(?6::23;?k4?<3807d=>5;29 7>22:;37c<74;18?l56m3:1(?6::23g?k4?<3:07d=>c;29 7>22:;o7c<74;38?l56j3:1(?6::23g?k4?<3807d=>a;29 7>22:;o7c<74;18?j75>3:1(?6::006?k4?<3:07b?=4;29 7>2288>7c<74;38?j75:3:1(?6::006?k4?<3807b?=1;29 7>2288>7c<74;18?j7583:1(?6::006?k4?<3>07b?>f;29 7>2288>7c<74;78?j76m3:1(?6::006?k4?<3<07b?>d;29 7>2288>7c<74;58?j76k3:1(?6::006?k4?<3207b?>b;29 7>2288>7c<74;;8?j76i3:1(?6::006?k4?<3k07b?>9;29 7>2288>7c<74;`8?j76?3:1(?6::006?k4?<3i07b?>6;29 7>2288>7c<74;f8?j76=3:1(?6::006?k4?<3o07b?>4;29 7>2288>7c<74;d8?j76;3:1(?6::006?k4?<3;;76a>1383>!4?=3;996`=85825>=h98;1<7*=848260=i:1>1=?54o033>5<#:1?1=?;4n3:7>45<3f;;j7>5$3:6>4423g8387?;;:m24`<72-8397?=5:l1<1<6=21d=?j50;&1<0<6:<1e>5:51798k44d290/>5;51378j7>328=07b?=b;29 7>2288>7c<74;3;?>i6:h0;6)<75;311>h50=0:565`13;94?"50<0:>85a29695d=<g8836=4+2979573<f;2?6<l4;n313?6=,;2>6<<:;o0;0?7d32e:>>4?:%0;1?75=2d9494>d:9l54>=83.9484>249m6=2=9l10c<>k:18'6=3=9;?0b?6;:0d8?j7303:1(?6::064?k4?<3:07b?;6;29 7>228><7c<74;38?j73<3:1(?6::064?k4?<3807b?;3;29 7>228><7c<74;18?j73:3:1(?6::064?k4?<3>07b?;1;29 7>228><7c<74;78?j7383:1(?6::064?k4?<3<07b?<f;29 7>228><7c<74;58?j74m3:1(?6::064?k4?<3207b?<d;29 7>228><7c<74;;8?j74k3:1(?6::064?k4?<3k07b?<b;29 7>228><7c<74;`8?j7413:1(?6::064?k4?<3i07b?<8;29 7>228><7c<74;f8?j74?3:1(?6::064?k4?<3o07b?<6;29 7>228><7c<74;d8?j74=3:1(?6::064?k4?<3;;76a>3583>!4?=3;?;6`=85825>=h9:91<7*=848202=i:1>1=?54o011>5<#:1?1=994n3:7>45<3f;8=7>5$3:6>4203g8387?;;:m275<72-8397?;7:l1<1<6=21d=9h50;&1<0<6<>1e>5:51798k42b290/>5;51558j7>328=07b?;d;29 7>228><7c<74;3;?>i6<j0;6)<75;373>h50=0:565`15`94?"50<0:8:5a29695d=<g8>j6=4+2979511<f;2?6<l4;n37=?6=,;2>6<:8;o0;0?7d32e:884?:%0;1?73?2d9494>d:9l56g=83.9484>469m6=2=9l10c<<i:18'6=3=9==0b?6;:0d8?j72=3:1(?6::077?k4?<3:07b?:3;29 7>228??7c<74;38?j72:3:1(?6::077?k4?<3807b?:1;29 7>228??7c<74;18?j72i3:1(?6::07:?k4?<3:07b?:8;29 7>228?27c<74;38?j72?3:1(?6::07:?k4?<3807b?:6;29 7>228?27c<74;18?xdb?00;6n<50;2x 66d2;oj7E=<9:J054=]>k0hwk4>0;0`>7b=;<08:7=8:2:96`<5n3926>o5}%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b:38<i6*>e181?!7b9380(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"6m=0:485+1d795=3<,;oi6?9j;%0fg?40m2d9jk46;o134??<,::=6?9j;%3:`?5<,83n6>5+332974`<,:8:6>?i;o116??<f:88645a13g94>h6=90;7)=?5;3;1>"481097)?n0;18 4g62:1/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-9;57<4i7f94?=n>l0;66g83;29?l132900e<6?:188m6662900e>>=:188m4>62900e>>n:188m66e2900c;h50;9l35<722c?o7>5$3:6>1d<f;2?6=54i5c94?"50<0?n6`=8582?>o313:1(?6::5`8j7>32;10e9650;&1<0<3j2d9494<;:k73?6=,;2>69l4n3:7>1=<a<?1<7*=8487f>h50=0>76g:4;29 7>22=h0b?6;:798m05=83.9484;b:l1<1<032c>>7>5$3:6>1d<f;2?6554i4394?"50<0?n6`=858:?>o283:1(?6::5`8j7>32h10e9h50;&1<0<3j2d9494m;:k7a?6=,;2>69l4n3:7>f=<a=n1<7*=8487f>h50=0o76g;6;29 7>22=h0b?6;:d98m0e=83.9484:b:l1<1<732c>m7>5$3:6>0d<f;2?6<54i4;94?"50<0>n6`=8581?>o203:1(?6::4`8j7>32:10e8950;&1<0<2j2d9494;;:k51?6=,;2>68l4n3:7>0=<a?>1<7*=8486f>h50=0=76g93;29 7>22<h0b?6;:698m34=83.9484:b:l1<1<?32c==7>5$3:6>0d<f;2?6454i7294?"50<0>n6`=858b?>o2n3:1(?6::4`8j7>32k10e8k50;&1<0<2j2d9494l;:k6`?6=,;2>68l4n3:7>a=<a<<1<7*=8486f>h50=0n76gm7;29 7>22k<0b?6;:198mg3=83.9484m6:l1<1<632ci?7>5$3:6>g0<f;2?6?54ic094?"50<0i:6`=8580?>oe93:1(?6::c48j7>32=10eo>50;&1<0<e>2d9494:;:kbb?6=,;2>6o84n3:7>3=<aho1<7*=848a2>h50=0<76gnd;29 7>22k<0b?6;:998mde=83.9484m6:l1<1<>32cjn7>5$3:6>g0<f;2?6l54i`c94?"50<0i:6`=858a?>of03:1(?6::c48j7>32j10el950;&1<0<e>2d9494k;:kb2?6=,;2>6o84n3:7>`=<ah?1<7*=848a2>h50=0m76gn4;29 7>22k<0b?6;:028?lg4290/>5;5b79m6=2=9810el<50;&1<0<e>2d9494>2:9je4<72-8397l9;o0;0?7432cj<7>5$3:6>g0<f;2?6<:4;h;e>5<#:1?1n;5a296950=<ako1<7*=848a2>h50=0::65fbe83>!4?=3h=7c<74;34?>oek3:1(?6::c48j7>328207dlm:18'6=3=j?1e>5:51898mgg=83.9484m6:l1<1<6i21bn44?:%0;1?d13g8387?m;:ka<?6=,;2>6o84n3:7>4e<3`h?6=4+2979f3=i:1>1=i54i`;94?"50<0i:6`=8582a>=n1l0;6)<75;`5?k4?<3;m76gk8;29 7>22m=0b?6;:198ma0=83.9484k7:l1<1<632co87>5$3:6>a1<f;2?6?54ie194?"50<0o;6`=8580?>oc:3:1(?6::e58j7>32=10ei?50;&1<0<c?2d9494:;:kg4?6=,;2>6i94n3:7>3=<ajl1<7*=848g3>h50=0<76gle;29 7>22m=0b?6;:998mfb=83.9484k7:l1<1<>32cho7>5$3:6>a1<f;2?6l54ib`94?"50<0o;6`=858a?>od13:1(?6::e58j7>32j10en650;&1<0<c?2d9494k;:k`3?6=,;2>6i94n3:7>`=<aj<1<7*=848g3>h50=0m76gl5;29 7>22m=0b?6;:028?le3290/>5;5d69m6=2=9810en=50;&1<0<c?2d9494>2:9jg7<72-8397j8;o0;0?7432ch=7>5$3:6>a1<f;2?6<:4;ha3>5<#:1?1h:5a296950=<aml1<7*=848g3>h50=0::65fdd83>!4?=3n<7c<74;34?>ocl3:1(?6::e58j7>328207djl:18'6=3=l>1e>5:51898mad=83.9484k7:l1<1<6i21bhl4?:%0;1?b03g8387?m;:kg=?6=,;2>6i94n3:7>4e<3`n>6=4+2979`2=i:1>1=i54ibc94?"50<0o;6`=8582a>=njo0;6)<75;f4?k4?<3;m76g>0683>!4?=3;;:6`=8583?>o68<0;6)<75;332>h50=0:76g>0583>!4?=3;;:6`=8581?>o68:0;6)<75;332>h50=0876g>0b83>!4?=3;;n6`=8583?>o68h0;6)<75;33f>h50=0:76g>0883>!4?=3;;n6`=8581?>o6810;6)<75;33f>h50=0876g<1883>!4?=39:46`=8583?>o49>0;6)<75;12<>h50=0:76g<1783>!4?=39:46`=8581?>o49<0;6)<75;12<>h50=0876g<1d83>!4?=39:h6`=8583?>o49j0;6)<75;12`>h50=0:76g<1c83>!4?=39:h6`=8581?>o49h0;6)<75;12`>h50=0876a>2783>!4?=3;996`=8583?>i6:=0;6)<75;311>h50=0:76a>2383>!4?=3;996`=8581?>i6:80;6)<75;311>h50=0876a>2183>!4?=3;996`=8587?>i69o0;6)<75;311>h50=0>76a>1d83>!4?=3;996`=8585?>i69m0;6)<75;311>h50=0<76a>1b83>!4?=3;996`=858;?>i69k0;6)<75;311>h50=0276a>1`83>!4?=3;996`=858b?>i6900;6)<75;311>h50=0i76a>1683>!4?=3;996`=858`?>i69?0;6)<75;311>h50=0o76a>1483>!4?=3;996`=858f?>i69=0;6)<75;311>h50=0m76a>1283>!4?=3;996`=85824>=h9881<7*=848260=i:1>1=<54o032>5<#:1?1=?;4n3:7>44<3f;:<7>5$3:6>4423g8387?<;:m24c<72-8397?=5:l1<1<6<21d==k50;&1<0<6:<1e>5:51498k44c290/>5;51378j7>328<07b?=c;29 7>2288>7c<74;34?>i6:k0;6)<75;311>h50=0:465`13c94?"50<0:>85a29695<=<g8826=4+2979573<f;2?6<o4;n31<?6=,;2>6<<:;o0;0?7e32e:>:4?:%0;1?75=2d9494>c:9l575=83.9484>249m6=2=9m10c<?7:18'6=3=9;?0b?6;:0g8?j77l3:1(?6::006?k4?<3;m76a>4983>!4?=3;?;6`=8583?>i6<?0;6)<75;373>h50=0:76a>4583>!4?=3;?;6`=8581?>i6<:0;6)<75;373>h50=0876a>4383>!4?=3;?;6`=8587?>i6<80;6)<75;373>h50=0>76a>4183>!4?=3;?;6`=8585?>i6;o0;6)<75;373>h50=0<76a>3d83>!4?=3;?;6`=858;?>i6;m0;6)<75;373>h50=0276a>3b83>!4?=3;?;6`=858b?>i6;k0;6)<75;373>h50=0i76a>3883>!4?=3;?;6`=858`?>i6;10;6)<75;373>h50=0o76a>3683>!4?=3;?;6`=858f?>i6;?0;6)<75;373>h50=0m76a>3483>!4?=3;?;6`=85824>=h9:>1<7*=848202=i:1>1=<54o010>5<#:1?1=994n3:7>44<3f;8>7>5$3:6>4203g8387?<;:m274<72-8397?;7:l1<1<6<21d=>>50;&1<0<6<>1e>5:51498k42a290/>5;51558j7>328<07b?;e;29 7>228><7c<74;34?>i6<m0;6)<75;373>h50=0:465`15a94?"50<0:8:5a29695<=<g8>i6=4+2979511<f;2?6<o4;n37e?6=,;2>6<:8;o0;0?7e32e:844?:%0;1?73?2d9494>c:9l513=83.9484>469m6=2=9m10c<=n:18'6=3=9==0b?6;:0g8?j75n3:1(?6::064?k4?<3;m76a>5483>!4?=3;>86`=8583?>i6=:0;6)<75;360>h50=0:76a>5383>!4?=3;>86`=8581?>i6=80;6)<75;360>h50=0876a>5`83>!4?=3;>56`=8583?>i6=10;6)<75;36=>h50=0:76a>5683>!4?=3;>56`=8581?>i6=?0;6)<75;36=>h50=0876sme6c94?e5290;w)=?c;0fe>N4;01C?<?4Z7`9g~`=9909o7<k:27973<4?3936?k52g80=?5f2t.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e3813`=#9l:1>6*>e081?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+1d695=3<,8o>6<6:;%0ff?40m2.9in4=7d9m6c`=12d8<=46;%132?40m2.:5i4<;%3:a?5<,:8;6>?i;%115?56n2d8>?46;o117??<f88n6=5a14294>"48<0:485+31:96>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&04<<53`<o6=44i7g94?=n?:0;66g84;29?l7?83:17d=?1;29?l57:3:17d?71;29?l57i3:17d=?b;29?j0a2900c:>50;9j0f<72-8397:m;o0;0?6<3`>j6=4+29790g=i:1>1=65f4883>!4?=3>i7c<74;08?l2?290/>5;54c9m6=2=;21b8:4?:%0;1?2e3g8387:4;h76>5<#:1?18o5a29691>=n==0;6)<75;6a?k4?<3<07d;<:18'6=3=<k1e>5:57:9j17<72-8397:m;o0;0?><3`?:6=4+29790g=i:1>1565f5183>!4?=3>i7c<74;c8?l2a290/>5;54c9m6=2=j21b8h4?:%0;1?2e3g8387m4;h6g>5<#:1?18o5a2969`>=n<?0;6)<75;6a?k4?<3o07d;l:18'6=3==k1e>5:50:9j1d<72-8397;m;o0;0?7<3`?26=4+29791g=i:1>1>65f5983>!4?=3?i7c<74;18?l30290/>5;55c9m6=2=<21b:84?:%0;1?3e3g8387;4;h47>5<#:1?19o5a29692>=n>:0;6)<75;7a?k4?<3=07d8=:18'6=3==k1e>5:58:9j24<72-8397;m;o0;0??<3`<;6=4+29791g=i:1>1m65f5g83>!4?=3?i7c<74;`8?l3b290/>5;55c9m6=2=k21b9i4?:%0;1?3e3g8387j4;h75>5<#:1?19o5a2969a>=nj>0;6)<75;`5?k4?<3:07dl::18'6=3=j?1e>5:51:9jf6<72-8397l9;o0;0?4<3`h96=4+2979f3=i:1>1?65fb083>!4?=3h=7c<74;68?ld7290/>5;5b79m6=2==21bmk4?:%0;1?d13g838784;hcf>5<#:1?1n;5a29693>=nim0;6)<75;`5?k4?<3207dol:18'6=3=j?1e>5:59:9jeg<72-8397l9;o0;0?g<3`kj6=4+2979f3=i:1>1n65fa983>!4?=3h=7c<74;a8?lg0290/>5;5b79m6=2=l21bm;4?:%0;1?d13g8387k4;hc6>5<#:1?1n;5a2969b>=ni=0;6)<75;`5?k4?<3;;76gn3;29 7>22k<0b?6;:038?lg5290/>5;5b79m6=2=9;10el?50;&1<0<e>2d9494>3:9je5<72-8397l9;o0;0?7332c2j7>5$3:6>g0<f;2?6<;4;h`f>5<#:1?1n;5a296953=<akn1<7*=848a2>h50=0:;65fbb83>!4?=3h=7c<74;3;?>oej3:1(?6::c48j7>328307dln:18'6=3=j?1e>5:51`98mg?=83.9484m6:l1<1<6j21bn54?:%0;1?d13g8387?l;:ka0?6=,;2>6o84n3:7>4b<3`k26=4+2979f3=i:1>1=h54i8g94?"50<0i:6`=8582b>=nl10;6)<75;f4?k4?<3:07dj9:18'6=3=l>1e>5:51:9j`1<72-8397j8;o0;0?4<3`n86=4+2979`2=i:1>1?65fd383>!4?=3n<7c<74;68?lb6290/>5;5d69m6=2==21bh=4?:%0;1?b03g838784;hae>5<#:1?1h:5a29693>=nkl0;6)<75;f4?k4?<3207dmk:18'6=3=l>1e>5:59:9jgf<72-8397j8;o0;0?g<3`ii6=4+2979`2=i:1>1n65fc883>!4?=3n<7c<74;a8?le?290/>5;5d69m6=2=l21bo:4?:%0;1?b03g8387k4;ha5>5<#:1?1h:5a2969b>=nk<0;6)<75;f4?k4?<3;;76gl4;29 7>22m=0b?6;:038?le4290/>5;5d69m6=2=9;10en<50;&1<0<c?2d9494>3:9jg4<72-8397j8;o0;0?7332ch<7>5$3:6>a1<f;2?6<;4;hfe>5<#:1?1h:5a296953=<amo1<7*=848g3>h50=0:;65fde83>!4?=3n<7c<74;3;?>ock3:1(?6::e58j7>328307djm:18'6=3=l>1e>5:51`98mag=83.9484k7:l1<1<6j21bh44?:%0;1?b03g8387?l;:kg1?6=,;2>6i94n3:7>4b<3`ij6=4+2979`2=i:1>1=h54icd94?"50<0o;6`=8582b>=n99=1<7*=848243=i:1>1<65f11794?"50<0:<;5a29695>=n99>1<7*=848243=i:1>1>65f11194?"50<0:<;5a29697>=n99i1<7*=84824g=i:1>1<65f11c94?"50<0:<o5a29695>=n9931<7*=84824g=i:1>1>65f11:94?"50<0:<o5a29697>=n;831<7*=84805==i:1>1<65f30594?"50<08=55a29695>=n;8<1<7*=84805==i:1>1>65f30794?"50<08=55a29697>=n;8o1<7*=84805a=i:1>1<65f30a94?"50<08=i5a29695>=n;8h1<7*=84805a=i:1>1>65f30c94?"50<08=i5a29697>=h9;<1<7*=848260=i:1>1<65`13694?"50<0:>85a29695>=h9;81<7*=848260=i:1>1>65`13394?"50<0:>85a29697>=h9;:1<7*=848260=i:1>1865`10d94?"50<0:>85a29691>=h98o1<7*=848260=i:1>1:65`10f94?"50<0:>85a29693>=h98i1<7*=848260=i:1>1465`10`94?"50<0:>85a2969=>=h98k1<7*=848260=i:1>1m65`10;94?"50<0:>85a2969f>=h98=1<7*=848260=i:1>1o65`10494?"50<0:>85a2969`>=h98?1<7*=848260=i:1>1i65`10694?"50<0:>85a2969b>=h9891<7*=848260=i:1>1==54o031>5<#:1?1=?;4n3:7>47<3f;:=7>5$3:6>4423g8387?=;:m255<72-8397?=5:l1<1<6;21d==h50;&1<0<6:<1e>5:51598k46b290/>5;51378j7>328?07b?=d;29 7>2288>7c<74;35?>i6:j0;6)<75;311>h50=0:;65`13`94?"50<0:>85a29695==<g88j6=4+2979573<f;2?6<74;n31=?6=,;2>6<<:;o0;0?7f32e:>54?:%0;1?75=2d9494>b:9l571=83.9484>249m6=2=9j10c<<<:18'6=3=9;?0b?6;:0f8?j7603:1(?6::006?k4?<3;n76a>0e83>!4?=3;996`=8582b>=h9=21<7*=848202=i:1>1<65`15494?"50<0:8:5a29695>=h9=>1<7*=848202=i:1>1>65`15194?"50<0:8:5a29697>=h9=81<7*=848202=i:1>1865`15394?"50<0:8:5a29691>=h9=:1<7*=848202=i:1>1:65`12d94?"50<0:8:5a29693>=h9:o1<7*=848202=i:1>1465`12f94?"50<0:8:5a2969=>=h9:i1<7*=848202=i:1>1m65`12`94?"50<0:8:5a2969f>=h9:31<7*=848202=i:1>1o65`12:94?"50<0:8:5a2969`>=h9:=1<7*=848202=i:1>1i65`12494?"50<0:8:5a2969b>=h9:?1<7*=848202=i:1>1==54o017>5<#:1?1=994n3:7>47<3f;8?7>5$3:6>4203g8387?=;:m277<72-8397?;7:l1<1<6;21d=>?50;&1<0<6<>1e>5:51598k457290/>5;51558j7>328?07b?;f;29 7>228><7c<74;35?>i6<l0;6)<75;373>h50=0:;65`15f94?"50<0:8:5a29695==<g8>h6=4+2979511<f;2?6<74;n37f?6=,;2>6<:8;o0;0?7f32e:8l4?:%0;1?73?2d9494>b:9l51?=83.9484>469m6=2=9j10c<:::18'6=3=9==0b?6;:0f8?j74i3:1(?6::064?k4?<3;n76a>2g83>!4?=3;?;6`=8582b>=h9<?1<7*=848211=i:1>1<65`14194?"50<0:995a29695>=h9<81<7*=848211=i:1>1>65`14394?"50<0:995a29697>=h9<k1<7*=84821<=i:1>1<65`14:94?"50<0:945a29695>=h9<=1<7*=84821<=i:1>1>65`14494?"50<0:945a29697>=zjl=i6=4l2;294~"48j09il5G32;8L6763S<i6nui:0296f<5l39>6>853680<?4b2;l1?44<a;\7f'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l81>:k4$0g3>7=#9l;1>6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,8o?6<6:;%3f1?7?=2.9io4=7d9'6`e=:>o0b?hi:89m756=12.8<;4=7d9'5<b=;2.:5h4<;%114?56n2.8><4<1g9m774=12d8>>46;o31a?6<f8?;6=5+31795=3<,::36?5+1`297>"6i8087)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/?=752:k5`?6=3`<n6=44i6194?=n?=0;66g>8183>>o4880;66g<0383>>o6080;66g<0`83>>o48k0;66a9f;29?j172900e9m50;&1<0<3j2d9494?;:k7e?6=,;2>69l4n3:7>4=<a=31<7*=8487f>h50=0976g;8;29 7>22=h0b?6;:298m11=83.9484;b:l1<1<332c>97>5$3:6>1d<f;2?6854i4694?"50<0?n6`=8585?>o2;3:1(?6::5`8j7>32>10e8<50;&1<0<3j2d94947;:k65?6=,;2>69l4n3:7><=<a<:1<7*=8487f>h50=0j76g;f;29 7>22=h0b?6;:c98m1c=83.9484;b:l1<1<d32c?h7>5$3:6>1d<f;2?6i54i5494?"50<0?n6`=858f?>o2k3:1(?6::4`8j7>32910e8o50;&1<0<2j2d9494>;:k6=?6=,;2>68l4n3:7>7=<a<21<7*=8486f>h50=0876g:7;29 7>22<h0b?6;:598m33=83.9484:b:l1<1<232c=87>5$3:6>0d<f;2?6;54i7194?"50<0>n6`=8584?>o1:3:1(?6::4`8j7>32110e;?50;&1<0<2j2d94946;:k54?6=,;2>68l4n3:7>d=<a<l1<7*=8486f>h50=0i76g:e;29 7>22<h0b?6;:b98m0b=83.9484:b:l1<1<c32c>:7>5$3:6>0d<f;2?6h54ic594?"50<0i:6`=8583?>oe=3:1(?6::c48j7>32810eo=50;&1<0<e>2d9494=;:ka6?6=,;2>6o84n3:7>6=<ak;1<7*=848a2>h50=0?76gm0;29 7>22k<0b?6;:498md`=83.9484m6:l1<1<132cji7>5$3:6>g0<f;2?6:54i`f94?"50<0i:6`=858;?>ofk3:1(?6::c48j7>32010ell50;&1<0<e>2d9494n;:kbe?6=,;2>6o84n3:7>g=<ah21<7*=848a2>h50=0h76gn7;29 7>22k<0b?6;:e98md0=83.9484m6:l1<1<b32cj97>5$3:6>g0<f;2?6k54i`694?"50<0i:6`=85824>=ni:0;6)<75;`5?k4?<3;:76gn2;29 7>22k<0b?6;:008?lg6290/>5;5b79m6=2=9:10el>50;&1<0<e>2d9494>4:9j=c<72-8397l9;o0;0?7232cii7>5$3:6>g0<f;2?6<84;h`g>5<#:1?1n;5a296952=<aki1<7*=848a2>h50=0:465fbc83>!4?=3h=7c<74;3:?>oei3:1(?6::c48j7>328k07dl6:18'6=3=j?1e>5:51c98mg>=83.9484m6:l1<1<6k21bn94?:%0;1?d13g8387?k;:kb=?6=,;2>6o84n3:7>4c<3`3n6=4+2979f3=i:1>1=k54ie:94?"50<0o;6`=8583?>oc>3:1(?6::e58j7>32810ei:50;&1<0<c?2d9494=;:kg7?6=,;2>6i94n3:7>6=<am81<7*=848g3>h50=0?76gk1;29 7>22m=0b?6;:498ma6=83.9484k7:l1<1<132chj7>5$3:6>a1<f;2?6:54ibg94?"50<0o;6`=858;?>odl3:1(?6::e58j7>32010enm50;&1<0<c?2d9494n;:k`f?6=,;2>6i94n3:7>g=<aj31<7*=848g3>h50=0h76gl8;29 7>22m=0b?6;:e98mf1=83.9484k7:l1<1<b32ch:7>5$3:6>a1<f;2?6k54ib794?"50<0o;6`=85824>=nk=0;6)<75;f4?k4?<3;:76gl3;29 7>22m=0b?6;:008?le5290/>5;5d69m6=2=9:10en?50;&1<0<c?2d9494>4:9jg5<72-8397j8;o0;0?7232coj7>5$3:6>a1<f;2?6<84;hff>5<#:1?1h:5a296952=<amn1<7*=848g3>h50=0:465fdb83>!4?=3n<7c<74;3:?>ocj3:1(?6::e58j7>328k07djn:18'6=3=l>1e>5:51c98ma?=83.9484k7:l1<1<6k21bh84?:%0;1?b03g8387?k;:k`e?6=,;2>6i94n3:7>4c<3`hm6=4+2979`2=i:1>1=k54i024>5<#:1?1==84n3:7>5=<a8:>6=4+2979550<f;2?6<54i027>5<#:1?1==84n3:7>7=<a8:86=4+2979550<f;2?6>54i02`>5<#:1?1==l4n3:7>5=<a8:j6=4+297955d<f;2?6<54i02:>5<#:1?1==l4n3:7>7=<a8:36=4+297955d<f;2?6>54i23:>5<#:1?1?<64n3:7>5=<a:;<6=4+297974><f;2?6<54i235>5<#:1?1?<64n3:7>7=<a:;>6=4+297974><f;2?6>54i23f>5<#:1?1?<j4n3:7>5=<a:;h6=4+297974b<f;2?6<54i23a>5<#:1?1?<j4n3:7>7=<a:;j6=4+297974b<f;2?6>54o005>5<#:1?1=?;4n3:7>5=<g88?6=4+2979573<f;2?6<54o001>5<#:1?1=?;4n3:7>7=<g88:6=4+2979573<f;2?6>54o003>5<#:1?1=?;4n3:7>1=<g8;m6=4+2979573<f;2?6854o03f>5<#:1?1=?;4n3:7>3=<g8;o6=4+2979573<f;2?6:54o03`>5<#:1?1=?;4n3:7>==<g8;i6=4+2979573<f;2?6454o03b>5<#:1?1=?;4n3:7>d=<g8;26=4+2979573<f;2?6o54o034>5<#:1?1=?;4n3:7>f=<g8;=6=4+2979573<f;2?6i54o036>5<#:1?1=?;4n3:7>`=<g8;?6=4+2979573<f;2?6k54o030>5<#:1?1=?;4n3:7>46<3f;:>7>5$3:6>4423g8387?>;:m254<72-8397?=5:l1<1<6:21d=<>50;&1<0<6:<1e>5:51298k46a290/>5;51378j7>328>07b??e;29 7>2288>7c<74;36?>i6:m0;6)<75;311>h50=0::65`13a94?"50<0:>85a296952=<g88i6=4+2979573<f;2?6<64;n31e?6=,;2>6<<:;o0;0?7>32e:>44?:%0;1?75=2d9494>a:9l57>=83.9484>249m6=2=9k10c<<8:18'6=3=9;?0b?6;:0a8?j75;3:1(?6::006?k4?<3;o76a>1983>!4?=3;996`=8582a>=h99n1<7*=848260=i:1>1=k54o06;>5<#:1?1=994n3:7>5=<g8>=6=4+2979511<f;2?6<54o067>5<#:1?1=994n3:7>7=<g8>86=4+2979511<f;2?6>54o061>5<#:1?1=994n3:7>1=<g8>:6=4+2979511<f;2?6854o063>5<#:1?1=994n3:7>3=<g89m6=4+2979511<f;2?6:54o01f>5<#:1?1=994n3:7>==<g89o6=4+2979511<f;2?6454o01`>5<#:1?1=994n3:7>d=<g89i6=4+2979511<f;2?6o54o01:>5<#:1?1=994n3:7>f=<g8936=4+2979511<f;2?6i54o014>5<#:1?1=994n3:7>`=<g89=6=4+2979511<f;2?6k54o016>5<#:1?1=994n3:7>46<3f;887>5$3:6>4203g8387?>;:m276<72-8397?;7:l1<1<6:21d=><50;&1<0<6<>1e>5:51298k456290/>5;51558j7>328>07b?<0;29 7>228><7c<74;36?>i6<o0;6)<75;373>h50=0::65`15g94?"50<0:8:5a296952=<g8>o6=4+2979511<f;2?6<64;n37g?6=,;2>6<:8;o0;0?7>32e:8o4?:%0;1?73?2d9494>a:9l51g=83.9484>469m6=2=9k10c<:6:18'6=3=9==0b?6;:0a8?j73=3:1(?6::064?k4?<3;o76a>3`83>!4?=3;?;6`=8582a>=h9;l1<7*=848202=i:1>1=k54o076>5<#:1?1=8:4n3:7>5=<g8?86=4+2979502<f;2?6<54o071>5<#:1?1=8:4n3:7>7=<g8?:6=4+2979502<f;2?6>54o07b>5<#:1?1=874n3:7>5=<g8?36=4+297950?<f;2?6<54o074>5<#:1?1=874n3:7>7=<g8?=6=4+297950?<f;2?6>54}cg4g?6=k;0;6=u+31a96`g<@:927E=>1:X5f?e|n3;;6?m52e801?512:=1?54=e;0e>6?=;h0v(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g1>71b3-;n<7<4$0g2>7=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3f0?7?=2.:i84>849'6`d=:>o0(?kl:35f?k4an330b>>?:89'750=:>o0(<7k:29'5<c=;2.8>=4<1g9'777=;8l0b><=:89m775=12d:>h4?;o364?6<,::>6<6:;%13<?4<,8k;6>5+1`397>"6i;087)?n3;18 4g32:1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 66>2;1b:i4?::k5a?6=3`=86=44i6694?=n91:1<75f31394?=n;981<75f19394?=n;9k1<75f31`94?=h>o0;66a80;29?l2d290/>5;54c9m6=2=821b8l4?:%0;1?2e3g8387?4;h6:>5<#:1?18o5a29696>=n<10;6)<75;6a?k4?<3907d:8:18'6=3=<k1e>5:54:9j10<72-8397:m;o0;0?3<3`??6=4+29790g=i:1>1:65f5283>!4?=3>i7c<74;58?l35290/>5;54c9m6=2=021b9<4?:%0;1?2e3g838774;h73>5<#:1?18o5a2969e>=n<o0;6)<75;6a?k4?<3h07d:j:18'6=3=<k1e>5:5c:9j0a<72-8397:m;o0;0?b<3`>=6=4+29790g=i:1>1i65f5b83>!4?=3?i7c<74;28?l3f290/>5;55c9m6=2=921b944?:%0;1?3e3g8387<4;h7;>5<#:1?19o5a29697>=n=>0;6)<75;7a?k4?<3>07d8::18'6=3==k1e>5:55:9j21<72-8397;m;o0;0?0<3`<86=4+29791g=i:1>1;65f6383>!4?=3?i7c<74;:8?l06290/>5;55c9m6=2=121b:=4?:%0;1?3e3g8387o4;h7e>5<#:1?19o5a2969f>=n=l0;6)<75;7a?k4?<3i07d;k:18'6=3==k1e>5:5d:9j13<72-8397;m;o0;0?c<3`h<6=4+2979f3=i:1>1<65fb483>!4?=3h=7c<74;38?ld4290/>5;5b79m6=2=:21bn?4?:%0;1?d13g8387=4;h`2>5<#:1?1n;5a29690>=nj90;6)<75;`5?k4?<3?07doi:18'6=3=j?1e>5:56:9je`<72-8397l9;o0;0?1<3`ko6=4+2979f3=i:1>1465fab83>!4?=3h=7c<74;;8?lge290/>5;5b79m6=2=i21bml4?:%0;1?d13g8387l4;hc;>5<#:1?1n;5a2969g>=ni>0;6)<75;`5?k4?<3n07do9:18'6=3=j?1e>5:5e:9je0<72-8397l9;o0;0?`<3`k?6=4+2979f3=i:1>1==54i`194?"50<0i:6`=85825>=ni;0;6)<75;`5?k4?<3;976gn1;29 7>22k<0b?6;:018?lg7290/>5;5b79m6=2=9=10e4h50;&1<0<e>2d9494>5:9jf`<72-8397l9;o0;0?7132cih7>5$3:6>g0<f;2?6<94;h``>5<#:1?1n;5a29695==<akh1<7*=848a2>h50=0:565fb`83>!4?=3h=7c<74;3b?>oe13:1(?6::c48j7>328h07dl7:18'6=3=j?1e>5:51b98mg2=83.9484m6:l1<1<6l21bm44?:%0;1?d13g8387?j;:k:a?6=,;2>6o84n3:7>4`<3`n36=4+2979`2=i:1>1<65fd783>!4?=3n<7c<74;38?lb3290/>5;5d69m6=2=:21bh>4?:%0;1?b03g8387=4;hf1>5<#:1?1h:5a29690>=nl80;6)<75;f4?k4?<3?07dj?:18'6=3=l>1e>5:56:9jgc<72-8397j8;o0;0?1<3`in6=4+2979`2=i:1>1465fce83>!4?=3n<7c<74;;8?led290/>5;5d69m6=2=i21boo4?:%0;1?b03g8387l4;ha:>5<#:1?1h:5a2969g>=nk10;6)<75;f4?k4?<3n07dm8:18'6=3=l>1e>5:5e:9jg3<72-8397j8;o0;0?`<3`i>6=4+2979`2=i:1>1==54ib694?"50<0o;6`=85825>=nk:0;6)<75;f4?k4?<3;976gl2;29 7>22m=0b?6;:018?le6290/>5;5d69m6=2=9=10en>50;&1<0<c?2d9494>5:9j`c<72-8397j8;o0;0?7132coi7>5$3:6>a1<f;2?6<94;hfg>5<#:1?1h:5a29695==<ami1<7*=848g3>h50=0:565fdc83>!4?=3n<7c<74;3b?>oci3:1(?6::e58j7>328h07dj6:18'6=3=l>1e>5:51b98ma3=83.9484k7:l1<1<6l21bol4?:%0;1?b03g8387?j;:kab?6=,;2>6i94n3:7>4`<3`;;;7>5$3:6>4613g8387>4;h331?6=,;2>6<>9;o0;0?7<3`;;87>5$3:6>4613g8387<4;h337?6=,;2>6<>9;o0;0?5<3`;;o7>5$3:6>46e3g8387>4;h33e?6=,;2>6<>m;o0;0?7<3`;;57>5$3:6>46e3g8387<4;h33<?6=,;2>6<>m;o0;0?5<3`9:57>5$3:6>67?3g8387>4;h123?6=,;2>6>?7;o0;0?7<3`9::7>5$3:6>67?3g8387<4;h121?6=,;2>6>?7;o0;0?5<3`9:i7>5$3:6>67c3g8387>4;h12g?6=,;2>6>?k;o0;0?7<3`9:n7>5$3:6>67c3g8387<4;h12e?6=,;2>6>?k;o0;0?5<3f;9:7>5$3:6>4423g8387>4;n310?6=,;2>6<<:;o0;0?7<3f;9>7>5$3:6>4423g8387<4;n315?6=,;2>6<<:;o0;0?5<3f;9<7>5$3:6>4423g8387:4;n32b?6=,;2>6<<:;o0;0?3<3f;:i7>5$3:6>4423g838784;n32`?6=,;2>6<<:;o0;0?1<3f;:o7>5$3:6>4423g838764;n32f?6=,;2>6<<:;o0;0??<3f;:m7>5$3:6>4423g8387o4;n32=?6=,;2>6<<:;o0;0?d<3f;:;7>5$3:6>4423g8387m4;n322?6=,;2>6<<:;o0;0?b<3f;:97>5$3:6>4423g8387k4;n320?6=,;2>6<<:;o0;0?`<3f;:?7>5$3:6>4423g8387??;:m257<72-8397?=5:l1<1<6921d=<?50;&1<0<6:<1e>5:51398k477290/>5;51378j7>328907b??f;29 7>2288>7c<74;37?>i68l0;6)<75;311>h50=0:965`13f94?"50<0:>85a296953=<g88h6=4+2979573<f;2?6<94;n31f?6=,;2>6<<:;o0;0?7?32e:>l4?:%0;1?75=2d9494>9:9l57?=83.9484>249m6=2=9h10c<<7:18'6=3=9;?0b?6;:0`8?j75?3:1(?6::006?k4?<3;h76a>2283>!4?=3;996`=8582`>=h9821<7*=848260=i:1>1=h54o02g>5<#:1?1=?;4n3:7>4`<3f;?47>5$3:6>4203g8387>4;n372?6=,;2>6<:8;o0;0?7<3f;?87>5$3:6>4203g8387<4;n377?6=,;2>6<:8;o0;0?5<3f;?>7>5$3:6>4203g8387:4;n375?6=,;2>6<:8;o0;0?3<3f;?<7>5$3:6>4203g838784;n30b?6=,;2>6<:8;o0;0?1<3f;8i7>5$3:6>4203g838764;n30`?6=,;2>6<:8;o0;0??<3f;8o7>5$3:6>4203g8387o4;n30f?6=,;2>6<:8;o0;0?d<3f;857>5$3:6>4203g8387m4;n30<?6=,;2>6<:8;o0;0?b<3f;8;7>5$3:6>4203g8387k4;n302?6=,;2>6<:8;o0;0?`<3f;897>5$3:6>4203g8387??;:m271<72-8397?;7:l1<1<6921d=>=50;&1<0<6<>1e>5:51398k455290/>5;51558j7>328907b?<1;29 7>228><7c<74;37?>i6;90;6)<75;373>h50=0:965`15d94?"50<0:8:5a296953=<g8>n6=4+2979511<f;2?6<94;n37`?6=,;2>6<:8;o0;0?7?32e:8n4?:%0;1?73?2d9494>9:9l51d=83.9484>469m6=2=9h10c<:n:18'6=3=9==0b?6;:0`8?j7313:1(?6::064?k4?<3;h76a>4483>!4?=3;?;6`=8582`>=h9:k1<7*=848202=i:1>1=h54o00e>5<#:1?1=994n3:7>4`<3f;>97>5$3:6>4333g8387>4;n367?6=,;2>6<;;;o0;0?7<3f;>>7>5$3:6>4333g8387<4;n365?6=,;2>6<;;;o0;0?5<3f;>m7>5$3:6>43>3g8387>4;n36<?6=,;2>6<;6;o0;0?7<3f;>;7>5$3:6>43>3g8387<4;n362?6=,;2>6<;6;o0;0?5<3thn;i4?:b094?6|,::h6?kn;I10=>N4981Q:o4l{g824?4d2;n1?84<6;14>6>=:l09j7=6:2c9y!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n>7<8e:&2a5<53-;n=7<4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:i94>849'5`3=91?0(?km:35f?!4bk38<i6`=fg8:?k578330(>>9:35f?!7>l390(<7j:29'776=;8l0(><>:23e?k55:330b><<:89m57c=82d:9=4?;%131?7?=2.8<54=;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)=?9;08m3b=831b:h4?::k47?6=3`=?6=44i0:3>5<<a:::6=44i221>5<<a82:6=44i22b>5<<a::i6=44o7d94?=h?90;66g;c;29 7>22=h0b?6;:198m1g=83.9484;b:l1<1<632c?57>5$3:6>1d<f;2?6?54i5:94?"50<0?n6`=8580?>o3?3:1(?6::5`8j7>32=10e8;50;&1<0<3j2d9494:;:k60?6=,;2>69l4n3:7>3=<a<91<7*=8487f>h50=0<76g:2;29 7>22=h0b?6;:998m07=83.9484;b:l1<1<>32c><7>5$3:6>1d<f;2?6l54i5d94?"50<0?n6`=858a?>o3m3:1(?6::5`8j7>32j10e9j50;&1<0<3j2d9494k;:k72?6=,;2>69l4n3:7>`=<a<i1<7*=8486f>h50=0;76g:a;29 7>22<h0b?6;:098m0?=83.9484:b:l1<1<532c>47>5$3:6>0d<f;2?6>54i4594?"50<0>n6`=8587?>o1=3:1(?6::4`8j7>32<10e;:50;&1<0<2j2d94949;:k57?6=,;2>68l4n3:7>2=<a?81<7*=8486f>h50=0376g91;29 7>22<h0b?6;:898m36=83.9484:b:l1<1<f32c>j7>5$3:6>0d<f;2?6o54i4g94?"50<0>n6`=858`?>o2l3:1(?6::4`8j7>32m10e8850;&1<0<2j2d9494j;:ka3?6=,;2>6o84n3:7>5=<ak?1<7*=848a2>h50=0:76gm3;29 7>22k<0b?6;:398mg4=83.9484m6:l1<1<432ci=7>5$3:6>g0<f;2?6954ic294?"50<0i:6`=8586?>ofn3:1(?6::c48j7>32?10elk50;&1<0<e>2d94948;:kb`?6=,;2>6o84n3:7>==<ahi1<7*=848a2>h50=0276gnb;29 7>22k<0b?6;:`98mdg=83.9484m6:l1<1<e32cj47>5$3:6>g0<f;2?6n54i`594?"50<0i:6`=858g?>of>3:1(?6::c48j7>32l10el;50;&1<0<e>2d9494i;:kb0?6=,;2>6o84n3:7>46<3`k86=4+2979f3=i:1>1=<54i`094?"50<0i:6`=85826>=ni80;6)<75;`5?k4?<3;876gn0;29 7>22k<0b?6;:068?l?a290/>5;5b79m6=2=9<10eok50;&1<0<e>2d9494>6:9jfa<72-8397l9;o0;0?7032cio7>5$3:6>g0<f;2?6<64;h`a>5<#:1?1n;5a29695<=<akk1<7*=848a2>h50=0:m65fb883>!4?=3h=7c<74;3a?>oe03:1(?6::c48j7>328i07dl;:18'6=3=j?1e>5:51e98md?=83.9484m6:l1<1<6m21b5h4?:%0;1?d13g8387?i;:kg<?6=,;2>6i94n3:7>5=<am<1<7*=848g3>h50=0:76gk4;29 7>22m=0b?6;:398ma5=83.9484k7:l1<1<432co>7>5$3:6>a1<f;2?6954ie394?"50<0o;6`=8586?>oc83:1(?6::e58j7>32?10enh50;&1<0<c?2d94948;:k`a?6=,;2>6i94n3:7>==<ajn1<7*=848g3>h50=0276glc;29 7>22m=0b?6;:`98mfd=83.9484k7:l1<1<e32ch57>5$3:6>a1<f;2?6n54ib:94?"50<0o;6`=858g?>od?3:1(?6::e58j7>32l10en850;&1<0<c?2d9494i;:k`1?6=,;2>6i94n3:7>46<3`i?6=4+2979`2=i:1>1=<54ib194?"50<0o;6`=85826>=nk;0;6)<75;f4?k4?<3;876gl1;29 7>22m=0b?6;:068?le7290/>5;5d69m6=2=9<10eih50;&1<0<c?2d9494>6:9j``<72-8397j8;o0;0?7032coh7>5$3:6>a1<f;2?6<64;hf`>5<#:1?1h:5a29695<=<amh1<7*=848g3>h50=0:m65fd`83>!4?=3n<7c<74;3a?>oc13:1(?6::e58j7>328i07dj::18'6=3=l>1e>5:51e98mfg=83.9484k7:l1<1<6m21bnk4?:%0;1?b03g8387?i;:k242<72-8397??6:l1<1<732c:<84?:%0;1?77>2d9494>;:k241<72-8397??6:l1<1<532c:<>4?:%0;1?77>2d9494<;:k24f<72-8397??b:l1<1<732c:<l4?:%0;1?77j2d9494>;:k24<<72-8397??b:l1<1<532c:<54?:%0;1?77j2d9494<;:k05<<72-8397=>8:l1<1<732c8=:4?:%0;1?5602d9494>;:k053<72-8397=>8:l1<1<532c8=84?:%0;1?5602d9494<;:k05`<72-8397=>d:l1<1<732c8=n4?:%0;1?56l2d9494>;:k05g<72-8397=>d:l1<1<532c8=l4?:%0;1?56l2d9494<;:m263<72-8397?=5:l1<1<732e:>94?:%0;1?75=2d9494>;:m267<72-8397?=5:l1<1<532e:><4?:%0;1?75=2d9494<;:m265<72-8397?=5:l1<1<332e:=k4?:%0;1?75=2d9494:;:m25`<72-8397?=5:l1<1<132e:=i4?:%0;1?75=2d94948;:m25f<72-8397?=5:l1<1<?32e:=o4?:%0;1?75=2d94946;:m25d<72-8397?=5:l1<1<f32e:=44?:%0;1?75=2d9494m;:m252<72-8397?=5:l1<1<d32e:=;4?:%0;1?75=2d9494k;:m250<72-8397?=5:l1<1<b32e:=94?:%0;1?75=2d9494i;:m256<72-8397?=5:l1<1<6821d=<<50;&1<0<6:<1e>5:51098k476290/>5;51378j7>328807b?>0;29 7>2288>7c<74;30?>i68o0;6)<75;311>h50=0:865`11g94?"50<0:>85a296950=<g88o6=4+2979573<f;2?6<84;n31g?6=,;2>6<<:;o0;0?7032e:>o4?:%0;1?75=2d9494>8:9l57g=83.9484>249m6=2=9010c<<6:18'6=3=9;?0b?6;:0c8?j7503:1(?6::006?k4?<3;i76a>2683>!4?=3;996`=8582g>=h9;91<7*=848260=i:1>1=i54o03;>5<#:1?1=?;4n3:7>4c<3f;;h7>5$3:6>4423g8387?i;:m20=<72-8397?;7:l1<1<732e:8;4?:%0;1?73?2d9494>;:m201<72-8397?;7:l1<1<532e:8>4?:%0;1?73?2d9494<;:m207<72-8397?;7:l1<1<332e:8<4?:%0;1?73?2d9494:;:m205<72-8397?;7:l1<1<132e:?k4?:%0;1?73?2d94948;:m27`<72-8397?;7:l1<1<?32e:?i4?:%0;1?73?2d94946;:m27f<72-8397?;7:l1<1<f32e:?o4?:%0;1?73?2d9494m;:m27<<72-8397?;7:l1<1<d32e:?54?:%0;1?73?2d9494k;:m272<72-8397?;7:l1<1<b32e:?;4?:%0;1?73?2d9494i;:m270<72-8397?;7:l1<1<6821d=>:50;&1<0<6<>1e>5:51098k454290/>5;51558j7>328807b?<2;29 7>228><7c<74;30?>i6;80;6)<75;373>h50=0:865`12294?"50<0:8:5a296950=<g8>m6=4+2979511<f;2?6<84;n37a?6=,;2>6<:8;o0;0?7032e:8i4?:%0;1?73?2d9494>8:9l51e=83.9484>469m6=2=9010c<:m:18'6=3=9==0b?6;:0c8?j73i3:1(?6::064?k4?<3;i76a>4883>!4?=3;?;6`=8582g>=h9=?1<7*=848202=i:1>1=i54o01b>5<#:1?1=994n3:7>4c<3f;9j7>5$3:6>4203g8387?i;:m210<72-8397?:4:l1<1<732e:9>4?:%0;1?72<2d9494>;:m217<72-8397?:4:l1<1<532e:9<4?:%0;1?72<2d9494<;:m21d<72-8397?:9:l1<1<732e:954?:%0;1?7212d9494>;:m212<72-8397?:9:l1<1<532e:9;4?:%0;1?7212d9494<;:\7faa2c=83i96=4?{%13g?4bi2B8?45G3038^3d=krl1==4=c;0g>63=;?08;7=7:3g96c<4139j6p*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a7<5?l1/=h>52:&2a4<53-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5`2=91?0(<k::0:6?!4bj38<i6*=eb813`=i:ol156`<018:?!57>38<i6*>9e80?!7>m390(><?:23e?!55939:j6`<238:?k55;330b<<j:19m506=82.8<84>849'75>=:2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"480097d8k:188m3c=831b;>4?::k40?6=3`;3<7>5;h135?6=3`9;>7>5;h3;5?6=3`9;m7>5;h13f?6=3f<m6=44o6294?=n<j0;6)<75;6a?k4?<3:07d:n:18'6=3=<k1e>5:51:9j0<<72-8397:m;o0;0?4<3`>36=4+29790g=i:1>1?65f4683>!4?=3>i7c<74;68?l32290/>5;54c9m6=2==21b994?:%0;1?2e3g838784;h70>5<#:1?18o5a29693>=n=;0;6)<75;6a?k4?<3207d;>:18'6=3=<k1e>5:59:9j15<72-8397:m;o0;0?g<3`>m6=4+29790g=i:1>1n65f4d83>!4?=3>i7c<74;a8?l2c290/>5;54c9m6=2=l21b8;4?:%0;1?2e3g8387k4;h7`>5<#:1?19o5a29694>=n=h0;6)<75;7a?k4?<3;07d;6:18'6=3==k1e>5:52:9j1=<72-8397;m;o0;0?5<3`?<6=4+29791g=i:1>1865f6483>!4?=3?i7c<74;78?l03290/>5;55c9m6=2=>21b:>4?:%0;1?3e3g838794;h41>5<#:1?19o5a2969<>=n>80;6)<75;7a?k4?<3307d8?:18'6=3==k1e>5:5a:9j1c<72-8397;m;o0;0?d<3`?n6=4+29791g=i:1>1o65f5e83>!4?=3?i7c<74;f8?l31290/>5;55c9m6=2=m21bn:4?:%0;1?d13g8387>4;h`6>5<#:1?1n;5a29695>=nj:0;6)<75;`5?k4?<3807dl=:18'6=3=j?1e>5:53:9jf4<72-8397l9;o0;0?2<3`h;6=4+2979f3=i:1>1965fag83>!4?=3h=7c<74;48?lgb290/>5;5b79m6=2=?21bmi4?:%0;1?d13g838764;hc`>5<#:1?1n;5a2969=>=nik0;6)<75;`5?k4?<3k07don:18'6=3=j?1e>5:5b:9je=<72-8397l9;o0;0?e<3`k<6=4+2979f3=i:1>1h65fa783>!4?=3h=7c<74;g8?lg2290/>5;5b79m6=2=n21bm94?:%0;1?d13g8387??;:kb7?6=,;2>6o84n3:7>47<3`k96=4+2979f3=i:1>1=?54i`394?"50<0i:6`=85827>=ni90;6)<75;`5?k4?<3;?76g6f;29 7>22k<0b?6;:078?ldb290/>5;5b79m6=2=9?10eoj50;&1<0<e>2d9494>7:9jff<72-8397l9;o0;0?7?32cin7>5$3:6>g0<f;2?6<74;h`b>5<#:1?1n;5a29695d=<ak31<7*=848a2>h50=0:n65fb983>!4?=3h=7c<74;3`?>oe<3:1(?6::c48j7>328n07do6:18'6=3=j?1e>5:51d98m<c=83.9484m6:l1<1<6n21bh54?:%0;1?b03g8387>4;hf5>5<#:1?1h:5a29695>=nl=0;6)<75;f4?k4?<3807dj<:18'6=3=l>1e>5:53:9j`7<72-8397j8;o0;0?2<3`n:6=4+2979`2=i:1>1965fd183>!4?=3n<7c<74;48?lea290/>5;5d69m6=2=?21boh4?:%0;1?b03g838764;hag>5<#:1?1h:5a2969=>=nkj0;6)<75;f4?k4?<3k07dmm:18'6=3=l>1e>5:5b:9jg<<72-8397j8;o0;0?e<3`i36=4+2979`2=i:1>1h65fc683>!4?=3n<7c<74;g8?le1290/>5;5d69m6=2=n21bo84?:%0;1?b03g8387??;:k`0?6=,;2>6i94n3:7>47<3`i86=4+2979`2=i:1>1=?54ib094?"50<0o;6`=85827>=nk80;6)<75;f4?k4?<3;?76gl0;29 7>22m=0b?6;:078?lba290/>5;5d69m6=2=9?10eik50;&1<0<c?2d9494>7:9j`a<72-8397j8;o0;0?7?32coo7>5$3:6>a1<f;2?6<74;hfa>5<#:1?1h:5a29695d=<amk1<7*=848g3>h50=0:n65fd883>!4?=3n<7c<74;3`?>oc=3:1(?6::e58j7>328n07dmn:18'6=3=l>1e>5:51d98mg`=83.9484k7:l1<1<6n21b==950;&1<0<68?1e>5:50:9j553=83.9484>079m6=2=921b==:50;&1<0<68?1e>5:52:9j555=83.9484>079m6=2=;21b==m50;&1<0<68k1e>5:50:9j55g=83.9484>0c9m6=2=921b==750;&1<0<68k1e>5:52:9j55>=83.9484>0c9m6=2=;21b?<750;&1<0<4911e>5:50:9j741=83.9484<199m6=2=921b?<850;&1<0<4911e>5:52:9j743=83.9484<199m6=2=;21b?<k50;&1<0<49m1e>5:50:9j74e=83.9484<1e9m6=2=921b?<l50;&1<0<49m1e>5:52:9j74g=83.9484<1e9m6=2=;21d=?850;&1<0<6:<1e>5:50:9l572=83.9484>249m6=2=921d=?<50;&1<0<6:<1e>5:52:9l577=83.9484>249m6=2=;21d=?>50;&1<0<6:<1e>5:54:9l54`=83.9484>249m6=2==21d=<k50;&1<0<6:<1e>5:56:9l54b=83.9484>249m6=2=?21d=<m50;&1<0<6:<1e>5:58:9l54d=83.9484>249m6=2=121d=<o50;&1<0<6:<1e>5:5a:9l54?=83.9484>249m6=2=j21d=<950;&1<0<6:<1e>5:5c:9l540=83.9484>249m6=2=l21d=<;50;&1<0<6:<1e>5:5e:9l542=83.9484>249m6=2=n21d=<=50;&1<0<6:<1e>5:51198k475290/>5;51378j7>328;07b?>1;29 7>2288>7c<74;31?>i6990;6)<75;311>h50=0:?65`11d94?"50<0:>85a296951=<g8:n6=4+2979573<f;2?6<;4;n31`?6=,;2>6<<:;o0;0?7132e:>n4?:%0;1?75=2d9494>7:9l57d=83.9484>249m6=2=9110c<<n:18'6=3=9;?0b?6;:0;8?j7513:1(?6::006?k4?<3;j76a>2983>!4?=3;996`=8582f>=h9;=1<7*=848260=i:1>1=n54o000>5<#:1?1=?;4n3:7>4b<3f;:47>5$3:6>4423g8387?j;:m24a<72-8397?=5:l1<1<6n21d=9650;&1<0<6<>1e>5:50:9l510=83.9484>469m6=2=921d=9:50;&1<0<6<>1e>5:52:9l515=83.9484>469m6=2=;21d=9<50;&1<0<6<>1e>5:54:9l517=83.9484>469m6=2==21d=9>50;&1<0<6<>1e>5:56:9l56`=83.9484>469m6=2=?21d=>k50;&1<0<6<>1e>5:58:9l56b=83.9484>469m6=2=121d=>m50;&1<0<6<>1e>5:5a:9l56d=83.9484>469m6=2=j21d=>750;&1<0<6<>1e>5:5c:9l56>=83.9484>469m6=2=l21d=>950;&1<0<6<>1e>5:5e:9l560=83.9484>469m6=2=n21d=>;50;&1<0<6<>1e>5:51198k453290/>5;51558j7>328;07b?<3;29 7>228><7c<74;31?>i6;;0;6)<75;373>h50=0:?65`12394?"50<0:8:5a296951=<g89;6=4+2979511<f;2?6<;4;n37b?6=,;2>6<:8;o0;0?7132e:8h4?:%0;1?73?2d9494>7:9l51b=83.9484>469m6=2=9110c<:l:18'6=3=9==0b?6;:0;8?j73j3:1(?6::064?k4?<3;j76a>4`83>!4?=3;?;6`=8582f>=h9=31<7*=848202=i:1>1=n54o066>5<#:1?1=994n3:7>4b<3f;8m7>5$3:6>4203g8387?j;:m26c<72-8397?;7:l1<1<6n21d=8;50;&1<0<6==1e>5:50:9l505=83.9484>559m6=2=921d=8<50;&1<0<6==1e>5:52:9l507=83.9484>559m6=2=;21d=8o50;&1<0<6=01e>5:50:9l50>=83.9484>589m6=2=921d=8950;&1<0<6=01e>5:52:9l500=83.9484>589m6=2=;21vnh9i:18`6?6=8r.8<n4=e`9K76?<@:;:7W8m:bye>46=:j09h7=::24972<4038n6?h53880e?{#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h<526g8 4c72;1/=h?52:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<k;:0:6?!7b=3;396*=ec813`=#:li1>:k4n3de><=i;9:156*<07813`=#90n1?6*>9d80?!55839:j6*<20805c=i;;8156`<228:?k75m3:0b<;?:19'753=91?0(>>7:39'5d6=;2.:m<4<;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+31;96>o1l3:17d8j:188m25=831b;94?::k2<5<722c8<<4?::k047<722c:4<4?::k04d<722c8<o4?::m5b?6=3f=;6=44i5a94?"50<0?n6`=8583?>o3i3:1(?6::5`8j7>32810e9750;&1<0<3j2d9494=;:k7<?6=,;2>69l4n3:7>6=<a==1<7*=8487f>h50=0?76g:5;29 7>22=h0b?6;:498m02=83.9484;b:l1<1<132c>?7>5$3:6>1d<f;2?6:54i4094?"50<0?n6`=858;?>o293:1(?6::5`8j7>32010e8>50;&1<0<3j2d9494n;:k7b?6=,;2>69l4n3:7>g=<a=o1<7*=8487f>h50=0h76g;d;29 7>22=h0b?6;:e98m10=83.9484;b:l1<1<b32c>o7>5$3:6>0d<f;2?6=54i4c94?"50<0>n6`=8582?>o213:1(?6::4`8j7>32;10e8650;&1<0<2j2d9494<;:k63?6=,;2>68l4n3:7>1=<a??1<7*=8486f>h50=0>76g94;29 7>22<h0b?6;:798m35=83.9484:b:l1<1<032c=>7>5$3:6>0d<f;2?6554i7394?"50<0>n6`=858:?>o183:1(?6::4`8j7>32h10e8h50;&1<0<2j2d9494m;:k6a?6=,;2>68l4n3:7>f=<a<n1<7*=8486f>h50=0o76g:6;29 7>22<h0b?6;:d98mg1=83.9484m6:l1<1<732ci97>5$3:6>g0<f;2?6<54ic194?"50<0i:6`=8581?>oe:3:1(?6::c48j7>32:10eo?50;&1<0<e>2d9494;;:ka4?6=,;2>6o84n3:7>0=<ahl1<7*=848a2>h50=0=76gne;29 7>22k<0b?6;:698mdb=83.9484m6:l1<1<?32cjo7>5$3:6>g0<f;2?6454i``94?"50<0i:6`=858b?>ofi3:1(?6::c48j7>32k10el650;&1<0<e>2d9494l;:kb3?6=,;2>6o84n3:7>a=<ah<1<7*=848a2>h50=0n76gn5;29 7>22k<0b?6;:g98md2=83.9484m6:l1<1<6821bm>4?:%0;1?d13g8387?>;:kb6?6=,;2>6o84n3:7>44<3`k:6=4+2979f3=i:1>1=>54i`294?"50<0i:6`=85820>=n1o0;6)<75;`5?k4?<3;>76gme;29 7>22k<0b?6;:048?ldc290/>5;5b79m6=2=9>10eom50;&1<0<e>2d9494>8:9jfg<72-8397l9;o0;0?7>32cim7>5$3:6>g0<f;2?6<o4;h`:>5<#:1?1n;5a29695g=<ak21<7*=848a2>h50=0:o65fb583>!4?=3h=7c<74;3g?>of13:1(?6::c48j7>328o07d7j:18'6=3=j?1e>5:51g98ma>=83.9484k7:l1<1<732co:7>5$3:6>a1<f;2?6<54ie694?"50<0o;6`=8581?>oc;3:1(?6::e58j7>32:10ei<50;&1<0<c?2d9494;;:kg5?6=,;2>6i94n3:7>0=<am:1<7*=848g3>h50=0=76glf;29 7>22m=0b?6;:698mfc=83.9484k7:l1<1<?32chh7>5$3:6>a1<f;2?6454iba94?"50<0o;6`=858b?>odj3:1(?6::e58j7>32k10en750;&1<0<c?2d9494l;:k`<?6=,;2>6i94n3:7>a=<aj=1<7*=848g3>h50=0n76gl6;29 7>22m=0b?6;:g98mf3=83.9484k7:l1<1<6821bo94?:%0;1?b03g8387?>;:k`7?6=,;2>6i94n3:7>44<3`i96=4+2979`2=i:1>1=>54ib394?"50<0o;6`=85820>=nk90;6)<75;f4?k4?<3;>76gkf;29 7>22m=0b?6;:048?lbb290/>5;5d69m6=2=9>10eij50;&1<0<c?2d9494>8:9j`f<72-8397j8;o0;0?7>32con7>5$3:6>a1<f;2?6<o4;hfb>5<#:1?1h:5a29695g=<am31<7*=848g3>h50=0:o65fd483>!4?=3n<7c<74;3g?>odi3:1(?6::e58j7>328o07dli:18'6=3=l>1e>5:51g98m460290/>5;51148j7>32910e<>::18'6=3=99<0b?6;:098m463290/>5;51148j7>32;10e<><:18'6=3=99<0b?6;:298m46d290/>5;511`8j7>32910e<>n:18'6=3=99h0b?6;:098m46>290/>5;511`8j7>32;10e<>7:18'6=3=99h0b?6;:298m67>290/>5;530:8j7>32910e>?8:18'6=3=;820b?6;:098m671290/>5;530:8j7>32;10e>?::18'6=3=;820b?6;:298m67b290/>5;530f8j7>32910e>?l:18'6=3=;8n0b?6;:098m67e290/>5;530f8j7>32;10e>?n:18'6=3=;8n0b?6;:298k441290/>5;51378j7>32910c<<;:18'6=3=9;?0b?6;:098k445290/>5;51378j7>32;10c<<>:18'6=3=9;?0b?6;:298k447290/>5;51378j7>32=10c<?i:18'6=3=9;?0b?6;:498k47b290/>5;51378j7>32?10c<?k:18'6=3=9;?0b?6;:698k47d290/>5;51378j7>32110c<?m:18'6=3=9;?0b?6;:898k47f290/>5;51378j7>32h10c<?6:18'6=3=9;?0b?6;:c98k470290/>5;51378j7>32j10c<?9:18'6=3=9;?0b?6;:e98k472290/>5;51378j7>32l10c<?;:18'6=3=9;?0b?6;:g98k474290/>5;51378j7>328:07b?>2;29 7>2288>7c<74;32?>i6980;6)<75;311>h50=0:>65`10294?"50<0:>85a296956=<g8:m6=4+2979573<f;2?6<:4;n33a?6=,;2>6<<:;o0;0?7232e:>i4?:%0;1?75=2d9494>6:9l57e=83.9484>249m6=2=9>10c<<m:18'6=3=9;?0b?6;:0:8?j75i3:1(?6::006?k4?<3;276a>2883>!4?=3;996`=8582e>=h9;21<7*=848260=i:1>1=o54o004>5<#:1?1=?;4n3:7>4e<3f;9?7>5$3:6>4423g8387?k;:m25=<72-8397?=5:l1<1<6m21d==j50;&1<0<6:<1e>5:51g98k42?290/>5;51558j7>32910c<:9:18'6=3=9==0b?6;:098k423290/>5;51558j7>32;10c<:<:18'6=3=9==0b?6;:298k425290/>5;51558j7>32=10c<:>:18'6=3=9==0b?6;:498k427290/>5;51558j7>32?10c<=i:18'6=3=9==0b?6;:698k45b290/>5;51558j7>32110c<=k:18'6=3=9==0b?6;:898k45d290/>5;51558j7>32h10c<=m:18'6=3=9==0b?6;:c98k45>290/>5;51558j7>32j10c<=7:18'6=3=9==0b?6;:e98k450290/>5;51558j7>32l10c<=9:18'6=3=9==0b?6;:g98k452290/>5;51558j7>328:07b?<4;29 7>228><7c<74;32?>i6;:0;6)<75;373>h50=0:>65`12094?"50<0:8:5a296956=<g89:6=4+2979511<f;2?6<:4;n304?6=,;2>6<:8;o0;0?7232e:8k4?:%0;1?73?2d9494>6:9l51c=83.9484>469m6=2=9>10c<:k:18'6=3=9==0b?6;:0:8?j73k3:1(?6::064?k4?<3;276a>4c83>!4?=3;?;6`=8582e>=h9=k1<7*=848202=i:1>1=o54o06:>5<#:1?1=994n3:7>4e<3f;?97>5$3:6>4203g8387?k;:m27d<72-8397?;7:l1<1<6m21d=?h50;&1<0<6<>1e>5:51g98k432290/>5;51468j7>32910c<;<:18'6=3=9<>0b?6;:098k435290/>5;51468j7>32;10c<;>:18'6=3=9<>0b?6;:298k43f290/>5;514;8j7>32910c<;7:18'6=3=9<30b?6;:098k430290/>5;514;8j7>32;10c<;9:18'6=3=9<30b?6;:298ygc?83:1o?4?:1y'75e=:lk0D>=6;I125>\1j3ipj7??:3a96a<4=39=6>953981a?4a2:31?l4r$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c52;=n7)?j0;08 4c62;1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7b<3;396*>e482<0=#:lh1>:k4$3g`>71b3g8mj774n223><=#;9<1>:k4$0;g>6=#90o1?6*<21805c=#;;;1?<h4n201><=i;;9156`>2d83?k7283:0(>>::0:6?!570380(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,::26?5f6e83>>o1m3:17d9<:188m22=831b=5>50;9j757=831b?=<50;9j5=7=831b?=o50;9j75d=831d:k4?::m44?6=3`>h6=4+29790g=i:1>1<65f4`83>!4?=3>i7c<74;38?l2>290/>5;54c9m6=2=:21b854?:%0;1?2e3g8387=4;h64>5<#:1?18o5a29690>=n=<0;6)<75;6a?k4?<3?07d;;:18'6=3=<k1e>5:56:9j16<72-8397:m;o0;0?1<3`?96=4+29790g=i:1>1465f5083>!4?=3>i7c<74;;8?l37290/>5;54c9m6=2=i21b8k4?:%0;1?2e3g8387l4;h6f>5<#:1?18o5a2969g>=n<m0;6)<75;6a?k4?<3n07d:9:18'6=3=<k1e>5:5e:9j1f<72-8397;m;o0;0?6<3`?j6=4+29791g=i:1>1=65f5883>!4?=3?i7c<74;08?l3?290/>5;55c9m6=2=;21b9:4?:%0;1?3e3g8387:4;h46>5<#:1?19o5a29691>=n>=0;6)<75;7a?k4?<3<07d8<:18'6=3==k1e>5:57:9j27<72-8397;m;o0;0?><3`<:6=4+29791g=i:1>1565f6183>!4?=3?i7c<74;c8?l3a290/>5;55c9m6=2=j21b9h4?:%0;1?3e3g8387m4;h7g>5<#:1?19o5a2969`>=n=?0;6)<75;7a?k4?<3o07dl8:18'6=3=j?1e>5:50:9jf0<72-8397l9;o0;0?7<3`h86=4+2979f3=i:1>1>65fb383>!4?=3h=7c<74;18?ld6290/>5;5b79m6=2=<21bn=4?:%0;1?d13g8387;4;hce>5<#:1?1n;5a29692>=nil0;6)<75;`5?k4?<3=07dok:18'6=3=j?1e>5:58:9jef<72-8397l9;o0;0??<3`ki6=4+2979f3=i:1>1m65fa`83>!4?=3h=7c<74;`8?lg?290/>5;5b79m6=2=k21bm:4?:%0;1?d13g8387j4;hc5>5<#:1?1n;5a2969a>=ni<0;6)<75;`5?k4?<3l07do;:18'6=3=j?1e>5:51198md5=83.9484m6:l1<1<6921bm?4?:%0;1?d13g8387?=;:kb5?6=,;2>6o84n3:7>45<3`k;6=4+2979f3=i:1>1=954i8d94?"50<0i:6`=85821>=njl0;6)<75;`5?k4?<3;=76gmd;29 7>22k<0b?6;:058?ldd290/>5;5b79m6=2=9110eol50;&1<0<e>2d9494>9:9jfd<72-8397l9;o0;0?7f32ci57>5$3:6>g0<f;2?6<l4;h`;>5<#:1?1n;5a29695f=<ak>1<7*=848a2>h50=0:h65fa883>!4?=3h=7c<74;3f?>o>m3:1(?6::c48j7>328l07dj7:18'6=3=l>1e>5:50:9j`3<72-8397j8;o0;0?7<3`n?6=4+2979`2=i:1>1>65fd283>!4?=3n<7c<74;18?lb5290/>5;5d69m6=2=<21bh<4?:%0;1?b03g8387;4;hf3>5<#:1?1h:5a29692>=nko0;6)<75;f4?k4?<3=07dmj:18'6=3=l>1e>5:58:9jga<72-8397j8;o0;0??<3`ih6=4+2979`2=i:1>1m65fcc83>!4?=3n<7c<74;`8?le>290/>5;5d69m6=2=k21bo54?:%0;1?b03g8387j4;ha4>5<#:1?1h:5a2969a>=nk?0;6)<75;f4?k4?<3l07dm::18'6=3=l>1e>5:51198mf2=83.9484k7:l1<1<6921bo>4?:%0;1?b03g8387?=;:k`6?6=,;2>6i94n3:7>45<3`i:6=4+2979`2=i:1>1=954ib294?"50<0o;6`=85821>=nlo0;6)<75;f4?k4?<3;=76gke;29 7>22m=0b?6;:058?lbc290/>5;5d69m6=2=9110eim50;&1<0<c?2d9494>9:9j`g<72-8397j8;o0;0?7f32com7>5$3:6>a1<f;2?6<l4;hf:>5<#:1?1h:5a29695f=<am?1<7*=848g3>h50=0:h65fc`83>!4?=3n<7c<74;3f?>oen3:1(?6::e58j7>328l07d??7;29 7>228:=7c<74;28?l77=3:1(?6::025?k4?<3;07d??4;29 7>228:=7c<74;08?l77;3:1(?6::025?k4?<3907d??c;29 7>228:i7c<74;28?l77i3:1(?6::02a?k4?<3;07d??9;29 7>228:i7c<74;08?l7703:1(?6::02a?k4?<3907d=>9;29 7>22:;37c<74;28?l56?3:1(?6::23;?k4?<3;07d=>6;29 7>22:;37c<74;08?l56=3:1(?6::23;?k4?<3907d=>e;29 7>22:;o7c<74;28?l56k3:1(?6::23g?k4?<3;07d=>b;29 7>22:;o7c<74;08?l56i3:1(?6::23g?k4?<3907b?=6;29 7>2288>7c<74;28?j75<3:1(?6::006?k4?<3;07b?=2;29 7>2288>7c<74;08?j7593:1(?6::006?k4?<3907b?=0;29 7>2288>7c<74;68?j76n3:1(?6::006?k4?<3?07b?>e;29 7>2288>7c<74;48?j76l3:1(?6::006?k4?<3=07b?>c;29 7>2288>7c<74;:8?j76j3:1(?6::006?k4?<3307b?>a;29 7>2288>7c<74;c8?j7613:1(?6::006?k4?<3h07b?>7;29 7>2288>7c<74;a8?j76>3:1(?6::006?k4?<3n07b?>5;29 7>2288>7c<74;g8?j76<3:1(?6::006?k4?<3l07b?>3;29 7>2288>7c<74;33?>i69;0;6)<75;311>h50=0:=65`10394?"50<0:>85a296957=<g8;;6=4+2979573<f;2?6<=4;n33b?6=,;2>6<<:;o0;0?7332e:<h4?:%0;1?75=2d9494>5:9l57b=83.9484>249m6=2=9?10c<<l:18'6=3=9;?0b?6;:058?j75j3:1(?6::006?k4?<3;376a>2`83>!4?=3;996`=8582=>=h9;31<7*=848260=i:1>1=l54o00;>5<#:1?1=?;4n3:7>4d<3f;9;7>5$3:6>4423g8387?l;:m266<72-8397?=5:l1<1<6l21d=<650;&1<0<6:<1e>5:51d98k46c290/>5;51378j7>328l07b?;8;29 7>228><7c<74;28?j73>3:1(?6::064?k4?<3;07b?;4;29 7>228><7c<74;08?j73;3:1(?6::064?k4?<3907b?;2;29 7>228><7c<74;68?j7393:1(?6::064?k4?<3?07b?;0;29 7>228><7c<74;48?j74n3:1(?6::064?k4?<3=07b?<e;29 7>228><7c<74;:8?j74l3:1(?6::064?k4?<3307b?<c;29 7>228><7c<74;c8?j74j3:1(?6::064?k4?<3h07b?<9;29 7>228><7c<74;a8?j7403:1(?6::064?k4?<3n07b?<7;29 7>228><7c<74;g8?j74>3:1(?6::064?k4?<3l07b?<5;29 7>228><7c<74;33?>i6;=0;6)<75;373>h50=0:=65`12194?"50<0:8:5a296957=<g8996=4+2979511<f;2?6<=4;n305?6=,;2>6<:8;o0;0?7332e:?=4?:%0;1?73?2d9494>5:9l51`=83.9484>469m6=2=9?10c<:j:18'6=3=9==0b?6;:058?j73l3:1(?6::064?k4?<3;376a>4b83>!4?=3;?;6`=8582=>=h9=h1<7*=848202=i:1>1=l54o06b>5<#:1?1=994n3:7>4d<3f;?57>5$3:6>4203g8387?l;:m200<72-8397?;7:l1<1<6l21d=>o50;&1<0<6<>1e>5:51d98k44a290/>5;51558j7>328l07b?:5;29 7>228??7c<74;28?j72;3:1(?6::077?k4?<3;07b?:2;29 7>228??7c<74;08?j7293:1(?6::077?k4?<3907b?:a;29 7>228?27c<74;28?j7203:1(?6::07:?k4?<3;07b?:7;29 7>228?27c<74;08?j72>3:1(?6::07:?k4?<3907plj8083>f4=83:p(>>l:3gb?M5412B8=<5U6c8`\7fc<6838h6?j534802?502:21>h4=f;1:>6g=u-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j2;04a>"6m9097)?j1;08 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>e582<0=#9l?1=5;4$3ga>71b3-8no7<8e:l1bc<>3g9;<774$225>71b3-;2h7=4$0;f>6=#;;:1?<h4$202>67a3g99>774n200><=i9;o1<6`>5183?!57=3;396*<0981?!7f8390(<o>:29'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%13=?4<a?n1<75f6d83>>o0;3:17d9;:188m4>72900e>>>:188m6652900e<6>:188m66f2900e>>m:188k3`=831d;=4?::k7g?6=,;2>69l4n3:7>5=<a=k1<7*=8487f>h50=0:76g;9;29 7>22=h0b?6;:398m1>=83.9484;b:l1<1<432c?;7>5$3:6>1d<f;2?6954i4794?"50<0?n6`=8586?>o2<3:1(?6::5`8j7>32?10e8=50;&1<0<3j2d94948;:k66?6=,;2>69l4n3:7>==<a<;1<7*=8487f>h50=0276g:0;29 7>22=h0b?6;:`98m1`=83.9484;b:l1<1<e32c?i7>5$3:6>1d<f;2?6n54i5f94?"50<0?n6`=858g?>o3>3:1(?6::5`8j7>32l10e8m50;&1<0<2j2d9494?;:k6e?6=,;2>68l4n3:7>4=<a<31<7*=8486f>h50=0976g:8;29 7>22<h0b?6;:298m01=83.9484:b:l1<1<332c=97>5$3:6>0d<f;2?6854i7694?"50<0>n6`=8585?>o1;3:1(?6::4`8j7>32>10e;<50;&1<0<2j2d94947;:k55?6=,;2>68l4n3:7><=<a?:1<7*=8486f>h50=0j76g:f;29 7>22<h0b?6;:c98m0c=83.9484:b:l1<1<d32c>h7>5$3:6>0d<f;2?6i54i4494?"50<0>n6`=858f?>oe?3:1(?6::c48j7>32910eo;50;&1<0<e>2d9494>;:ka7?6=,;2>6o84n3:7>7=<ak81<7*=848a2>h50=0876gm1;29 7>22k<0b?6;:598mg6=83.9484m6:l1<1<232cjj7>5$3:6>g0<f;2?6;54i`g94?"50<0i:6`=8584?>ofl3:1(?6::c48j7>32110elm50;&1<0<e>2d94946;:kbf?6=,;2>6o84n3:7>d=<ahk1<7*=848a2>h50=0i76gn8;29 7>22k<0b?6;:b98md1=83.9484m6:l1<1<c32cj:7>5$3:6>g0<f;2?6h54i`794?"50<0i:6`=858e?>of<3:1(?6::c48j7>328:07do<:18'6=3=j?1e>5:51098md4=83.9484m6:l1<1<6:21bm<4?:%0;1?d13g8387?<;:kb4?6=,;2>6o84n3:7>42<3`3m6=4+2979f3=i:1>1=854icg94?"50<0i:6`=85822>=njm0;6)<75;`5?k4?<3;<76gmc;29 7>22k<0b?6;:0:8?lde290/>5;5b79m6=2=9010eoo50;&1<0<e>2d9494>a:9jf<<72-8397l9;o0;0?7e32ci47>5$3:6>g0<f;2?6<m4;h`7>5<#:1?1n;5a29695a=<ah31<7*=848a2>h50=0:i65f9d83>!4?=3h=7c<74;3e?>oc03:1(?6::e58j7>32910ei850;&1<0<c?2d9494>;:kg0?6=,;2>6i94n3:7>7=<am91<7*=848g3>h50=0876gk2;29 7>22m=0b?6;:598ma7=83.9484k7:l1<1<232co<7>5$3:6>a1<f;2?6;54ibd94?"50<0o;6`=8584?>odm3:1(?6::e58j7>32110enj50;&1<0<c?2d94946;:k`g?6=,;2>6i94n3:7>d=<ajh1<7*=848g3>h50=0i76gl9;29 7>22m=0b?6;:b98mf>=83.9484k7:l1<1<c32ch;7>5$3:6>a1<f;2?6h54ib494?"50<0o;6`=858e?>od=3:1(?6::e58j7>328:07dm;:18'6=3=l>1e>5:51098mf5=83.9484k7:l1<1<6:21bo?4?:%0;1?b03g8387?<;:k`5?6=,;2>6i94n3:7>42<3`i;6=4+2979`2=i:1>1=854ied94?"50<0o;6`=85822>=nll0;6)<75;f4?k4?<3;<76gkd;29 7>22m=0b?6;:0:8?lbd290/>5;5d69m6=2=9010eil50;&1<0<c?2d9494>a:9j`d<72-8397j8;o0;0?7e32co57>5$3:6>a1<f;2?6<m4;hf6>5<#:1?1h:5a29695a=<ajk1<7*=848g3>h50=0:i65fbg83>!4?=3n<7c<74;3e?>o68>0;6)<75;332>h50=0;76g>0483>!4?=3;;:6`=8582?>o68=0;6)<75;332>h50=0976g>0283>!4?=3;;:6`=8580?>o68j0;6)<75;33f>h50=0;76g>0`83>!4?=3;;n6`=8582?>o6800;6)<75;33f>h50=0976g>0983>!4?=3;;n6`=8580?>o4900;6)<75;12<>h50=0;76g<1683>!4?=39:46`=8582?>o49?0;6)<75;12<>h50=0976g<1483>!4?=39:46`=8580?>o49l0;6)<75;12`>h50=0;76g<1b83>!4?=39:h6`=8582?>o49k0;6)<75;12`>h50=0976g<1`83>!4?=39:h6`=8580?>i6:?0;6)<75;311>h50=0;76a>2583>!4?=3;996`=8582?>i6:;0;6)<75;311>h50=0976a>2083>!4?=3;996`=8580?>i6:90;6)<75;311>h50=0?76a>1g83>!4?=3;996`=8586?>i69l0;6)<75;311>h50=0=76a>1e83>!4?=3;996`=8584?>i69j0;6)<75;311>h50=0376a>1c83>!4?=3;996`=858:?>i69h0;6)<75;311>h50=0j76a>1883>!4?=3;996`=858a?>i69>0;6)<75;311>h50=0h76a>1783>!4?=3;996`=858g?>i69<0;6)<75;311>h50=0n76a>1583>!4?=3;996`=858e?>i69:0;6)<75;311>h50=0:<65`10094?"50<0:>85a296954=<g8;:6=4+2979573<f;2?6<<4;n324?6=,;2>6<<:;o0;0?7432e:<k4?:%0;1?75=2d9494>4:9l55c=83.9484>249m6=2=9<10c<<k:18'6=3=9;?0b?6;:048?j75k3:1(?6::006?k4?<3;<76a>2c83>!4?=3;996`=8582<>=h9;k1<7*=848260=i:1>1=454o00:>5<#:1?1=?;4n3:7>4g<3f;947>5$3:6>4423g8387?m;:m262<72-8397?=5:l1<1<6k21d=?=50;&1<0<6:<1e>5:51e98k47?290/>5;51378j7>328o07b??d;29 7>2288>7c<74;3e?>i6<10;6)<75;373>h50=0;76a>4783>!4?=3;?;6`=8582?>i6<=0;6)<75;373>h50=0976a>4283>!4?=3;?;6`=8580?>i6<;0;6)<75;373>h50=0?76a>4083>!4?=3;?;6`=8586?>i6<90;6)<75;373>h50=0=76a>3g83>!4?=3;?;6`=8584?>i6;l0;6)<75;373>h50=0376a>3e83>!4?=3;?;6`=858:?>i6;j0;6)<75;373>h50=0j76a>3c83>!4?=3;?;6`=858a?>i6;00;6)<75;373>h50=0h76a>3983>!4?=3;?;6`=858g?>i6;>0;6)<75;373>h50=0n76a>3783>!4?=3;?;6`=858e?>i6;<0;6)<75;373>h50=0:<65`12694?"50<0:8:5a296954=<g8986=4+2979511<f;2?6<<4;n306?6=,;2>6<:8;o0;0?7432e:?<4?:%0;1?73?2d9494>4:9l566=83.9484>469m6=2=9<10c<:i:18'6=3=9==0b?6;:048?j73m3:1(?6::064?k4?<3;<76a>4e83>!4?=3;?;6`=8582<>=h9=i1<7*=848202=i:1>1=454o06a>5<#:1?1=994n3:7>4g<3f;?m7>5$3:6>4203g8387?m;:m20<<72-8397?;7:l1<1<6k21d=9;50;&1<0<6<>1e>5:51e98k45f290/>5;51558j7>328o07b?=f;29 7>228><7c<74;3e?>i6=<0;6)<75;360>h50=0;76a>5283>!4?=3;>86`=8582?>i6=;0;6)<75;360>h50=0976a>5083>!4?=3;>86`=8580?>i6=h0;6)<75;36=>h50=0;76a>5983>!4?=3;>56`=8582?>i6=>0;6)<75;36=>h50=0976a>5783>!4?=3;>56`=8580?>{em191<7m=:183\7f!57k38nm6F<389K747<R?h1ovh51181g?4c2:?1?;4<7;1;>7c=:o0857=n:|&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m;09;h5+1d296>"6m8097)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#9l>1=5;4$0g6>4>23-8nn7<8e:&1af<5?l1e>kh59:l045<>3-9;:7<8e:&2=a<43-;2i7=4$203>67a3-99=7=>f:l067<>3g99?774n00f>5=i9<:1<6*<0482<0=#;921>6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.8<44=;h4g>5<<a?o1<75f7283>>o0<3:17d?70;29?l5793:17d=?2;29?l7?93:17d=?a;29?l57j3:17b8i:188k26=831b8n4?:%0;1?2e3g8387>4;h6b>5<#:1?18o5a29695>=n<00;6)<75;6a?k4?<3807d:7:18'6=3=<k1e>5:53:9j02<72-8397:m;o0;0?2<3`?>6=4+29790g=i:1>1965f5583>!4?=3>i7c<74;48?l34290/>5;54c9m6=2=?21b9?4?:%0;1?2e3g838764;h72>5<#:1?18o5a2969=>=n=90;6)<75;6a?k4?<3k07d:i:18'6=3=<k1e>5:5b:9j0`<72-8397:m;o0;0?e<3`>o6=4+29790g=i:1>1h65f4783>!4?=3>i7c<74;g8?l3d290/>5;55c9m6=2=821b9l4?:%0;1?3e3g8387?4;h7:>5<#:1?19o5a29696>=n=10;6)<75;7a?k4?<3907d;8:18'6=3==k1e>5:54:9j20<72-8397;m;o0;0?3<3`<?6=4+29791g=i:1>1:65f6283>!4?=3?i7c<74;58?l05290/>5;55c9m6=2=021b:<4?:%0;1?3e3g838774;h43>5<#:1?19o5a2969e>=n=o0;6)<75;7a?k4?<3h07d;j:18'6=3==k1e>5:5c:9j1a<72-8397;m;o0;0?b<3`?=6=4+29791g=i:1>1i65fb683>!4?=3h=7c<74;28?ld2290/>5;5b79m6=2=921bn>4?:%0;1?d13g8387<4;h`1>5<#:1?1n;5a29697>=nj80;6)<75;`5?k4?<3>07dl?:18'6=3=j?1e>5:55:9jec<72-8397l9;o0;0?0<3`kn6=4+2979f3=i:1>1;65fae83>!4?=3h=7c<74;:8?lgd290/>5;5b79m6=2=121bmo4?:%0;1?d13g8387o4;hcb>5<#:1?1n;5a2969f>=ni10;6)<75;`5?k4?<3i07do8:18'6=3=j?1e>5:5d:9je3<72-8397l9;o0;0?c<3`k>6=4+2979f3=i:1>1j65fa583>!4?=3h=7c<74;33?>of;3:1(?6::c48j7>328;07do=:18'6=3=j?1e>5:51398md7=83.9484m6:l1<1<6;21bm=4?:%0;1?d13g8387?;;:k:b?6=,;2>6o84n3:7>43<3`hn6=4+2979f3=i:1>1=;54icf94?"50<0i:6`=85823>=njj0;6)<75;`5?k4?<3;376gmb;29 7>22k<0b?6;:0;8?ldf290/>5;5b79m6=2=9h10eo750;&1<0<e>2d9494>b:9jf=<72-8397l9;o0;0?7d32ci87>5$3:6>g0<f;2?6<j4;hc:>5<#:1?1n;5a29695`=<a0o1<7*=848a2>h50=0:j65fd983>!4?=3n<7c<74;28?lb1290/>5;5d69m6=2=921bh94?:%0;1?b03g8387<4;hf0>5<#:1?1h:5a29697>=nl;0;6)<75;f4?k4?<3>07dj>:18'6=3=l>1e>5:55:9j`5<72-8397j8;o0;0?0<3`im6=4+2979`2=i:1>1;65fcd83>!4?=3n<7c<74;:8?lec290/>5;5d69m6=2=121bon4?:%0;1?b03g8387o4;haa>5<#:1?1h:5a2969f>=nk00;6)<75;f4?k4?<3i07dm7:18'6=3=l>1e>5:5d:9jg2<72-8397j8;o0;0?c<3`i=6=4+2979`2=i:1>1j65fc483>!4?=3n<7c<74;33?>od<3:1(?6::e58j7>328;07dm<:18'6=3=l>1e>5:51398mf4=83.9484k7:l1<1<6;21bo<4?:%0;1?b03g8387?;;:k`4?6=,;2>6i94n3:7>43<3`nm6=4+2979`2=i:1>1=;54ieg94?"50<0o;6`=85823>=nlm0;6)<75;f4?k4?<3;376gkc;29 7>22m=0b?6;:0;8?lbe290/>5;5d69m6=2=9h10eio50;&1<0<c?2d9494>b:9j`<<72-8397j8;o0;0?7d32co97>5$3:6>a1<f;2?6<j4;hab>5<#:1?1h:5a29695`=<akl1<7*=848g3>h50=0:j65f11594?"50<0:<;5a29694>=n99?1<7*=848243=i:1>1=65f11694?"50<0:<;5a29696>=n9991<7*=848243=i:1>1?65f11a94?"50<0:<o5a29694>=n99k1<7*=84824g=i:1>1=65f11;94?"50<0:<o5a29696>=n9921<7*=84824g=i:1>1?65f30;94?"50<08=55a29694>=n;8=1<7*=84805==i:1>1=65f30494?"50<08=55a29696>=n;8?1<7*=84805==i:1>1?65f30g94?"50<08=i5a29694>=n;8i1<7*=84805a=i:1>1=65f30`94?"50<08=i5a29696>=n;8k1<7*=84805a=i:1>1?65`13494?"50<0:>85a29694>=h9;>1<7*=848260=i:1>1=65`13094?"50<0:>85a29696>=h9;;1<7*=848260=i:1>1?65`13294?"50<0:>85a29690>=h98l1<7*=848260=i:1>1965`10g94?"50<0:>85a29692>=h98n1<7*=848260=i:1>1;65`10a94?"50<0:>85a2969<>=h98h1<7*=848260=i:1>1565`10c94?"50<0:>85a2969e>=h9831<7*=848260=i:1>1n65`10594?"50<0:>85a2969g>=h98<1<7*=848260=i:1>1h65`10794?"50<0:>85a2969a>=h98>1<7*=848260=i:1>1j65`10194?"50<0:>85a296955=<g8;96=4+2979573<f;2?6<?4;n325?6=,;2>6<<:;o0;0?7532e:==4?:%0;1?75=2d9494>3:9l55`=83.9484>249m6=2=9=10c<>j:18'6=3=9;?0b?6;:078?j75l3:1(?6::006?k4?<3;=76a>2b83>!4?=3;996`=85823>=h9;h1<7*=848260=i:1>1=554o00b>5<#:1?1=?;4n3:7>4?<3f;957>5$3:6>4423g8387?n;:m26=<72-8397?=5:l1<1<6j21d=?950;&1<0<6:<1e>5:51b98k444290/>5;51378j7>328n07b?>8;29 7>2288>7c<74;3f?>i68m0;6)<75;311>h50=0:j65`15:94?"50<0:8:5a29694>=h9=<1<7*=848202=i:1>1=65`15694?"50<0:8:5a29696>=h9=91<7*=848202=i:1>1?65`15094?"50<0:8:5a29690>=h9=;1<7*=848202=i:1>1965`15294?"50<0:8:5a29692>=h9:l1<7*=848202=i:1>1;65`12g94?"50<0:8:5a2969<>=h9:n1<7*=848202=i:1>1565`12a94?"50<0:8:5a2969e>=h9:h1<7*=848202=i:1>1n65`12;94?"50<0:8:5a2969g>=h9:21<7*=848202=i:1>1h65`12594?"50<0:8:5a2969a>=h9:<1<7*=848202=i:1>1j65`12794?"50<0:8:5a296955=<g89?6=4+2979511<f;2?6<?4;n307?6=,;2>6<:8;o0;0?7532e:??4?:%0;1?73?2d9494>3:9l567=83.9484>469m6=2=9=10c<=?:18'6=3=9==0b?6;:078?j73n3:1(?6::064?k4?<3;=76a>4d83>!4?=3;?;6`=85823>=h9=n1<7*=848202=i:1>1=554o06`>5<#:1?1=994n3:7>4?<3f;?n7>5$3:6>4203g8387?n;:m20d<72-8397?;7:l1<1<6j21d=9750;&1<0<6<>1e>5:51b98k422290/>5;51558j7>328n07b?<a;29 7>228><7c<74;3f?>i6:o0;6)<75;373>h50=0:j65`14794?"50<0:995a29694>=h9<91<7*=848211=i:1>1=65`14094?"50<0:995a29696>=h9<;1<7*=848211=i:1>1?65`14c94?"50<0:945a29694>=h9<21<7*=84821<=i:1>1=65`14594?"50<0:945a29696>=h9<<1<7*=84821<=i:1>1?65rbd:7>5<d:3:1<v*<0b81ad=O;:30D>?>;[4a>f}a28:1>n4=d;16>60=;>0847<j:3d97<<4i3w/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d0962c<,8o;6?5+1d396>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0g7>4>23-;n97?75:&1ag<5?l1/>hm526g8j7`a201e?=>59:&043<5?l1/=4j53:&2=`<43-99<7=>f:&064<49o1e??<59:l066<>3g;9i7>4n073>5=#;9?1=5;4$22;>7=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'75?=:2c=h7>5;h4f>5<<a>91<75f7583>>o6090;66g<0083>>o48;0;66g>8083>>o48h0;66g<0c83>>i1n3:17b9?:188m1e=83.9484;b:l1<1<732c?m7>5$3:6>1d<f;2?6<54i5;94?"50<0?n6`=8581?>o303:1(?6::5`8j7>32:10e9950;&1<0<3j2d9494;;:k61?6=,;2>69l4n3:7>0=<a<>1<7*=8487f>h50=0=76g:3;29 7>22=h0b?6;:698m04=83.9484;b:l1<1<?32c>=7>5$3:6>1d<f;2?6454i4294?"50<0?n6`=858b?>o3n3:1(?6::5`8j7>32k10e9k50;&1<0<3j2d9494l;:k7`?6=,;2>69l4n3:7>a=<a=<1<7*=8487f>h50=0n76g:c;29 7>22<h0b?6;:198m0g=83.9484:b:l1<1<632c>57>5$3:6>0d<f;2?6?54i4:94?"50<0>n6`=8580?>o2?3:1(?6::4`8j7>32=10e;;50;&1<0<2j2d9494:;:k50?6=,;2>68l4n3:7>3=<a?91<7*=8486f>h50=0<76g92;29 7>22<h0b?6;:998m37=83.9484:b:l1<1<>32c=<7>5$3:6>0d<f;2?6l54i4d94?"50<0>n6`=858a?>o2m3:1(?6::4`8j7>32j10e8j50;&1<0<2j2d9494k;:k62?6=,;2>68l4n3:7>`=<ak=1<7*=848a2>h50=0;76gm5;29 7>22k<0b?6;:098mg5=83.9484m6:l1<1<532ci>7>5$3:6>g0<f;2?6>54ic394?"50<0i:6`=8587?>oe83:1(?6::c48j7>32<10elh50;&1<0<e>2d94949;:kba?6=,;2>6o84n3:7>2=<ahn1<7*=848a2>h50=0376gnc;29 7>22k<0b?6;:898mdd=83.9484m6:l1<1<f32cjm7>5$3:6>g0<f;2?6o54i`:94?"50<0i:6`=858`?>of?3:1(?6::c48j7>32m10el850;&1<0<e>2d9494j;:kb1?6=,;2>6o84n3:7>c=<ah>1<7*=848a2>h50=0:<65fa283>!4?=3h=7c<74;32?>of:3:1(?6::c48j7>328807do>:18'6=3=j?1e>5:51298md6=83.9484m6:l1<1<6<21b5k4?:%0;1?d13g8387?:;:kaa?6=,;2>6o84n3:7>40<3`ho6=4+2979f3=i:1>1=:54ica94?"50<0i:6`=8582<>=njk0;6)<75;`5?k4?<3;276gma;29 7>22k<0b?6;:0c8?ld>290/>5;5b79m6=2=9k10eo650;&1<0<e>2d9494>c:9jf1<72-8397l9;o0;0?7c32cj57>5$3:6>g0<f;2?6<k4;h;f>5<#:1?1n;5a29695c=<am21<7*=848g3>h50=0;76gk6;29 7>22m=0b?6;:098ma2=83.9484k7:l1<1<532co?7>5$3:6>a1<f;2?6>54ie094?"50<0o;6`=8587?>oc93:1(?6::e58j7>32<10ei>50;&1<0<c?2d94949;:k`b?6=,;2>6i94n3:7>2=<ajo1<7*=848g3>h50=0376gld;29 7>22m=0b?6;:898mfe=83.9484k7:l1<1<f32chn7>5$3:6>a1<f;2?6o54ib;94?"50<0o;6`=858`?>od03:1(?6::e58j7>32m10en950;&1<0<c?2d9494j;:k`2?6=,;2>6i94n3:7>c=<aj?1<7*=848g3>h50=0:<65fc583>!4?=3n<7c<74;32?>od;3:1(?6::e58j7>328807dm=:18'6=3=l>1e>5:51298mf7=83.9484k7:l1<1<6<21bo=4?:%0;1?b03g8387?:;:kgb?6=,;2>6i94n3:7>40<3`nn6=4+2979`2=i:1>1=:54ief94?"50<0o;6`=8582<>=nlj0;6)<75;f4?k4?<3;276gkb;29 7>22m=0b?6;:0c8?lbf290/>5;5d69m6=2=9k10ei750;&1<0<c?2d9494>c:9j`0<72-8397j8;o0;0?7c32chm7>5$3:6>a1<f;2?6<k4;h`e>5<#:1?1h:5a29695c=<a8:<6=4+2979550<f;2?6=54i026>5<#:1?1==84n3:7>4=<a8:?6=4+2979550<f;2?6?54i020>5<#:1?1==84n3:7>6=<a8:h6=4+297955d<f;2?6=54i02b>5<#:1?1==l4n3:7>4=<a8:26=4+297955d<f;2?6?54i02;>5<#:1?1==l4n3:7>6=<a:;26=4+297974><f;2?6=54i234>5<#:1?1?<64n3:7>4=<a:;=6=4+297974><f;2?6?54i236>5<#:1?1?<64n3:7>6=<a:;n6=4+297974b<f;2?6=54i23`>5<#:1?1?<j4n3:7>4=<a:;i6=4+297974b<f;2?6?54i23b>5<#:1?1?<j4n3:7>6=<g88=6=4+2979573<f;2?6=54o007>5<#:1?1=?;4n3:7>4=<g8896=4+2979573<f;2?6?54o002>5<#:1?1=?;4n3:7>6=<g88;6=4+2979573<f;2?6954o03e>5<#:1?1=?;4n3:7>0=<g8;n6=4+2979573<f;2?6;54o03g>5<#:1?1=?;4n3:7>2=<g8;h6=4+2979573<f;2?6554o03a>5<#:1?1=?;4n3:7><=<g8;j6=4+2979573<f;2?6l54o03:>5<#:1?1=?;4n3:7>g=<g8;<6=4+2979573<f;2?6n54o035>5<#:1?1=?;4n3:7>a=<g8;>6=4+2979573<f;2?6h54o037>5<#:1?1=?;4n3:7>c=<g8;86=4+2979573<f;2?6<>4;n326?6=,;2>6<<:;o0;0?7632e:=<4?:%0;1?75=2d9494>2:9l546=83.9484>249m6=2=9:10c<>i:18'6=3=9;?0b?6;:068?j77m3:1(?6::006?k4?<3;>76a>2e83>!4?=3;996`=85822>=h9;i1<7*=848260=i:1>1=:54o00a>5<#:1?1=?;4n3:7>4><3f;9m7>5$3:6>4423g8387?6;:m26<<72-8397?=5:l1<1<6i21d=?650;&1<0<6:<1e>5:51c98k440290/>5;51378j7>328i07b?=3;29 7>2288>7c<74;3g?>i6910;6)<75;311>h50=0:i65`11f94?"50<0:>85a29695c=<g8>36=4+2979511<f;2?6=54o065>5<#:1?1=994n3:7>4=<g8>?6=4+2979511<f;2?6?54o060>5<#:1?1=994n3:7>6=<g8>96=4+2979511<f;2?6954o062>5<#:1?1=994n3:7>0=<g8>;6=4+2979511<f;2?6;54o01e>5<#:1?1=994n3:7>2=<g89n6=4+2979511<f;2?6554o01g>5<#:1?1=994n3:7><=<g89h6=4+2979511<f;2?6l54o01a>5<#:1?1=994n3:7>g=<g8926=4+2979511<f;2?6n54o01;>5<#:1?1=994n3:7>a=<g89<6=4+2979511<f;2?6h54o015>5<#:1?1=994n3:7>c=<g89>6=4+2979511<f;2?6<>4;n300?6=,;2>6<:8;o0;0?7632e:?>4?:%0;1?73?2d9494>2:9l564=83.9484>469m6=2=9:10c<=>:18'6=3=9==0b?6;:068?j7483:1(?6::064?k4?<3;>76a>4g83>!4?=3;?;6`=85822>=h9=o1<7*=848202=i:1>1=:54o06g>5<#:1?1=994n3:7>4><3f;?o7>5$3:6>4203g8387?6;:m20g<72-8397?;7:l1<1<6i21d=9o50;&1<0<6<>1e>5:51c98k42>290/>5;51558j7>328i07b?;5;29 7>228><7c<74;3g?>i6;h0;6)<75;373>h50=0:i65`13d94?"50<0:8:5a29695c=<g8?>6=4+2979502<f;2?6=54o070>5<#:1?1=8:4n3:7>4=<g8?96=4+2979502<f;2?6?54o072>5<#:1?1=8:4n3:7>6=<g8?j6=4+297950?<f;2?6=54o07;>5<#:1?1=874n3:7>4=<g8?<6=4+297950?<f;2?6?54o075>5<#:1?1=874n3:7>6=<uko397>5c383>5}#;9i1>ho4H21:?M5692P=n7mtf;33>7e=:m0897=9:2597=<5m38m6>753`8~ 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o96?9j;%3f4?4<,8o:6?5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;n87?75:&2a0<60<1/>hl526g8 7cd2;=n7c<if;;8j667201/?=8526g8 4?c2:1/=4k53:&065<49o1/???530d8j645201e??=59:l26`<73g;><7>4$226>4>23-9;47<4$0c3>6=#9h;1?6*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(>>6:39j2a<722c=i7>5;h50>5<<a>>1<75f19294?=n;9;1<75f31094?=n91;1<75f31c94?=n;9h1<75`6g83>>i083:17d:l:18'6=3=<k1e>5:50:9j0d<72-8397:m;o0;0?7<3`>26=4+29790g=i:1>1>65f4983>!4?=3>i7c<74;18?l20290/>5;54c9m6=2=<21b984?:%0;1?2e3g8387;4;h77>5<#:1?18o5a29692>=n=:0;6)<75;6a?k4?<3=07d;=:18'6=3=<k1e>5:58:9j14<72-8397:m;o0;0??<3`?;6=4+29790g=i:1>1m65f4g83>!4?=3>i7c<74;`8?l2b290/>5;54c9m6=2=k21b8i4?:%0;1?2e3g8387j4;h65>5<#:1?18o5a2969a>=n=j0;6)<75;7a?k4?<3:07d;n:18'6=3==k1e>5:51:9j1<<72-8397;m;o0;0?4<3`?36=4+29791g=i:1>1?65f5683>!4?=3?i7c<74;68?l02290/>5;55c9m6=2==21b:94?:%0;1?3e3g838784;h40>5<#:1?19o5a29693>=n>;0;6)<75;7a?k4?<3207d8>:18'6=3==k1e>5:59:9j25<72-8397;m;o0;0?g<3`?m6=4+29791g=i:1>1n65f5d83>!4?=3?i7c<74;a8?l3c290/>5;55c9m6=2=l21b9;4?:%0;1?3e3g8387k4;h`4>5<#:1?1n;5a29694>=nj<0;6)<75;`5?k4?<3;07dl<:18'6=3=j?1e>5:52:9jf7<72-8397l9;o0;0?5<3`h:6=4+2979f3=i:1>1865fb183>!4?=3h=7c<74;78?lga290/>5;5b79m6=2=>21bmh4?:%0;1?d13g838794;hcg>5<#:1?1n;5a2969<>=nij0;6)<75;`5?k4?<3307dom:18'6=3=j?1e>5:5a:9jed<72-8397l9;o0;0?d<3`k36=4+2979f3=i:1>1o65fa683>!4?=3h=7c<74;f8?lg1290/>5;5b79m6=2=m21bm84?:%0;1?d13g8387h4;hc7>5<#:1?1n;5a296955=<ah91<7*=848a2>h50=0:=65fa383>!4?=3h=7c<74;31?>of93:1(?6::c48j7>328907do?:18'6=3=j?1e>5:51598m<`=83.9484m6:l1<1<6=21bnh4?:%0;1?d13g8387?9;:ka`?6=,;2>6o84n3:7>41<3`hh6=4+2979f3=i:1>1=554ic`94?"50<0i:6`=8582=>=njh0;6)<75;`5?k4?<3;j76gm9;29 7>22k<0b?6;:0`8?ld?290/>5;5b79m6=2=9j10eo:50;&1<0<e>2d9494>d:9je<<72-8397l9;o0;0?7b32c2i7>5$3:6>g0<f;2?6<h4;hf;>5<#:1?1h:5a29694>=nl?0;6)<75;f4?k4?<3;07dj;:18'6=3=l>1e>5:52:9j`6<72-8397j8;o0;0?5<3`n96=4+2979`2=i:1>1865fd083>!4?=3n<7c<74;78?lb7290/>5;5d69m6=2=>21bok4?:%0;1?b03g838794;haf>5<#:1?1h:5a2969<>=nkm0;6)<75;f4?k4?<3307dml:18'6=3=l>1e>5:5a:9jgg<72-8397j8;o0;0?d<3`i26=4+2979`2=i:1>1o65fc983>!4?=3n<7c<74;f8?le0290/>5;5d69m6=2=m21bo;4?:%0;1?b03g8387h4;ha6>5<#:1?1h:5a296955=<aj>1<7*=848g3>h50=0:=65fc283>!4?=3n<7c<74;31?>od:3:1(?6::e58j7>328907dm>:18'6=3=l>1e>5:51598mf6=83.9484k7:l1<1<6=21bhk4?:%0;1?b03g8387?9;:kga?6=,;2>6i94n3:7>41<3`no6=4+2979`2=i:1>1=554iea94?"50<0o;6`=8582=>=nlk0;6)<75;f4?k4?<3;j76gka;29 7>22m=0b?6;:0`8?lb>290/>5;5d69m6=2=9j10ei;50;&1<0<c?2d9494>d:9jgd<72-8397j8;o0;0?7b32cij7>5$3:6>a1<f;2?6<h4;h333?6=,;2>6<>9;o0;0?6<3`;;97>5$3:6>4613g8387?4;h330?6=,;2>6<>9;o0;0?4<3`;;?7>5$3:6>4613g8387=4;h33g?6=,;2>6<>m;o0;0?6<3`;;m7>5$3:6>46e3g8387?4;h33=?6=,;2>6<>m;o0;0?4<3`;;47>5$3:6>46e3g8387=4;h12=?6=,;2>6>?7;o0;0?6<3`9:;7>5$3:6>67?3g8387?4;h122?6=,;2>6>?7;o0;0?4<3`9:97>5$3:6>67?3g8387=4;h12a?6=,;2>6>?k;o0;0?6<3`9:o7>5$3:6>67c3g8387?4;h12f?6=,;2>6>?k;o0;0?4<3`9:m7>5$3:6>67c3g8387=4;n312?6=,;2>6<<:;o0;0?6<3f;987>5$3:6>4423g8387?4;n316?6=,;2>6<<:;o0;0?4<3f;9=7>5$3:6>4423g8387=4;n314?6=,;2>6<<:;o0;0?2<3f;:j7>5$3:6>4423g8387;4;n32a?6=,;2>6<<:;o0;0?0<3f;:h7>5$3:6>4423g838794;n32g?6=,;2>6<<:;o0;0?><3f;:n7>5$3:6>4423g838774;n32e?6=,;2>6<<:;o0;0?g<3f;:57>5$3:6>4423g8387l4;n323?6=,;2>6<<:;o0;0?e<3f;::7>5$3:6>4423g8387j4;n321?6=,;2>6<<:;o0;0?c<3f;:87>5$3:6>4423g8387h4;n327?6=,;2>6<<:;o0;0?7732e:=?4?:%0;1?75=2d9494>1:9l547=83.9484>249m6=2=9;10c<??:18'6=3=9;?0b?6;:018?j77n3:1(?6::006?k4?<3;?76a>0d83>!4?=3;996`=85821>=h9;n1<7*=848260=i:1>1=;54o00`>5<#:1?1=?;4n3:7>41<3f;9n7>5$3:6>4423g8387?7;:m26d<72-8397?=5:l1<1<6121d=?750;&1<0<6:<1e>5:51`98k44?290/>5;51378j7>328h07b?=7;29 7>2288>7c<74;3`?>i6::0;6)<75;311>h50=0:h65`10:94?"50<0:>85a29695`=<g8:o6=4+2979573<f;2?6<h4;n37<?6=,;2>6<:8;o0;0?6<3f;?:7>5$3:6>4203g8387?4;n370?6=,;2>6<:8;o0;0?4<3f;??7>5$3:6>4203g8387=4;n376?6=,;2>6<:8;o0;0?2<3f;?=7>5$3:6>4203g8387;4;n374?6=,;2>6<:8;o0;0?0<3f;8j7>5$3:6>4203g838794;n30a?6=,;2>6<:8;o0;0?><3f;8h7>5$3:6>4203g838774;n30g?6=,;2>6<:8;o0;0?g<3f;8n7>5$3:6>4203g8387l4;n30=?6=,;2>6<:8;o0;0?e<3f;847>5$3:6>4203g8387j4;n303?6=,;2>6<:8;o0;0?c<3f;8:7>5$3:6>4203g8387h4;n301?6=,;2>6<:8;o0;0?7732e:?94?:%0;1?73?2d9494>1:9l565=83.9484>469m6=2=9;10c<==:18'6=3=9==0b?6;:018?j7493:1(?6::064?k4?<3;?76a>3183>!4?=3;?;6`=85821>=h9=l1<7*=848202=i:1>1=;54o06f>5<#:1?1=994n3:7>41<3f;?h7>5$3:6>4203g8387?7;:m20f<72-8397?;7:l1<1<6121d=9l50;&1<0<6<>1e>5:51`98k42f290/>5;51558j7>328h07b?;9;29 7>228><7c<74;3`?>i6<<0;6)<75;373>h50=0:h65`12c94?"50<0:8:5a29695`=<g88m6=4+2979511<f;2?6<h4;n361?6=,;2>6<;;;o0;0?6<3f;>?7>5$3:6>4333g8387?4;n366?6=,;2>6<;;;o0;0?4<3f;>=7>5$3:6>4333g8387=4;n36e?6=,;2>6<;6;o0;0?6<3f;>47>5$3:6>43>3g8387?4;n363?6=,;2>6<;6;o0;0?4<3f;>:7>5$3:6>43>3g8387=4;|`f<3<72j81<7>t$22`>7cf3A9856F<109Y2g<dso0:<7<l:3f970<4>39<6>652d81b?5>2:k1q)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f6?40m2.:i=4=;%3f5?4<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2a1<60<1/=h;51978 7ce2;=n7)<jc;04a>h5no027c=?0;;8 6612;=n7)?6d;18 4?b2:1/??>530d8 6462:;m7c==2;;8j644201e=?k50:l215<73-9;97?75:&04=<53-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!571380e;j50;9j2`<722c<?7>5;h57>5<<a82;6=44i222>5<<a::96=44i0:2>5<<a::j6=44i22a>5<<g?l1<75`7183>>o3k3:1(?6::5`8j7>32910e9o50;&1<0<3j2d9494>;:k7=?6=,;2>69l4n3:7>7=<a=21<7*=8487f>h50=0876g;7;29 7>22=h0b?6;:598m03=83.9484;b:l1<1<232c>87>5$3:6>1d<f;2?6;54i4194?"50<0?n6`=8584?>o2:3:1(?6::5`8j7>32110e8?50;&1<0<3j2d94946;:k64?6=,;2>69l4n3:7>d=<a=l1<7*=8487f>h50=0i76g;e;29 7>22=h0b?6;:b98m1b=83.9484;b:l1<1<c32c?:7>5$3:6>1d<f;2?6h54i4a94?"50<0>n6`=8583?>o2i3:1(?6::4`8j7>32810e8750;&1<0<2j2d9494=;:k6<?6=,;2>68l4n3:7>6=<a<=1<7*=8486f>h50=0?76g95;29 7>22<h0b?6;:498m32=83.9484:b:l1<1<132c=?7>5$3:6>0d<f;2?6:54i7094?"50<0>n6`=858;?>o193:1(?6::4`8j7>32010e;>50;&1<0<2j2d9494n;:k6b?6=,;2>68l4n3:7>g=<a<o1<7*=8486f>h50=0h76g:d;29 7>22<h0b?6;:e98m00=83.9484:b:l1<1<b32ci;7>5$3:6>g0<f;2?6=54ic794?"50<0i:6`=8582?>oe;3:1(?6::c48j7>32;10eo<50;&1<0<e>2d9494<;:ka5?6=,;2>6o84n3:7>1=<ak:1<7*=848a2>h50=0>76gnf;29 7>22k<0b?6;:798mdc=83.9484m6:l1<1<032cjh7>5$3:6>g0<f;2?6554i`a94?"50<0i:6`=858:?>ofj3:1(?6::c48j7>32h10elo50;&1<0<e>2d9494m;:kb<?6=,;2>6o84n3:7>f=<ah=1<7*=848a2>h50=0o76gn6;29 7>22k<0b?6;:d98md3=83.9484m6:l1<1<a32cj87>5$3:6>g0<f;2?6<>4;hc0>5<#:1?1n;5a296954=<ah81<7*=848a2>h50=0:>65fa083>!4?=3h=7c<74;30?>of83:1(?6::c48j7>328>07d7i:18'6=3=j?1e>5:51498mgc=83.9484m6:l1<1<6>21bni4?:%0;1?d13g8387?8;:kag?6=,;2>6o84n3:7>4><3`hi6=4+2979f3=i:1>1=454icc94?"50<0i:6`=8582e>=nj00;6)<75;`5?k4?<3;i76gm8;29 7>22k<0b?6;:0a8?ld3290/>5;5b79m6=2=9m10el750;&1<0<e>2d9494>e:9j=`<72-8397l9;o0;0?7a32co47>5$3:6>a1<f;2?6=54ie494?"50<0o;6`=8582?>oc<3:1(?6::e58j7>32;10ei=50;&1<0<c?2d9494<;:kg6?6=,;2>6i94n3:7>1=<am;1<7*=848g3>h50=0>76gk0;29 7>22m=0b?6;:798mf`=83.9484k7:l1<1<032chi7>5$3:6>a1<f;2?6554ibf94?"50<0o;6`=858:?>odk3:1(?6::e58j7>32h10enl50;&1<0<c?2d9494m;:k`=?6=,;2>6i94n3:7>f=<aj21<7*=848g3>h50=0o76gl7;29 7>22m=0b?6;:d98mf0=83.9484k7:l1<1<a32ch97>5$3:6>a1<f;2?6<>4;ha7>5<#:1?1h:5a296954=<aj91<7*=848g3>h50=0:>65fc383>!4?=3n<7c<74;30?>od93:1(?6::e58j7>328>07dm?:18'6=3=l>1e>5:51498ma`=83.9484k7:l1<1<6>21bhh4?:%0;1?b03g8387?8;:kg`?6=,;2>6i94n3:7>4><3`nh6=4+2979`2=i:1>1=454ie`94?"50<0o;6`=8582e>=nlh0;6)<75;f4?k4?<3;i76gk9;29 7>22m=0b?6;:0a8?lb2290/>5;5d69m6=2=9m10eno50;&1<0<c?2d9494>e:9jfc<72-8397j8;o0;0?7a32c:<:4?:%0;1?77>2d9494?;:k240<72-8397??6:l1<1<632c:<94?:%0;1?77>2d9494=;:k246<72-8397??6:l1<1<432c:<n4?:%0;1?77j2d9494?;:k24d<72-8397??b:l1<1<632c:<44?:%0;1?77j2d9494=;:k24=<72-8397??b:l1<1<432c8=44?:%0;1?5602d9494?;:k052<72-8397=>8:l1<1<632c8=;4?:%0;1?5602d9494=;:k050<72-8397=>8:l1<1<432c8=h4?:%0;1?56l2d9494?;:k05f<72-8397=>d:l1<1<632c8=o4?:%0;1?56l2d9494=;:k05d<72-8397=>d:l1<1<432e:>;4?:%0;1?75=2d9494?;:m261<72-8397?=5:l1<1<632e:>?4?:%0;1?75=2d9494=;:m264<72-8397?=5:l1<1<432e:>=4?:%0;1?75=2d9494;;:m25c<72-8397?=5:l1<1<232e:=h4?:%0;1?75=2d94949;:m25a<72-8397?=5:l1<1<032e:=n4?:%0;1?75=2d94947;:m25g<72-8397?=5:l1<1<>32e:=l4?:%0;1?75=2d9494n;:m25<<72-8397?=5:l1<1<e32e:=:4?:%0;1?75=2d9494l;:m253<72-8397?=5:l1<1<c32e:=84?:%0;1?75=2d9494j;:m251<72-8397?=5:l1<1<a32e:=>4?:%0;1?75=2d9494>0:9l544=83.9484>249m6=2=9810c<?>:18'6=3=9;?0b?6;:008?j7683:1(?6::006?k4?<3;876a>0g83>!4?=3;996`=85820>=h99o1<7*=848260=i:1>1=854o00g>5<#:1?1=?;4n3:7>40<3f;9o7>5$3:6>4423g8387?8;:m26g<72-8397?=5:l1<1<6021d=?o50;&1<0<6:<1e>5:51898k44>290/>5;51378j7>328k07b?=8;29 7>2288>7c<74;3a?>i6:>0;6)<75;311>h50=0:o65`13194?"50<0:>85a29695a=<g8;36=4+2979573<f;2?6<k4;n33`?6=,;2>6<<:;o0;0?7a32e:854?:%0;1?73?2d9494?;:m203<72-8397?;7:l1<1<632e:894?:%0;1?73?2d9494=;:m206<72-8397?;7:l1<1<432e:8?4?:%0;1?73?2d9494;;:m204<72-8397?;7:l1<1<232e:8=4?:%0;1?73?2d94949;:m27c<72-8397?;7:l1<1<032e:?h4?:%0;1?73?2d94947;:m27a<72-8397?;7:l1<1<>32e:?n4?:%0;1?73?2d9494n;:m27g<72-8397?;7:l1<1<e32e:?44?:%0;1?73?2d9494l;:m27=<72-8397?;7:l1<1<c32e:?:4?:%0;1?73?2d9494j;:m273<72-8397?;7:l1<1<a32e:?84?:%0;1?73?2d9494>0:9l562=83.9484>469m6=2=9810c<=<:18'6=3=9==0b?6;:008?j74:3:1(?6::064?k4?<3;876a>3083>!4?=3;?;6`=85820>=h9::1<7*=848202=i:1>1=854o06e>5<#:1?1=994n3:7>40<3f;?i7>5$3:6>4203g8387?8;:m20a<72-8397?;7:l1<1<6021d=9m50;&1<0<6<>1e>5:51898k42e290/>5;51558j7>328k07b?;a;29 7>228><7c<74;3a?>i6<00;6)<75;373>h50=0:o65`15794?"50<0:8:5a29695a=<g89j6=4+2979511<f;2?6<k4;n31b?6=,;2>6<:8;o0;0?7a32e:984?:%0;1?72<2d9494?;:m216<72-8397?:4:l1<1<632e:9?4?:%0;1?72<2d9494=;:m214<72-8397?:4:l1<1<432e:9l4?:%0;1?7212d9494?;:m21=<72-8397?:9:l1<1<632e:9:4?:%0;1?7212d9494=;:m213<72-8397?:9:l1<1<432wii5950;a1>5<7s-9;o7<ja:J07<=O;8;0V;l5czd955<5k38o6>;537803?5?2;o1>k4<9;1b>x"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i?4=7d9'5`6=:2.:i<4=;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=h:51978 4c2282>7)<jb;04a>"5mj09;h5a2gd9=>h489027)=?6;04a>"61m087)?6e;18 6472:;m7)==1;12b>h4:;027c==3;;8j44b291e=8>50:&040<60<1/?=652:&2e5<43-;j=7=4$0c1>6=#9h91?6*>a580?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*<0881?l0c2900e;k50;9j36<722c<87>5;h3;4?6=3`9;=7>5;h136?6=3`;3=7>5;h13e?6=3`9;n7>5;n4e>5<<g>:1<75f4b83>!4?=3>i7c<74;28?l2f290/>5;54c9m6=2=921b844?:%0;1?2e3g8387<4;h6;>5<#:1?18o5a29697>=n<>0;6)<75;6a?k4?<3>07d;::18'6=3=<k1e>5:55:9j11<72-8397:m;o0;0?0<3`?86=4+29790g=i:1>1;65f5383>!4?=3>i7c<74;:8?l36290/>5;54c9m6=2=121b9=4?:%0;1?2e3g8387o4;h6e>5<#:1?18o5a2969f>=n<l0;6)<75;6a?k4?<3i07d:k:18'6=3=<k1e>5:5d:9j03<72-8397:m;o0;0?c<3`?h6=4+29791g=i:1>1<65f5`83>!4?=3?i7c<74;38?l3>290/>5;55c9m6=2=:21b954?:%0;1?3e3g8387=4;h74>5<#:1?19o5a29690>=n><0;6)<75;7a?k4?<3?07d8;:18'6=3==k1e>5:56:9j26<72-8397;m;o0;0?1<3`<96=4+29791g=i:1>1465f6083>!4?=3?i7c<74;;8?l07290/>5;55c9m6=2=i21b9k4?:%0;1?3e3g8387l4;h7f>5<#:1?19o5a2969g>=n=m0;6)<75;7a?k4?<3n07d;9:18'6=3==k1e>5:5e:9jf2<72-8397l9;o0;0?6<3`h>6=4+2979f3=i:1>1=65fb283>!4?=3h=7c<74;08?ld5290/>5;5b79m6=2=;21bn<4?:%0;1?d13g8387:4;h`3>5<#:1?1n;5a29691>=nio0;6)<75;`5?k4?<3<07doj:18'6=3=j?1e>5:57:9jea<72-8397l9;o0;0?><3`kh6=4+2979f3=i:1>1565fac83>!4?=3h=7c<74;c8?lgf290/>5;5b79m6=2=j21bm54?:%0;1?d13g8387m4;hc4>5<#:1?1n;5a2969`>=ni?0;6)<75;`5?k4?<3o07do::18'6=3=j?1e>5:5f:9je1<72-8397l9;o0;0?7732cj?7>5$3:6>g0<f;2?6<?4;hc1>5<#:1?1n;5a296957=<ah;1<7*=848a2>h50=0:?65fa183>!4?=3h=7c<74;37?>o>n3:1(?6::c48j7>328?07dlj:18'6=3=j?1e>5:51798mgb=83.9484m6:l1<1<6?21bnn4?:%0;1?d13g8387?7;:kaf?6=,;2>6o84n3:7>4?<3`hj6=4+2979f3=i:1>1=l54ic;94?"50<0i:6`=8582f>=nj10;6)<75;`5?k4?<3;h76gm4;29 7>22k<0b?6;:0f8?lg>290/>5;5b79m6=2=9l10e4k50;&1<0<e>2d9494>f:9j`=<72-8397j8;o0;0?6<3`n=6=4+2979`2=i:1>1=65fd583>!4?=3n<7c<74;08?lb4290/>5;5d69m6=2=;21bh?4?:%0;1?b03g8387:4;hf2>5<#:1?1h:5a29691>=nl90;6)<75;f4?k4?<3<07dmi:18'6=3=l>1e>5:57:9jg`<72-8397j8;o0;0?><3`io6=4+2979`2=i:1>1565fcb83>!4?=3n<7c<74;c8?lee290/>5;5d69m6=2=j21bo44?:%0;1?b03g8387m4;ha;>5<#:1?1h:5a2969`>=nk>0;6)<75;f4?k4?<3o07dm9:18'6=3=l>1e>5:5f:9jg0<72-8397j8;o0;0?7732ch87>5$3:6>a1<f;2?6<?4;ha0>5<#:1?1h:5a296957=<aj81<7*=848g3>h50=0:?65fc083>!4?=3n<7c<74;37?>od83:1(?6::e58j7>328?07dji:18'6=3=l>1e>5:51798mac=83.9484k7:l1<1<6?21bhi4?:%0;1?b03g8387?7;:kgg?6=,;2>6i94n3:7>4?<3`ni6=4+2979`2=i:1>1=l54iec94?"50<0o;6`=8582f>=nl00;6)<75;f4?k4?<3;h76gk5;29 7>22m=0b?6;:0f8?lef290/>5;5d69m6=2=9l10eoh50;&1<0<c?2d9494>f:9j551=83.9484>079m6=2=821b==;50;&1<0<68?1e>5:51:9j552=83.9484>079m6=2=:21b===50;&1<0<68?1e>5:53:9j55e=83.9484>0c9m6=2=821b==o50;&1<0<68k1e>5:51:9j55?=83.9484>0c9m6=2=:21b==650;&1<0<68k1e>5:53:9j74?=83.9484<199m6=2=821b?<950;&1<0<4911e>5:51:9j740=83.9484<199m6=2=:21b?<;50;&1<0<4911e>5:53:9j74c=83.9484<1e9m6=2=821b?<m50;&1<0<49m1e>5:51:9j74d=83.9484<1e9m6=2=:21b?<o50;&1<0<49m1e>5:53:9l570=83.9484>249m6=2=821d=?:50;&1<0<6:<1e>5:51:9l574=83.9484>249m6=2=:21d=??50;&1<0<6:<1e>5:53:9l576=83.9484>249m6=2=<21d=<h50;&1<0<6:<1e>5:55:9l54c=83.9484>249m6=2=>21d=<j50;&1<0<6:<1e>5:57:9l54e=83.9484>249m6=2=021d=<l50;&1<0<6:<1e>5:59:9l54g=83.9484>249m6=2=i21d=<750;&1<0<6:<1e>5:5b:9l541=83.9484>249m6=2=k21d=<850;&1<0<6:<1e>5:5d:9l543=83.9484>249m6=2=m21d=<:50;&1<0<6:<1e>5:5f:9l545=83.9484>249m6=2=9910c<?=:18'6=3=9;?0b?6;:038?j7693:1(?6::006?k4?<3;976a>1183>!4?=3;996`=85827>=h99l1<7*=848260=i:1>1=954o02f>5<#:1?1=?;4n3:7>43<3f;9h7>5$3:6>4423g8387?9;:m26f<72-8397?=5:l1<1<6?21d=?l50;&1<0<6:<1e>5:51998k44f290/>5;51378j7>328307b?=9;29 7>2288>7c<74;3b?>i6:10;6)<75;311>h50=0:n65`13594?"50<0:>85a29695f=<g8886=4+2979573<f;2?6<j4;n32<?6=,;2>6<<:;o0;0?7b32e:<i4?:%0;1?75=2d9494>f:9l51>=83.9484>469m6=2=821d=9850;&1<0<6<>1e>5:51:9l512=83.9484>469m6=2=:21d=9=50;&1<0<6<>1e>5:53:9l514=83.9484>469m6=2=<21d=9?50;&1<0<6<>1e>5:55:9l516=83.9484>469m6=2=>21d=>h50;&1<0<6<>1e>5:57:9l56c=83.9484>469m6=2=021d=>j50;&1<0<6<>1e>5:59:9l56e=83.9484>469m6=2=i21d=>l50;&1<0<6<>1e>5:5b:9l56?=83.9484>469m6=2=k21d=>650;&1<0<6<>1e>5:5d:9l561=83.9484>469m6=2=m21d=>850;&1<0<6<>1e>5:5f:9l563=83.9484>469m6=2=9910c<=;:18'6=3=9==0b?6;:038?j74;3:1(?6::064?k4?<3;976a>3383>!4?=3;?;6`=85827>=h9:;1<7*=848202=i:1>1=954o013>5<#:1?1=994n3:7>43<3f;?j7>5$3:6>4203g8387?9;:m20`<72-8397?;7:l1<1<6?21d=9j50;&1<0<6<>1e>5:51998k42d290/>5;51558j7>328307b?;b;29 7>228><7c<74;3b?>i6<h0;6)<75;373>h50=0:n65`15;94?"50<0:8:5a29695f=<g8>>6=4+2979511<f;2?6<j4;n30e?6=,;2>6<:8;o0;0?7b32e:>k4?:%0;1?73?2d9494>f:9l503=83.9484>559m6=2=821d=8=50;&1<0<6==1e>5:51:9l504=83.9484>559m6=2=:21d=8?50;&1<0<6==1e>5:53:9l50g=83.9484>589m6=2=821d=8650;&1<0<6=01e>5:51:9l501=83.9484>589m6=2=:21d=8850;&1<0<6=01e>5:53:9~f`>?290h>7>50z&04f<5mh1C?>74H232?_0e2jqm6<>52b81`?522:<1?:4<8;0f>7`=;008m7s+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`4=:>o0(<k?:39'5`7=:2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4c3282>7)?j5;3;1>"5mk09;h5+2da962c<f;lm645a3129=>"48?09;h5+18f97>"61l087)==0;12b>"4:808=k5a3309=>h4::027c?=e;28j437291/?=;51978 66?2;1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#;931>6g9d;29?l0b2900e:=50;9j31<722c:4=4?::k044<722c8<?4?::k2<4<722c8<l4?::k04g<722e=j7>5;n53>5<<a=i1<7*=8487f>h50=0;76g;a;29 7>22=h0b?6;:098m1?=83.9484;b:l1<1<532c?47>5$3:6>1d<f;2?6>54i5594?"50<0?n6`=8587?>o2=3:1(?6::5`8j7>32<10e8:50;&1<0<3j2d94949;:k67?6=,;2>69l4n3:7>2=<a<81<7*=8487f>h50=0376g:1;29 7>22=h0b?6;:898m06=83.9484;b:l1<1<f32c?j7>5$3:6>1d<f;2?6o54i5g94?"50<0?n6`=858`?>o3l3:1(?6::5`8j7>32m10e9850;&1<0<3j2d9494j;:k6g?6=,;2>68l4n3:7>5=<a<k1<7*=8486f>h50=0:76g:9;29 7>22<h0b?6;:398m0>=83.9484:b:l1<1<432c>;7>5$3:6>0d<f;2?6954i7794?"50<0>n6`=8586?>o1<3:1(?6::4`8j7>32?10e;=50;&1<0<2j2d94948;:k56?6=,;2>68l4n3:7>==<a?;1<7*=8486f>h50=0276g90;29 7>22<h0b?6;:`98m0`=83.9484:b:l1<1<e32c>i7>5$3:6>0d<f;2?6n54i4f94?"50<0>n6`=858g?>o2>3:1(?6::4`8j7>32l10eo950;&1<0<e>2d9494?;:ka1?6=,;2>6o84n3:7>4=<ak91<7*=848a2>h50=0976gm2;29 7>22k<0b?6;:298mg7=83.9484m6:l1<1<332ci<7>5$3:6>g0<f;2?6854i`d94?"50<0i:6`=8585?>ofm3:1(?6::c48j7>32>10elj50;&1<0<e>2d94947;:kbg?6=,;2>6o84n3:7><=<ahh1<7*=848a2>h50=0j76gna;29 7>22k<0b?6;:c98md>=83.9484m6:l1<1<d32cj;7>5$3:6>g0<f;2?6i54i`494?"50<0i:6`=858f?>of=3:1(?6::c48j7>32o10el:50;&1<0<e>2d9494>0:9je6<72-8397l9;o0;0?7632cj>7>5$3:6>g0<f;2?6<<4;hc2>5<#:1?1n;5a296956=<ah:1<7*=848a2>h50=0:865f9g83>!4?=3h=7c<74;36?>oem3:1(?6::c48j7>328<07dlk:18'6=3=j?1e>5:51698mge=83.9484m6:l1<1<6021bno4?:%0;1?d13g8387?6;:kae?6=,;2>6o84n3:7>4g<3`h26=4+2979f3=i:1>1=o54ic:94?"50<0i:6`=8582g>=nj=0;6)<75;`5?k4?<3;o76gn9;29 7>22k<0b?6;:0g8?l?b290/>5;5b79m6=2=9o10ei650;&1<0<c?2d9494?;:kg2?6=,;2>6i94n3:7>4=<am>1<7*=848g3>h50=0976gk3;29 7>22m=0b?6;:298ma4=83.9484k7:l1<1<332co=7>5$3:6>a1<f;2?6854ie294?"50<0o;6`=8585?>odn3:1(?6::e58j7>32>10enk50;&1<0<c?2d94947;:k``?6=,;2>6i94n3:7><=<aji1<7*=848g3>h50=0j76glb;29 7>22m=0b?6;:c98mf?=83.9484k7:l1<1<d32ch47>5$3:6>a1<f;2?6i54ib594?"50<0o;6`=858f?>od>3:1(?6::e58j7>32o10en;50;&1<0<c?2d9494>0:9jg1<72-8397j8;o0;0?7632ch?7>5$3:6>a1<f;2?6<<4;ha1>5<#:1?1h:5a296956=<aj;1<7*=848g3>h50=0:865fc183>!4?=3n<7c<74;36?>ocn3:1(?6::e58j7>328<07djj:18'6=3=l>1e>5:51698mab=83.9484k7:l1<1<6021bhn4?:%0;1?b03g8387?6;:kgf?6=,;2>6i94n3:7>4g<3`nj6=4+2979`2=i:1>1=o54ie;94?"50<0o;6`=8582g>=nl<0;6)<75;f4?k4?<3;o76gla;29 7>22m=0b?6;:0g8?lda290/>5;5d69m6=2=9o10e<>8:18'6=3=99<0b?6;:198m462290/>5;51148j7>32810e<>;:18'6=3=99<0b?6;:398m464290/>5;51148j7>32:10e<>l:18'6=3=99h0b?6;:198m46f290/>5;511`8j7>32810e<>6:18'6=3=99h0b?6;:398m46?290/>5;511`8j7>32:10e>?6:18'6=3=;820b?6;:198m670290/>5;530:8j7>32810e>?9:18'6=3=;820b?6;:398m672290/>5;530:8j7>32:10e>?j:18'6=3=;8n0b?6;:198m67d290/>5;530f8j7>32810e>?m:18'6=3=;8n0b?6;:398m67f290/>5;530f8j7>32:10c<<9:18'6=3=9;?0b?6;:198k443290/>5;51378j7>32810c<<=:18'6=3=9;?0b?6;:398k446290/>5;51378j7>32:10c<<?:18'6=3=9;?0b?6;:598k47a290/>5;51378j7>32<10c<?j:18'6=3=9;?0b?6;:798k47c290/>5;51378j7>32>10c<?l:18'6=3=9;?0b?6;:998k47e290/>5;51378j7>32010c<?n:18'6=3=9;?0b?6;:`98k47>290/>5;51378j7>32k10c<?8:18'6=3=9;?0b?6;:b98k471290/>5;51378j7>32m10c<?::18'6=3=9;?0b?6;:d98k473290/>5;51378j7>32o10c<?<:18'6=3=9;?0b?6;:028?j76:3:1(?6::006?k4?<3;:76a>1083>!4?=3;996`=85826>=h98:1<7*=848260=i:1>1=>54o02e>5<#:1?1=?;4n3:7>42<3f;;i7>5$3:6>4423g8387?:;:m26a<72-8397?=5:l1<1<6>21d=?m50;&1<0<6:<1e>5:51698k44e290/>5;51378j7>328207b?=a;29 7>2288>7c<74;3:?>i6:00;6)<75;311>h50=0:m65`13:94?"50<0:>85a29695g=<g88<6=4+2979573<f;2?6<m4;n317?6=,;2>6<<:;o0;0?7c32e:=54?:%0;1?75=2d9494>e:9l55b=83.9484>249m6=2=9o10c<:7:18'6=3=9==0b?6;:198k421290/>5;51558j7>32810c<:;:18'6=3=9==0b?6;:398k424290/>5;51558j7>32:10c<:=:18'6=3=9==0b?6;:598k426290/>5;51558j7>32<10c<:?:18'6=3=9==0b?6;:798k45a290/>5;51558j7>32>10c<=j:18'6=3=9==0b?6;:998k45c290/>5;51558j7>32010c<=l:18'6=3=9==0b?6;:`98k45e290/>5;51558j7>32k10c<=6:18'6=3=9==0b?6;:b98k45?290/>5;51558j7>32m10c<=8:18'6=3=9==0b?6;:d98k451290/>5;51558j7>32o10c<=::18'6=3=9==0b?6;:028?j74<3:1(?6::064?k4?<3;:76a>3283>!4?=3;?;6`=85826>=h9:81<7*=848202=i:1>1=>54o012>5<#:1?1=994n3:7>42<3f;8<7>5$3:6>4203g8387?:;:m20c<72-8397?;7:l1<1<6>21d=9k50;&1<0<6<>1e>5:51698k42c290/>5;51558j7>328207b?;c;29 7>228><7c<74;3:?>i6<k0;6)<75;373>h50=0:m65`15c94?"50<0:8:5a29695g=<g8>26=4+2979511<f;2?6<m4;n371?6=,;2>6<:8;o0;0?7c32e:?l4?:%0;1?73?2d9494>e:9l57`=83.9484>469m6=2=9o10c<;::18'6=3=9<>0b?6;:198k434290/>5;51468j7>32810c<;=:18'6=3=9<>0b?6;:398k436290/>5;51468j7>32:10c<;n:18'6=3=9<30b?6;:198k43?290/>5;514;8j7>32810c<;8:18'6=3=9<30b?6;:398k431290/>5;514;8j7>32:10qok79;29g7<729q/?=m52dc8L65>3A9:=6T9b;axb?772;i1>i4<5;15>61=;109i7<i:2;97d<z,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k=:35f?!7b8380(<k>:39'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?j4;3;1>"6m<0:485+2d`962c<,;oh6?9j;o0eb??<f::;645+314962c<,83o6>5+18g97>"4:908=k5+333974`<f:89645a3319=>h6:l0;7c?:0;28 662282>7)=?8;08 4g72:1/=l?53:&2e7<43-;j?7=4$0c7>6=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$22:>7=n>m0;66g9e;29?l142900e::50;9j5=6=831b?=?50;9j754=831b=5?50;9j75g=831b?=l50;9l2c<722e<<7>5;h6`>5<#:1?18o5a29694>=n<h0;6)<75;6a?k4?<3;07d:6:18'6=3=<k1e>5:52:9j0=<72-8397:m;o0;0?5<3`><6=4+29790g=i:1>1865f5483>!4?=3>i7c<74;78?l33290/>5;54c9m6=2=>21b9>4?:%0;1?2e3g838794;h71>5<#:1?18o5a2969<>=n=80;6)<75;6a?k4?<3307d;?:18'6=3=<k1e>5:5a:9j0c<72-8397:m;o0;0?d<3`>n6=4+29790g=i:1>1o65f4e83>!4?=3>i7c<74;f8?l21290/>5;54c9m6=2=m21b9n4?:%0;1?3e3g8387>4;h7b>5<#:1?19o5a29695>=n=00;6)<75;7a?k4?<3807d;7:18'6=3==k1e>5:53:9j12<72-8397;m;o0;0?2<3`<>6=4+29791g=i:1>1965f6583>!4?=3?i7c<74;48?l04290/>5;55c9m6=2=?21b:?4?:%0;1?3e3g838764;h42>5<#:1?19o5a2969=>=n>90;6)<75;7a?k4?<3k07d;i:18'6=3==k1e>5:5b:9j1`<72-8397;m;o0;0?e<3`?o6=4+29791g=i:1>1h65f5783>!4?=3?i7c<74;g8?ld0290/>5;5b79m6=2=821bn84?:%0;1?d13g8387?4;h`0>5<#:1?1n;5a29696>=nj;0;6)<75;`5?k4?<3907dl>:18'6=3=j?1e>5:54:9jf5<72-8397l9;o0;0?3<3`km6=4+2979f3=i:1>1:65fad83>!4?=3h=7c<74;58?lgc290/>5;5b79m6=2=021bmn4?:%0;1?d13g838774;hca>5<#:1?1n;5a2969e>=nih0;6)<75;`5?k4?<3h07do7:18'6=3=j?1e>5:5c:9je2<72-8397l9;o0;0?b<3`k=6=4+2979f3=i:1>1i65fa483>!4?=3h=7c<74;d8?lg3290/>5;5b79m6=2=9910el=50;&1<0<e>2d9494>1:9je7<72-8397l9;o0;0?7532cj=7>5$3:6>g0<f;2?6<=4;hc3>5<#:1?1n;5a296951=<a0l1<7*=848a2>h50=0:965fbd83>!4?=3h=7c<74;35?>oel3:1(?6::c48j7>328=07dll:18'6=3=j?1e>5:51998mgd=83.9484m6:l1<1<6121bnl4?:%0;1?d13g8387?n;:ka=?6=,;2>6o84n3:7>4d<3`h36=4+2979f3=i:1>1=n54ic694?"50<0i:6`=8582`>=ni00;6)<75;`5?k4?<3;n76g6e;29 7>22k<0b?6;:0d8?lb?290/>5;5d69m6=2=821bh;4?:%0;1?b03g8387?4;hf7>5<#:1?1h:5a29696>=nl:0;6)<75;f4?k4?<3907dj=:18'6=3=l>1e>5:54:9j`4<72-8397j8;o0;0?3<3`n;6=4+2979`2=i:1>1:65fcg83>!4?=3n<7c<74;58?leb290/>5;5d69m6=2=021boi4?:%0;1?b03g838774;ha`>5<#:1?1h:5a2969e>=nkk0;6)<75;f4?k4?<3h07dm6:18'6=3=l>1e>5:5c:9jg=<72-8397j8;o0;0?b<3`i<6=4+2979`2=i:1>1i65fc783>!4?=3n<7c<74;d8?le2290/>5;5d69m6=2=9910en:50;&1<0<c?2d9494>1:9jg6<72-8397j8;o0;0?7532ch>7>5$3:6>a1<f;2?6<=4;ha2>5<#:1?1h:5a296951=<aj:1<7*=848g3>h50=0:965fdg83>!4?=3n<7c<74;35?>ocm3:1(?6::e58j7>328=07djk:18'6=3=l>1e>5:51998mae=83.9484k7:l1<1<6121bho4?:%0;1?b03g8387?n;:kge?6=,;2>6i94n3:7>4d<3`n26=4+2979`2=i:1>1=n54ie794?"50<0o;6`=8582`>=nkh0;6)<75;f4?k4?<3;n76gmf;29 7>22m=0b?6;:0d8?l77?3:1(?6::025?k4?<3:07d??5;29 7>228:=7c<74;38?l77<3:1(?6::025?k4?<3807d??3;29 7>228:=7c<74;18?l77k3:1(?6::02a?k4?<3:07d??a;29 7>228:i7c<74;38?l7713:1(?6::02a?k4?<3807d??8;29 7>228:i7c<74;18?l5613:1(?6::23;?k4?<3:07d=>7;29 7>22:;37c<74;38?l56>3:1(?6::23;?k4?<3807d=>5;29 7>22:;37c<74;18?l56m3:1(?6::23g?k4?<3:07d=>c;29 7>22:;o7c<74;38?l56j3:1(?6::23g?k4?<3807d=>a;29 7>22:;o7c<74;18?j75>3:1(?6::006?k4?<3:07b?=4;29 7>2288>7c<74;38?j75:3:1(?6::006?k4?<3807b?=1;29 7>2288>7c<74;18?j7583:1(?6::006?k4?<3>07b?>f;29 7>2288>7c<74;78?j76m3:1(?6::006?k4?<3<07b?>d;29 7>2288>7c<74;58?j76k3:1(?6::006?k4?<3207b?>b;29 7>2288>7c<74;;8?j76i3:1(?6::006?k4?<3k07b?>9;29 7>2288>7c<74;`8?j76?3:1(?6::006?k4?<3i07b?>6;29 7>2288>7c<74;f8?j76=3:1(?6::006?k4?<3o07b?>4;29 7>2288>7c<74;d8?j76;3:1(?6::006?k4?<3;;76a>1383>!4?=3;996`=85825>=h98;1<7*=848260=i:1>1=?54o033>5<#:1?1=?;4n3:7>45<3f;;j7>5$3:6>4423g8387?;;:m24`<72-8397?=5:l1<1<6=21d=?j50;&1<0<6:<1e>5:51798k44d290/>5;51378j7>328=07b?=b;29 7>2288>7c<74;3;?>i6:h0;6)<75;311>h50=0:565`13;94?"50<0:>85a29695d=<g8836=4+2979573<f;2?6<l4;n313?6=,;2>6<<:;o0;0?7d32e:>>4?:%0;1?75=2d9494>d:9l54>=83.9484>249m6=2=9l10c<>k:18'6=3=9;?0b?6;:0d8?j7303:1(?6::064?k4?<3:07b?;6;29 7>228><7c<74;38?j73<3:1(?6::064?k4?<3807b?;3;29 7>228><7c<74;18?j73:3:1(?6::064?k4?<3>07b?;1;29 7>228><7c<74;78?j7383:1(?6::064?k4?<3<07b?<f;29 7>228><7c<74;58?j74m3:1(?6::064?k4?<3207b?<d;29 7>228><7c<74;;8?j74k3:1(?6::064?k4?<3k07b?<b;29 7>228><7c<74;`8?j7413:1(?6::064?k4?<3i07b?<8;29 7>228><7c<74;f8?j74?3:1(?6::064?k4?<3o07b?<6;29 7>228><7c<74;d8?j74=3:1(?6::064?k4?<3;;76a>3583>!4?=3;?;6`=85825>=h9:91<7*=848202=i:1>1=?54o011>5<#:1?1=994n3:7>45<3f;8=7>5$3:6>4203g8387?;;:m275<72-8397?;7:l1<1<6=21d=9h50;&1<0<6<>1e>5:51798k42b290/>5;51558j7>328=07b?;d;29 7>228><7c<74;3;?>i6<j0;6)<75;373>h50=0:565`15`94?"50<0:8:5a29695d=<g8>j6=4+2979511<f;2?6<l4;n37=?6=,;2>6<:8;o0;0?7d32e:884?:%0;1?73?2d9494>d:9l56g=83.9484>469m6=2=9l10c<<i:18'6=3=9==0b?6;:0d8?j72=3:1(?6::077?k4?<3:07b?:3;29 7>228??7c<74;38?j72:3:1(?6::077?k4?<3807b?:1;29 7>228??7c<74;18?j72i3:1(?6::07:?k4?<3:07b?:8;29 7>228?27c<74;38?j72?3:1(?6::07:?k4?<3807b?:6;29 7>228?27c<74;18?xdb0h0;6n<50;2x 66d2;oj7E=<9:J054=]>k0hwk4>0;0`>7b=;<08:7=8:2:96`<5n3926>o5}%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b:38<i6*>e181?!7b9380(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"6m=0:485+1d795=3<,;oi6?9j;%0fg?40m2d9jk46;o134??<,::=6?9j;%3:`?5<,83n6>5+332974`<,:8:6>?i;o116??<f:88645a13g94>h6=90;7)=?5;3;1>"481097)?n0;18 4g62:1/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-9;57<4i7f94?=n>l0;66g83;29?l132900e<6?:188m6662900e>>=:188m4>62900e>>n:188m66e2900c;h50;9l35<722c?o7>5$3:6>1d<f;2?6=54i5c94?"50<0?n6`=8582?>o313:1(?6::5`8j7>32;10e9650;&1<0<3j2d9494<;:k73?6=,;2>69l4n3:7>1=<a<?1<7*=8487f>h50=0>76g:4;29 7>22=h0b?6;:798m05=83.9484;b:l1<1<032c>>7>5$3:6>1d<f;2?6554i4394?"50<0?n6`=858:?>o283:1(?6::5`8j7>32h10e9h50;&1<0<3j2d9494m;:k7a?6=,;2>69l4n3:7>f=<a=n1<7*=8487f>h50=0o76g;6;29 7>22=h0b?6;:d98m0e=83.9484:b:l1<1<732c>m7>5$3:6>0d<f;2?6<54i4;94?"50<0>n6`=8581?>o203:1(?6::4`8j7>32:10e8950;&1<0<2j2d9494;;:k51?6=,;2>68l4n3:7>0=<a?>1<7*=8486f>h50=0=76g93;29 7>22<h0b?6;:698m34=83.9484:b:l1<1<?32c==7>5$3:6>0d<f;2?6454i7294?"50<0>n6`=858b?>o2n3:1(?6::4`8j7>32k10e8k50;&1<0<2j2d9494l;:k6`?6=,;2>68l4n3:7>a=<a<<1<7*=8486f>h50=0n76gm7;29 7>22k<0b?6;:198mg3=83.9484m6:l1<1<632ci?7>5$3:6>g0<f;2?6?54ic094?"50<0i:6`=8580?>oe93:1(?6::c48j7>32=10eo>50;&1<0<e>2d9494:;:kbb?6=,;2>6o84n3:7>3=<aho1<7*=848a2>h50=0<76gnd;29 7>22k<0b?6;:998mde=83.9484m6:l1<1<>32cjn7>5$3:6>g0<f;2?6l54i`c94?"50<0i:6`=858a?>of03:1(?6::c48j7>32j10el950;&1<0<e>2d9494k;:kb2?6=,;2>6o84n3:7>`=<ah?1<7*=848a2>h50=0m76gn4;29 7>22k<0b?6;:028?lg4290/>5;5b79m6=2=9810el<50;&1<0<e>2d9494>2:9je4<72-8397l9;o0;0?7432cj<7>5$3:6>g0<f;2?6<:4;h;e>5<#:1?1n;5a296950=<ako1<7*=848a2>h50=0::65fbe83>!4?=3h=7c<74;34?>oek3:1(?6::c48j7>328207dlm:18'6=3=j?1e>5:51898mgg=83.9484m6:l1<1<6i21bn44?:%0;1?d13g8387?m;:ka<?6=,;2>6o84n3:7>4e<3`h?6=4+2979f3=i:1>1=i54i`;94?"50<0i:6`=8582a>=n1l0;6)<75;`5?k4?<3;m76gk8;29 7>22m=0b?6;:198ma0=83.9484k7:l1<1<632co87>5$3:6>a1<f;2?6?54ie194?"50<0o;6`=8580?>oc:3:1(?6::e58j7>32=10ei?50;&1<0<c?2d9494:;:kg4?6=,;2>6i94n3:7>3=<ajl1<7*=848g3>h50=0<76gle;29 7>22m=0b?6;:998mfb=83.9484k7:l1<1<>32cho7>5$3:6>a1<f;2?6l54ib`94?"50<0o;6`=858a?>od13:1(?6::e58j7>32j10en650;&1<0<c?2d9494k;:k`3?6=,;2>6i94n3:7>`=<aj<1<7*=848g3>h50=0m76gl5;29 7>22m=0b?6;:028?le3290/>5;5d69m6=2=9810en=50;&1<0<c?2d9494>2:9jg7<72-8397j8;o0;0?7432ch=7>5$3:6>a1<f;2?6<:4;ha3>5<#:1?1h:5a296950=<aml1<7*=848g3>h50=0::65fdd83>!4?=3n<7c<74;34?>ocl3:1(?6::e58j7>328207djl:18'6=3=l>1e>5:51898mad=83.9484k7:l1<1<6i21bhl4?:%0;1?b03g8387?m;:kg=?6=,;2>6i94n3:7>4e<3`n>6=4+2979`2=i:1>1=i54ibc94?"50<0o;6`=8582a>=njo0;6)<75;f4?k4?<3;m76g>0683>!4?=3;;:6`=8583?>o68<0;6)<75;332>h50=0:76g>0583>!4?=3;;:6`=8581?>o68:0;6)<75;332>h50=0876g>0b83>!4?=3;;n6`=8583?>o68h0;6)<75;33f>h50=0:76g>0883>!4?=3;;n6`=8581?>o6810;6)<75;33f>h50=0876g<1883>!4?=39:46`=8583?>o49>0;6)<75;12<>h50=0:76g<1783>!4?=39:46`=8581?>o49<0;6)<75;12<>h50=0876g<1d83>!4?=39:h6`=8583?>o49j0;6)<75;12`>h50=0:76g<1c83>!4?=39:h6`=8581?>o49h0;6)<75;12`>h50=0876a>2783>!4?=3;996`=8583?>i6:=0;6)<75;311>h50=0:76a>2383>!4?=3;996`=8581?>i6:80;6)<75;311>h50=0876a>2183>!4?=3;996`=8587?>i69o0;6)<75;311>h50=0>76a>1d83>!4?=3;996`=8585?>i69m0;6)<75;311>h50=0<76a>1b83>!4?=3;996`=858;?>i69k0;6)<75;311>h50=0276a>1`83>!4?=3;996`=858b?>i6900;6)<75;311>h50=0i76a>1683>!4?=3;996`=858`?>i69?0;6)<75;311>h50=0o76a>1483>!4?=3;996`=858f?>i69=0;6)<75;311>h50=0m76a>1283>!4?=3;996`=85824>=h9881<7*=848260=i:1>1=<54o032>5<#:1?1=?;4n3:7>44<3f;:<7>5$3:6>4423g8387?<;:m24c<72-8397?=5:l1<1<6<21d==k50;&1<0<6:<1e>5:51498k44c290/>5;51378j7>328<07b?=c;29 7>2288>7c<74;34?>i6:k0;6)<75;311>h50=0:465`13c94?"50<0:>85a29695<=<g8826=4+2979573<f;2?6<o4;n31<?6=,;2>6<<:;o0;0?7e32e:>:4?:%0;1?75=2d9494>c:9l575=83.9484>249m6=2=9m10c<?7:18'6=3=9;?0b?6;:0g8?j77l3:1(?6::006?k4?<3;m76a>4983>!4?=3;?;6`=8583?>i6<?0;6)<75;373>h50=0:76a>4583>!4?=3;?;6`=8581?>i6<:0;6)<75;373>h50=0876a>4383>!4?=3;?;6`=8587?>i6<80;6)<75;373>h50=0>76a>4183>!4?=3;?;6`=8585?>i6;o0;6)<75;373>h50=0<76a>3d83>!4?=3;?;6`=858;?>i6;m0;6)<75;373>h50=0276a>3b83>!4?=3;?;6`=858b?>i6;k0;6)<75;373>h50=0i76a>3883>!4?=3;?;6`=858`?>i6;10;6)<75;373>h50=0o76a>3683>!4?=3;?;6`=858f?>i6;?0;6)<75;373>h50=0m76a>3483>!4?=3;?;6`=85824>=h9:>1<7*=848202=i:1>1=<54o010>5<#:1?1=994n3:7>44<3f;8>7>5$3:6>4203g8387?<;:m274<72-8397?;7:l1<1<6<21d=>>50;&1<0<6<>1e>5:51498k42a290/>5;51558j7>328<07b?;e;29 7>228><7c<74;34?>i6<m0;6)<75;373>h50=0:465`15a94?"50<0:8:5a29695<=<g8>i6=4+2979511<f;2?6<o4;n37e?6=,;2>6<:8;o0;0?7e32e:844?:%0;1?73?2d9494>c:9l513=83.9484>469m6=2=9m10c<=n:18'6=3=9==0b?6;:0g8?j75n3:1(?6::064?k4?<3;m76a>5483>!4?=3;>86`=8583?>i6=:0;6)<75;360>h50=0:76a>5383>!4?=3;>86`=8581?>i6=80;6)<75;360>h50=0876a>5`83>!4?=3;>56`=8583?>i6=10;6)<75;36=>h50=0:76a>5683>!4?=3;>56`=8581?>i6=?0;6)<75;36=>h50=0876sme9`94?e5290;w)=?c;0fe>N4;01C?<?4Z7`9g~`=9909o7<k:27973<4?3936?k52g80=?5f2t.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e3813`=#9l:1>6*>e081?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+1d695=3<,8o>6<6:;%0ff?40m2.9in4=7d9m6c`=12d8<=46;%132?40m2.:5i4<;%3:a?5<,:8;6>?i;%115?56n2d8>?46;o117??<f88n6=5a14294>"48<0:485+31:96>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&04<<53`<o6=44i7g94?=n?:0;66g84;29?l7?83:17d=?1;29?l57:3:17d?71;29?l57i3:17d=?b;29?j0a2900c:>50;9j0f<72-8397:m;o0;0?6<3`>j6=4+29790g=i:1>1=65f4883>!4?=3>i7c<74;08?l2?290/>5;54c9m6=2=;21b8:4?:%0;1?2e3g8387:4;h76>5<#:1?18o5a29691>=n==0;6)<75;6a?k4?<3<07d;<:18'6=3=<k1e>5:57:9j17<72-8397:m;o0;0?><3`?:6=4+29790g=i:1>1565f5183>!4?=3>i7c<74;c8?l2a290/>5;54c9m6=2=j21b8h4?:%0;1?2e3g8387m4;h6g>5<#:1?18o5a2969`>=n<?0;6)<75;6a?k4?<3o07d;l:18'6=3==k1e>5:50:9j1d<72-8397;m;o0;0?7<3`?26=4+29791g=i:1>1>65f5983>!4?=3?i7c<74;18?l30290/>5;55c9m6=2=<21b:84?:%0;1?3e3g8387;4;h47>5<#:1?19o5a29692>=n>:0;6)<75;7a?k4?<3=07d8=:18'6=3==k1e>5:58:9j24<72-8397;m;o0;0??<3`<;6=4+29791g=i:1>1m65f5g83>!4?=3?i7c<74;`8?l3b290/>5;55c9m6=2=k21b9i4?:%0;1?3e3g8387j4;h75>5<#:1?19o5a2969a>=nj>0;6)<75;`5?k4?<3:07dl::18'6=3=j?1e>5:51:9jf6<72-8397l9;o0;0?4<3`h96=4+2979f3=i:1>1?65fb083>!4?=3h=7c<74;68?ld7290/>5;5b79m6=2==21bmk4?:%0;1?d13g838784;hcf>5<#:1?1n;5a29693>=nim0;6)<75;`5?k4?<3207dol:18'6=3=j?1e>5:59:9jeg<72-8397l9;o0;0?g<3`kj6=4+2979f3=i:1>1n65fa983>!4?=3h=7c<74;a8?lg0290/>5;5b79m6=2=l21bm;4?:%0;1?d13g8387k4;hc6>5<#:1?1n;5a2969b>=ni=0;6)<75;`5?k4?<3;;76gn3;29 7>22k<0b?6;:038?lg5290/>5;5b79m6=2=9;10el?50;&1<0<e>2d9494>3:9je5<72-8397l9;o0;0?7332c2j7>5$3:6>g0<f;2?6<;4;h`f>5<#:1?1n;5a296953=<akn1<7*=848a2>h50=0:;65fbb83>!4?=3h=7c<74;3;?>oej3:1(?6::c48j7>328307dln:18'6=3=j?1e>5:51`98mg?=83.9484m6:l1<1<6j21bn54?:%0;1?d13g8387?l;:ka0?6=,;2>6o84n3:7>4b<3`k26=4+2979f3=i:1>1=h54i8g94?"50<0i:6`=8582b>=nl10;6)<75;f4?k4?<3:07dj9:18'6=3=l>1e>5:51:9j`1<72-8397j8;o0;0?4<3`n86=4+2979`2=i:1>1?65fd383>!4?=3n<7c<74;68?lb6290/>5;5d69m6=2==21bh=4?:%0;1?b03g838784;hae>5<#:1?1h:5a29693>=nkl0;6)<75;f4?k4?<3207dmk:18'6=3=l>1e>5:59:9jgf<72-8397j8;o0;0?g<3`ii6=4+2979`2=i:1>1n65fc883>!4?=3n<7c<74;a8?le?290/>5;5d69m6=2=l21bo:4?:%0;1?b03g8387k4;ha5>5<#:1?1h:5a2969b>=nk<0;6)<75;f4?k4?<3;;76gl4;29 7>22m=0b?6;:038?le4290/>5;5d69m6=2=9;10en<50;&1<0<c?2d9494>3:9jg4<72-8397j8;o0;0?7332ch<7>5$3:6>a1<f;2?6<;4;hfe>5<#:1?1h:5a296953=<amo1<7*=848g3>h50=0:;65fde83>!4?=3n<7c<74;3;?>ock3:1(?6::e58j7>328307djm:18'6=3=l>1e>5:51`98mag=83.9484k7:l1<1<6j21bh44?:%0;1?b03g8387?l;:kg1?6=,;2>6i94n3:7>4b<3`ij6=4+2979`2=i:1>1=h54icd94?"50<0o;6`=8582b>=n99=1<7*=848243=i:1>1<65f11794?"50<0:<;5a29695>=n99>1<7*=848243=i:1>1>65f11194?"50<0:<;5a29697>=n99i1<7*=84824g=i:1>1<65f11c94?"50<0:<o5a29695>=n9931<7*=84824g=i:1>1>65f11:94?"50<0:<o5a29697>=n;831<7*=84805==i:1>1<65f30594?"50<08=55a29695>=n;8<1<7*=84805==i:1>1>65f30794?"50<08=55a29697>=n;8o1<7*=84805a=i:1>1<65f30a94?"50<08=i5a29695>=n;8h1<7*=84805a=i:1>1>65f30c94?"50<08=i5a29697>=h9;<1<7*=848260=i:1>1<65`13694?"50<0:>85a29695>=h9;81<7*=848260=i:1>1>65`13394?"50<0:>85a29697>=h9;:1<7*=848260=i:1>1865`10d94?"50<0:>85a29691>=h98o1<7*=848260=i:1>1:65`10f94?"50<0:>85a29693>=h98i1<7*=848260=i:1>1465`10`94?"50<0:>85a2969=>=h98k1<7*=848260=i:1>1m65`10;94?"50<0:>85a2969f>=h98=1<7*=848260=i:1>1o65`10494?"50<0:>85a2969`>=h98?1<7*=848260=i:1>1i65`10694?"50<0:>85a2969b>=h9891<7*=848260=i:1>1==54o031>5<#:1?1=?;4n3:7>47<3f;:=7>5$3:6>4423g8387?=;:m255<72-8397?=5:l1<1<6;21d==h50;&1<0<6:<1e>5:51598k46b290/>5;51378j7>328?07b?=d;29 7>2288>7c<74;35?>i6:j0;6)<75;311>h50=0:;65`13`94?"50<0:>85a29695==<g88j6=4+2979573<f;2?6<74;n31=?6=,;2>6<<:;o0;0?7f32e:>54?:%0;1?75=2d9494>b:9l571=83.9484>249m6=2=9j10c<<<:18'6=3=9;?0b?6;:0f8?j7603:1(?6::006?k4?<3;n76a>0e83>!4?=3;996`=8582b>=h9=21<7*=848202=i:1>1<65`15494?"50<0:8:5a29695>=h9=>1<7*=848202=i:1>1>65`15194?"50<0:8:5a29697>=h9=81<7*=848202=i:1>1865`15394?"50<0:8:5a29691>=h9=:1<7*=848202=i:1>1:65`12d94?"50<0:8:5a29693>=h9:o1<7*=848202=i:1>1465`12f94?"50<0:8:5a2969=>=h9:i1<7*=848202=i:1>1m65`12`94?"50<0:8:5a2969f>=h9:31<7*=848202=i:1>1o65`12:94?"50<0:8:5a2969`>=h9:=1<7*=848202=i:1>1i65`12494?"50<0:8:5a2969b>=h9:?1<7*=848202=i:1>1==54o017>5<#:1?1=994n3:7>47<3f;8?7>5$3:6>4203g8387?=;:m277<72-8397?;7:l1<1<6;21d=>?50;&1<0<6<>1e>5:51598k457290/>5;51558j7>328?07b?;f;29 7>228><7c<74;35?>i6<l0;6)<75;373>h50=0:;65`15f94?"50<0:8:5a29695==<g8>h6=4+2979511<f;2?6<74;n37f?6=,;2>6<:8;o0;0?7f32e:8l4?:%0;1?73?2d9494>b:9l51?=83.9484>469m6=2=9j10c<:::18'6=3=9==0b?6;:0f8?j74i3:1(?6::064?k4?<3;n76a>2g83>!4?=3;?;6`=8582b>=h9<?1<7*=848211=i:1>1<65`14194?"50<0:995a29695>=h9<81<7*=848211=i:1>1>65`14394?"50<0:995a29697>=h9<k1<7*=84821<=i:1>1<65`14:94?"50<0:945a29695>=h9<=1<7*=84821<=i:1>1>65`14494?"50<0:945a29697>=zjl2h6=4l2;294~"48j09il5G32;8L6763S<i6nui:0296f<5l39>6>853680<?4b2;l1?44<a;\7f'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l81>:k4$0g3>7=#9l;1>6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,8o?6<6:;%3f1?7?=2.9io4=7d9'6`e=:>o0b?hi:89m756=12.8<;4=7d9'5<b=;2.:5h4<;%114?56n2.8><4<1g9m774=12d8>>46;o31a?6<f8?;6=5+31795=3<,::36?5+1`297>"6i8087)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/?=752:k5`?6=3`<n6=44i6194?=n?=0;66g>8183>>o4880;66g<0383>>o6080;66g<0`83>>o48k0;66a9f;29?j172900e9m50;&1<0<3j2d9494?;:k7e?6=,;2>69l4n3:7>4=<a=31<7*=8487f>h50=0976g;8;29 7>22=h0b?6;:298m11=83.9484;b:l1<1<332c>97>5$3:6>1d<f;2?6854i4694?"50<0?n6`=8585?>o2;3:1(?6::5`8j7>32>10e8<50;&1<0<3j2d94947;:k65?6=,;2>69l4n3:7><=<a<:1<7*=8487f>h50=0j76g;f;29 7>22=h0b?6;:c98m1c=83.9484;b:l1<1<d32c?h7>5$3:6>1d<f;2?6i54i5494?"50<0?n6`=858f?>o2k3:1(?6::4`8j7>32910e8o50;&1<0<2j2d9494>;:k6=?6=,;2>68l4n3:7>7=<a<21<7*=8486f>h50=0876g:7;29 7>22<h0b?6;:598m33=83.9484:b:l1<1<232c=87>5$3:6>0d<f;2?6;54i7194?"50<0>n6`=8584?>o1:3:1(?6::4`8j7>32110e;?50;&1<0<2j2d94946;:k54?6=,;2>68l4n3:7>d=<a<l1<7*=8486f>h50=0i76g:e;29 7>22<h0b?6;:b98m0b=83.9484:b:l1<1<c32c>:7>5$3:6>0d<f;2?6h54ic594?"50<0i:6`=8583?>oe=3:1(?6::c48j7>32810eo=50;&1<0<e>2d9494=;:ka6?6=,;2>6o84n3:7>6=<ak;1<7*=848a2>h50=0?76gm0;29 7>22k<0b?6;:498md`=83.9484m6:l1<1<132cji7>5$3:6>g0<f;2?6:54i`f94?"50<0i:6`=858;?>ofk3:1(?6::c48j7>32010ell50;&1<0<e>2d9494n;:kbe?6=,;2>6o84n3:7>g=<ah21<7*=848a2>h50=0h76gn7;29 7>22k<0b?6;:e98md0=83.9484m6:l1<1<b32cj97>5$3:6>g0<f;2?6k54i`694?"50<0i:6`=85824>=ni:0;6)<75;`5?k4?<3;:76gn2;29 7>22k<0b?6;:008?lg6290/>5;5b79m6=2=9:10el>50;&1<0<e>2d9494>4:9j=c<72-8397l9;o0;0?7232cii7>5$3:6>g0<f;2?6<84;h`g>5<#:1?1n;5a296952=<aki1<7*=848a2>h50=0:465fbc83>!4?=3h=7c<74;3:?>oei3:1(?6::c48j7>328k07dl6:18'6=3=j?1e>5:51c98mg>=83.9484m6:l1<1<6k21bn94?:%0;1?d13g8387?k;:kb=?6=,;2>6o84n3:7>4c<3`3n6=4+2979f3=i:1>1=k54ie:94?"50<0o;6`=8583?>oc>3:1(?6::e58j7>32810ei:50;&1<0<c?2d9494=;:kg7?6=,;2>6i94n3:7>6=<am81<7*=848g3>h50=0?76gk1;29 7>22m=0b?6;:498ma6=83.9484k7:l1<1<132chj7>5$3:6>a1<f;2?6:54ibg94?"50<0o;6`=858;?>odl3:1(?6::e58j7>32010enm50;&1<0<c?2d9494n;:k`f?6=,;2>6i94n3:7>g=<aj31<7*=848g3>h50=0h76gl8;29 7>22m=0b?6;:e98mf1=83.9484k7:l1<1<b32ch:7>5$3:6>a1<f;2?6k54ib794?"50<0o;6`=85824>=nk=0;6)<75;f4?k4?<3;:76gl3;29 7>22m=0b?6;:008?le5290/>5;5d69m6=2=9:10en?50;&1<0<c?2d9494>4:9jg5<72-8397j8;o0;0?7232coj7>5$3:6>a1<f;2?6<84;hff>5<#:1?1h:5a296952=<amn1<7*=848g3>h50=0:465fdb83>!4?=3n<7c<74;3:?>ocj3:1(?6::e58j7>328k07djn:18'6=3=l>1e>5:51c98ma?=83.9484k7:l1<1<6k21bh84?:%0;1?b03g8387?k;:k`e?6=,;2>6i94n3:7>4c<3`hm6=4+2979`2=i:1>1=k54i024>5<#:1?1==84n3:7>5=<a8:>6=4+2979550<f;2?6<54i027>5<#:1?1==84n3:7>7=<a8:86=4+2979550<f;2?6>54i02`>5<#:1?1==l4n3:7>5=<a8:j6=4+297955d<f;2?6<54i02:>5<#:1?1==l4n3:7>7=<a8:36=4+297955d<f;2?6>54i23:>5<#:1?1?<64n3:7>5=<a:;<6=4+297974><f;2?6<54i235>5<#:1?1?<64n3:7>7=<a:;>6=4+297974><f;2?6>54i23f>5<#:1?1?<j4n3:7>5=<a:;h6=4+297974b<f;2?6<54i23a>5<#:1?1?<j4n3:7>7=<a:;j6=4+297974b<f;2?6>54o005>5<#:1?1=?;4n3:7>5=<g88?6=4+2979573<f;2?6<54o001>5<#:1?1=?;4n3:7>7=<g88:6=4+2979573<f;2?6>54o003>5<#:1?1=?;4n3:7>1=<g8;m6=4+2979573<f;2?6854o03f>5<#:1?1=?;4n3:7>3=<g8;o6=4+2979573<f;2?6:54o03`>5<#:1?1=?;4n3:7>==<g8;i6=4+2979573<f;2?6454o03b>5<#:1?1=?;4n3:7>d=<g8;26=4+2979573<f;2?6o54o034>5<#:1?1=?;4n3:7>f=<g8;=6=4+2979573<f;2?6i54o036>5<#:1?1=?;4n3:7>`=<g8;?6=4+2979573<f;2?6k54o030>5<#:1?1=?;4n3:7>46<3f;:>7>5$3:6>4423g8387?>;:m254<72-8397?=5:l1<1<6:21d=<>50;&1<0<6:<1e>5:51298k46a290/>5;51378j7>328>07b??e;29 7>2288>7c<74;36?>i6:m0;6)<75;311>h50=0::65`13a94?"50<0:>85a296952=<g88i6=4+2979573<f;2?6<64;n31e?6=,;2>6<<:;o0;0?7>32e:>44?:%0;1?75=2d9494>a:9l57>=83.9484>249m6=2=9k10c<<8:18'6=3=9;?0b?6;:0a8?j75;3:1(?6::006?k4?<3;o76a>1983>!4?=3;996`=8582a>=h99n1<7*=848260=i:1>1=k54o06;>5<#:1?1=994n3:7>5=<g8>=6=4+2979511<f;2?6<54o067>5<#:1?1=994n3:7>7=<g8>86=4+2979511<f;2?6>54o061>5<#:1?1=994n3:7>1=<g8>:6=4+2979511<f;2?6854o063>5<#:1?1=994n3:7>3=<g89m6=4+2979511<f;2?6:54o01f>5<#:1?1=994n3:7>==<g89o6=4+2979511<f;2?6454o01`>5<#:1?1=994n3:7>d=<g89i6=4+2979511<f;2?6o54o01:>5<#:1?1=994n3:7>f=<g8936=4+2979511<f;2?6i54o014>5<#:1?1=994n3:7>`=<g89=6=4+2979511<f;2?6k54o016>5<#:1?1=994n3:7>46<3f;887>5$3:6>4203g8387?>;:m276<72-8397?;7:l1<1<6:21d=><50;&1<0<6<>1e>5:51298k456290/>5;51558j7>328>07b?<0;29 7>228><7c<74;36?>i6<o0;6)<75;373>h50=0::65`15g94?"50<0:8:5a296952=<g8>o6=4+2979511<f;2?6<64;n37g?6=,;2>6<:8;o0;0?7>32e:8o4?:%0;1?73?2d9494>a:9l51g=83.9484>469m6=2=9k10c<:6:18'6=3=9==0b?6;:0a8?j73=3:1(?6::064?k4?<3;o76a>3`83>!4?=3;?;6`=8582a>=h9;l1<7*=848202=i:1>1=k54o076>5<#:1?1=8:4n3:7>5=<g8?86=4+2979502<f;2?6<54o071>5<#:1?1=8:4n3:7>7=<g8?:6=4+2979502<f;2?6>54o07b>5<#:1?1=874n3:7>5=<g8?36=4+297950?<f;2?6<54o074>5<#:1?1=874n3:7>7=<g8?=6=4+297950?<f;2?6>54}cg;a?6=k;0;6=u+31a96`g<@:927E=>1:X5f?e|n3;;6?m52e801?512:=1?54=e;0e>6?=;h0v(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g1>71b3-;n<7<4$0g2>7=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3f0?7?=2.:i84>849'6`d=:>o0(?kl:35f?k4an330b>>?:89'750=:>o0(<7k:29'5<c=;2.8>=4<1g9'777=;8l0b><=:89m775=12d:>h4?;o364?6<,::>6<6:;%13<?4<,8k;6>5+1`397>"6i;087)?n3;18 4g32:1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 66>2;1b:i4?::k5a?6=3`=86=44i6694?=n91:1<75f31394?=n;981<75f19394?=n;9k1<75f31`94?=h>o0;66a80;29?l2d290/>5;54c9m6=2=821b8l4?:%0;1?2e3g8387?4;h6:>5<#:1?18o5a29696>=n<10;6)<75;6a?k4?<3907d:8:18'6=3=<k1e>5:54:9j10<72-8397:m;o0;0?3<3`??6=4+29790g=i:1>1:65f5283>!4?=3>i7c<74;58?l35290/>5;54c9m6=2=021b9<4?:%0;1?2e3g838774;h73>5<#:1?18o5a2969e>=n<o0;6)<75;6a?k4?<3h07d:j:18'6=3=<k1e>5:5c:9j0a<72-8397:m;o0;0?b<3`>=6=4+29790g=i:1>1i65f5b83>!4?=3?i7c<74;28?l3f290/>5;55c9m6=2=921b944?:%0;1?3e3g8387<4;h7;>5<#:1?19o5a29697>=n=>0;6)<75;7a?k4?<3>07d8::18'6=3==k1e>5:55:9j21<72-8397;m;o0;0?0<3`<86=4+29791g=i:1>1;65f6383>!4?=3?i7c<74;:8?l06290/>5;55c9m6=2=121b:=4?:%0;1?3e3g8387o4;h7e>5<#:1?19o5a2969f>=n=l0;6)<75;7a?k4?<3i07d;k:18'6=3==k1e>5:5d:9j13<72-8397;m;o0;0?c<3`h<6=4+2979f3=i:1>1<65fb483>!4?=3h=7c<74;38?ld4290/>5;5b79m6=2=:21bn?4?:%0;1?d13g8387=4;h`2>5<#:1?1n;5a29690>=nj90;6)<75;`5?k4?<3?07doi:18'6=3=j?1e>5:56:9je`<72-8397l9;o0;0?1<3`ko6=4+2979f3=i:1>1465fab83>!4?=3h=7c<74;;8?lge290/>5;5b79m6=2=i21bml4?:%0;1?d13g8387l4;hc;>5<#:1?1n;5a2969g>=ni>0;6)<75;`5?k4?<3n07do9:18'6=3=j?1e>5:5e:9je0<72-8397l9;o0;0?`<3`k?6=4+2979f3=i:1>1==54i`194?"50<0i:6`=85825>=ni;0;6)<75;`5?k4?<3;976gn1;29 7>22k<0b?6;:018?lg7290/>5;5b79m6=2=9=10e4h50;&1<0<e>2d9494>5:9jf`<72-8397l9;o0;0?7132cih7>5$3:6>g0<f;2?6<94;h``>5<#:1?1n;5a29695==<akh1<7*=848a2>h50=0:565fb`83>!4?=3h=7c<74;3b?>oe13:1(?6::c48j7>328h07dl7:18'6=3=j?1e>5:51b98mg2=83.9484m6:l1<1<6l21bm44?:%0;1?d13g8387?j;:k:a?6=,;2>6o84n3:7>4`<3`n36=4+2979`2=i:1>1<65fd783>!4?=3n<7c<74;38?lb3290/>5;5d69m6=2=:21bh>4?:%0;1?b03g8387=4;hf1>5<#:1?1h:5a29690>=nl80;6)<75;f4?k4?<3?07dj?:18'6=3=l>1e>5:56:9jgc<72-8397j8;o0;0?1<3`in6=4+2979`2=i:1>1465fce83>!4?=3n<7c<74;;8?led290/>5;5d69m6=2=i21boo4?:%0;1?b03g8387l4;ha:>5<#:1?1h:5a2969g>=nk10;6)<75;f4?k4?<3n07dm8:18'6=3=l>1e>5:5e:9jg3<72-8397j8;o0;0?`<3`i>6=4+2979`2=i:1>1==54ib694?"50<0o;6`=85825>=nk:0;6)<75;f4?k4?<3;976gl2;29 7>22m=0b?6;:018?le6290/>5;5d69m6=2=9=10en>50;&1<0<c?2d9494>5:9j`c<72-8397j8;o0;0?7132coi7>5$3:6>a1<f;2?6<94;hfg>5<#:1?1h:5a29695==<ami1<7*=848g3>h50=0:565fdc83>!4?=3n<7c<74;3b?>oci3:1(?6::e58j7>328h07dj6:18'6=3=l>1e>5:51b98ma3=83.9484k7:l1<1<6l21bol4?:%0;1?b03g8387?j;:kab?6=,;2>6i94n3:7>4`<3`;;;7>5$3:6>4613g8387>4;h331?6=,;2>6<>9;o0;0?7<3`;;87>5$3:6>4613g8387<4;h337?6=,;2>6<>9;o0;0?5<3`;;o7>5$3:6>46e3g8387>4;h33e?6=,;2>6<>m;o0;0?7<3`;;57>5$3:6>46e3g8387<4;h33<?6=,;2>6<>m;o0;0?5<3`9:57>5$3:6>67?3g8387>4;h123?6=,;2>6>?7;o0;0?7<3`9::7>5$3:6>67?3g8387<4;h121?6=,;2>6>?7;o0;0?5<3`9:i7>5$3:6>67c3g8387>4;h12g?6=,;2>6>?k;o0;0?7<3`9:n7>5$3:6>67c3g8387<4;h12e?6=,;2>6>?k;o0;0?5<3f;9:7>5$3:6>4423g8387>4;n310?6=,;2>6<<:;o0;0?7<3f;9>7>5$3:6>4423g8387<4;n315?6=,;2>6<<:;o0;0?5<3f;9<7>5$3:6>4423g8387:4;n32b?6=,;2>6<<:;o0;0?3<3f;:i7>5$3:6>4423g838784;n32`?6=,;2>6<<:;o0;0?1<3f;:o7>5$3:6>4423g838764;n32f?6=,;2>6<<:;o0;0??<3f;:m7>5$3:6>4423g8387o4;n32=?6=,;2>6<<:;o0;0?d<3f;:;7>5$3:6>4423g8387m4;n322?6=,;2>6<<:;o0;0?b<3f;:97>5$3:6>4423g8387k4;n320?6=,;2>6<<:;o0;0?`<3f;:?7>5$3:6>4423g8387??;:m257<72-8397?=5:l1<1<6921d=<?50;&1<0<6:<1e>5:51398k477290/>5;51378j7>328907b??f;29 7>2288>7c<74;37?>i68l0;6)<75;311>h50=0:965`13f94?"50<0:>85a296953=<g88h6=4+2979573<f;2?6<94;n31f?6=,;2>6<<:;o0;0?7?32e:>l4?:%0;1?75=2d9494>9:9l57?=83.9484>249m6=2=9h10c<<7:18'6=3=9;?0b?6;:0`8?j75?3:1(?6::006?k4?<3;h76a>2283>!4?=3;996`=8582`>=h9821<7*=848260=i:1>1=h54o02g>5<#:1?1=?;4n3:7>4`<3f;?47>5$3:6>4203g8387>4;n372?6=,;2>6<:8;o0;0?7<3f;?87>5$3:6>4203g8387<4;n377?6=,;2>6<:8;o0;0?5<3f;?>7>5$3:6>4203g8387:4;n375?6=,;2>6<:8;o0;0?3<3f;?<7>5$3:6>4203g838784;n30b?6=,;2>6<:8;o0;0?1<3f;8i7>5$3:6>4203g838764;n30`?6=,;2>6<:8;o0;0??<3f;8o7>5$3:6>4203g8387o4;n30f?6=,;2>6<:8;o0;0?d<3f;857>5$3:6>4203g8387m4;n30<?6=,;2>6<:8;o0;0?b<3f;8;7>5$3:6>4203g8387k4;n302?6=,;2>6<:8;o0;0?`<3f;897>5$3:6>4203g8387??;:m271<72-8397?;7:l1<1<6921d=>=50;&1<0<6<>1e>5:51398k455290/>5;51558j7>328907b?<1;29 7>228><7c<74;37?>i6;90;6)<75;373>h50=0:965`15d94?"50<0:8:5a296953=<g8>n6=4+2979511<f;2?6<94;n37`?6=,;2>6<:8;o0;0?7?32e:8n4?:%0;1?73?2d9494>9:9l51d=83.9484>469m6=2=9h10c<:n:18'6=3=9==0b?6;:0`8?j7313:1(?6::064?k4?<3;h76a>4483>!4?=3;?;6`=8582`>=h9:k1<7*=848202=i:1>1=h54o00e>5<#:1?1=994n3:7>4`<3f;>97>5$3:6>4333g8387>4;n367?6=,;2>6<;;;o0;0?7<3f;>>7>5$3:6>4333g8387<4;n365?6=,;2>6<;;;o0;0?5<3f;>m7>5$3:6>43>3g8387>4;n36<?6=,;2>6<;6;o0;0?7<3f;>;7>5$3:6>43>3g8387<4;n362?6=,;2>6<;6;o0;0?5<3thn4k4?:b094?6|,::h6?kn;I10=>N4981Q:o4l{g824?4d2;n1?84<6;14>6>=:l09j7=6:2c9y!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n>7<8e:&2a5<53-;n=7<4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:i94>849'5`3=91?0(?km:35f?!4bk38<i6`=fg8:?k578330(>>9:35f?!7>l390(<7j:29'776=;8l0(><>:23e?k55:330b><<:89m57c=82d:9=4?;%131?7?=2.8<54=;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)=?9;08m3b=831b:h4?::k47?6=3`=?6=44i0:3>5<<a:::6=44i221>5<<a82:6=44i22b>5<<a::i6=44o7d94?=h?90;66g;c;29 7>22=h0b?6;:198m1g=83.9484;b:l1<1<632c?57>5$3:6>1d<f;2?6?54i5:94?"50<0?n6`=8580?>o3?3:1(?6::5`8j7>32=10e8;50;&1<0<3j2d9494:;:k60?6=,;2>69l4n3:7>3=<a<91<7*=8487f>h50=0<76g:2;29 7>22=h0b?6;:998m07=83.9484;b:l1<1<>32c><7>5$3:6>1d<f;2?6l54i5d94?"50<0?n6`=858a?>o3m3:1(?6::5`8j7>32j10e9j50;&1<0<3j2d9494k;:k72?6=,;2>69l4n3:7>`=<a<i1<7*=8486f>h50=0;76g:a;29 7>22<h0b?6;:098m0?=83.9484:b:l1<1<532c>47>5$3:6>0d<f;2?6>54i4594?"50<0>n6`=8587?>o1=3:1(?6::4`8j7>32<10e;:50;&1<0<2j2d94949;:k57?6=,;2>68l4n3:7>2=<a?81<7*=8486f>h50=0376g91;29 7>22<h0b?6;:898m36=83.9484:b:l1<1<f32c>j7>5$3:6>0d<f;2?6o54i4g94?"50<0>n6`=858`?>o2l3:1(?6::4`8j7>32m10e8850;&1<0<2j2d9494j;:ka3?6=,;2>6o84n3:7>5=<ak?1<7*=848a2>h50=0:76gm3;29 7>22k<0b?6;:398mg4=83.9484m6:l1<1<432ci=7>5$3:6>g0<f;2?6954ic294?"50<0i:6`=8586?>ofn3:1(?6::c48j7>32?10elk50;&1<0<e>2d94948;:kb`?6=,;2>6o84n3:7>==<ahi1<7*=848a2>h50=0276gnb;29 7>22k<0b?6;:`98mdg=83.9484m6:l1<1<e32cj47>5$3:6>g0<f;2?6n54i`594?"50<0i:6`=858g?>of>3:1(?6::c48j7>32l10el;50;&1<0<e>2d9494i;:kb0?6=,;2>6o84n3:7>46<3`k86=4+2979f3=i:1>1=<54i`094?"50<0i:6`=85826>=ni80;6)<75;`5?k4?<3;876gn0;29 7>22k<0b?6;:068?l?a290/>5;5b79m6=2=9<10eok50;&1<0<e>2d9494>6:9jfa<72-8397l9;o0;0?7032cio7>5$3:6>g0<f;2?6<64;h`a>5<#:1?1n;5a29695<=<akk1<7*=848a2>h50=0:m65fb883>!4?=3h=7c<74;3a?>oe03:1(?6::c48j7>328i07dl;:18'6=3=j?1e>5:51e98md?=83.9484m6:l1<1<6m21b5h4?:%0;1?d13g8387?i;:kg<?6=,;2>6i94n3:7>5=<am<1<7*=848g3>h50=0:76gk4;29 7>22m=0b?6;:398ma5=83.9484k7:l1<1<432co>7>5$3:6>a1<f;2?6954ie394?"50<0o;6`=8586?>oc83:1(?6::e58j7>32?10enh50;&1<0<c?2d94948;:k`a?6=,;2>6i94n3:7>==<ajn1<7*=848g3>h50=0276glc;29 7>22m=0b?6;:`98mfd=83.9484k7:l1<1<e32ch57>5$3:6>a1<f;2?6n54ib:94?"50<0o;6`=858g?>od?3:1(?6::e58j7>32l10en850;&1<0<c?2d9494i;:k`1?6=,;2>6i94n3:7>46<3`i?6=4+2979`2=i:1>1=<54ib194?"50<0o;6`=85826>=nk;0;6)<75;f4?k4?<3;876gl1;29 7>22m=0b?6;:068?le7290/>5;5d69m6=2=9<10eih50;&1<0<c?2d9494>6:9j``<72-8397j8;o0;0?7032coh7>5$3:6>a1<f;2?6<64;hf`>5<#:1?1h:5a29695<=<amh1<7*=848g3>h50=0:m65fd`83>!4?=3n<7c<74;3a?>oc13:1(?6::e58j7>328i07dj::18'6=3=l>1e>5:51e98mfg=83.9484k7:l1<1<6m21bnk4?:%0;1?b03g8387?i;:k242<72-8397??6:l1<1<732c:<84?:%0;1?77>2d9494>;:k241<72-8397??6:l1<1<532c:<>4?:%0;1?77>2d9494<;:k24f<72-8397??b:l1<1<732c:<l4?:%0;1?77j2d9494>;:k24<<72-8397??b:l1<1<532c:<54?:%0;1?77j2d9494<;:k05<<72-8397=>8:l1<1<732c8=:4?:%0;1?5602d9494>;:k053<72-8397=>8:l1<1<532c8=84?:%0;1?5602d9494<;:k05`<72-8397=>d:l1<1<732c8=n4?:%0;1?56l2d9494>;:k05g<72-8397=>d:l1<1<532c8=l4?:%0;1?56l2d9494<;:m263<72-8397?=5:l1<1<732e:>94?:%0;1?75=2d9494>;:m267<72-8397?=5:l1<1<532e:><4?:%0;1?75=2d9494<;:m265<72-8397?=5:l1<1<332e:=k4?:%0;1?75=2d9494:;:m25`<72-8397?=5:l1<1<132e:=i4?:%0;1?75=2d94948;:m25f<72-8397?=5:l1<1<?32e:=o4?:%0;1?75=2d94946;:m25d<72-8397?=5:l1<1<f32e:=44?:%0;1?75=2d9494m;:m252<72-8397?=5:l1<1<d32e:=;4?:%0;1?75=2d9494k;:m250<72-8397?=5:l1<1<b32e:=94?:%0;1?75=2d9494i;:m256<72-8397?=5:l1<1<6821d=<<50;&1<0<6:<1e>5:51098k476290/>5;51378j7>328807b?>0;29 7>2288>7c<74;30?>i68o0;6)<75;311>h50=0:865`11g94?"50<0:>85a296950=<g88o6=4+2979573<f;2?6<84;n31g?6=,;2>6<<:;o0;0?7032e:>o4?:%0;1?75=2d9494>8:9l57g=83.9484>249m6=2=9010c<<6:18'6=3=9;?0b?6;:0c8?j7503:1(?6::006?k4?<3;i76a>2683>!4?=3;996`=8582g>=h9;91<7*=848260=i:1>1=i54o03;>5<#:1?1=?;4n3:7>4c<3f;;h7>5$3:6>4423g8387?i;:m20=<72-8397?;7:l1<1<732e:8;4?:%0;1?73?2d9494>;:m201<72-8397?;7:l1<1<532e:8>4?:%0;1?73?2d9494<;:m207<72-8397?;7:l1<1<332e:8<4?:%0;1?73?2d9494:;:m205<72-8397?;7:l1<1<132e:?k4?:%0;1?73?2d94948;:m27`<72-8397?;7:l1<1<?32e:?i4?:%0;1?73?2d94946;:m27f<72-8397?;7:l1<1<f32e:?o4?:%0;1?73?2d9494m;:m27<<72-8397?;7:l1<1<d32e:?54?:%0;1?73?2d9494k;:m272<72-8397?;7:l1<1<b32e:?;4?:%0;1?73?2d9494i;:m270<72-8397?;7:l1<1<6821d=>:50;&1<0<6<>1e>5:51098k454290/>5;51558j7>328807b?<2;29 7>228><7c<74;30?>i6;80;6)<75;373>h50=0:865`12294?"50<0:8:5a296950=<g8>m6=4+2979511<f;2?6<84;n37a?6=,;2>6<:8;o0;0?7032e:8i4?:%0;1?73?2d9494>8:9l51e=83.9484>469m6=2=9010c<:m:18'6=3=9==0b?6;:0c8?j73i3:1(?6::064?k4?<3;i76a>4883>!4?=3;?;6`=8582g>=h9=?1<7*=848202=i:1>1=i54o01b>5<#:1?1=994n3:7>4c<3f;9j7>5$3:6>4203g8387?i;:m210<72-8397?:4:l1<1<732e:9>4?:%0;1?72<2d9494>;:m217<72-8397?:4:l1<1<532e:9<4?:%0;1?72<2d9494<;:m21d<72-8397?:9:l1<1<732e:954?:%0;1?7212d9494>;:m212<72-8397?:9:l1<1<532e:9;4?:%0;1?7212d9494<;:\7faa<6=83i96=4?{%13g?4bi2B8?45G3038^3d=krl1==4=c;0g>63=;?08;7=7:3g96c<4139j6p*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a7<5?l1/=h>52:&2a4<53-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5`2=91?0(<k::0:6?!4bj38<i6*=eb813`=i:ol156`<018:?!57>38<i6*>9e80?!7>m390(><?:23e?!55939:j6`<238:?k55;330b<<j:19m506=82.8<84>849'75>=:2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"480097d8k:188m3c=831b;>4?::k40?6=3`;3<7>5;h135?6=3`9;>7>5;h3;5?6=3`9;m7>5;h13f?6=3f<m6=44o6294?=n<j0;6)<75;6a?k4?<3:07d:n:18'6=3=<k1e>5:51:9j0<<72-8397:m;o0;0?4<3`>36=4+29790g=i:1>1?65f4683>!4?=3>i7c<74;68?l32290/>5;54c9m6=2==21b994?:%0;1?2e3g838784;h70>5<#:1?18o5a29693>=n=;0;6)<75;6a?k4?<3207d;>:18'6=3=<k1e>5:59:9j15<72-8397:m;o0;0?g<3`>m6=4+29790g=i:1>1n65f4d83>!4?=3>i7c<74;a8?l2c290/>5;54c9m6=2=l21b8;4?:%0;1?2e3g8387k4;h7`>5<#:1?19o5a29694>=n=h0;6)<75;7a?k4?<3;07d;6:18'6=3==k1e>5:52:9j1=<72-8397;m;o0;0?5<3`?<6=4+29791g=i:1>1865f6483>!4?=3?i7c<74;78?l03290/>5;55c9m6=2=>21b:>4?:%0;1?3e3g838794;h41>5<#:1?19o5a2969<>=n>80;6)<75;7a?k4?<3307d8?:18'6=3==k1e>5:5a:9j1c<72-8397;m;o0;0?d<3`?n6=4+29791g=i:1>1o65f5e83>!4?=3?i7c<74;f8?l31290/>5;55c9m6=2=m21bn:4?:%0;1?d13g8387>4;h`6>5<#:1?1n;5a29695>=nj:0;6)<75;`5?k4?<3807dl=:18'6=3=j?1e>5:53:9jf4<72-8397l9;o0;0?2<3`h;6=4+2979f3=i:1>1965fag83>!4?=3h=7c<74;48?lgb290/>5;5b79m6=2=?21bmi4?:%0;1?d13g838764;hc`>5<#:1?1n;5a2969=>=nik0;6)<75;`5?k4?<3k07don:18'6=3=j?1e>5:5b:9je=<72-8397l9;o0;0?e<3`k<6=4+2979f3=i:1>1h65fa783>!4?=3h=7c<74;g8?lg2290/>5;5b79m6=2=n21bm94?:%0;1?d13g8387??;:kb7?6=,;2>6o84n3:7>47<3`k96=4+2979f3=i:1>1=?54i`394?"50<0i:6`=85827>=ni90;6)<75;`5?k4?<3;?76g6f;29 7>22k<0b?6;:078?ldb290/>5;5b79m6=2=9?10eoj50;&1<0<e>2d9494>7:9jff<72-8397l9;o0;0?7?32cin7>5$3:6>g0<f;2?6<74;h`b>5<#:1?1n;5a29695d=<ak31<7*=848a2>h50=0:n65fb983>!4?=3h=7c<74;3`?>oe<3:1(?6::c48j7>328n07do6:18'6=3=j?1e>5:51d98m<c=83.9484m6:l1<1<6n21bh54?:%0;1?b03g8387>4;hf5>5<#:1?1h:5a29695>=nl=0;6)<75;f4?k4?<3807dj<:18'6=3=l>1e>5:53:9j`7<72-8397j8;o0;0?2<3`n:6=4+2979`2=i:1>1965fd183>!4?=3n<7c<74;48?lea290/>5;5d69m6=2=?21boh4?:%0;1?b03g838764;hag>5<#:1?1h:5a2969=>=nkj0;6)<75;f4?k4?<3k07dmm:18'6=3=l>1e>5:5b:9jg<<72-8397j8;o0;0?e<3`i36=4+2979`2=i:1>1h65fc683>!4?=3n<7c<74;g8?le1290/>5;5d69m6=2=n21bo84?:%0;1?b03g8387??;:k`0?6=,;2>6i94n3:7>47<3`i86=4+2979`2=i:1>1=?54ib094?"50<0o;6`=85827>=nk80;6)<75;f4?k4?<3;?76gl0;29 7>22m=0b?6;:078?lba290/>5;5d69m6=2=9?10eik50;&1<0<c?2d9494>7:9j`a<72-8397j8;o0;0?7?32coo7>5$3:6>a1<f;2?6<74;hfa>5<#:1?1h:5a29695d=<amk1<7*=848g3>h50=0:n65fd883>!4?=3n<7c<74;3`?>oc=3:1(?6::e58j7>328n07dmn:18'6=3=l>1e>5:51d98mg`=83.9484k7:l1<1<6n21b==950;&1<0<68?1e>5:50:9j553=83.9484>079m6=2=921b==:50;&1<0<68?1e>5:52:9j555=83.9484>079m6=2=;21b==m50;&1<0<68k1e>5:50:9j55g=83.9484>0c9m6=2=921b==750;&1<0<68k1e>5:52:9j55>=83.9484>0c9m6=2=;21b?<750;&1<0<4911e>5:50:9j741=83.9484<199m6=2=921b?<850;&1<0<4911e>5:52:9j743=83.9484<199m6=2=;21b?<k50;&1<0<49m1e>5:50:9j74e=83.9484<1e9m6=2=921b?<l50;&1<0<49m1e>5:52:9j74g=83.9484<1e9m6=2=;21d=?850;&1<0<6:<1e>5:50:9l572=83.9484>249m6=2=921d=?<50;&1<0<6:<1e>5:52:9l577=83.9484>249m6=2=;21d=?>50;&1<0<6:<1e>5:54:9l54`=83.9484>249m6=2==21d=<k50;&1<0<6:<1e>5:56:9l54b=83.9484>249m6=2=?21d=<m50;&1<0<6:<1e>5:58:9l54d=83.9484>249m6=2=121d=<o50;&1<0<6:<1e>5:5a:9l54?=83.9484>249m6=2=j21d=<950;&1<0<6:<1e>5:5c:9l540=83.9484>249m6=2=l21d=<;50;&1<0<6:<1e>5:5e:9l542=83.9484>249m6=2=n21d=<=50;&1<0<6:<1e>5:51198k475290/>5;51378j7>328;07b?>1;29 7>2288>7c<74;31?>i6990;6)<75;311>h50=0:?65`11d94?"50<0:>85a296951=<g8:n6=4+2979573<f;2?6<;4;n31`?6=,;2>6<<:;o0;0?7132e:>n4?:%0;1?75=2d9494>7:9l57d=83.9484>249m6=2=9110c<<n:18'6=3=9;?0b?6;:0;8?j7513:1(?6::006?k4?<3;j76a>2983>!4?=3;996`=8582f>=h9;=1<7*=848260=i:1>1=n54o000>5<#:1?1=?;4n3:7>4b<3f;:47>5$3:6>4423g8387?j;:m24a<72-8397?=5:l1<1<6n21d=9650;&1<0<6<>1e>5:50:9l510=83.9484>469m6=2=921d=9:50;&1<0<6<>1e>5:52:9l515=83.9484>469m6=2=;21d=9<50;&1<0<6<>1e>5:54:9l517=83.9484>469m6=2==21d=9>50;&1<0<6<>1e>5:56:9l56`=83.9484>469m6=2=?21d=>k50;&1<0<6<>1e>5:58:9l56b=83.9484>469m6=2=121d=>m50;&1<0<6<>1e>5:5a:9l56d=83.9484>469m6=2=j21d=>750;&1<0<6<>1e>5:5c:9l56>=83.9484>469m6=2=l21d=>950;&1<0<6<>1e>5:5e:9l560=83.9484>469m6=2=n21d=>;50;&1<0<6<>1e>5:51198k453290/>5;51558j7>328;07b?<3;29 7>228><7c<74;31?>i6;;0;6)<75;373>h50=0:?65`12394?"50<0:8:5a296951=<g89;6=4+2979511<f;2?6<;4;n37b?6=,;2>6<:8;o0;0?7132e:8h4?:%0;1?73?2d9494>7:9l51b=83.9484>469m6=2=9110c<:l:18'6=3=9==0b?6;:0;8?j73j3:1(?6::064?k4?<3;j76a>4`83>!4?=3;?;6`=8582f>=h9=31<7*=848202=i:1>1=n54o066>5<#:1?1=994n3:7>4b<3f;8m7>5$3:6>4203g8387?j;:m26c<72-8397?;7:l1<1<6n21d=8;50;&1<0<6==1e>5:50:9l505=83.9484>559m6=2=921d=8<50;&1<0<6==1e>5:52:9l507=83.9484>559m6=2=;21d=8o50;&1<0<6=01e>5:50:9l50>=83.9484>589m6=2=921d=8950;&1<0<6=01e>5:52:9l500=83.9484>589m6=2=;21vnh7>:18`6?6=8r.8<n4=e`9K76?<@:;:7W8m:bye>46=:j09h7=::24972<4038n6?h53880e?{#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h<526g8 4c72;1/=h?52:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<k;:0:6?!7b=3;396*=ec813`=#:li1>:k4n3de><=i;9:156*<07813`=#90n1?6*>9d80?!55839:j6*<20805c=i;;8156`<228:?k75m3:0b<;?:19'753=91?0(>>7:39'5d6=;2.:m<4<;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+31;96>o1l3:17d8j:188m25=831b;94?::k2<5<722c8<<4?::k047<722c:4<4?::k04d<722c8<o4?::m5b?6=3f=;6=44i5a94?"50<0?n6`=8583?>o3i3:1(?6::5`8j7>32810e9750;&1<0<3j2d9494=;:k7<?6=,;2>69l4n3:7>6=<a==1<7*=8487f>h50=0?76g:5;29 7>22=h0b?6;:498m02=83.9484;b:l1<1<132c>?7>5$3:6>1d<f;2?6:54i4094?"50<0?n6`=858;?>o293:1(?6::5`8j7>32010e8>50;&1<0<3j2d9494n;:k7b?6=,;2>69l4n3:7>g=<a=o1<7*=8487f>h50=0h76g;d;29 7>22=h0b?6;:e98m10=83.9484;b:l1<1<b32c>o7>5$3:6>0d<f;2?6=54i4c94?"50<0>n6`=8582?>o213:1(?6::4`8j7>32;10e8650;&1<0<2j2d9494<;:k63?6=,;2>68l4n3:7>1=<a??1<7*=8486f>h50=0>76g94;29 7>22<h0b?6;:798m35=83.9484:b:l1<1<032c=>7>5$3:6>0d<f;2?6554i7394?"50<0>n6`=858:?>o183:1(?6::4`8j7>32h10e8h50;&1<0<2j2d9494m;:k6a?6=,;2>68l4n3:7>f=<a<n1<7*=8486f>h50=0o76g:6;29 7>22<h0b?6;:d98mg1=83.9484m6:l1<1<732ci97>5$3:6>g0<f;2?6<54ic194?"50<0i:6`=8581?>oe:3:1(?6::c48j7>32:10eo?50;&1<0<e>2d9494;;:ka4?6=,;2>6o84n3:7>0=<ahl1<7*=848a2>h50=0=76gne;29 7>22k<0b?6;:698mdb=83.9484m6:l1<1<?32cjo7>5$3:6>g0<f;2?6454i``94?"50<0i:6`=858b?>ofi3:1(?6::c48j7>32k10el650;&1<0<e>2d9494l;:kb3?6=,;2>6o84n3:7>a=<ah<1<7*=848a2>h50=0n76gn5;29 7>22k<0b?6;:g98md2=83.9484m6:l1<1<6821bm>4?:%0;1?d13g8387?>;:kb6?6=,;2>6o84n3:7>44<3`k:6=4+2979f3=i:1>1=>54i`294?"50<0i:6`=85820>=n1o0;6)<75;`5?k4?<3;>76gme;29 7>22k<0b?6;:048?ldc290/>5;5b79m6=2=9>10eom50;&1<0<e>2d9494>8:9jfg<72-8397l9;o0;0?7>32cim7>5$3:6>g0<f;2?6<o4;h`:>5<#:1?1n;5a29695g=<ak21<7*=848a2>h50=0:o65fb583>!4?=3h=7c<74;3g?>of13:1(?6::c48j7>328o07d7j:18'6=3=j?1e>5:51g98ma>=83.9484k7:l1<1<732co:7>5$3:6>a1<f;2?6<54ie694?"50<0o;6`=8581?>oc;3:1(?6::e58j7>32:10ei<50;&1<0<c?2d9494;;:kg5?6=,;2>6i94n3:7>0=<am:1<7*=848g3>h50=0=76glf;29 7>22m=0b?6;:698mfc=83.9484k7:l1<1<?32chh7>5$3:6>a1<f;2?6454iba94?"50<0o;6`=858b?>odj3:1(?6::e58j7>32k10en750;&1<0<c?2d9494l;:k`<?6=,;2>6i94n3:7>a=<aj=1<7*=848g3>h50=0n76gl6;29 7>22m=0b?6;:g98mf3=83.9484k7:l1<1<6821bo94?:%0;1?b03g8387?>;:k`7?6=,;2>6i94n3:7>44<3`i96=4+2979`2=i:1>1=>54ib394?"50<0o;6`=85820>=nk90;6)<75;f4?k4?<3;>76gkf;29 7>22m=0b?6;:048?lbb290/>5;5d69m6=2=9>10eij50;&1<0<c?2d9494>8:9j`f<72-8397j8;o0;0?7>32con7>5$3:6>a1<f;2?6<o4;hfb>5<#:1?1h:5a29695g=<am31<7*=848g3>h50=0:o65fd483>!4?=3n<7c<74;3g?>odi3:1(?6::e58j7>328o07dli:18'6=3=l>1e>5:51g98m460290/>5;51148j7>32910e<>::18'6=3=99<0b?6;:098m463290/>5;51148j7>32;10e<><:18'6=3=99<0b?6;:298m46d290/>5;511`8j7>32910e<>n:18'6=3=99h0b?6;:098m46>290/>5;511`8j7>32;10e<>7:18'6=3=99h0b?6;:298m67>290/>5;530:8j7>32910e>?8:18'6=3=;820b?6;:098m671290/>5;530:8j7>32;10e>?::18'6=3=;820b?6;:298m67b290/>5;530f8j7>32910e>?l:18'6=3=;8n0b?6;:098m67e290/>5;530f8j7>32;10e>?n:18'6=3=;8n0b?6;:298k441290/>5;51378j7>32910c<<;:18'6=3=9;?0b?6;:098k445290/>5;51378j7>32;10c<<>:18'6=3=9;?0b?6;:298k447290/>5;51378j7>32=10c<?i:18'6=3=9;?0b?6;:498k47b290/>5;51378j7>32?10c<?k:18'6=3=9;?0b?6;:698k47d290/>5;51378j7>32110c<?m:18'6=3=9;?0b?6;:898k47f290/>5;51378j7>32h10c<?6:18'6=3=9;?0b?6;:c98k470290/>5;51378j7>32j10c<?9:18'6=3=9;?0b?6;:e98k472290/>5;51378j7>32l10c<?;:18'6=3=9;?0b?6;:g98k474290/>5;51378j7>328:07b?>2;29 7>2288>7c<74;32?>i6980;6)<75;311>h50=0:>65`10294?"50<0:>85a296956=<g8:m6=4+2979573<f;2?6<:4;n33a?6=,;2>6<<:;o0;0?7232e:>i4?:%0;1?75=2d9494>6:9l57e=83.9484>249m6=2=9>10c<<m:18'6=3=9;?0b?6;:0:8?j75i3:1(?6::006?k4?<3;276a>2883>!4?=3;996`=8582e>=h9;21<7*=848260=i:1>1=o54o004>5<#:1?1=?;4n3:7>4e<3f;9?7>5$3:6>4423g8387?k;:m25=<72-8397?=5:l1<1<6m21d==j50;&1<0<6:<1e>5:51g98k42?290/>5;51558j7>32910c<:9:18'6=3=9==0b?6;:098k423290/>5;51558j7>32;10c<:<:18'6=3=9==0b?6;:298k425290/>5;51558j7>32=10c<:>:18'6=3=9==0b?6;:498k427290/>5;51558j7>32?10c<=i:18'6=3=9==0b?6;:698k45b290/>5;51558j7>32110c<=k:18'6=3=9==0b?6;:898k45d290/>5;51558j7>32h10c<=m:18'6=3=9==0b?6;:c98k45>290/>5;51558j7>32j10c<=7:18'6=3=9==0b?6;:e98k450290/>5;51558j7>32l10c<=9:18'6=3=9==0b?6;:g98k452290/>5;51558j7>328:07b?<4;29 7>228><7c<74;32?>i6;:0;6)<75;373>h50=0:>65`12094?"50<0:8:5a296956=<g89:6=4+2979511<f;2?6<:4;n304?6=,;2>6<:8;o0;0?7232e:8k4?:%0;1?73?2d9494>6:9l51c=83.9484>469m6=2=9>10c<:k:18'6=3=9==0b?6;:0:8?j73k3:1(?6::064?k4?<3;276a>4c83>!4?=3;?;6`=8582e>=h9=k1<7*=848202=i:1>1=o54o06:>5<#:1?1=994n3:7>4e<3f;?97>5$3:6>4203g8387?k;:m27d<72-8397?;7:l1<1<6m21d=?h50;&1<0<6<>1e>5:51g98k432290/>5;51468j7>32910c<;<:18'6=3=9<>0b?6;:098k435290/>5;51468j7>32;10c<;>:18'6=3=9<>0b?6;:298k43f290/>5;514;8j7>32910c<;7:18'6=3=9<30b?6;:098k430290/>5;514;8j7>32;10c<;9:18'6=3=9<30b?6;:298ygc>:3:1o?4?:1y'75e=:lk0D>=6;I125>\1j3ipj7??:3a96a<4=39=6>953981a?4a2:31?l4r$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c52;=n7)?j0;08 4c62;1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7b<3;396*>e482<0=#:lh1>:k4$3g`>71b3g8mj774n223><=#;9<1>:k4$0;g>6=#90o1?6*<21805c=#;;;1?<h4n201><=i;;9156`>2d83?k7283:0(>>::0:6?!570380(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,::26?5f6e83>>o1m3:17d9<:188m22=831b=5>50;9j757=831b?=<50;9j5=7=831b?=o50;9j75d=831d:k4?::m44?6=3`>h6=4+29790g=i:1>1<65f4`83>!4?=3>i7c<74;38?l2>290/>5;54c9m6=2=:21b854?:%0;1?2e3g8387=4;h64>5<#:1?18o5a29690>=n=<0;6)<75;6a?k4?<3?07d;;:18'6=3=<k1e>5:56:9j16<72-8397:m;o0;0?1<3`?96=4+29790g=i:1>1465f5083>!4?=3>i7c<74;;8?l37290/>5;54c9m6=2=i21b8k4?:%0;1?2e3g8387l4;h6f>5<#:1?18o5a2969g>=n<m0;6)<75;6a?k4?<3n07d:9:18'6=3=<k1e>5:5e:9j1f<72-8397;m;o0;0?6<3`?j6=4+29791g=i:1>1=65f5883>!4?=3?i7c<74;08?l3?290/>5;55c9m6=2=;21b9:4?:%0;1?3e3g8387:4;h46>5<#:1?19o5a29691>=n>=0;6)<75;7a?k4?<3<07d8<:18'6=3==k1e>5:57:9j27<72-8397;m;o0;0?><3`<:6=4+29791g=i:1>1565f6183>!4?=3?i7c<74;c8?l3a290/>5;55c9m6=2=j21b9h4?:%0;1?3e3g8387m4;h7g>5<#:1?19o5a2969`>=n=?0;6)<75;7a?k4?<3o07dl8:18'6=3=j?1e>5:50:9jf0<72-8397l9;o0;0?7<3`h86=4+2979f3=i:1>1>65fb383>!4?=3h=7c<74;18?ld6290/>5;5b79m6=2=<21bn=4?:%0;1?d13g8387;4;hce>5<#:1?1n;5a29692>=nil0;6)<75;`5?k4?<3=07dok:18'6=3=j?1e>5:58:9jef<72-8397l9;o0;0??<3`ki6=4+2979f3=i:1>1m65fa`83>!4?=3h=7c<74;`8?lg?290/>5;5b79m6=2=k21bm:4?:%0;1?d13g8387j4;hc5>5<#:1?1n;5a2969a>=ni<0;6)<75;`5?k4?<3l07do;:18'6=3=j?1e>5:51198md5=83.9484m6:l1<1<6921bm?4?:%0;1?d13g8387?=;:kb5?6=,;2>6o84n3:7>45<3`k;6=4+2979f3=i:1>1=954i8d94?"50<0i:6`=85821>=njl0;6)<75;`5?k4?<3;=76gmd;29 7>22k<0b?6;:058?ldd290/>5;5b79m6=2=9110eol50;&1<0<e>2d9494>9:9jfd<72-8397l9;o0;0?7f32ci57>5$3:6>g0<f;2?6<l4;h`;>5<#:1?1n;5a29695f=<ak>1<7*=848a2>h50=0:h65fa883>!4?=3h=7c<74;3f?>o>m3:1(?6::c48j7>328l07dj7:18'6=3=l>1e>5:50:9j`3<72-8397j8;o0;0?7<3`n?6=4+2979`2=i:1>1>65fd283>!4?=3n<7c<74;18?lb5290/>5;5d69m6=2=<21bh<4?:%0;1?b03g8387;4;hf3>5<#:1?1h:5a29692>=nko0;6)<75;f4?k4?<3=07dmj:18'6=3=l>1e>5:58:9jga<72-8397j8;o0;0??<3`ih6=4+2979`2=i:1>1m65fcc83>!4?=3n<7c<74;`8?le>290/>5;5d69m6=2=k21bo54?:%0;1?b03g8387j4;ha4>5<#:1?1h:5a2969a>=nk?0;6)<75;f4?k4?<3l07dm::18'6=3=l>1e>5:51198mf2=83.9484k7:l1<1<6921bo>4?:%0;1?b03g8387?=;:k`6?6=,;2>6i94n3:7>45<3`i:6=4+2979`2=i:1>1=954ib294?"50<0o;6`=85821>=nlo0;6)<75;f4?k4?<3;=76gke;29 7>22m=0b?6;:058?lbc290/>5;5d69m6=2=9110eim50;&1<0<c?2d9494>9:9j`g<72-8397j8;o0;0?7f32com7>5$3:6>a1<f;2?6<l4;hf:>5<#:1?1h:5a29695f=<am?1<7*=848g3>h50=0:h65fc`83>!4?=3n<7c<74;3f?>oen3:1(?6::e58j7>328l07d??7;29 7>228:=7c<74;28?l77=3:1(?6::025?k4?<3;07d??4;29 7>228:=7c<74;08?l77;3:1(?6::025?k4?<3907d??c;29 7>228:i7c<74;28?l77i3:1(?6::02a?k4?<3;07d??9;29 7>228:i7c<74;08?l7703:1(?6::02a?k4?<3907d=>9;29 7>22:;37c<74;28?l56?3:1(?6::23;?k4?<3;07d=>6;29 7>22:;37c<74;08?l56=3:1(?6::23;?k4?<3907d=>e;29 7>22:;o7c<74;28?l56k3:1(?6::23g?k4?<3;07d=>b;29 7>22:;o7c<74;08?l56i3:1(?6::23g?k4?<3907b?=6;29 7>2288>7c<74;28?j75<3:1(?6::006?k4?<3;07b?=2;29 7>2288>7c<74;08?j7593:1(?6::006?k4?<3907b?=0;29 7>2288>7c<74;68?j76n3:1(?6::006?k4?<3?07b?>e;29 7>2288>7c<74;48?j76l3:1(?6::006?k4?<3=07b?>c;29 7>2288>7c<74;:8?j76j3:1(?6::006?k4?<3307b?>a;29 7>2288>7c<74;c8?j7613:1(?6::006?k4?<3h07b?>7;29 7>2288>7c<74;a8?j76>3:1(?6::006?k4?<3n07b?>5;29 7>2288>7c<74;g8?j76<3:1(?6::006?k4?<3l07b?>3;29 7>2288>7c<74;33?>i69;0;6)<75;311>h50=0:=65`10394?"50<0:>85a296957=<g8;;6=4+2979573<f;2?6<=4;n33b?6=,;2>6<<:;o0;0?7332e:<h4?:%0;1?75=2d9494>5:9l57b=83.9484>249m6=2=9?10c<<l:18'6=3=9;?0b?6;:058?j75j3:1(?6::006?k4?<3;376a>2`83>!4?=3;996`=8582=>=h9;31<7*=848260=i:1>1=l54o00;>5<#:1?1=?;4n3:7>4d<3f;9;7>5$3:6>4423g8387?l;:m266<72-8397?=5:l1<1<6l21d=<650;&1<0<6:<1e>5:51d98k46c290/>5;51378j7>328l07b?;8;29 7>228><7c<74;28?j73>3:1(?6::064?k4?<3;07b?;4;29 7>228><7c<74;08?j73;3:1(?6::064?k4?<3907b?;2;29 7>228><7c<74;68?j7393:1(?6::064?k4?<3?07b?;0;29 7>228><7c<74;48?j74n3:1(?6::064?k4?<3=07b?<e;29 7>228><7c<74;:8?j74l3:1(?6::064?k4?<3307b?<c;29 7>228><7c<74;c8?j74j3:1(?6::064?k4?<3h07b?<9;29 7>228><7c<74;a8?j7403:1(?6::064?k4?<3n07b?<7;29 7>228><7c<74;g8?j74>3:1(?6::064?k4?<3l07b?<5;29 7>228><7c<74;33?>i6;=0;6)<75;373>h50=0:=65`12194?"50<0:8:5a296957=<g8996=4+2979511<f;2?6<=4;n305?6=,;2>6<:8;o0;0?7332e:?=4?:%0;1?73?2d9494>5:9l51`=83.9484>469m6=2=9?10c<:j:18'6=3=9==0b?6;:058?j73l3:1(?6::064?k4?<3;376a>4b83>!4?=3;?;6`=8582=>=h9=h1<7*=848202=i:1>1=l54o06b>5<#:1?1=994n3:7>4d<3f;?57>5$3:6>4203g8387?l;:m200<72-8397?;7:l1<1<6l21d=>o50;&1<0<6<>1e>5:51d98k44a290/>5;51558j7>328l07b?:5;29 7>228??7c<74;28?j72;3:1(?6::077?k4?<3;07b?:2;29 7>228??7c<74;08?j7293:1(?6::077?k4?<3907b?:a;29 7>228?27c<74;28?j7203:1(?6::07:?k4?<3;07b?:7;29 7>228?27c<74;08?j72>3:1(?6::07:?k4?<3907plj9283>f4=83:p(>>l:3gb?M5412B8=<5U6c8`\7fc<6838h6?j534802?502:21>h4=f;1:>6g=u-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j2;04a>"6m9097)?j1;08 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>e582<0=#9l?1=5;4$3ga>71b3-8no7<8e:l1bc<>3g9;<774$225>71b3-;2h7=4$0;f>6=#;;:1?<h4$202>67a3g99>774n200><=i9;o1<6`>5183?!57=3;396*<0981?!7f8390(<o>:29'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%13=?4<a?n1<75f6d83>>o0;3:17d9;:188m4>72900e>>>:188m6652900e<6>:188m66f2900e>>m:188k3`=831d;=4?::k7g?6=,;2>69l4n3:7>5=<a=k1<7*=8487f>h50=0:76g;9;29 7>22=h0b?6;:398m1>=83.9484;b:l1<1<432c?;7>5$3:6>1d<f;2?6954i4794?"50<0?n6`=8586?>o2<3:1(?6::5`8j7>32?10e8=50;&1<0<3j2d94948;:k66?6=,;2>69l4n3:7>==<a<;1<7*=8487f>h50=0276g:0;29 7>22=h0b?6;:`98m1`=83.9484;b:l1<1<e32c?i7>5$3:6>1d<f;2?6n54i5f94?"50<0?n6`=858g?>o3>3:1(?6::5`8j7>32l10e8m50;&1<0<2j2d9494?;:k6e?6=,;2>68l4n3:7>4=<a<31<7*=8486f>h50=0976g:8;29 7>22<h0b?6;:298m01=83.9484:b:l1<1<332c=97>5$3:6>0d<f;2?6854i7694?"50<0>n6`=8585?>o1;3:1(?6::4`8j7>32>10e;<50;&1<0<2j2d94947;:k55?6=,;2>68l4n3:7><=<a?:1<7*=8486f>h50=0j76g:f;29 7>22<h0b?6;:c98m0c=83.9484:b:l1<1<d32c>h7>5$3:6>0d<f;2?6i54i4494?"50<0>n6`=858f?>oe?3:1(?6::c48j7>32910eo;50;&1<0<e>2d9494>;:ka7?6=,;2>6o84n3:7>7=<ak81<7*=848a2>h50=0876gm1;29 7>22k<0b?6;:598mg6=83.9484m6:l1<1<232cjj7>5$3:6>g0<f;2?6;54i`g94?"50<0i:6`=8584?>ofl3:1(?6::c48j7>32110elm50;&1<0<e>2d94946;:kbf?6=,;2>6o84n3:7>d=<ahk1<7*=848a2>h50=0i76gn8;29 7>22k<0b?6;:b98md1=83.9484m6:l1<1<c32cj:7>5$3:6>g0<f;2?6h54i`794?"50<0i:6`=858e?>of<3:1(?6::c48j7>328:07do<:18'6=3=j?1e>5:51098md4=83.9484m6:l1<1<6:21bm<4?:%0;1?d13g8387?<;:kb4?6=,;2>6o84n3:7>42<3`3m6=4+2979f3=i:1>1=854icg94?"50<0i:6`=85822>=njm0;6)<75;`5?k4?<3;<76gmc;29 7>22k<0b?6;:0:8?lde290/>5;5b79m6=2=9010eoo50;&1<0<e>2d9494>a:9jf<<72-8397l9;o0;0?7e32ci47>5$3:6>g0<f;2?6<m4;h`7>5<#:1?1n;5a29695a=<ah31<7*=848a2>h50=0:i65f9d83>!4?=3h=7c<74;3e?>oc03:1(?6::e58j7>32910ei850;&1<0<c?2d9494>;:kg0?6=,;2>6i94n3:7>7=<am91<7*=848g3>h50=0876gk2;29 7>22m=0b?6;:598ma7=83.9484k7:l1<1<232co<7>5$3:6>a1<f;2?6;54ibd94?"50<0o;6`=8584?>odm3:1(?6::e58j7>32110enj50;&1<0<c?2d94946;:k`g?6=,;2>6i94n3:7>d=<ajh1<7*=848g3>h50=0i76gl9;29 7>22m=0b?6;:b98mf>=83.9484k7:l1<1<c32ch;7>5$3:6>a1<f;2?6h54ib494?"50<0o;6`=858e?>od=3:1(?6::e58j7>328:07dm;:18'6=3=l>1e>5:51098mf5=83.9484k7:l1<1<6:21bo?4?:%0;1?b03g8387?<;:k`5?6=,;2>6i94n3:7>42<3`i;6=4+2979`2=i:1>1=854ied94?"50<0o;6`=85822>=nll0;6)<75;f4?k4?<3;<76gkd;29 7>22m=0b?6;:0:8?lbd290/>5;5d69m6=2=9010eil50;&1<0<c?2d9494>a:9j`d<72-8397j8;o0;0?7e32co57>5$3:6>a1<f;2?6<m4;hf6>5<#:1?1h:5a29695a=<ajk1<7*=848g3>h50=0:i65fbg83>!4?=3n<7c<74;3e?>o68>0;6)<75;332>h50=0;76g>0483>!4?=3;;:6`=8582?>o68=0;6)<75;332>h50=0976g>0283>!4?=3;;:6`=8580?>o68j0;6)<75;33f>h50=0;76g>0`83>!4?=3;;n6`=8582?>o6800;6)<75;33f>h50=0976g>0983>!4?=3;;n6`=8580?>o4900;6)<75;12<>h50=0;76g<1683>!4?=39:46`=8582?>o49?0;6)<75;12<>h50=0976g<1483>!4?=39:46`=8580?>o49l0;6)<75;12`>h50=0;76g<1b83>!4?=39:h6`=8582?>o49k0;6)<75;12`>h50=0976g<1`83>!4?=39:h6`=8580?>i6:?0;6)<75;311>h50=0;76a>2583>!4?=3;996`=8582?>i6:;0;6)<75;311>h50=0976a>2083>!4?=3;996`=8580?>i6:90;6)<75;311>h50=0?76a>1g83>!4?=3;996`=8586?>i69l0;6)<75;311>h50=0=76a>1e83>!4?=3;996`=8584?>i69j0;6)<75;311>h50=0376a>1c83>!4?=3;996`=858:?>i69h0;6)<75;311>h50=0j76a>1883>!4?=3;996`=858a?>i69>0;6)<75;311>h50=0h76a>1783>!4?=3;996`=858g?>i69<0;6)<75;311>h50=0n76a>1583>!4?=3;996`=858e?>i69:0;6)<75;311>h50=0:<65`10094?"50<0:>85a296954=<g8;:6=4+2979573<f;2?6<<4;n324?6=,;2>6<<:;o0;0?7432e:<k4?:%0;1?75=2d9494>4:9l55c=83.9484>249m6=2=9<10c<<k:18'6=3=9;?0b?6;:048?j75k3:1(?6::006?k4?<3;<76a>2c83>!4?=3;996`=8582<>=h9;k1<7*=848260=i:1>1=454o00:>5<#:1?1=?;4n3:7>4g<3f;947>5$3:6>4423g8387?m;:m262<72-8397?=5:l1<1<6k21d=?=50;&1<0<6:<1e>5:51e98k47?290/>5;51378j7>328o07b??d;29 7>2288>7c<74;3e?>i6<10;6)<75;373>h50=0;76a>4783>!4?=3;?;6`=8582?>i6<=0;6)<75;373>h50=0976a>4283>!4?=3;?;6`=8580?>i6<;0;6)<75;373>h50=0?76a>4083>!4?=3;?;6`=8586?>i6<90;6)<75;373>h50=0=76a>3g83>!4?=3;?;6`=8584?>i6;l0;6)<75;373>h50=0376a>3e83>!4?=3;?;6`=858:?>i6;j0;6)<75;373>h50=0j76a>3c83>!4?=3;?;6`=858a?>i6;00;6)<75;373>h50=0h76a>3983>!4?=3;?;6`=858g?>i6;>0;6)<75;373>h50=0n76a>3783>!4?=3;?;6`=858e?>i6;<0;6)<75;373>h50=0:<65`12694?"50<0:8:5a296954=<g8986=4+2979511<f;2?6<<4;n306?6=,;2>6<:8;o0;0?7432e:?<4?:%0;1?73?2d9494>4:9l566=83.9484>469m6=2=9<10c<:i:18'6=3=9==0b?6;:048?j73m3:1(?6::064?k4?<3;<76a>4e83>!4?=3;?;6`=8582<>=h9=i1<7*=848202=i:1>1=454o06a>5<#:1?1=994n3:7>4g<3f;?m7>5$3:6>4203g8387?m;:m20<<72-8397?;7:l1<1<6k21d=9;50;&1<0<6<>1e>5:51e98k45f290/>5;51558j7>328o07b?=f;29 7>228><7c<74;3e?>i6=<0;6)<75;360>h50=0;76a>5283>!4?=3;>86`=8582?>i6=;0;6)<75;360>h50=0976a>5083>!4?=3;>86`=8580?>i6=h0;6)<75;36=>h50=0;76a>5983>!4?=3;>56`=8582?>i6=>0;6)<75;36=>h50=0976a>5783>!4?=3;>56`=8580?>{em0>1<7m=:183\7f!57k38nm6F<389K747<R?h1ovh51181g?4c2:?1?;4<7;1;>7c=:o0857=n:|&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m;09;h5+1d296>"6m8097)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#9l>1=5;4$0g6>4>23-8nn7<8e:&1af<5?l1e>kh59:l045<>3-9;:7<8e:&2=a<43-;2i7=4$203>67a3-99=7=>f:l067<>3g99?774n00f>5=i9<:1<6*<0482<0=#;921>6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.8<44=;h4g>5<<a?o1<75f7283>>o0<3:17d?70;29?l5793:17d=?2;29?l7?93:17d=?a;29?l57j3:17b8i:188k26=831b8n4?:%0;1?2e3g8387>4;h6b>5<#:1?18o5a29695>=n<00;6)<75;6a?k4?<3807d:7:18'6=3=<k1e>5:53:9j02<72-8397:m;o0;0?2<3`?>6=4+29790g=i:1>1965f5583>!4?=3>i7c<74;48?l34290/>5;54c9m6=2=?21b9?4?:%0;1?2e3g838764;h72>5<#:1?18o5a2969=>=n=90;6)<75;6a?k4?<3k07d:i:18'6=3=<k1e>5:5b:9j0`<72-8397:m;o0;0?e<3`>o6=4+29790g=i:1>1h65f4783>!4?=3>i7c<74;g8?l3d290/>5;55c9m6=2=821b9l4?:%0;1?3e3g8387?4;h7:>5<#:1?19o5a29696>=n=10;6)<75;7a?k4?<3907d;8:18'6=3==k1e>5:54:9j20<72-8397;m;o0;0?3<3`<?6=4+29791g=i:1>1:65f6283>!4?=3?i7c<74;58?l05290/>5;55c9m6=2=021b:<4?:%0;1?3e3g838774;h43>5<#:1?19o5a2969e>=n=o0;6)<75;7a?k4?<3h07d;j:18'6=3==k1e>5:5c:9j1a<72-8397;m;o0;0?b<3`?=6=4+29791g=i:1>1i65fb683>!4?=3h=7c<74;28?ld2290/>5;5b79m6=2=921bn>4?:%0;1?d13g8387<4;h`1>5<#:1?1n;5a29697>=nj80;6)<75;`5?k4?<3>07dl?:18'6=3=j?1e>5:55:9jec<72-8397l9;o0;0?0<3`kn6=4+2979f3=i:1>1;65fae83>!4?=3h=7c<74;:8?lgd290/>5;5b79m6=2=121bmo4?:%0;1?d13g8387o4;hcb>5<#:1?1n;5a2969f>=ni10;6)<75;`5?k4?<3i07do8:18'6=3=j?1e>5:5d:9je3<72-8397l9;o0;0?c<3`k>6=4+2979f3=i:1>1j65fa583>!4?=3h=7c<74;33?>of;3:1(?6::c48j7>328;07do=:18'6=3=j?1e>5:51398md7=83.9484m6:l1<1<6;21bm=4?:%0;1?d13g8387?;;:k:b?6=,;2>6o84n3:7>43<3`hn6=4+2979f3=i:1>1=;54icf94?"50<0i:6`=85823>=njj0;6)<75;`5?k4?<3;376gmb;29 7>22k<0b?6;:0;8?ldf290/>5;5b79m6=2=9h10eo750;&1<0<e>2d9494>b:9jf=<72-8397l9;o0;0?7d32ci87>5$3:6>g0<f;2?6<j4;hc:>5<#:1?1n;5a29695`=<a0o1<7*=848a2>h50=0:j65fd983>!4?=3n<7c<74;28?lb1290/>5;5d69m6=2=921bh94?:%0;1?b03g8387<4;hf0>5<#:1?1h:5a29697>=nl;0;6)<75;f4?k4?<3>07dj>:18'6=3=l>1e>5:55:9j`5<72-8397j8;o0;0?0<3`im6=4+2979`2=i:1>1;65fcd83>!4?=3n<7c<74;:8?lec290/>5;5d69m6=2=121bon4?:%0;1?b03g8387o4;haa>5<#:1?1h:5a2969f>=nk00;6)<75;f4?k4?<3i07dm7:18'6=3=l>1e>5:5d:9jg2<72-8397j8;o0;0?c<3`i=6=4+2979`2=i:1>1j65fc483>!4?=3n<7c<74;33?>od<3:1(?6::e58j7>328;07dm<:18'6=3=l>1e>5:51398mf4=83.9484k7:l1<1<6;21bo<4?:%0;1?b03g8387?;;:k`4?6=,;2>6i94n3:7>43<3`nm6=4+2979`2=i:1>1=;54ieg94?"50<0o;6`=85823>=nlm0;6)<75;f4?k4?<3;376gkc;29 7>22m=0b?6;:0;8?lbe290/>5;5d69m6=2=9h10eio50;&1<0<c?2d9494>b:9j`<<72-8397j8;o0;0?7d32co97>5$3:6>a1<f;2?6<j4;hab>5<#:1?1h:5a29695`=<akl1<7*=848g3>h50=0:j65f11594?"50<0:<;5a29694>=n99?1<7*=848243=i:1>1=65f11694?"50<0:<;5a29696>=n9991<7*=848243=i:1>1?65f11a94?"50<0:<o5a29694>=n99k1<7*=84824g=i:1>1=65f11;94?"50<0:<o5a29696>=n9921<7*=84824g=i:1>1?65f30;94?"50<08=55a29694>=n;8=1<7*=84805==i:1>1=65f30494?"50<08=55a29696>=n;8?1<7*=84805==i:1>1?65f30g94?"50<08=i5a29694>=n;8i1<7*=84805a=i:1>1=65f30`94?"50<08=i5a29696>=n;8k1<7*=84805a=i:1>1?65`13494?"50<0:>85a29694>=h9;>1<7*=848260=i:1>1=65`13094?"50<0:>85a29696>=h9;;1<7*=848260=i:1>1?65`13294?"50<0:>85a29690>=h98l1<7*=848260=i:1>1965`10g94?"50<0:>85a29692>=h98n1<7*=848260=i:1>1;65`10a94?"50<0:>85a2969<>=h98h1<7*=848260=i:1>1565`10c94?"50<0:>85a2969e>=h9831<7*=848260=i:1>1n65`10594?"50<0:>85a2969g>=h98<1<7*=848260=i:1>1h65`10794?"50<0:>85a2969a>=h98>1<7*=848260=i:1>1j65`10194?"50<0:>85a296955=<g8;96=4+2979573<f;2?6<?4;n325?6=,;2>6<<:;o0;0?7532e:==4?:%0;1?75=2d9494>3:9l55`=83.9484>249m6=2=9=10c<>j:18'6=3=9;?0b?6;:078?j75l3:1(?6::006?k4?<3;=76a>2b83>!4?=3;996`=85823>=h9;h1<7*=848260=i:1>1=554o00b>5<#:1?1=?;4n3:7>4?<3f;957>5$3:6>4423g8387?n;:m26=<72-8397?=5:l1<1<6j21d=?950;&1<0<6:<1e>5:51b98k444290/>5;51378j7>328n07b?>8;29 7>2288>7c<74;3f?>i68m0;6)<75;311>h50=0:j65`15:94?"50<0:8:5a29694>=h9=<1<7*=848202=i:1>1=65`15694?"50<0:8:5a29696>=h9=91<7*=848202=i:1>1?65`15094?"50<0:8:5a29690>=h9=;1<7*=848202=i:1>1965`15294?"50<0:8:5a29692>=h9:l1<7*=848202=i:1>1;65`12g94?"50<0:8:5a2969<>=h9:n1<7*=848202=i:1>1565`12a94?"50<0:8:5a2969e>=h9:h1<7*=848202=i:1>1n65`12;94?"50<0:8:5a2969g>=h9:21<7*=848202=i:1>1h65`12594?"50<0:8:5a2969a>=h9:<1<7*=848202=i:1>1j65`12794?"50<0:8:5a296955=<g89?6=4+2979511<f;2?6<?4;n307?6=,;2>6<:8;o0;0?7532e:??4?:%0;1?73?2d9494>3:9l567=83.9484>469m6=2=9=10c<=?:18'6=3=9==0b?6;:078?j73n3:1(?6::064?k4?<3;=76a>4d83>!4?=3;?;6`=85823>=h9=n1<7*=848202=i:1>1=554o06`>5<#:1?1=994n3:7>4?<3f;?n7>5$3:6>4203g8387?n;:m20d<72-8397?;7:l1<1<6j21d=9750;&1<0<6<>1e>5:51b98k422290/>5;51558j7>328n07b?<a;29 7>228><7c<74;3f?>i6:o0;6)<75;373>h50=0:j65`14794?"50<0:995a29694>=h9<91<7*=848211=i:1>1=65`14094?"50<0:995a29696>=h9<;1<7*=848211=i:1>1?65`14c94?"50<0:945a29694>=h9<21<7*=84821<=i:1>1=65`14594?"50<0:945a29696>=h9<<1<7*=84821<=i:1>1?65rbd;6>5<d:3:1<v*<0b81ad=O;:30D>?>;[4a>f}a28:1>n4=d;16>60=;>0847<j:3d97<<4i3w/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d0962c<,8o;6?5+1d396>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0g7>4>23-;n97?75:&1ag<5?l1/>hm526g8j7`a201e?=>59:&043<5?l1/=4j53:&2=`<43-99<7=>f:&064<49o1e??<59:l066<>3g;9i7>4n073>5=#;9?1=5;4$22;>7=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'75?=:2c=h7>5;h4f>5<<a>91<75f7583>>o6090;66g<0083>>o48;0;66g>8083>>o48h0;66g<0c83>>i1n3:17b9?:188m1e=83.9484;b:l1<1<732c?m7>5$3:6>1d<f;2?6<54i5;94?"50<0?n6`=8581?>o303:1(?6::5`8j7>32:10e9950;&1<0<3j2d9494;;:k61?6=,;2>69l4n3:7>0=<a<>1<7*=8487f>h50=0=76g:3;29 7>22=h0b?6;:698m04=83.9484;b:l1<1<?32c>=7>5$3:6>1d<f;2?6454i4294?"50<0?n6`=858b?>o3n3:1(?6::5`8j7>32k10e9k50;&1<0<3j2d9494l;:k7`?6=,;2>69l4n3:7>a=<a=<1<7*=8487f>h50=0n76g:c;29 7>22<h0b?6;:198m0g=83.9484:b:l1<1<632c>57>5$3:6>0d<f;2?6?54i4:94?"50<0>n6`=8580?>o2?3:1(?6::4`8j7>32=10e;;50;&1<0<2j2d9494:;:k50?6=,;2>68l4n3:7>3=<a?91<7*=8486f>h50=0<76g92;29 7>22<h0b?6;:998m37=83.9484:b:l1<1<>32c=<7>5$3:6>0d<f;2?6l54i4d94?"50<0>n6`=858a?>o2m3:1(?6::4`8j7>32j10e8j50;&1<0<2j2d9494k;:k62?6=,;2>68l4n3:7>`=<ak=1<7*=848a2>h50=0;76gm5;29 7>22k<0b?6;:098mg5=83.9484m6:l1<1<532ci>7>5$3:6>g0<f;2?6>54ic394?"50<0i:6`=8587?>oe83:1(?6::c48j7>32<10elh50;&1<0<e>2d94949;:kba?6=,;2>6o84n3:7>2=<ahn1<7*=848a2>h50=0376gnc;29 7>22k<0b?6;:898mdd=83.9484m6:l1<1<f32cjm7>5$3:6>g0<f;2?6o54i`:94?"50<0i:6`=858`?>of?3:1(?6::c48j7>32m10el850;&1<0<e>2d9494j;:kb1?6=,;2>6o84n3:7>c=<ah>1<7*=848a2>h50=0:<65fa283>!4?=3h=7c<74;32?>of:3:1(?6::c48j7>328807do>:18'6=3=j?1e>5:51298md6=83.9484m6:l1<1<6<21b5k4?:%0;1?d13g8387?:;:kaa?6=,;2>6o84n3:7>40<3`ho6=4+2979f3=i:1>1=:54ica94?"50<0i:6`=8582<>=njk0;6)<75;`5?k4?<3;276gma;29 7>22k<0b?6;:0c8?ld>290/>5;5b79m6=2=9k10eo650;&1<0<e>2d9494>c:9jf1<72-8397l9;o0;0?7c32cj57>5$3:6>g0<f;2?6<k4;h;f>5<#:1?1n;5a29695c=<am21<7*=848g3>h50=0;76gk6;29 7>22m=0b?6;:098ma2=83.9484k7:l1<1<532co?7>5$3:6>a1<f;2?6>54ie094?"50<0o;6`=8587?>oc93:1(?6::e58j7>32<10ei>50;&1<0<c?2d94949;:k`b?6=,;2>6i94n3:7>2=<ajo1<7*=848g3>h50=0376gld;29 7>22m=0b?6;:898mfe=83.9484k7:l1<1<f32chn7>5$3:6>a1<f;2?6o54ib;94?"50<0o;6`=858`?>od03:1(?6::e58j7>32m10en950;&1<0<c?2d9494j;:k`2?6=,;2>6i94n3:7>c=<aj?1<7*=848g3>h50=0:<65fc583>!4?=3n<7c<74;32?>od;3:1(?6::e58j7>328807dm=:18'6=3=l>1e>5:51298mf7=83.9484k7:l1<1<6<21bo=4?:%0;1?b03g8387?:;:kgb?6=,;2>6i94n3:7>40<3`nn6=4+2979`2=i:1>1=:54ief94?"50<0o;6`=8582<>=nlj0;6)<75;f4?k4?<3;276gkb;29 7>22m=0b?6;:0c8?lbf290/>5;5d69m6=2=9k10ei750;&1<0<c?2d9494>c:9j`0<72-8397j8;o0;0?7c32chm7>5$3:6>a1<f;2?6<k4;h`e>5<#:1?1h:5a29695c=<a8:<6=4+2979550<f;2?6=54i026>5<#:1?1==84n3:7>4=<a8:?6=4+2979550<f;2?6?54i020>5<#:1?1==84n3:7>6=<a8:h6=4+297955d<f;2?6=54i02b>5<#:1?1==l4n3:7>4=<a8:26=4+297955d<f;2?6?54i02;>5<#:1?1==l4n3:7>6=<a:;26=4+297974><f;2?6=54i234>5<#:1?1?<64n3:7>4=<a:;=6=4+297974><f;2?6?54i236>5<#:1?1?<64n3:7>6=<a:;n6=4+297974b<f;2?6=54i23`>5<#:1?1?<j4n3:7>4=<a:;i6=4+297974b<f;2?6?54i23b>5<#:1?1?<j4n3:7>6=<g88=6=4+2979573<f;2?6=54o007>5<#:1?1=?;4n3:7>4=<g8896=4+2979573<f;2?6?54o002>5<#:1?1=?;4n3:7>6=<g88;6=4+2979573<f;2?6954o03e>5<#:1?1=?;4n3:7>0=<g8;n6=4+2979573<f;2?6;54o03g>5<#:1?1=?;4n3:7>2=<g8;h6=4+2979573<f;2?6554o03a>5<#:1?1=?;4n3:7><=<g8;j6=4+2979573<f;2?6l54o03:>5<#:1?1=?;4n3:7>g=<g8;<6=4+2979573<f;2?6n54o035>5<#:1?1=?;4n3:7>a=<g8;>6=4+2979573<f;2?6h54o037>5<#:1?1=?;4n3:7>c=<g8;86=4+2979573<f;2?6<>4;n326?6=,;2>6<<:;o0;0?7632e:=<4?:%0;1?75=2d9494>2:9l546=83.9484>249m6=2=9:10c<>i:18'6=3=9;?0b?6;:068?j77m3:1(?6::006?k4?<3;>76a>2e83>!4?=3;996`=85822>=h9;i1<7*=848260=i:1>1=:54o00a>5<#:1?1=?;4n3:7>4><3f;9m7>5$3:6>4423g8387?6;:m26<<72-8397?=5:l1<1<6i21d=?650;&1<0<6:<1e>5:51c98k440290/>5;51378j7>328i07b?=3;29 7>2288>7c<74;3g?>i6910;6)<75;311>h50=0:i65`11f94?"50<0:>85a29695c=<g8>36=4+2979511<f;2?6=54o065>5<#:1?1=994n3:7>4=<g8>?6=4+2979511<f;2?6?54o060>5<#:1?1=994n3:7>6=<g8>96=4+2979511<f;2?6954o062>5<#:1?1=994n3:7>0=<g8>;6=4+2979511<f;2?6;54o01e>5<#:1?1=994n3:7>2=<g89n6=4+2979511<f;2?6554o01g>5<#:1?1=994n3:7><=<g89h6=4+2979511<f;2?6l54o01a>5<#:1?1=994n3:7>g=<g8926=4+2979511<f;2?6n54o01;>5<#:1?1=994n3:7>a=<g89<6=4+2979511<f;2?6h54o015>5<#:1?1=994n3:7>c=<g89>6=4+2979511<f;2?6<>4;n300?6=,;2>6<:8;o0;0?7632e:?>4?:%0;1?73?2d9494>2:9l564=83.9484>469m6=2=9:10c<=>:18'6=3=9==0b?6;:068?j7483:1(?6::064?k4?<3;>76a>4g83>!4?=3;?;6`=85822>=h9=o1<7*=848202=i:1>1=:54o06g>5<#:1?1=994n3:7>4><3f;?o7>5$3:6>4203g8387?6;:m20g<72-8397?;7:l1<1<6i21d=9o50;&1<0<6<>1e>5:51c98k42>290/>5;51558j7>328i07b?;5;29 7>228><7c<74;3g?>i6;h0;6)<75;373>h50=0:i65`13d94?"50<0:8:5a29695c=<g8?>6=4+2979502<f;2?6=54o070>5<#:1?1=8:4n3:7>4=<g8?96=4+2979502<f;2?6?54o072>5<#:1?1=8:4n3:7>6=<g8?j6=4+297950?<f;2?6=54o07;>5<#:1?1=874n3:7>4=<g8?<6=4+297950?<f;2?6?54o075>5<#:1?1=874n3:7>6=<uko2;7>5c383>5}#;9i1>ho4H21:?M5692P=n7mtf;33>7e=:m0897=9:2597=<5m38m6>753`8~j44b291e=8>50:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o96?9j;%3f4?4<,8o:6?5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;n87?75:&2a0<60<1/>hl531d8 7cd2::m7c<if;38j667281/?=8526g8 4?c2:1/=4k53:&065<49o1/???530d8j645281e??=51:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!57=3;396*<0981?!7f8390(<o>:29'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(>>6:39j2a<722c=i7>5;h50>5<<a>>1<75f19294?=n;9;1<75f31094?=n91;1<75f31c94?=n;9h1<75`6g83>>i083:17d:l:18'6=3=<k1e>5:50:9j0d<72-8397:m;o0;0?7<3`>26=4+29790g=i:1>1>65f4983>!4?=3>i7c<74;18?l20290/>5;54c9m6=2=<21b984?:%0;1?2e3g8387;4;h77>5<#:1?18o5a29692>=n=:0;6)<75;6a?k4?<3=07d;=:18'6=3=<k1e>5:58:9j14<72-8397:m;o0;0??<3`?;6=4+29790g=i:1>1m65f4g83>!4?=3>i7c<74;`8?l2b290/>5;54c9m6=2=k21b8i4?:%0;1?2e3g8387j4;h65>5<#:1?18o5a2969a>=n=j0;6)<75;7a?k4?<3:07d;n:18'6=3==k1e>5:51:9j1<<72-8397;m;o0;0?4<3`?36=4+29791g=i:1>1?65f5683>!4?=3?i7c<74;68?l02290/>5;55c9m6=2==21b:94?:%0;1?3e3g838784;h40>5<#:1?19o5a29693>=n>;0;6)<75;7a?k4?<3207d8>:18'6=3==k1e>5:59:9j25<72-8397;m;o0;0?g<3`?m6=4+29791g=i:1>1n65f5d83>!4?=3?i7c<74;a8?l3c290/>5;55c9m6=2=l21b9;4?:%0;1?3e3g8387k4;h`4>5<#:1?1n;5a29694>=nj<0;6)<75;`5?k4?<3;07dl<:18'6=3=j?1e>5:52:9jf7<72-8397l9;o0;0?5<3`h:6=4+2979f3=i:1>1865fb183>!4?=3h=7c<74;78?lga290/>5;5b79m6=2=>21bmh4?:%0;1?d13g838794;hcg>5<#:1?1n;5a2969<>=nij0;6)<75;`5?k4?<3307dom:18'6=3=j?1e>5:5a:9jed<72-8397l9;o0;0?d<3`k36=4+2979f3=i:1>1o65fa683>!4?=3h=7c<74;f8?lg1290/>5;5b79m6=2=m21bm84?:%0;1?d13g8387h4;hc7>5<#:1?1n;5a296955=<ah91<7*=848a2>h50=0:=65fa383>!4?=3h=7c<74;31?>of93:1(?6::c48j7>328907do?:18'6=3=j?1e>5:51598m<`=83.9484m6:l1<1<6=21bnh4?:%0;1?d13g8387?9;:ka`?6=,;2>6o84n3:7>41<3`hh6=4+2979f3=i:1>1=554ic`94?"50<0i:6`=8582=>=njh0;6)<75;`5?k4?<3;j76gm9;29 7>22k<0b?6;:0`8?ld?290/>5;5b79m6=2=9j10eo:50;&1<0<e>2d9494>d:9je<<72-8397l9;o0;0?7b32c2i7>5$3:6>g0<f;2?6<h4;hf;>5<#:1?1h:5a29694>=nl?0;6)<75;f4?k4?<3;07dj;:18'6=3=l>1e>5:52:9j`6<72-8397j8;o0;0?5<3`n96=4+2979`2=i:1>1865fd083>!4?=3n<7c<74;78?lb7290/>5;5d69m6=2=>21bok4?:%0;1?b03g838794;haf>5<#:1?1h:5a2969<>=nkm0;6)<75;f4?k4?<3307dml:18'6=3=l>1e>5:5a:9jgg<72-8397j8;o0;0?d<3`i26=4+2979`2=i:1>1o65fc983>!4?=3n<7c<74;f8?le0290/>5;5d69m6=2=m21bo;4?:%0;1?b03g8387h4;ha6>5<#:1?1h:5a296955=<aj>1<7*=848g3>h50=0:=65fc283>!4?=3n<7c<74;31?>od:3:1(?6::e58j7>328907dm>:18'6=3=l>1e>5:51598mf6=83.9484k7:l1<1<6=21bhk4?:%0;1?b03g8387?9;:kga?6=,;2>6i94n3:7>41<3`no6=4+2979`2=i:1>1=554iea94?"50<0o;6`=8582=>=nlk0;6)<75;f4?k4?<3;j76gka;29 7>22m=0b?6;:0`8?lb>290/>5;5d69m6=2=9j10ei;50;&1<0<c?2d9494>d:9jgd<72-8397j8;o0;0?7b32cij7>5$3:6>a1<f;2?6<h4;h333?6=,;2>6<>9;o0;0?6<3`;;97>5$3:6>4613g8387?4;h330?6=,;2>6<>9;o0;0?4<3`;;?7>5$3:6>4613g8387=4;h33g?6=,;2>6<>m;o0;0?6<3`;;m7>5$3:6>46e3g8387?4;h33=?6=,;2>6<>m;o0;0?4<3`;;47>5$3:6>46e3g8387=4;h12=?6=,;2>6>?7;o0;0?6<3`9:;7>5$3:6>67?3g8387?4;h122?6=,;2>6>?7;o0;0?4<3`9:97>5$3:6>67?3g8387=4;h12a?6=,;2>6>?k;o0;0?6<3`9:o7>5$3:6>67c3g8387?4;h12f?6=,;2>6>?k;o0;0?4<3`9:m7>5$3:6>67c3g8387=4;n312?6=,;2>6<<:;o0;0?6<3f;987>5$3:6>4423g8387?4;n316?6=,;2>6<<:;o0;0?4<3f;9=7>5$3:6>4423g8387=4;n314?6=,;2>6<<:;o0;0?2<3f;:j7>5$3:6>4423g8387;4;n32a?6=,;2>6<<:;o0;0?0<3f;:h7>5$3:6>4423g838794;n32g?6=,;2>6<<:;o0;0?><3f;:n7>5$3:6>4423g838774;n32e?6=,;2>6<<:;o0;0?g<3f;:57>5$3:6>4423g8387l4;n323?6=,;2>6<<:;o0;0?e<3f;::7>5$3:6>4423g8387j4;n321?6=,;2>6<<:;o0;0?c<3f;:87>5$3:6>4423g8387h4;n327?6=,;2>6<<:;o0;0?7732e:=?4?:%0;1?75=2d9494>1:9l547=83.9484>249m6=2=9;10c<??:18'6=3=9;?0b?6;:018?j77n3:1(?6::006?k4?<3;?76a>0d83>!4?=3;996`=85821>=h9;n1<7*=848260=i:1>1=;54o00`>5<#:1?1=?;4n3:7>41<3f;9n7>5$3:6>4423g8387?7;:m26d<72-8397?=5:l1<1<6121d=?750;&1<0<6:<1e>5:51`98k44?290/>5;51378j7>328h07b?=7;29 7>2288>7c<74;3`?>i6::0;6)<75;311>h50=0:h65`10:94?"50<0:>85a29695`=<g8:o6=4+2979573<f;2?6<h4;n37<?6=,;2>6<:8;o0;0?6<3f;?:7>5$3:6>4203g8387?4;n370?6=,;2>6<:8;o0;0?4<3f;??7>5$3:6>4203g8387=4;n376?6=,;2>6<:8;o0;0?2<3f;?=7>5$3:6>4203g8387;4;n374?6=,;2>6<:8;o0;0?0<3f;8j7>5$3:6>4203g838794;n30a?6=,;2>6<:8;o0;0?><3f;8h7>5$3:6>4203g838774;n30g?6=,;2>6<:8;o0;0?g<3f;8n7>5$3:6>4203g8387l4;n30=?6=,;2>6<:8;o0;0?e<3f;847>5$3:6>4203g8387j4;n303?6=,;2>6<:8;o0;0?c<3f;8:7>5$3:6>4203g8387h4;n301?6=,;2>6<:8;o0;0?7732e:?94?:%0;1?73?2d9494>1:9l565=83.9484>469m6=2=9;10c<==:18'6=3=9==0b?6;:018?j7493:1(?6::064?k4?<3;?76a>3183>!4?=3;?;6`=85821>=h9=l1<7*=848202=i:1>1=;54o06f>5<#:1?1=994n3:7>41<3f;?h7>5$3:6>4203g8387?7;:m20f<72-8397?;7:l1<1<6121d=9l50;&1<0<6<>1e>5:51`98k42f290/>5;51558j7>328h07b?;9;29 7>228><7c<74;3`?>i6<<0;6)<75;373>h50=0:h65`12c94?"50<0:8:5a29695`=<g88m6=4+2979511<f;2?6<h4;n361?6=,;2>6<;;;o0;0?6<3f;>?7>5$3:6>4333g8387?4;n366?6=,;2>6<;;;o0;0?4<3f;>=7>5$3:6>4333g8387=4;n36e?6=,;2>6<;6;o0;0?6<3f;>47>5$3:6>43>3g8387?4;n363?6=,;2>6<;6;o0;0?4<3f;>:7>5$3:6>43>3g8387=4;|`f=3<72j81<7>t$22`>7cf3A9856F<109Y2g<dso0:<7<l:3f970<4>39<6>652d81b?5>2:k1q)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l81>:k4$0g3>7=#9l;1>6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,8o?6<6:;%3f1?7?=2.9io4>ec9'6`e=9lh0b?hi:09m756=92.8<;4=7d9'5<b=;2.:5h4<;%114?56n2.8><4<1g9m774=92d8>>4>;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18j44b291e=8>50:&040<60<1/?=652:&2e5<43-;j=7=4$0c1>6=#9h91?6*>a580?!571380e;j50;9j2`<722c<?7>5;h57>5<<a82;6=44i222>5<<a::96=44i0:2>5<<a::j6=44i22a>5<<g?l1<75`7183>>o3k3:1(?6::5`8j7>32910e9o50;&1<0<3j2d9494>;:k7=?6=,;2>69l4n3:7>7=<a=21<7*=8487f>h50=0876g;7;29 7>22=h0b?6;:598m03=83.9484;b:l1<1<232c>87>5$3:6>1d<f;2?6;54i4194?"50<0?n6`=8584?>o2:3:1(?6::5`8j7>32110e8?50;&1<0<3j2d94946;:k64?6=,;2>69l4n3:7>d=<a=l1<7*=8487f>h50=0i76g;e;29 7>22=h0b?6;:b98m1b=83.9484;b:l1<1<c32c?:7>5$3:6>1d<f;2?6h54i4a94?"50<0>n6`=8583?>o2i3:1(?6::4`8j7>32810e8750;&1<0<2j2d9494=;:k6<?6=,;2>68l4n3:7>6=<a<=1<7*=8486f>h50=0?76g95;29 7>22<h0b?6;:498m32=83.9484:b:l1<1<132c=?7>5$3:6>0d<f;2?6:54i7094?"50<0>n6`=858;?>o193:1(?6::4`8j7>32010e;>50;&1<0<2j2d9494n;:k6b?6=,;2>68l4n3:7>g=<a<o1<7*=8486f>h50=0h76g:d;29 7>22<h0b?6;:e98m00=83.9484:b:l1<1<b32ci;7>5$3:6>g0<f;2?6=54ic794?"50<0i:6`=8582?>oe;3:1(?6::c48j7>32;10eo<50;&1<0<e>2d9494<;:ka5?6=,;2>6o84n3:7>1=<ak:1<7*=848a2>h50=0>76gnf;29 7>22k<0b?6;:798mdc=83.9484m6:l1<1<032cjh7>5$3:6>g0<f;2?6554i`a94?"50<0i:6`=858:?>ofj3:1(?6::c48j7>32h10elo50;&1<0<e>2d9494m;:kb<?6=,;2>6o84n3:7>f=<ah=1<7*=848a2>h50=0o76gn6;29 7>22k<0b?6;:d98md3=83.9484m6:l1<1<a32cj87>5$3:6>g0<f;2?6<>4;hc0>5<#:1?1n;5a296954=<ah81<7*=848a2>h50=0:>65fa083>!4?=3h=7c<74;30?>of83:1(?6::c48j7>328>07d7i:18'6=3=j?1e>5:51498mgc=83.9484m6:l1<1<6>21bni4?:%0;1?d13g8387?8;:kag?6=,;2>6o84n3:7>4><3`hi6=4+2979f3=i:1>1=454icc94?"50<0i:6`=8582e>=nj00;6)<75;`5?k4?<3;i76gm8;29 7>22k<0b?6;:0a8?ld3290/>5;5b79m6=2=9m10el750;&1<0<e>2d9494>e:9j=`<72-8397l9;o0;0?7a32co47>5$3:6>a1<f;2?6=54ie494?"50<0o;6`=8582?>oc<3:1(?6::e58j7>32;10ei=50;&1<0<c?2d9494<;:kg6?6=,;2>6i94n3:7>1=<am;1<7*=848g3>h50=0>76gk0;29 7>22m=0b?6;:798mf`=83.9484k7:l1<1<032chi7>5$3:6>a1<f;2?6554ibf94?"50<0o;6`=858:?>odk3:1(?6::e58j7>32h10enl50;&1<0<c?2d9494m;:k`=?6=,;2>6i94n3:7>f=<aj21<7*=848g3>h50=0o76gl7;29 7>22m=0b?6;:d98mf0=83.9484k7:l1<1<a32ch97>5$3:6>a1<f;2?6<>4;ha7>5<#:1?1h:5a296954=<aj91<7*=848g3>h50=0:>65fc383>!4?=3n<7c<74;30?>od93:1(?6::e58j7>328>07dm?:18'6=3=l>1e>5:51498ma`=83.9484k7:l1<1<6>21bhh4?:%0;1?b03g8387?8;:kg`?6=,;2>6i94n3:7>4><3`nh6=4+2979`2=i:1>1=454ie`94?"50<0o;6`=8582e>=nlh0;6)<75;f4?k4?<3;i76gk9;29 7>22m=0b?6;:0a8?lb2290/>5;5d69m6=2=9m10eno50;&1<0<c?2d9494>e:9jfc<72-8397j8;o0;0?7a32c:<:4?:%0;1?77>2d9494?;:k240<72-8397??6:l1<1<632c:<94?:%0;1?77>2d9494=;:k246<72-8397??6:l1<1<432c:<n4?:%0;1?77j2d9494?;:k24d<72-8397??b:l1<1<632c:<44?:%0;1?77j2d9494=;:k24=<72-8397??b:l1<1<432c8=44?:%0;1?5602d9494?;:k052<72-8397=>8:l1<1<632c8=;4?:%0;1?5602d9494=;:k050<72-8397=>8:l1<1<432c8=h4?:%0;1?56l2d9494?;:k05f<72-8397=>d:l1<1<632c8=o4?:%0;1?56l2d9494=;:k05d<72-8397=>d:l1<1<432e:>;4?:%0;1?75=2d9494?;:m261<72-8397?=5:l1<1<632e:>?4?:%0;1?75=2d9494=;:m264<72-8397?=5:l1<1<432e:>=4?:%0;1?75=2d9494;;:m25c<72-8397?=5:l1<1<232e:=h4?:%0;1?75=2d94949;:m25a<72-8397?=5:l1<1<032e:=n4?:%0;1?75=2d94947;:m25g<72-8397?=5:l1<1<>32e:=l4?:%0;1?75=2d9494n;:m25<<72-8397?=5:l1<1<e32e:=:4?:%0;1?75=2d9494l;:m253<72-8397?=5:l1<1<c32e:=84?:%0;1?75=2d9494j;:m251<72-8397?=5:l1<1<a32e:=>4?:%0;1?75=2d9494>0:9l544=83.9484>249m6=2=9810c<?>:18'6=3=9;?0b?6;:008?j7683:1(?6::006?k4?<3;876a>0g83>!4?=3;996`=85820>=h99o1<7*=848260=i:1>1=854o00g>5<#:1?1=?;4n3:7>40<3f;9o7>5$3:6>4423g8387?8;:m26g<72-8397?=5:l1<1<6021d=?o50;&1<0<6:<1e>5:51898k44>290/>5;51378j7>328k07b?=8;29 7>2288>7c<74;3a?>i6:>0;6)<75;311>h50=0:o65`13194?"50<0:>85a29695a=<g8;36=4+2979573<f;2?6<k4;n33`?6=,;2>6<<:;o0;0?7a32e:854?:%0;1?73?2d9494?;:m203<72-8397?;7:l1<1<632e:894?:%0;1?73?2d9494=;:m206<72-8397?;7:l1<1<432e:8?4?:%0;1?73?2d9494;;:m204<72-8397?;7:l1<1<232e:8=4?:%0;1?73?2d94949;:m27c<72-8397?;7:l1<1<032e:?h4?:%0;1?73?2d94947;:m27a<72-8397?;7:l1<1<>32e:?n4?:%0;1?73?2d9494n;:m27g<72-8397?;7:l1<1<e32e:?44?:%0;1?73?2d9494l;:m27=<72-8397?;7:l1<1<c32e:?:4?:%0;1?73?2d9494j;:m273<72-8397?;7:l1<1<a32e:?84?:%0;1?73?2d9494>0:9l562=83.9484>469m6=2=9810c<=<:18'6=3=9==0b?6;:008?j74:3:1(?6::064?k4?<3;876a>3083>!4?=3;?;6`=85820>=h9::1<7*=848202=i:1>1=854o06e>5<#:1?1=994n3:7>40<3f;?i7>5$3:6>4203g8387?8;:m20a<72-8397?;7:l1<1<6021d=9m50;&1<0<6<>1e>5:51898k42e290/>5;51558j7>328k07b?;a;29 7>228><7c<74;3a?>i6<00;6)<75;373>h50=0:o65`15794?"50<0:8:5a29695a=<g89j6=4+2979511<f;2?6<k4;n31b?6=,;2>6<:8;o0;0?7a32e:984?:%0;1?72<2d9494?;:m216<72-8397?:4:l1<1<632e:9?4?:%0;1?72<2d9494=;:m214<72-8397?:4:l1<1<432e:9l4?:%0;1?7212d9494?;:m21=<72-8397?:9:l1<1<632e:9:4?:%0;1?7212d9494=;:m213<72-8397?:9:l1<1<432wii4l50;a1>5<7s-9;o7<ja:J07<=O;8;0V;l5czd955<5k38o6>;537803?5?2;o1>k4<9;1b>xh6:l0;7c?:0;28 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i?4=7d9'5`6=:2.:i<4=;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=h:51978 4c2282>7)<jb;13b>"5mj08<k5a2gd95>h4890:7)=?6;04a>"61m087)?6e;18 6472:;m7)==1;12b>h4:;0:7c==3;38 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#;9?1=5;4$22;>7=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*<0881?l0c2900e;k50;9j36<722c<87>5;h3;4?6=3`9;=7>5;h136?6=3`;3=7>5;h13e?6=3`9;n7>5;n4e>5<<g>:1<75f4b83>!4?=3>i7c<74;28?l2f290/>5;54c9m6=2=921b844?:%0;1?2e3g8387<4;h6;>5<#:1?18o5a29697>=n<>0;6)<75;6a?k4?<3>07d;::18'6=3=<k1e>5:55:9j11<72-8397:m;o0;0?0<3`?86=4+29790g=i:1>1;65f5383>!4?=3>i7c<74;:8?l36290/>5;54c9m6=2=121b9=4?:%0;1?2e3g8387o4;h6e>5<#:1?18o5a2969f>=n<l0;6)<75;6a?k4?<3i07d:k:18'6=3=<k1e>5:5d:9j03<72-8397:m;o0;0?c<3`?h6=4+29791g=i:1>1<65f5`83>!4?=3?i7c<74;38?l3>290/>5;55c9m6=2=:21b954?:%0;1?3e3g8387=4;h74>5<#:1?19o5a29690>=n><0;6)<75;7a?k4?<3?07d8;:18'6=3==k1e>5:56:9j26<72-8397;m;o0;0?1<3`<96=4+29791g=i:1>1465f6083>!4?=3?i7c<74;;8?l07290/>5;55c9m6=2=i21b9k4?:%0;1?3e3g8387l4;h7f>5<#:1?19o5a2969g>=n=m0;6)<75;7a?k4?<3n07d;9:18'6=3==k1e>5:5e:9jf2<72-8397l9;o0;0?6<3`h>6=4+2979f3=i:1>1=65fb283>!4?=3h=7c<74;08?ld5290/>5;5b79m6=2=;21bn<4?:%0;1?d13g8387:4;h`3>5<#:1?1n;5a29691>=nio0;6)<75;`5?k4?<3<07doj:18'6=3=j?1e>5:57:9jea<72-8397l9;o0;0?><3`kh6=4+2979f3=i:1>1565fac83>!4?=3h=7c<74;c8?lgf290/>5;5b79m6=2=j21bm54?:%0;1?d13g8387m4;hc4>5<#:1?1n;5a2969`>=ni?0;6)<75;`5?k4?<3o07do::18'6=3=j?1e>5:5f:9je1<72-8397l9;o0;0?7732cj?7>5$3:6>g0<f;2?6<?4;hc1>5<#:1?1n;5a296957=<ah;1<7*=848a2>h50=0:?65fa183>!4?=3h=7c<74;37?>o>n3:1(?6::c48j7>328?07dlj:18'6=3=j?1e>5:51798mgb=83.9484m6:l1<1<6?21bnn4?:%0;1?d13g8387?7;:kaf?6=,;2>6o84n3:7>4?<3`hj6=4+2979f3=i:1>1=l54ic;94?"50<0i:6`=8582f>=nj10;6)<75;`5?k4?<3;h76gm4;29 7>22k<0b?6;:0f8?lg>290/>5;5b79m6=2=9l10e4k50;&1<0<e>2d9494>f:9j`=<72-8397j8;o0;0?6<3`n=6=4+2979`2=i:1>1=65fd583>!4?=3n<7c<74;08?lb4290/>5;5d69m6=2=;21bh?4?:%0;1?b03g8387:4;hf2>5<#:1?1h:5a29691>=nl90;6)<75;f4?k4?<3<07dmi:18'6=3=l>1e>5:57:9jg`<72-8397j8;o0;0?><3`io6=4+2979`2=i:1>1565fcb83>!4?=3n<7c<74;c8?lee290/>5;5d69m6=2=j21bo44?:%0;1?b03g8387m4;ha;>5<#:1?1h:5a2969`>=nk>0;6)<75;f4?k4?<3o07dm9:18'6=3=l>1e>5:5f:9jg0<72-8397j8;o0;0?7732ch87>5$3:6>a1<f;2?6<?4;ha0>5<#:1?1h:5a296957=<aj81<7*=848g3>h50=0:?65fc083>!4?=3n<7c<74;37?>od83:1(?6::e58j7>328?07dji:18'6=3=l>1e>5:51798mac=83.9484k7:l1<1<6?21bhi4?:%0;1?b03g8387?7;:kgg?6=,;2>6i94n3:7>4?<3`ni6=4+2979`2=i:1>1=l54iec94?"50<0o;6`=8582f>=nl00;6)<75;f4?k4?<3;h76gk5;29 7>22m=0b?6;:0f8?lef290/>5;5d69m6=2=9l10eoh50;&1<0<c?2d9494>f:9j551=83.9484>079m6=2=821b==;50;&1<0<68?1e>5:51:9j552=83.9484>079m6=2=:21b===50;&1<0<68?1e>5:53:9j55e=83.9484>0c9m6=2=821b==o50;&1<0<68k1e>5:51:9j55?=83.9484>0c9m6=2=:21b==650;&1<0<68k1e>5:53:9j74?=83.9484<199m6=2=821b?<950;&1<0<4911e>5:51:9j740=83.9484<199m6=2=:21b?<;50;&1<0<4911e>5:53:9j74c=83.9484<1e9m6=2=821b?<m50;&1<0<49m1e>5:51:9j74d=83.9484<1e9m6=2=:21b?<o50;&1<0<49m1e>5:53:9l570=83.9484>249m6=2=821d=?:50;&1<0<6:<1e>5:51:9l574=83.9484>249m6=2=:21d=??50;&1<0<6:<1e>5:53:9l576=83.9484>249m6=2=<21d=<h50;&1<0<6:<1e>5:55:9l54c=83.9484>249m6=2=>21d=<j50;&1<0<6:<1e>5:57:9l54e=83.9484>249m6=2=021d=<l50;&1<0<6:<1e>5:59:9l54g=83.9484>249m6=2=i21d=<750;&1<0<6:<1e>5:5b:9l541=83.9484>249m6=2=k21d=<850;&1<0<6:<1e>5:5d:9l543=83.9484>249m6=2=m21d=<:50;&1<0<6:<1e>5:5f:9l545=83.9484>249m6=2=9910c<?=:18'6=3=9;?0b?6;:038?j7693:1(?6::006?k4?<3;976a>1183>!4?=3;996`=85827>=h99l1<7*=848260=i:1>1=954o02f>5<#:1?1=?;4n3:7>43<3f;9h7>5$3:6>4423g8387?9;:m26f<72-8397?=5:l1<1<6?21d=?l50;&1<0<6:<1e>5:51998k44f290/>5;51378j7>328307b?=9;29 7>2288>7c<74;3b?>i6:10;6)<75;311>h50=0:n65`13594?"50<0:>85a29695f=<g8886=4+2979573<f;2?6<j4;n32<?6=,;2>6<<:;o0;0?7b32e:<i4?:%0;1?75=2d9494>f:9l51>=83.9484>469m6=2=821d=9850;&1<0<6<>1e>5:51:9l512=83.9484>469m6=2=:21d=9=50;&1<0<6<>1e>5:53:9l514=83.9484>469m6=2=<21d=9?50;&1<0<6<>1e>5:55:9l516=83.9484>469m6=2=>21d=>h50;&1<0<6<>1e>5:57:9l56c=83.9484>469m6=2=021d=>j50;&1<0<6<>1e>5:59:9l56e=83.9484>469m6=2=i21d=>l50;&1<0<6<>1e>5:5b:9l56?=83.9484>469m6=2=k21d=>650;&1<0<6<>1e>5:5d:9l561=83.9484>469m6=2=m21d=>850;&1<0<6<>1e>5:5f:9l563=83.9484>469m6=2=9910c<=;:18'6=3=9==0b?6;:038?j74;3:1(?6::064?k4?<3;976a>3383>!4?=3;?;6`=85827>=h9:;1<7*=848202=i:1>1=954o013>5<#:1?1=994n3:7>43<3f;?j7>5$3:6>4203g8387?9;:m20`<72-8397?;7:l1<1<6?21d=9j50;&1<0<6<>1e>5:51998k42d290/>5;51558j7>328307b?;b;29 7>228><7c<74;3b?>i6<h0;6)<75;373>h50=0:n65`15;94?"50<0:8:5a29695f=<g8>>6=4+2979511<f;2?6<j4;n30e?6=,;2>6<:8;o0;0?7b32e:>k4?:%0;1?73?2d9494>f:9l503=83.9484>559m6=2=821d=8=50;&1<0<6==1e>5:51:9l504=83.9484>559m6=2=:21d=8?50;&1<0<6==1e>5:53:9l50g=83.9484>589m6=2=821d=8650;&1<0<6=01e>5:51:9l501=83.9484>589m6=2=:21d=8850;&1<0<6=01e>5:53:9~f`?f290h>7>50z&04f<5mh1C?>74H232?_0e2jqm6<>52b81`?522:<1?:4<8;0f>7`=;008m7s+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n>7<8e:&2a5<53-;n=7<4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:i94>849'5`3=91?0(?km:0ga?!4bk3;nn6`=fg82?k5783;0(>>9:35f?!7>l390(<7j:29'776=;8l0(><>:23e?k55:3;0b><<:09'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>h6:l0;7c?:0;28 662282>7)=?8;08 4g72:1/=l?53:&2e7<43-;j?7=4$0c7>6=#;931>6g9d;29?l0b2900e:=50;9j31<722c:4=4?::k044<722c8<?4?::k2<4<722c8<l4?::k04g<722e=j7>5;n53>5<<a=i1<7*=8487f>h50=0;76g;a;29 7>22=h0b?6;:098m1?=83.9484;b:l1<1<532c?47>5$3:6>1d<f;2?6>54i5594?"50<0?n6`=8587?>o2=3:1(?6::5`8j7>32<10e8:50;&1<0<3j2d94949;:k67?6=,;2>69l4n3:7>2=<a<81<7*=8487f>h50=0376g:1;29 7>22=h0b?6;:898m06=83.9484;b:l1<1<f32c?j7>5$3:6>1d<f;2?6o54i5g94?"50<0?n6`=858`?>o3l3:1(?6::5`8j7>32m10e9850;&1<0<3j2d9494j;:k6g?6=,;2>68l4n3:7>5=<a<k1<7*=8486f>h50=0:76g:9;29 7>22<h0b?6;:398m0>=83.9484:b:l1<1<432c>;7>5$3:6>0d<f;2?6954i7794?"50<0>n6`=8586?>o1<3:1(?6::4`8j7>32?10e;=50;&1<0<2j2d94948;:k56?6=,;2>68l4n3:7>==<a?;1<7*=8486f>h50=0276g90;29 7>22<h0b?6;:`98m0`=83.9484:b:l1<1<e32c>i7>5$3:6>0d<f;2?6n54i4f94?"50<0>n6`=858g?>o2>3:1(?6::4`8j7>32l10eo950;&1<0<e>2d9494?;:ka1?6=,;2>6o84n3:7>4=<ak91<7*=848a2>h50=0976gm2;29 7>22k<0b?6;:298mg7=83.9484m6:l1<1<332ci<7>5$3:6>g0<f;2?6854i`d94?"50<0i:6`=8585?>ofm3:1(?6::c48j7>32>10elj50;&1<0<e>2d94947;:kbg?6=,;2>6o84n3:7><=<ahh1<7*=848a2>h50=0j76gna;29 7>22k<0b?6;:c98md>=83.9484m6:l1<1<d32cj;7>5$3:6>g0<f;2?6i54i`494?"50<0i:6`=858f?>of=3:1(?6::c48j7>32o10el:50;&1<0<e>2d9494>0:9je6<72-8397l9;o0;0?7632cj>7>5$3:6>g0<f;2?6<<4;hc2>5<#:1?1n;5a296956=<ah:1<7*=848a2>h50=0:865f9g83>!4?=3h=7c<74;36?>oem3:1(?6::c48j7>328<07dlk:18'6=3=j?1e>5:51698mge=83.9484m6:l1<1<6021bno4?:%0;1?d13g8387?6;:kae?6=,;2>6o84n3:7>4g<3`h26=4+2979f3=i:1>1=o54ic:94?"50<0i:6`=8582g>=nj=0;6)<75;`5?k4?<3;o76gn9;29 7>22k<0b?6;:0g8?l?b290/>5;5b79m6=2=9o10ei650;&1<0<c?2d9494?;:kg2?6=,;2>6i94n3:7>4=<am>1<7*=848g3>h50=0976gk3;29 7>22m=0b?6;:298ma4=83.9484k7:l1<1<332co=7>5$3:6>a1<f;2?6854ie294?"50<0o;6`=8585?>odn3:1(?6::e58j7>32>10enk50;&1<0<c?2d94947;:k``?6=,;2>6i94n3:7><=<aji1<7*=848g3>h50=0j76glb;29 7>22m=0b?6;:c98mf?=83.9484k7:l1<1<d32ch47>5$3:6>a1<f;2?6i54ib594?"50<0o;6`=858f?>od>3:1(?6::e58j7>32o10en;50;&1<0<c?2d9494>0:9jg1<72-8397j8;o0;0?7632ch?7>5$3:6>a1<f;2?6<<4;ha1>5<#:1?1h:5a296956=<aj;1<7*=848g3>h50=0:865fc183>!4?=3n<7c<74;36?>ocn3:1(?6::e58j7>328<07djj:18'6=3=l>1e>5:51698mab=83.9484k7:l1<1<6021bhn4?:%0;1?b03g8387?6;:kgf?6=,;2>6i94n3:7>4g<3`nj6=4+2979`2=i:1>1=o54ie;94?"50<0o;6`=8582g>=nl<0;6)<75;f4?k4?<3;o76gla;29 7>22m=0b?6;:0g8?lda290/>5;5d69m6=2=9o10e<>8:18'6=3=99<0b?6;:198m462290/>5;51148j7>32810e<>;:18'6=3=99<0b?6;:398m464290/>5;51148j7>32:10e<>l:18'6=3=99h0b?6;:198m46f290/>5;511`8j7>32810e<>6:18'6=3=99h0b?6;:398m46?290/>5;511`8j7>32:10e>?6:18'6=3=;820b?6;:198m670290/>5;530:8j7>32810e>?9:18'6=3=;820b?6;:398m672290/>5;530:8j7>32:10e>?j:18'6=3=;8n0b?6;:198m67d290/>5;530f8j7>32810e>?m:18'6=3=;8n0b?6;:398m67f290/>5;530f8j7>32:10c<<9:18'6=3=9;?0b?6;:198k443290/>5;51378j7>32810c<<=:18'6=3=9;?0b?6;:398k446290/>5;51378j7>32:10c<<?:18'6=3=9;?0b?6;:598k47a290/>5;51378j7>32<10c<?j:18'6=3=9;?0b?6;:798k47c290/>5;51378j7>32>10c<?l:18'6=3=9;?0b?6;:998k47e290/>5;51378j7>32010c<?n:18'6=3=9;?0b?6;:`98k47>290/>5;51378j7>32k10c<?8:18'6=3=9;?0b?6;:b98k471290/>5;51378j7>32m10c<?::18'6=3=9;?0b?6;:d98k473290/>5;51378j7>32o10c<?<:18'6=3=9;?0b?6;:028?j76:3:1(?6::006?k4?<3;:76a>1083>!4?=3;996`=85826>=h98:1<7*=848260=i:1>1=>54o02e>5<#:1?1=?;4n3:7>42<3f;;i7>5$3:6>4423g8387?:;:m26a<72-8397?=5:l1<1<6>21d=?m50;&1<0<6:<1e>5:51698k44e290/>5;51378j7>328207b?=a;29 7>2288>7c<74;3:?>i6:00;6)<75;311>h50=0:m65`13:94?"50<0:>85a29695g=<g88<6=4+2979573<f;2?6<m4;n317?6=,;2>6<<:;o0;0?7c32e:=54?:%0;1?75=2d9494>e:9l55b=83.9484>249m6=2=9o10c<:7:18'6=3=9==0b?6;:198k421290/>5;51558j7>32810c<:;:18'6=3=9==0b?6;:398k424290/>5;51558j7>32:10c<:=:18'6=3=9==0b?6;:598k426290/>5;51558j7>32<10c<:?:18'6=3=9==0b?6;:798k45a290/>5;51558j7>32>10c<=j:18'6=3=9==0b?6;:998k45c290/>5;51558j7>32010c<=l:18'6=3=9==0b?6;:`98k45e290/>5;51558j7>32k10c<=6:18'6=3=9==0b?6;:b98k45?290/>5;51558j7>32m10c<=8:18'6=3=9==0b?6;:d98k451290/>5;51558j7>32o10c<=::18'6=3=9==0b?6;:028?j74<3:1(?6::064?k4?<3;:76a>3283>!4?=3;?;6`=85826>=h9:81<7*=848202=i:1>1=>54o012>5<#:1?1=994n3:7>42<3f;8<7>5$3:6>4203g8387?:;:m20c<72-8397?;7:l1<1<6>21d=9k50;&1<0<6<>1e>5:51698k42c290/>5;51558j7>328207b?;c;29 7>228><7c<74;3:?>i6<k0;6)<75;373>h50=0:m65`15c94?"50<0:8:5a29695g=<g8>26=4+2979511<f;2?6<m4;n371?6=,;2>6<:8;o0;0?7c32e:?l4?:%0;1?73?2d9494>e:9l57`=83.9484>469m6=2=9o10c<;::18'6=3=9<>0b?6;:198k434290/>5;51468j7>32810c<;=:18'6=3=9<>0b?6;:398k436290/>5;51468j7>32:10c<;n:18'6=3=9<30b?6;:198k43?290/>5;514;8j7>32810c<;8:18'6=3=9<30b?6;:398k431290/>5;514;8j7>32:10qokn0;29g7<729q/?=m52dc8L65>3A9:=6T9b;axb?772;i1>i4<5;15>61=;109i7<i:2;97d<zf88n6=5a14294>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k=:35f?!7b8380(<k>:39'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?j4;3;1>"6m<0:485+2d`975`<,;oh6>>i;o0eb?7<f::;6<5+314962c<,83o6>5+18g97>"4:908=k5+333974`<f:896<5a33195>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-9;97?75:&04=<53-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$22:>7=n>m0;66g9e;29?l142900e::50;9j5=6=831b?=?50;9j754=831b=5?50;9j75g=831b?=l50;9l2c<722e<<7>5;h6`>5<#:1?18o5a29694>=n<h0;6)<75;6a?k4?<3;07d:6:18'6=3=<k1e>5:52:9j0=<72-8397:m;o0;0?5<3`><6=4+29790g=i:1>1865f5483>!4?=3>i7c<74;78?l33290/>5;54c9m6=2=>21b9>4?:%0;1?2e3g838794;h71>5<#:1?18o5a2969<>=n=80;6)<75;6a?k4?<3307d;?:18'6=3=<k1e>5:5a:9j0c<72-8397:m;o0;0?d<3`>n6=4+29790g=i:1>1o65f4e83>!4?=3>i7c<74;f8?l21290/>5;54c9m6=2=m21b9n4?:%0;1?3e3g8387>4;h7b>5<#:1?19o5a29695>=n=00;6)<75;7a?k4?<3807d;7:18'6=3==k1e>5:53:9j12<72-8397;m;o0;0?2<3`<>6=4+29791g=i:1>1965f6583>!4?=3?i7c<74;48?l04290/>5;55c9m6=2=?21b:?4?:%0;1?3e3g838764;h42>5<#:1?19o5a2969=>=n>90;6)<75;7a?k4?<3k07d;i:18'6=3==k1e>5:5b:9j1`<72-8397;m;o0;0?e<3`?o6=4+29791g=i:1>1h65f5783>!4?=3?i7c<74;g8?ld0290/>5;5b79m6=2=821bn84?:%0;1?d13g8387?4;h`0>5<#:1?1n;5a29696>=nj;0;6)<75;`5?k4?<3907dl>:18'6=3=j?1e>5:54:9jf5<72-8397l9;o0;0?3<3`km6=4+2979f3=i:1>1:65fad83>!4?=3h=7c<74;58?lgc290/>5;5b79m6=2=021bmn4?:%0;1?d13g838774;hca>5<#:1?1n;5a2969e>=nih0;6)<75;`5?k4?<3h07do7:18'6=3=j?1e>5:5c:9je2<72-8397l9;o0;0?b<3`k=6=4+2979f3=i:1>1i65fa483>!4?=3h=7c<74;d8?lg3290/>5;5b79m6=2=9910el=50;&1<0<e>2d9494>1:9je7<72-8397l9;o0;0?7532cj=7>5$3:6>g0<f;2?6<=4;hc3>5<#:1?1n;5a296951=<a0l1<7*=848a2>h50=0:965fbd83>!4?=3h=7c<74;35?>oel3:1(?6::c48j7>328=07dll:18'6=3=j?1e>5:51998mgd=83.9484m6:l1<1<6121bnl4?:%0;1?d13g8387?n;:ka=?6=,;2>6o84n3:7>4d<3`h36=4+2979f3=i:1>1=n54ic694?"50<0i:6`=8582`>=ni00;6)<75;`5?k4?<3;n76g6e;29 7>22k<0b?6;:0d8?lb?290/>5;5d69m6=2=821bh;4?:%0;1?b03g8387?4;hf7>5<#:1?1h:5a29696>=nl:0;6)<75;f4?k4?<3907dj=:18'6=3=l>1e>5:54:9j`4<72-8397j8;o0;0?3<3`n;6=4+2979`2=i:1>1:65fcg83>!4?=3n<7c<74;58?leb290/>5;5d69m6=2=021boi4?:%0;1?b03g838774;ha`>5<#:1?1h:5a2969e>=nkk0;6)<75;f4?k4?<3h07dm6:18'6=3=l>1e>5:5c:9jg=<72-8397j8;o0;0?b<3`i<6=4+2979`2=i:1>1i65fc783>!4?=3n<7c<74;d8?le2290/>5;5d69m6=2=9910en:50;&1<0<c?2d9494>1:9jg6<72-8397j8;o0;0?7532ch>7>5$3:6>a1<f;2?6<=4;ha2>5<#:1?1h:5a296951=<aj:1<7*=848g3>h50=0:965fdg83>!4?=3n<7c<74;35?>ocm3:1(?6::e58j7>328=07djk:18'6=3=l>1e>5:51998mae=83.9484k7:l1<1<6121bho4?:%0;1?b03g8387?n;:kge?6=,;2>6i94n3:7>4d<3`n26=4+2979`2=i:1>1=n54ie794?"50<0o;6`=8582`>=nkh0;6)<75;f4?k4?<3;n76gmf;29 7>22m=0b?6;:0d8?l77?3:1(?6::025?k4?<3:07d??5;29 7>228:=7c<74;38?l77<3:1(?6::025?k4?<3807d??3;29 7>228:=7c<74;18?l77k3:1(?6::02a?k4?<3:07d??a;29 7>228:i7c<74;38?l7713:1(?6::02a?k4?<3807d??8;29 7>228:i7c<74;18?l5613:1(?6::23;?k4?<3:07d=>7;29 7>22:;37c<74;38?l56>3:1(?6::23;?k4?<3807d=>5;29 7>22:;37c<74;18?l56m3:1(?6::23g?k4?<3:07d=>c;29 7>22:;o7c<74;38?l56j3:1(?6::23g?k4?<3807d=>a;29 7>22:;o7c<74;18?j75>3:1(?6::006?k4?<3:07b?=4;29 7>2288>7c<74;38?j75:3:1(?6::006?k4?<3807b?=1;29 7>2288>7c<74;18?j7583:1(?6::006?k4?<3>07b?>f;29 7>2288>7c<74;78?j76m3:1(?6::006?k4?<3<07b?>d;29 7>2288>7c<74;58?j76k3:1(?6::006?k4?<3207b?>b;29 7>2288>7c<74;;8?j76i3:1(?6::006?k4?<3k07b?>9;29 7>2288>7c<74;`8?j76?3:1(?6::006?k4?<3i07b?>6;29 7>2288>7c<74;f8?j76=3:1(?6::006?k4?<3o07b?>4;29 7>2288>7c<74;d8?j76;3:1(?6::006?k4?<3;;76a>1383>!4?=3;996`=85825>=h98;1<7*=848260=i:1>1=?54o033>5<#:1?1=?;4n3:7>45<3f;;j7>5$3:6>4423g8387?;;:m24`<72-8397?=5:l1<1<6=21d=?j50;&1<0<6:<1e>5:51798k44d290/>5;51378j7>328=07b?=b;29 7>2288>7c<74;3;?>i6:h0;6)<75;311>h50=0:565`13;94?"50<0:>85a29695d=<g8836=4+2979573<f;2?6<l4;n313?6=,;2>6<<:;o0;0?7d32e:>>4?:%0;1?75=2d9494>d:9l54>=83.9484>249m6=2=9l10c<>k:18'6=3=9;?0b?6;:0d8?j7303:1(?6::064?k4?<3:07b?;6;29 7>228><7c<74;38?j73<3:1(?6::064?k4?<3807b?;3;29 7>228><7c<74;18?j73:3:1(?6::064?k4?<3>07b?;1;29 7>228><7c<74;78?j7383:1(?6::064?k4?<3<07b?<f;29 7>228><7c<74;58?j74m3:1(?6::064?k4?<3207b?<d;29 7>228><7c<74;;8?j74k3:1(?6::064?k4?<3k07b?<b;29 7>228><7c<74;`8?j7413:1(?6::064?k4?<3i07b?<8;29 7>228><7c<74;f8?j74?3:1(?6::064?k4?<3o07b?<6;29 7>228><7c<74;d8?j74=3:1(?6::064?k4?<3;;76a>3583>!4?=3;?;6`=85825>=h9:91<7*=848202=i:1>1=?54o011>5<#:1?1=994n3:7>45<3f;8=7>5$3:6>4203g8387?;;:m275<72-8397?;7:l1<1<6=21d=9h50;&1<0<6<>1e>5:51798k42b290/>5;51558j7>328=07b?;d;29 7>228><7c<74;3;?>i6<j0;6)<75;373>h50=0:565`15`94?"50<0:8:5a29695d=<g8>j6=4+2979511<f;2?6<l4;n37=?6=,;2>6<:8;o0;0?7d32e:884?:%0;1?73?2d9494>d:9l56g=83.9484>469m6=2=9l10c<<i:18'6=3=9==0b?6;:0d8?j72=3:1(?6::077?k4?<3:07b?:3;29 7>228??7c<74;38?j72:3:1(?6::077?k4?<3807b?:1;29 7>228??7c<74;18?j72i3:1(?6::07:?k4?<3:07b?:8;29 7>228?27c<74;38?j72?3:1(?6::07:?k4?<3807b?:6;29 7>228?27c<74;18?xdb1o0;6n<50;2x 66d2;oj7E=<9:J054=]>k0hwk4>0;0`>7b=;<08:7=8:2:96`<5n3926>o5}%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h<526g8 4c72;1/=h?52:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<k;:0:6?!7b=3;396*=ec82ag=#:li1=hl4n3de>4=i;9:1=6*<07813`=#90n1?6*>9d80?!55839:j6*<20805c=i;;81=6`<2282?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<f88n6=5a14294>"48<0:485+31:96>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-9;57<4i7f94?=n>l0;66g83;29?l132900e<6?:188m6662900e>>=:188m4>62900e>>n:188m66e2900c;h50;9l35<722c?o7>5$3:6>1d<f;2?6=54i5c94?"50<0?n6`=8582?>o313:1(?6::5`8j7>32;10e9650;&1<0<3j2d9494<;:k73?6=,;2>69l4n3:7>1=<a<?1<7*=8487f>h50=0>76g:4;29 7>22=h0b?6;:798m05=83.9484;b:l1<1<032c>>7>5$3:6>1d<f;2?6554i4394?"50<0?n6`=858:?>o283:1(?6::5`8j7>32h10e9h50;&1<0<3j2d9494m;:k7a?6=,;2>69l4n3:7>f=<a=n1<7*=8487f>h50=0o76g;6;29 7>22=h0b?6;:d98m0e=83.9484:b:l1<1<732c>m7>5$3:6>0d<f;2?6<54i4;94?"50<0>n6`=8581?>o203:1(?6::4`8j7>32:10e8950;&1<0<2j2d9494;;:k51?6=,;2>68l4n3:7>0=<a?>1<7*=8486f>h50=0=76g93;29 7>22<h0b?6;:698m34=83.9484:b:l1<1<?32c==7>5$3:6>0d<f;2?6454i7294?"50<0>n6`=858b?>o2n3:1(?6::4`8j7>32k10e8k50;&1<0<2j2d9494l;:k6`?6=,;2>68l4n3:7>a=<a<<1<7*=8486f>h50=0n76gm7;29 7>22k<0b?6;:198mg3=83.9484m6:l1<1<632ci?7>5$3:6>g0<f;2?6?54ic094?"50<0i:6`=8580?>oe93:1(?6::c48j7>32=10eo>50;&1<0<e>2d9494:;:kbb?6=,;2>6o84n3:7>3=<aho1<7*=848a2>h50=0<76gnd;29 7>22k<0b?6;:998mde=83.9484m6:l1<1<>32cjn7>5$3:6>g0<f;2?6l54i`c94?"50<0i:6`=858a?>of03:1(?6::c48j7>32j10el950;&1<0<e>2d9494k;:kb2?6=,;2>6o84n3:7>`=<ah?1<7*=848a2>h50=0m76gn4;29 7>22k<0b?6;:028?lg4290/>5;5b79m6=2=9810el<50;&1<0<e>2d9494>2:9je4<72-8397l9;o0;0?7432cj<7>5$3:6>g0<f;2?6<:4;h;e>5<#:1?1n;5a296950=<ako1<7*=848a2>h50=0::65fbe83>!4?=3h=7c<74;34?>oek3:1(?6::c48j7>328207dlm:18'6=3=j?1e>5:51898mgg=83.9484m6:l1<1<6i21bn44?:%0;1?d13g8387?m;:ka<?6=,;2>6o84n3:7>4e<3`h?6=4+2979f3=i:1>1=i54i`;94?"50<0i:6`=8582a>=n1l0;6)<75;`5?k4?<3;m76gk8;29 7>22m=0b?6;:198ma0=83.9484k7:l1<1<632co87>5$3:6>a1<f;2?6?54ie194?"50<0o;6`=8580?>oc:3:1(?6::e58j7>32=10ei?50;&1<0<c?2d9494:;:kg4?6=,;2>6i94n3:7>3=<ajl1<7*=848g3>h50=0<76gle;29 7>22m=0b?6;:998mfb=83.9484k7:l1<1<>32cho7>5$3:6>a1<f;2?6l54ib`94?"50<0o;6`=858a?>od13:1(?6::e58j7>32j10en650;&1<0<c?2d9494k;:k`3?6=,;2>6i94n3:7>`=<aj<1<7*=848g3>h50=0m76gl5;29 7>22m=0b?6;:028?le3290/>5;5d69m6=2=9810en=50;&1<0<c?2d9494>2:9jg7<72-8397j8;o0;0?7432ch=7>5$3:6>a1<f;2?6<:4;ha3>5<#:1?1h:5a296950=<aml1<7*=848g3>h50=0::65fdd83>!4?=3n<7c<74;34?>ocl3:1(?6::e58j7>328207djl:18'6=3=l>1e>5:51898mad=83.9484k7:l1<1<6i21bhl4?:%0;1?b03g8387?m;:kg=?6=,;2>6i94n3:7>4e<3`n>6=4+2979`2=i:1>1=i54ibc94?"50<0o;6`=8582a>=njo0;6)<75;f4?k4?<3;m76g>0683>!4?=3;;:6`=8583?>o68<0;6)<75;332>h50=0:76g>0583>!4?=3;;:6`=8581?>o68:0;6)<75;332>h50=0876g>0b83>!4?=3;;n6`=8583?>o68h0;6)<75;33f>h50=0:76g>0883>!4?=3;;n6`=8581?>o6810;6)<75;33f>h50=0876g<1883>!4?=39:46`=8583?>o49>0;6)<75;12<>h50=0:76g<1783>!4?=39:46`=8581?>o49<0;6)<75;12<>h50=0876g<1d83>!4?=39:h6`=8583?>o49j0;6)<75;12`>h50=0:76g<1c83>!4?=39:h6`=8581?>o49h0;6)<75;12`>h50=0876a>2783>!4?=3;996`=8583?>i6:=0;6)<75;311>h50=0:76a>2383>!4?=3;996`=8581?>i6:80;6)<75;311>h50=0876a>2183>!4?=3;996`=8587?>i69o0;6)<75;311>h50=0>76a>1d83>!4?=3;996`=8585?>i69m0;6)<75;311>h50=0<76a>1b83>!4?=3;996`=858;?>i69k0;6)<75;311>h50=0276a>1`83>!4?=3;996`=858b?>i6900;6)<75;311>h50=0i76a>1683>!4?=3;996`=858`?>i69?0;6)<75;311>h50=0o76a>1483>!4?=3;996`=858f?>i69=0;6)<75;311>h50=0m76a>1283>!4?=3;996`=85824>=h9881<7*=848260=i:1>1=<54o032>5<#:1?1=?;4n3:7>44<3f;:<7>5$3:6>4423g8387?<;:m24c<72-8397?=5:l1<1<6<21d==k50;&1<0<6:<1e>5:51498k44c290/>5;51378j7>328<07b?=c;29 7>2288>7c<74;34?>i6:k0;6)<75;311>h50=0:465`13c94?"50<0:>85a29695<=<g8826=4+2979573<f;2?6<o4;n31<?6=,;2>6<<:;o0;0?7e32e:>:4?:%0;1?75=2d9494>c:9l575=83.9484>249m6=2=9m10c<?7:18'6=3=9;?0b?6;:0g8?j77l3:1(?6::006?k4?<3;m76a>4983>!4?=3;?;6`=8583?>i6<?0;6)<75;373>h50=0:76a>4583>!4?=3;?;6`=8581?>i6<:0;6)<75;373>h50=0876a>4383>!4?=3;?;6`=8587?>i6<80;6)<75;373>h50=0>76a>4183>!4?=3;?;6`=8585?>i6;o0;6)<75;373>h50=0<76a>3d83>!4?=3;?;6`=858;?>i6;m0;6)<75;373>h50=0276a>3b83>!4?=3;?;6`=858b?>i6;k0;6)<75;373>h50=0i76a>3883>!4?=3;?;6`=858`?>i6;10;6)<75;373>h50=0o76a>3683>!4?=3;?;6`=858f?>i6;?0;6)<75;373>h50=0m76a>3483>!4?=3;?;6`=85824>=h9:>1<7*=848202=i:1>1=<54o010>5<#:1?1=994n3:7>44<3f;8>7>5$3:6>4203g8387?<;:m274<72-8397?;7:l1<1<6<21d=>>50;&1<0<6<>1e>5:51498k42a290/>5;51558j7>328<07b?;e;29 7>228><7c<74;34?>i6<m0;6)<75;373>h50=0:465`15a94?"50<0:8:5a29695<=<g8>i6=4+2979511<f;2?6<o4;n37e?6=,;2>6<:8;o0;0?7e32e:844?:%0;1?73?2d9494>c:9l513=83.9484>469m6=2=9m10c<=n:18'6=3=9==0b?6;:0g8?j75n3:1(?6::064?k4?<3;m76a>5483>!4?=3;>86`=8583?>i6=:0;6)<75;360>h50=0:76a>5383>!4?=3;>86`=8581?>i6=80;6)<75;360>h50=0876a>5`83>!4?=3;>56`=8583?>i6=10;6)<75;36=>h50=0:76a>5683>!4?=3;>56`=8581?>i6=?0;6)<75;36=>h50=0876sme`694?e5290;w)=?c;0fe>N4;01C?<?4Z7`9g~`=9909o7<k:27973<4?3936?k52g80=?5f2td:>h4?;o364?6<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e3813`=#9l:1>6*>e081?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+1d695=3<,8o>6<6:;%0ff?57n2.9in4<0g9m6c`=92d8<=4>;%132?40m2.:5i4<;%3:a?5<,:8;6>?i;%115?56n2d8>?4>;o117?7<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/?=;51978 66?2;1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&04<<53`<o6=44i7g94?=n?:0;66g84;29?l7?83:17d=?1;29?l57:3:17d?71;29?l57i3:17d=?b;29?j0a2900c:>50;9j0f<72-8397:m;o0;0?6<3`>j6=4+29790g=i:1>1=65f4883>!4?=3>i7c<74;08?l2?290/>5;54c9m6=2=;21b8:4?:%0;1?2e3g8387:4;h76>5<#:1?18o5a29691>=n==0;6)<75;6a?k4?<3<07d;<:18'6=3=<k1e>5:57:9j17<72-8397:m;o0;0?><3`?:6=4+29790g=i:1>1565f5183>!4?=3>i7c<74;c8?l2a290/>5;54c9m6=2=j21b8h4?:%0;1?2e3g8387m4;h6g>5<#:1?18o5a2969`>=n<?0;6)<75;6a?k4?<3o07d;l:18'6=3==k1e>5:50:9j1d<72-8397;m;o0;0?7<3`?26=4+29791g=i:1>1>65f5983>!4?=3?i7c<74;18?l30290/>5;55c9m6=2=<21b:84?:%0;1?3e3g8387;4;h47>5<#:1?19o5a29692>=n>:0;6)<75;7a?k4?<3=07d8=:18'6=3==k1e>5:58:9j24<72-8397;m;o0;0??<3`<;6=4+29791g=i:1>1m65f5g83>!4?=3?i7c<74;`8?l3b290/>5;55c9m6=2=k21b9i4?:%0;1?3e3g8387j4;h75>5<#:1?19o5a2969a>=nj>0;6)<75;`5?k4?<3:07dl::18'6=3=j?1e>5:51:9jf6<72-8397l9;o0;0?4<3`h96=4+2979f3=i:1>1?65fb083>!4?=3h=7c<74;68?ld7290/>5;5b79m6=2==21bmk4?:%0;1?d13g838784;hcf>5<#:1?1n;5a29693>=nim0;6)<75;`5?k4?<3207dol:18'6=3=j?1e>5:59:9jeg<72-8397l9;o0;0?g<3`kj6=4+2979f3=i:1>1n65fa983>!4?=3h=7c<74;a8?lg0290/>5;5b79m6=2=l21bm;4?:%0;1?d13g8387k4;hc6>5<#:1?1n;5a2969b>=ni=0;6)<75;`5?k4?<3;;76gn3;29 7>22k<0b?6;:038?lg5290/>5;5b79m6=2=9;10el?50;&1<0<e>2d9494>3:9je5<72-8397l9;o0;0?7332c2j7>5$3:6>g0<f;2?6<;4;h`f>5<#:1?1n;5a296953=<akn1<7*=848a2>h50=0:;65fbb83>!4?=3h=7c<74;3;?>oej3:1(?6::c48j7>328307dln:18'6=3=j?1e>5:51`98mg?=83.9484m6:l1<1<6j21bn54?:%0;1?d13g8387?l;:ka0?6=,;2>6o84n3:7>4b<3`k26=4+2979f3=i:1>1=h54i8g94?"50<0i:6`=8582b>=nl10;6)<75;f4?k4?<3:07dj9:18'6=3=l>1e>5:51:9j`1<72-8397j8;o0;0?4<3`n86=4+2979`2=i:1>1?65fd383>!4?=3n<7c<74;68?lb6290/>5;5d69m6=2==21bh=4?:%0;1?b03g838784;hae>5<#:1?1h:5a29693>=nkl0;6)<75;f4?k4?<3207dmk:18'6=3=l>1e>5:59:9jgf<72-8397j8;o0;0?g<3`ii6=4+2979`2=i:1>1n65fc883>!4?=3n<7c<74;a8?le?290/>5;5d69m6=2=l21bo:4?:%0;1?b03g8387k4;ha5>5<#:1?1h:5a2969b>=nk<0;6)<75;f4?k4?<3;;76gl4;29 7>22m=0b?6;:038?le4290/>5;5d69m6=2=9;10en<50;&1<0<c?2d9494>3:9jg4<72-8397j8;o0;0?7332ch<7>5$3:6>a1<f;2?6<;4;hfe>5<#:1?1h:5a296953=<amo1<7*=848g3>h50=0:;65fde83>!4?=3n<7c<74;3;?>ock3:1(?6::e58j7>328307djm:18'6=3=l>1e>5:51`98mag=83.9484k7:l1<1<6j21bh44?:%0;1?b03g8387?l;:kg1?6=,;2>6i94n3:7>4b<3`ij6=4+2979`2=i:1>1=h54icd94?"50<0o;6`=8582b>=n99=1<7*=848243=i:1>1<65f11794?"50<0:<;5a29695>=n99>1<7*=848243=i:1>1>65f11194?"50<0:<;5a29697>=n99i1<7*=84824g=i:1>1<65f11c94?"50<0:<o5a29695>=n9931<7*=84824g=i:1>1>65f11:94?"50<0:<o5a29697>=n;831<7*=84805==i:1>1<65f30594?"50<08=55a29695>=n;8<1<7*=84805==i:1>1>65f30794?"50<08=55a29697>=n;8o1<7*=84805a=i:1>1<65f30a94?"50<08=i5a29695>=n;8h1<7*=84805a=i:1>1>65f30c94?"50<08=i5a29697>=h9;<1<7*=848260=i:1>1<65`13694?"50<0:>85a29695>=h9;81<7*=848260=i:1>1>65`13394?"50<0:>85a29697>=h9;:1<7*=848260=i:1>1865`10d94?"50<0:>85a29691>=h98o1<7*=848260=i:1>1:65`10f94?"50<0:>85a29693>=h98i1<7*=848260=i:1>1465`10`94?"50<0:>85a2969=>=h98k1<7*=848260=i:1>1m65`10;94?"50<0:>85a2969f>=h98=1<7*=848260=i:1>1o65`10494?"50<0:>85a2969`>=h98?1<7*=848260=i:1>1i65`10694?"50<0:>85a2969b>=h9891<7*=848260=i:1>1==54o031>5<#:1?1=?;4n3:7>47<3f;:=7>5$3:6>4423g8387?=;:m255<72-8397?=5:l1<1<6;21d==h50;&1<0<6:<1e>5:51598k46b290/>5;51378j7>328?07b?=d;29 7>2288>7c<74;35?>i6:j0;6)<75;311>h50=0:;65`13`94?"50<0:>85a29695==<g88j6=4+2979573<f;2?6<74;n31=?6=,;2>6<<:;o0;0?7f32e:>54?:%0;1?75=2d9494>b:9l571=83.9484>249m6=2=9j10c<<<:18'6=3=9;?0b?6;:0f8?j7603:1(?6::006?k4?<3;n76a>0e83>!4?=3;996`=8582b>=h9=21<7*=848202=i:1>1<65`15494?"50<0:8:5a29695>=h9=>1<7*=848202=i:1>1>65`15194?"50<0:8:5a29697>=h9=81<7*=848202=i:1>1865`15394?"50<0:8:5a29691>=h9=:1<7*=848202=i:1>1:65`12d94?"50<0:8:5a29693>=h9:o1<7*=848202=i:1>1465`12f94?"50<0:8:5a2969=>=h9:i1<7*=848202=i:1>1m65`12`94?"50<0:8:5a2969f>=h9:31<7*=848202=i:1>1o65`12:94?"50<0:8:5a2969`>=h9:=1<7*=848202=i:1>1i65`12494?"50<0:8:5a2969b>=h9:?1<7*=848202=i:1>1==54o017>5<#:1?1=994n3:7>47<3f;8?7>5$3:6>4203g8387?=;:m277<72-8397?;7:l1<1<6;21d=>?50;&1<0<6<>1e>5:51598k457290/>5;51558j7>328?07b?;f;29 7>228><7c<74;35?>i6<l0;6)<75;373>h50=0:;65`15f94?"50<0:8:5a29695==<g8>h6=4+2979511<f;2?6<74;n37f?6=,;2>6<:8;o0;0?7f32e:8l4?:%0;1?73?2d9494>b:9l51?=83.9484>469m6=2=9j10c<:::18'6=3=9==0b?6;:0f8?j74i3:1(?6::064?k4?<3;n76a>2g83>!4?=3;?;6`=8582b>=h9<?1<7*=848211=i:1>1<65`14194?"50<0:995a29695>=h9<81<7*=848211=i:1>1>65`14394?"50<0:995a29697>=h9<k1<7*=84821<=i:1>1<65`14:94?"50<0:945a29695>=h9<=1<7*=84821<=i:1>1>65`14494?"50<0:945a29697>=zjlk86=4l2;294~"48j09il5G32;8L6763S<i6nui:0296f<5l39>6>853680<?4b2;l1?44<a;\7f'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j2;04a>"6m9097)?j1;08 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>e582<0=#9l?1=5;4$3ga>4ce3-8no7?jb:l1bc<63g9;<7?4$225>71b3-;2h7=4$0;f>6=#;;:1?<h4$202>67a3g99>7?4n200>4=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2d:>h4?;o364?6<,::>6<6:;%13<?4<,8k;6>5+1`397>"6i;087)?n3;18 4g32:1/?=752:k5`?6=3`<n6=44i6194?=n?=0;66g>8183>>o4880;66g<0383>>o6080;66g<0`83>>o48k0;66a9f;29?j172900e9m50;&1<0<3j2d9494?;:k7e?6=,;2>69l4n3:7>4=<a=31<7*=8487f>h50=0976g;8;29 7>22=h0b?6;:298m11=83.9484;b:l1<1<332c>97>5$3:6>1d<f;2?6854i4694?"50<0?n6`=8585?>o2;3:1(?6::5`8j7>32>10e8<50;&1<0<3j2d94947;:k65?6=,;2>69l4n3:7><=<a<:1<7*=8487f>h50=0j76g;f;29 7>22=h0b?6;:c98m1c=83.9484;b:l1<1<d32c?h7>5$3:6>1d<f;2?6i54i5494?"50<0?n6`=858f?>o2k3:1(?6::4`8j7>32910e8o50;&1<0<2j2d9494>;:k6=?6=,;2>68l4n3:7>7=<a<21<7*=8486f>h50=0876g:7;29 7>22<h0b?6;:598m33=83.9484:b:l1<1<232c=87>5$3:6>0d<f;2?6;54i7194?"50<0>n6`=8584?>o1:3:1(?6::4`8j7>32110e;?50;&1<0<2j2d94946;:k54?6=,;2>68l4n3:7>d=<a<l1<7*=8486f>h50=0i76g:e;29 7>22<h0b?6;:b98m0b=83.9484:b:l1<1<c32c>:7>5$3:6>0d<f;2?6h54ic594?"50<0i:6`=8583?>oe=3:1(?6::c48j7>32810eo=50;&1<0<e>2d9494=;:ka6?6=,;2>6o84n3:7>6=<ak;1<7*=848a2>h50=0?76gm0;29 7>22k<0b?6;:498md`=83.9484m6:l1<1<132cji7>5$3:6>g0<f;2?6:54i`f94?"50<0i:6`=858;?>ofk3:1(?6::c48j7>32010ell50;&1<0<e>2d9494n;:kbe?6=,;2>6o84n3:7>g=<ah21<7*=848a2>h50=0h76gn7;29 7>22k<0b?6;:e98md0=83.9484m6:l1<1<b32cj97>5$3:6>g0<f;2?6k54i`694?"50<0i:6`=85824>=ni:0;6)<75;`5?k4?<3;:76gn2;29 7>22k<0b?6;:008?lg6290/>5;5b79m6=2=9:10el>50;&1<0<e>2d9494>4:9j=c<72-8397l9;o0;0?7232cii7>5$3:6>g0<f;2?6<84;h`g>5<#:1?1n;5a296952=<aki1<7*=848a2>h50=0:465fbc83>!4?=3h=7c<74;3:?>oei3:1(?6::c48j7>328k07dl6:18'6=3=j?1e>5:51c98mg>=83.9484m6:l1<1<6k21bn94?:%0;1?d13g8387?k;:kb=?6=,;2>6o84n3:7>4c<3`3n6=4+2979f3=i:1>1=k54ie:94?"50<0o;6`=8583?>oc>3:1(?6::e58j7>32810ei:50;&1<0<c?2d9494=;:kg7?6=,;2>6i94n3:7>6=<am81<7*=848g3>h50=0?76gk1;29 7>22m=0b?6;:498ma6=83.9484k7:l1<1<132chj7>5$3:6>a1<f;2?6:54ibg94?"50<0o;6`=858;?>odl3:1(?6::e58j7>32010enm50;&1<0<c?2d9494n;:k`f?6=,;2>6i94n3:7>g=<aj31<7*=848g3>h50=0h76gl8;29 7>22m=0b?6;:e98mf1=83.9484k7:l1<1<b32ch:7>5$3:6>a1<f;2?6k54ib794?"50<0o;6`=85824>=nk=0;6)<75;f4?k4?<3;:76gl3;29 7>22m=0b?6;:008?le5290/>5;5d69m6=2=9:10en?50;&1<0<c?2d9494>4:9jg5<72-8397j8;o0;0?7232coj7>5$3:6>a1<f;2?6<84;hff>5<#:1?1h:5a296952=<amn1<7*=848g3>h50=0:465fdb83>!4?=3n<7c<74;3:?>ocj3:1(?6::e58j7>328k07djn:18'6=3=l>1e>5:51c98ma?=83.9484k7:l1<1<6k21bh84?:%0;1?b03g8387?k;:k`e?6=,;2>6i94n3:7>4c<3`hm6=4+2979`2=i:1>1=k54i024>5<#:1?1==84n3:7>5=<a8:>6=4+2979550<f;2?6<54i027>5<#:1?1==84n3:7>7=<a8:86=4+2979550<f;2?6>54i02`>5<#:1?1==l4n3:7>5=<a8:j6=4+297955d<f;2?6<54i02:>5<#:1?1==l4n3:7>7=<a8:36=4+297955d<f;2?6>54i23:>5<#:1?1?<64n3:7>5=<a:;<6=4+297974><f;2?6<54i235>5<#:1?1?<64n3:7>7=<a:;>6=4+297974><f;2?6>54i23f>5<#:1?1?<j4n3:7>5=<a:;h6=4+297974b<f;2?6<54i23a>5<#:1?1?<j4n3:7>7=<a:;j6=4+297974b<f;2?6>54o005>5<#:1?1=?;4n3:7>5=<g88?6=4+2979573<f;2?6<54o001>5<#:1?1=?;4n3:7>7=<g88:6=4+2979573<f;2?6>54o003>5<#:1?1=?;4n3:7>1=<g8;m6=4+2979573<f;2?6854o03f>5<#:1?1=?;4n3:7>3=<g8;o6=4+2979573<f;2?6:54o03`>5<#:1?1=?;4n3:7>==<g8;i6=4+2979573<f;2?6454o03b>5<#:1?1=?;4n3:7>d=<g8;26=4+2979573<f;2?6o54o034>5<#:1?1=?;4n3:7>f=<g8;=6=4+2979573<f;2?6i54o036>5<#:1?1=?;4n3:7>`=<g8;?6=4+2979573<f;2?6k54o030>5<#:1?1=?;4n3:7>46<3f;:>7>5$3:6>4423g8387?>;:m254<72-8397?=5:l1<1<6:21d=<>50;&1<0<6:<1e>5:51298k46a290/>5;51378j7>328>07b??e;29 7>2288>7c<74;36?>i6:m0;6)<75;311>h50=0::65`13a94?"50<0:>85a296952=<g88i6=4+2979573<f;2?6<64;n31e?6=,;2>6<<:;o0;0?7>32e:>44?:%0;1?75=2d9494>a:9l57>=83.9484>249m6=2=9k10c<<8:18'6=3=9;?0b?6;:0a8?j75;3:1(?6::006?k4?<3;o76a>1983>!4?=3;996`=8582a>=h99n1<7*=848260=i:1>1=k54o06;>5<#:1?1=994n3:7>5=<g8>=6=4+2979511<f;2?6<54o067>5<#:1?1=994n3:7>7=<g8>86=4+2979511<f;2?6>54o061>5<#:1?1=994n3:7>1=<g8>:6=4+2979511<f;2?6854o063>5<#:1?1=994n3:7>3=<g89m6=4+2979511<f;2?6:54o01f>5<#:1?1=994n3:7>==<g89o6=4+2979511<f;2?6454o01`>5<#:1?1=994n3:7>d=<g89i6=4+2979511<f;2?6o54o01:>5<#:1?1=994n3:7>f=<g8936=4+2979511<f;2?6i54o014>5<#:1?1=994n3:7>`=<g89=6=4+2979511<f;2?6k54o016>5<#:1?1=994n3:7>46<3f;887>5$3:6>4203g8387?>;:m276<72-8397?;7:l1<1<6:21d=><50;&1<0<6<>1e>5:51298k456290/>5;51558j7>328>07b?<0;29 7>228><7c<74;36?>i6<o0;6)<75;373>h50=0::65`15g94?"50<0:8:5a296952=<g8>o6=4+2979511<f;2?6<64;n37g?6=,;2>6<:8;o0;0?7>32e:8o4?:%0;1?73?2d9494>a:9l51g=83.9484>469m6=2=9k10c<:6:18'6=3=9==0b?6;:0a8?j73=3:1(?6::064?k4?<3;o76a>3`83>!4?=3;?;6`=8582a>=h9;l1<7*=848202=i:1>1=k54o076>5<#:1?1=8:4n3:7>5=<g8?86=4+2979502<f;2?6<54o071>5<#:1?1=8:4n3:7>7=<g8?:6=4+2979502<f;2?6>54o07b>5<#:1?1=874n3:7>5=<g8?36=4+297950?<f;2?6<54o074>5<#:1?1=874n3:7>7=<g8?=6=4+297950?<f;2?6>54}cgb<?6=k;0;6=u+31a96`g<@:927E=>1:X5f?e|n3;;6?m52e801?512:=1?54=e;0e>6?=;h0vb<<j:19m506=82.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g1>71b3-;n<7<4$0g2>7=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3f0?7?=2.:i84>849'6`d=;9l0(?kl:22e?k4an3;0b>>?:09'750=:>o0(<7k:29'5<c=;2.8>=4<1g9'777=;8l0b><=:09m775=92.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)=?5;3;1>"481097)?n0;18 4g62:1/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 66>2;1b:i4?::k5a?6=3`=86=44i6694?=n91:1<75f31394?=n;981<75f19394?=n;9k1<75f31`94?=h>o0;66a80;29?l2d290/>5;54c9m6=2=821b8l4?:%0;1?2e3g8387?4;h6:>5<#:1?18o5a29696>=n<10;6)<75;6a?k4?<3907d:8:18'6=3=<k1e>5:54:9j10<72-8397:m;o0;0?3<3`??6=4+29790g=i:1>1:65f5283>!4?=3>i7c<74;58?l35290/>5;54c9m6=2=021b9<4?:%0;1?2e3g838774;h73>5<#:1?18o5a2969e>=n<o0;6)<75;6a?k4?<3h07d:j:18'6=3=<k1e>5:5c:9j0a<72-8397:m;o0;0?b<3`>=6=4+29790g=i:1>1i65f5b83>!4?=3?i7c<74;28?l3f290/>5;55c9m6=2=921b944?:%0;1?3e3g8387<4;h7;>5<#:1?19o5a29697>=n=>0;6)<75;7a?k4?<3>07d8::18'6=3==k1e>5:55:9j21<72-8397;m;o0;0?0<3`<86=4+29791g=i:1>1;65f6383>!4?=3?i7c<74;:8?l06290/>5;55c9m6=2=121b:=4?:%0;1?3e3g8387o4;h7e>5<#:1?19o5a2969f>=n=l0;6)<75;7a?k4?<3i07d;k:18'6=3==k1e>5:5d:9j13<72-8397;m;o0;0?c<3`h<6=4+2979f3=i:1>1<65fb483>!4?=3h=7c<74;38?ld4290/>5;5b79m6=2=:21bn?4?:%0;1?d13g8387=4;h`2>5<#:1?1n;5a29690>=nj90;6)<75;`5?k4?<3?07doi:18'6=3=j?1e>5:56:9je`<72-8397l9;o0;0?1<3`ko6=4+2979f3=i:1>1465fab83>!4?=3h=7c<74;;8?lge290/>5;5b79m6=2=i21bml4?:%0;1?d13g8387l4;hc;>5<#:1?1n;5a2969g>=ni>0;6)<75;`5?k4?<3n07do9:18'6=3=j?1e>5:5e:9je0<72-8397l9;o0;0?`<3`k?6=4+2979f3=i:1>1==54i`194?"50<0i:6`=85825>=ni;0;6)<75;`5?k4?<3;976gn1;29 7>22k<0b?6;:018?lg7290/>5;5b79m6=2=9=10e4h50;&1<0<e>2d9494>5:9jf`<72-8397l9;o0;0?7132cih7>5$3:6>g0<f;2?6<94;h``>5<#:1?1n;5a29695==<akh1<7*=848a2>h50=0:565fb`83>!4?=3h=7c<74;3b?>oe13:1(?6::c48j7>328h07dl7:18'6=3=j?1e>5:51b98mg2=83.9484m6:l1<1<6l21bm44?:%0;1?d13g8387?j;:k:a?6=,;2>6o84n3:7>4`<3`n36=4+2979`2=i:1>1<65fd783>!4?=3n<7c<74;38?lb3290/>5;5d69m6=2=:21bh>4?:%0;1?b03g8387=4;hf1>5<#:1?1h:5a29690>=nl80;6)<75;f4?k4?<3?07dj?:18'6=3=l>1e>5:56:9jgc<72-8397j8;o0;0?1<3`in6=4+2979`2=i:1>1465fce83>!4?=3n<7c<74;;8?led290/>5;5d69m6=2=i21boo4?:%0;1?b03g8387l4;ha:>5<#:1?1h:5a2969g>=nk10;6)<75;f4?k4?<3n07dm8:18'6=3=l>1e>5:5e:9jg3<72-8397j8;o0;0?`<3`i>6=4+2979`2=i:1>1==54ib694?"50<0o;6`=85825>=nk:0;6)<75;f4?k4?<3;976gl2;29 7>22m=0b?6;:018?le6290/>5;5d69m6=2=9=10en>50;&1<0<c?2d9494>5:9j`c<72-8397j8;o0;0?7132coi7>5$3:6>a1<f;2?6<94;hfg>5<#:1?1h:5a29695==<ami1<7*=848g3>h50=0:565fdc83>!4?=3n<7c<74;3b?>oci3:1(?6::e58j7>328h07dj6:18'6=3=l>1e>5:51b98ma3=83.9484k7:l1<1<6l21bol4?:%0;1?b03g8387?j;:kab?6=,;2>6i94n3:7>4`<3`;;;7>5$3:6>4613g8387>4;h331?6=,;2>6<>9;o0;0?7<3`;;87>5$3:6>4613g8387<4;h337?6=,;2>6<>9;o0;0?5<3`;;o7>5$3:6>46e3g8387>4;h33e?6=,;2>6<>m;o0;0?7<3`;;57>5$3:6>46e3g8387<4;h33<?6=,;2>6<>m;o0;0?5<3`9:57>5$3:6>67?3g8387>4;h123?6=,;2>6>?7;o0;0?7<3`9::7>5$3:6>67?3g8387<4;h121?6=,;2>6>?7;o0;0?5<3`9:i7>5$3:6>67c3g8387>4;h12g?6=,;2>6>?k;o0;0?7<3`9:n7>5$3:6>67c3g8387<4;h12e?6=,;2>6>?k;o0;0?5<3f;9:7>5$3:6>4423g8387>4;n310?6=,;2>6<<:;o0;0?7<3f;9>7>5$3:6>4423g8387<4;n315?6=,;2>6<<:;o0;0?5<3f;9<7>5$3:6>4423g8387:4;n32b?6=,;2>6<<:;o0;0?3<3f;:i7>5$3:6>4423g838784;n32`?6=,;2>6<<:;o0;0?1<3f;:o7>5$3:6>4423g838764;n32f?6=,;2>6<<:;o0;0??<3f;:m7>5$3:6>4423g8387o4;n32=?6=,;2>6<<:;o0;0?d<3f;:;7>5$3:6>4423g8387m4;n322?6=,;2>6<<:;o0;0?b<3f;:97>5$3:6>4423g8387k4;n320?6=,;2>6<<:;o0;0?`<3f;:?7>5$3:6>4423g8387??;:m257<72-8397?=5:l1<1<6921d=<?50;&1<0<6:<1e>5:51398k477290/>5;51378j7>328907b??f;29 7>2288>7c<74;37?>i68l0;6)<75;311>h50=0:965`13f94?"50<0:>85a296953=<g88h6=4+2979573<f;2?6<94;n31f?6=,;2>6<<:;o0;0?7?32e:>l4?:%0;1?75=2d9494>9:9l57?=83.9484>249m6=2=9h10c<<7:18'6=3=9;?0b?6;:0`8?j75?3:1(?6::006?k4?<3;h76a>2283>!4?=3;996`=8582`>=h9821<7*=848260=i:1>1=h54o02g>5<#:1?1=?;4n3:7>4`<3f;?47>5$3:6>4203g8387>4;n372?6=,;2>6<:8;o0;0?7<3f;?87>5$3:6>4203g8387<4;n377?6=,;2>6<:8;o0;0?5<3f;?>7>5$3:6>4203g8387:4;n375?6=,;2>6<:8;o0;0?3<3f;?<7>5$3:6>4203g838784;n30b?6=,;2>6<:8;o0;0?1<3f;8i7>5$3:6>4203g838764;n30`?6=,;2>6<:8;o0;0??<3f;8o7>5$3:6>4203g8387o4;n30f?6=,;2>6<:8;o0;0?d<3f;857>5$3:6>4203g8387m4;n30<?6=,;2>6<:8;o0;0?b<3f;8;7>5$3:6>4203g8387k4;n302?6=,;2>6<:8;o0;0?`<3f;897>5$3:6>4203g8387??;:m271<72-8397?;7:l1<1<6921d=>=50;&1<0<6<>1e>5:51398k455290/>5;51558j7>328907b?<1;29 7>228><7c<74;37?>i6;90;6)<75;373>h50=0:965`15d94?"50<0:8:5a296953=<g8>n6=4+2979511<f;2?6<94;n37`?6=,;2>6<:8;o0;0?7?32e:8n4?:%0;1?73?2d9494>9:9l51d=83.9484>469m6=2=9h10c<:n:18'6=3=9==0b?6;:0`8?j7313:1(?6::064?k4?<3;h76a>4483>!4?=3;?;6`=8582`>=h9:k1<7*=848202=i:1>1=h54o00e>5<#:1?1=994n3:7>4`<3f;>97>5$3:6>4333g8387>4;n367?6=,;2>6<;;;o0;0?7<3f;>>7>5$3:6>4333g8387<4;n365?6=,;2>6<;;;o0;0?5<3f;>m7>5$3:6>43>3g8387>4;n36<?6=,;2>6<;6;o0;0?7<3f;>;7>5$3:6>43>3g8387<4;n362?6=,;2>6<;6;o0;0?5<3thnm:4?:b094?6|,::h6?kn;I10=>N4981Q:o4l{g824?4d2;n1?84<6;14>6>=:l09j7=6:2c9y!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d0962c<,8o;6?5+1d396>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0g7>4>23-;n97?75:&1ag<6mk1/>hm51d`8j7`a281e?=>51:&043<5?l1/=4j53:&2=`<43-99<7=>f:&064<49o1e??<51:l066<63-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390b<<j:19m506=82.8<84>849'75>=:2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)=?9;08m3b=831b:h4?::k47?6=3`=?6=44i0:3>5<<a:::6=44i221>5<<a82:6=44i22b>5<<a::i6=44o7d94?=h?90;66g;c;29 7>22=h0b?6;:198m1g=83.9484;b:l1<1<632c?57>5$3:6>1d<f;2?6?54i5:94?"50<0?n6`=8580?>o3?3:1(?6::5`8j7>32=10e8;50;&1<0<3j2d9494:;:k60?6=,;2>69l4n3:7>3=<a<91<7*=8487f>h50=0<76g:2;29 7>22=h0b?6;:998m07=83.9484;b:l1<1<>32c><7>5$3:6>1d<f;2?6l54i5d94?"50<0?n6`=858a?>o3m3:1(?6::5`8j7>32j10e9j50;&1<0<3j2d9494k;:k72?6=,;2>69l4n3:7>`=<a<i1<7*=8486f>h50=0;76g:a;29 7>22<h0b?6;:098m0?=83.9484:b:l1<1<532c>47>5$3:6>0d<f;2?6>54i4594?"50<0>n6`=8587?>o1=3:1(?6::4`8j7>32<10e;:50;&1<0<2j2d94949;:k57?6=,;2>68l4n3:7>2=<a?81<7*=8486f>h50=0376g91;29 7>22<h0b?6;:898m36=83.9484:b:l1<1<f32c>j7>5$3:6>0d<f;2?6o54i4g94?"50<0>n6`=858`?>o2l3:1(?6::4`8j7>32m10e8850;&1<0<2j2d9494j;:ka3?6=,;2>6o84n3:7>5=<ak?1<7*=848a2>h50=0:76gm3;29 7>22k<0b?6;:398mg4=83.9484m6:l1<1<432ci=7>5$3:6>g0<f;2?6954ic294?"50<0i:6`=8586?>ofn3:1(?6::c48j7>32?10elk50;&1<0<e>2d94948;:kb`?6=,;2>6o84n3:7>==<ahi1<7*=848a2>h50=0276gnb;29 7>22k<0b?6;:`98mdg=83.9484m6:l1<1<e32cj47>5$3:6>g0<f;2?6n54i`594?"50<0i:6`=858g?>of>3:1(?6::c48j7>32l10el;50;&1<0<e>2d9494i;:kb0?6=,;2>6o84n3:7>46<3`k86=4+2979f3=i:1>1=<54i`094?"50<0i:6`=85826>=ni80;6)<75;`5?k4?<3;876gn0;29 7>22k<0b?6;:068?l?a290/>5;5b79m6=2=9<10eok50;&1<0<e>2d9494>6:9jfa<72-8397l9;o0;0?7032cio7>5$3:6>g0<f;2?6<64;h`a>5<#:1?1n;5a29695<=<akk1<7*=848a2>h50=0:m65fb883>!4?=3h=7c<74;3a?>oe03:1(?6::c48j7>328i07dl;:18'6=3=j?1e>5:51e98md?=83.9484m6:l1<1<6m21b5h4?:%0;1?d13g8387?i;:kg<?6=,;2>6i94n3:7>5=<am<1<7*=848g3>h50=0:76gk4;29 7>22m=0b?6;:398ma5=83.9484k7:l1<1<432co>7>5$3:6>a1<f;2?6954ie394?"50<0o;6`=8586?>oc83:1(?6::e58j7>32?10enh50;&1<0<c?2d94948;:k`a?6=,;2>6i94n3:7>==<ajn1<7*=848g3>h50=0276glc;29 7>22m=0b?6;:`98mfd=83.9484k7:l1<1<e32ch57>5$3:6>a1<f;2?6n54ib:94?"50<0o;6`=858g?>od?3:1(?6::e58j7>32l10en850;&1<0<c?2d9494i;:k`1?6=,;2>6i94n3:7>46<3`i?6=4+2979`2=i:1>1=<54ib194?"50<0o;6`=85826>=nk;0;6)<75;f4?k4?<3;876gl1;29 7>22m=0b?6;:068?le7290/>5;5d69m6=2=9<10eih50;&1<0<c?2d9494>6:9j``<72-8397j8;o0;0?7032coh7>5$3:6>a1<f;2?6<64;hf`>5<#:1?1h:5a29695<=<amh1<7*=848g3>h50=0:m65fd`83>!4?=3n<7c<74;3a?>oc13:1(?6::e58j7>328i07dj::18'6=3=l>1e>5:51e98mfg=83.9484k7:l1<1<6m21bnk4?:%0;1?b03g8387?i;:k242<72-8397??6:l1<1<732c:<84?:%0;1?77>2d9494>;:k241<72-8397??6:l1<1<532c:<>4?:%0;1?77>2d9494<;:k24f<72-8397??b:l1<1<732c:<l4?:%0;1?77j2d9494>;:k24<<72-8397??b:l1<1<532c:<54?:%0;1?77j2d9494<;:k05<<72-8397=>8:l1<1<732c8=:4?:%0;1?5602d9494>;:k053<72-8397=>8:l1<1<532c8=84?:%0;1?5602d9494<;:k05`<72-8397=>d:l1<1<732c8=n4?:%0;1?56l2d9494>;:k05g<72-8397=>d:l1<1<532c8=l4?:%0;1?56l2d9494<;:m263<72-8397?=5:l1<1<732e:>94?:%0;1?75=2d9494>;:m267<72-8397?=5:l1<1<532e:><4?:%0;1?75=2d9494<;:m265<72-8397?=5:l1<1<332e:=k4?:%0;1?75=2d9494:;:m25`<72-8397?=5:l1<1<132e:=i4?:%0;1?75=2d94948;:m25f<72-8397?=5:l1<1<?32e:=o4?:%0;1?75=2d94946;:m25d<72-8397?=5:l1<1<f32e:=44?:%0;1?75=2d9494m;:m252<72-8397?=5:l1<1<d32e:=;4?:%0;1?75=2d9494k;:m250<72-8397?=5:l1<1<b32e:=94?:%0;1?75=2d9494i;:m256<72-8397?=5:l1<1<6821d=<<50;&1<0<6:<1e>5:51098k476290/>5;51378j7>328807b?>0;29 7>2288>7c<74;30?>i68o0;6)<75;311>h50=0:865`11g94?"50<0:>85a296950=<g88o6=4+2979573<f;2?6<84;n31g?6=,;2>6<<:;o0;0?7032e:>o4?:%0;1?75=2d9494>8:9l57g=83.9484>249m6=2=9010c<<6:18'6=3=9;?0b?6;:0c8?j7503:1(?6::006?k4?<3;i76a>2683>!4?=3;996`=8582g>=h9;91<7*=848260=i:1>1=i54o03;>5<#:1?1=?;4n3:7>4c<3f;;h7>5$3:6>4423g8387?i;:m20=<72-8397?;7:l1<1<732e:8;4?:%0;1?73?2d9494>;:m201<72-8397?;7:l1<1<532e:8>4?:%0;1?73?2d9494<;:m207<72-8397?;7:l1<1<332e:8<4?:%0;1?73?2d9494:;:m205<72-8397?;7:l1<1<132e:?k4?:%0;1?73?2d94948;:m27`<72-8397?;7:l1<1<?32e:?i4?:%0;1?73?2d94946;:m27f<72-8397?;7:l1<1<f32e:?o4?:%0;1?73?2d9494m;:m27<<72-8397?;7:l1<1<d32e:?54?:%0;1?73?2d9494k;:m272<72-8397?;7:l1<1<b32e:?;4?:%0;1?73?2d9494i;:m270<72-8397?;7:l1<1<6821d=>:50;&1<0<6<>1e>5:51098k454290/>5;51558j7>328807b?<2;29 7>228><7c<74;30?>i6;80;6)<75;373>h50=0:865`12294?"50<0:8:5a296950=<g8>m6=4+2979511<f;2?6<84;n37a?6=,;2>6<:8;o0;0?7032e:8i4?:%0;1?73?2d9494>8:9l51e=83.9484>469m6=2=9010c<:m:18'6=3=9==0b?6;:0c8?j73i3:1(?6::064?k4?<3;i76a>4883>!4?=3;?;6`=8582g>=h9=?1<7*=848202=i:1>1=i54o01b>5<#:1?1=994n3:7>4c<3f;9j7>5$3:6>4203g8387?i;:m210<72-8397?:4:l1<1<732e:9>4?:%0;1?72<2d9494>;:m217<72-8397?:4:l1<1<532e:9<4?:%0;1?72<2d9494<;:m21d<72-8397?:9:l1<1<732e:954?:%0;1?7212d9494>;:m212<72-8397?:9:l1<1<532e:9;4?:%0;1?7212d9494<;:\7faade=83i96=4?{%13g?4bi2B8?45G3038^3d=krl1==4=c;0g>63=;?08;7=7:3g96c<4139j6p`>2d83?k7283:0(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a7<5?l1/=h>52:&2a4<53-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5`2=91?0(<k::0:6?!4bj39;j6*=eb804c=i:ol1=6`<0182?!57>38<i6*>9e80?!7>m390(><?:23e?!55939:j6`<2382?k55;3;0(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+31795=3<,::36?5+1`297>"6i8087)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"480097d8k:188m3c=831b;>4?::k40?6=3`;3<7>5;h135?6=3`9;>7>5;h3;5?6=3`9;m7>5;h13f?6=3f<m6=44o6294?=n<j0;6)<75;6a?k4?<3:07d:n:18'6=3=<k1e>5:51:9j0<<72-8397:m;o0;0?4<3`>36=4+29790g=i:1>1?65f4683>!4?=3>i7c<74;68?l32290/>5;54c9m6=2==21b994?:%0;1?2e3g838784;h70>5<#:1?18o5a29693>=n=;0;6)<75;6a?k4?<3207d;>:18'6=3=<k1e>5:59:9j15<72-8397:m;o0;0?g<3`>m6=4+29790g=i:1>1n65f4d83>!4?=3>i7c<74;a8?l2c290/>5;54c9m6=2=l21b8;4?:%0;1?2e3g8387k4;h7`>5<#:1?19o5a29694>=n=h0;6)<75;7a?k4?<3;07d;6:18'6=3==k1e>5:52:9j1=<72-8397;m;o0;0?5<3`?<6=4+29791g=i:1>1865f6483>!4?=3?i7c<74;78?l03290/>5;55c9m6=2=>21b:>4?:%0;1?3e3g838794;h41>5<#:1?19o5a2969<>=n>80;6)<75;7a?k4?<3307d8?:18'6=3==k1e>5:5a:9j1c<72-8397;m;o0;0?d<3`?n6=4+29791g=i:1>1o65f5e83>!4?=3?i7c<74;f8?l31290/>5;55c9m6=2=m21bn:4?:%0;1?d13g8387>4;h`6>5<#:1?1n;5a29695>=nj:0;6)<75;`5?k4?<3807dl=:18'6=3=j?1e>5:53:9jf4<72-8397l9;o0;0?2<3`h;6=4+2979f3=i:1>1965fag83>!4?=3h=7c<74;48?lgb290/>5;5b79m6=2=?21bmi4?:%0;1?d13g838764;hc`>5<#:1?1n;5a2969=>=nik0;6)<75;`5?k4?<3k07don:18'6=3=j?1e>5:5b:9je=<72-8397l9;o0;0?e<3`k<6=4+2979f3=i:1>1h65fa783>!4?=3h=7c<74;g8?lg2290/>5;5b79m6=2=n21bm94?:%0;1?d13g8387??;:kb7?6=,;2>6o84n3:7>47<3`k96=4+2979f3=i:1>1=?54i`394?"50<0i:6`=85827>=ni90;6)<75;`5?k4?<3;?76g6f;29 7>22k<0b?6;:078?ldb290/>5;5b79m6=2=9?10eoj50;&1<0<e>2d9494>7:9jff<72-8397l9;o0;0?7?32cin7>5$3:6>g0<f;2?6<74;h`b>5<#:1?1n;5a29695d=<ak31<7*=848a2>h50=0:n65fb983>!4?=3h=7c<74;3`?>oe<3:1(?6::c48j7>328n07do6:18'6=3=j?1e>5:51d98m<c=83.9484m6:l1<1<6n21bh54?:%0;1?b03g8387>4;hf5>5<#:1?1h:5a29695>=nl=0;6)<75;f4?k4?<3807dj<:18'6=3=l>1e>5:53:9j`7<72-8397j8;o0;0?2<3`n:6=4+2979`2=i:1>1965fd183>!4?=3n<7c<74;48?lea290/>5;5d69m6=2=?21boh4?:%0;1?b03g838764;hag>5<#:1?1h:5a2969=>=nkj0;6)<75;f4?k4?<3k07dmm:18'6=3=l>1e>5:5b:9jg<<72-8397j8;o0;0?e<3`i36=4+2979`2=i:1>1h65fc683>!4?=3n<7c<74;g8?le1290/>5;5d69m6=2=n21bo84?:%0;1?b03g8387??;:k`0?6=,;2>6i94n3:7>47<3`i86=4+2979`2=i:1>1=?54ib094?"50<0o;6`=85827>=nk80;6)<75;f4?k4?<3;?76gl0;29 7>22m=0b?6;:078?lba290/>5;5d69m6=2=9?10eik50;&1<0<c?2d9494>7:9j`a<72-8397j8;o0;0?7?32coo7>5$3:6>a1<f;2?6<74;hfa>5<#:1?1h:5a29695d=<amk1<7*=848g3>h50=0:n65fd883>!4?=3n<7c<74;3`?>oc=3:1(?6::e58j7>328n07dmn:18'6=3=l>1e>5:51d98mg`=83.9484k7:l1<1<6n21b==950;&1<0<68?1e>5:50:9j553=83.9484>079m6=2=921b==:50;&1<0<68?1e>5:52:9j555=83.9484>079m6=2=;21b==m50;&1<0<68k1e>5:50:9j55g=83.9484>0c9m6=2=921b==750;&1<0<68k1e>5:52:9j55>=83.9484>0c9m6=2=;21b?<750;&1<0<4911e>5:50:9j741=83.9484<199m6=2=921b?<850;&1<0<4911e>5:52:9j743=83.9484<199m6=2=;21b?<k50;&1<0<49m1e>5:50:9j74e=83.9484<1e9m6=2=921b?<l50;&1<0<49m1e>5:52:9j74g=83.9484<1e9m6=2=;21d=?850;&1<0<6:<1e>5:50:9l572=83.9484>249m6=2=921d=?<50;&1<0<6:<1e>5:52:9l577=83.9484>249m6=2=;21d=?>50;&1<0<6:<1e>5:54:9l54`=83.9484>249m6=2==21d=<k50;&1<0<6:<1e>5:56:9l54b=83.9484>249m6=2=?21d=<m50;&1<0<6:<1e>5:58:9l54d=83.9484>249m6=2=121d=<o50;&1<0<6:<1e>5:5a:9l54?=83.9484>249m6=2=j21d=<950;&1<0<6:<1e>5:5c:9l540=83.9484>249m6=2=l21d=<;50;&1<0<6:<1e>5:5e:9l542=83.9484>249m6=2=n21d=<=50;&1<0<6:<1e>5:51198k475290/>5;51378j7>328;07b?>1;29 7>2288>7c<74;31?>i6990;6)<75;311>h50=0:?65`11d94?"50<0:>85a296951=<g8:n6=4+2979573<f;2?6<;4;n31`?6=,;2>6<<:;o0;0?7132e:>n4?:%0;1?75=2d9494>7:9l57d=83.9484>249m6=2=9110c<<n:18'6=3=9;?0b?6;:0;8?j7513:1(?6::006?k4?<3;j76a>2983>!4?=3;996`=8582f>=h9;=1<7*=848260=i:1>1=n54o000>5<#:1?1=?;4n3:7>4b<3f;:47>5$3:6>4423g8387?j;:m24a<72-8397?=5:l1<1<6n21d=9650;&1<0<6<>1e>5:50:9l510=83.9484>469m6=2=921d=9:50;&1<0<6<>1e>5:52:9l515=83.9484>469m6=2=;21d=9<50;&1<0<6<>1e>5:54:9l517=83.9484>469m6=2==21d=9>50;&1<0<6<>1e>5:56:9l56`=83.9484>469m6=2=?21d=>k50;&1<0<6<>1e>5:58:9l56b=83.9484>469m6=2=121d=>m50;&1<0<6<>1e>5:5a:9l56d=83.9484>469m6=2=j21d=>750;&1<0<6<>1e>5:5c:9l56>=83.9484>469m6=2=l21d=>950;&1<0<6<>1e>5:5e:9l560=83.9484>469m6=2=n21d=>;50;&1<0<6<>1e>5:51198k453290/>5;51558j7>328;07b?<3;29 7>228><7c<74;31?>i6;;0;6)<75;373>h50=0:?65`12394?"50<0:8:5a296951=<g89;6=4+2979511<f;2?6<;4;n37b?6=,;2>6<:8;o0;0?7132e:8h4?:%0;1?73?2d9494>7:9l51b=83.9484>469m6=2=9110c<:l:18'6=3=9==0b?6;:0;8?j73j3:1(?6::064?k4?<3;j76a>4`83>!4?=3;?;6`=8582f>=h9=31<7*=848202=i:1>1=n54o066>5<#:1?1=994n3:7>4b<3f;8m7>5$3:6>4203g8387?j;:m26c<72-8397?;7:l1<1<6n21d=8;50;&1<0<6==1e>5:50:9l505=83.9484>559m6=2=921d=8<50;&1<0<6==1e>5:52:9l507=83.9484>559m6=2=;21d=8o50;&1<0<6=01e>5:50:9l50>=83.9484>589m6=2=921d=8950;&1<0<6=01e>5:52:9l500=83.9484>589m6=2=;21vnhom:18`6?6=8r.8<n4=e`9K76?<@:;:7W8m:bye>46=:j09h7=::24972<4038n6?h53880e?{#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f6?40m2.:i=4=;%3f5?4<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2a1<60<1/=h;51978 7ce28oi7)<jc;3ff>h5no0:7c=?0;38 6612;=n7)?6d;18 4?b2:1/??>530d8 6462:;m7c==2;38j644281/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6`>2d83?k7283:0(>>::0:6?!570380(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+31;96>o1l3:17d8j:188m25=831b;94?::k2<5<722c8<<4?::k047<722c:4<4?::k04d<722c8<o4?::m5b?6=3f=;6=44i5a94?"50<0?n6`=8583?>o3i3:1(?6::5`8j7>32810e9750;&1<0<3j2d9494=;:k7<?6=,;2>69l4n3:7>6=<a==1<7*=8487f>h50=0?76g:5;29 7>22=h0b?6;:498m02=83.9484;b:l1<1<132c>?7>5$3:6>1d<f;2?6:54i4094?"50<0?n6`=858;?>o293:1(?6::5`8j7>32010e8>50;&1<0<3j2d9494n;:k7b?6=,;2>69l4n3:7>g=<a=o1<7*=8487f>h50=0h76g;d;29 7>22=h0b?6;:e98m10=83.9484;b:l1<1<b32c>o7>5$3:6>0d<f;2?6=54i4c94?"50<0>n6`=8582?>o213:1(?6::4`8j7>32;10e8650;&1<0<2j2d9494<;:k63?6=,;2>68l4n3:7>1=<a??1<7*=8486f>h50=0>76g94;29 7>22<h0b?6;:798m35=83.9484:b:l1<1<032c=>7>5$3:6>0d<f;2?6554i7394?"50<0>n6`=858:?>o183:1(?6::4`8j7>32h10e8h50;&1<0<2j2d9494m;:k6a?6=,;2>68l4n3:7>f=<a<n1<7*=8486f>h50=0o76g:6;29 7>22<h0b?6;:d98mg1=83.9484m6:l1<1<732ci97>5$3:6>g0<f;2?6<54ic194?"50<0i:6`=8581?>oe:3:1(?6::c48j7>32:10eo?50;&1<0<e>2d9494;;:ka4?6=,;2>6o84n3:7>0=<ahl1<7*=848a2>h50=0=76gne;29 7>22k<0b?6;:698mdb=83.9484m6:l1<1<?32cjo7>5$3:6>g0<f;2?6454i``94?"50<0i:6`=858b?>ofi3:1(?6::c48j7>32k10el650;&1<0<e>2d9494l;:kb3?6=,;2>6o84n3:7>a=<ah<1<7*=848a2>h50=0n76gn5;29 7>22k<0b?6;:g98md2=83.9484m6:l1<1<6821bm>4?:%0;1?d13g8387?>;:kb6?6=,;2>6o84n3:7>44<3`k:6=4+2979f3=i:1>1=>54i`294?"50<0i:6`=85820>=n1o0;6)<75;`5?k4?<3;>76gme;29 7>22k<0b?6;:048?ldc290/>5;5b79m6=2=9>10eom50;&1<0<e>2d9494>8:9jfg<72-8397l9;o0;0?7>32cim7>5$3:6>g0<f;2?6<o4;h`:>5<#:1?1n;5a29695g=<ak21<7*=848a2>h50=0:o65fb583>!4?=3h=7c<74;3g?>of13:1(?6::c48j7>328o07d7j:18'6=3=j?1e>5:51g98ma>=83.9484k7:l1<1<732co:7>5$3:6>a1<f;2?6<54ie694?"50<0o;6`=8581?>oc;3:1(?6::e58j7>32:10ei<50;&1<0<c?2d9494;;:kg5?6=,;2>6i94n3:7>0=<am:1<7*=848g3>h50=0=76glf;29 7>22m=0b?6;:698mfc=83.9484k7:l1<1<?32chh7>5$3:6>a1<f;2?6454iba94?"50<0o;6`=858b?>odj3:1(?6::e58j7>32k10en750;&1<0<c?2d9494l;:k`<?6=,;2>6i94n3:7>a=<aj=1<7*=848g3>h50=0n76gl6;29 7>22m=0b?6;:g98mf3=83.9484k7:l1<1<6821bo94?:%0;1?b03g8387?>;:k`7?6=,;2>6i94n3:7>44<3`i96=4+2979`2=i:1>1=>54ib394?"50<0o;6`=85820>=nk90;6)<75;f4?k4?<3;>76gkf;29 7>22m=0b?6;:048?lbb290/>5;5d69m6=2=9>10eij50;&1<0<c?2d9494>8:9j`f<72-8397j8;o0;0?7>32con7>5$3:6>a1<f;2?6<o4;hfb>5<#:1?1h:5a29695g=<am31<7*=848g3>h50=0:o65fd483>!4?=3n<7c<74;3g?>odi3:1(?6::e58j7>328o07dli:18'6=3=l>1e>5:51g98m460290/>5;51148j7>32910e<>::18'6=3=99<0b?6;:098m463290/>5;51148j7>32;10e<><:18'6=3=99<0b?6;:298m46d290/>5;511`8j7>32910e<>n:18'6=3=99h0b?6;:098m46>290/>5;511`8j7>32;10e<>7:18'6=3=99h0b?6;:298m67>290/>5;530:8j7>32910e>?8:18'6=3=;820b?6;:098m671290/>5;530:8j7>32;10e>?::18'6=3=;820b?6;:298m67b290/>5;530f8j7>32910e>?l:18'6=3=;8n0b?6;:098m67e290/>5;530f8j7>32;10e>?n:18'6=3=;8n0b?6;:298k441290/>5;51378j7>32910c<<;:18'6=3=9;?0b?6;:098k445290/>5;51378j7>32;10c<<>:18'6=3=9;?0b?6;:298k447290/>5;51378j7>32=10c<?i:18'6=3=9;?0b?6;:498k47b290/>5;51378j7>32?10c<?k:18'6=3=9;?0b?6;:698k47d290/>5;51378j7>32110c<?m:18'6=3=9;?0b?6;:898k47f290/>5;51378j7>32h10c<?6:18'6=3=9;?0b?6;:c98k470290/>5;51378j7>32j10c<?9:18'6=3=9;?0b?6;:e98k472290/>5;51378j7>32l10c<?;:18'6=3=9;?0b?6;:g98k474290/>5;51378j7>328:07b?>2;29 7>2288>7c<74;32?>i6980;6)<75;311>h50=0:>65`10294?"50<0:>85a296956=<g8:m6=4+2979573<f;2?6<:4;n33a?6=,;2>6<<:;o0;0?7232e:>i4?:%0;1?75=2d9494>6:9l57e=83.9484>249m6=2=9>10c<<m:18'6=3=9;?0b?6;:0:8?j75i3:1(?6::006?k4?<3;276a>2883>!4?=3;996`=8582e>=h9;21<7*=848260=i:1>1=o54o004>5<#:1?1=?;4n3:7>4e<3f;9?7>5$3:6>4423g8387?k;:m25=<72-8397?=5:l1<1<6m21d==j50;&1<0<6:<1e>5:51g98k42?290/>5;51558j7>32910c<:9:18'6=3=9==0b?6;:098k423290/>5;51558j7>32;10c<:<:18'6=3=9==0b?6;:298k425290/>5;51558j7>32=10c<:>:18'6=3=9==0b?6;:498k427290/>5;51558j7>32?10c<=i:18'6=3=9==0b?6;:698k45b290/>5;51558j7>32110c<=k:18'6=3=9==0b?6;:898k45d290/>5;51558j7>32h10c<=m:18'6=3=9==0b?6;:c98k45>290/>5;51558j7>32j10c<=7:18'6=3=9==0b?6;:e98k450290/>5;51558j7>32l10c<=9:18'6=3=9==0b?6;:g98k452290/>5;51558j7>328:07b?<4;29 7>228><7c<74;32?>i6;:0;6)<75;373>h50=0:>65`12094?"50<0:8:5a296956=<g89:6=4+2979511<f;2?6<:4;n304?6=,;2>6<:8;o0;0?7232e:8k4?:%0;1?73?2d9494>6:9l51c=83.9484>469m6=2=9>10c<:k:18'6=3=9==0b?6;:0:8?j73k3:1(?6::064?k4?<3;276a>4c83>!4?=3;?;6`=8582e>=h9=k1<7*=848202=i:1>1=o54o06:>5<#:1?1=994n3:7>4e<3f;?97>5$3:6>4203g8387?k;:m27d<72-8397?;7:l1<1<6m21d=?h50;&1<0<6<>1e>5:51g98k432290/>5;51468j7>32910c<;<:18'6=3=9<>0b?6;:098k435290/>5;51468j7>32;10c<;>:18'6=3=9<>0b?6;:298k43f290/>5;514;8j7>32910c<;7:18'6=3=9<30b?6;:098k430290/>5;514;8j7>32;10c<;9:18'6=3=9<30b?6;:298ygce83:1o?4?:1y'75e=:lk0D>=6;I125>\1j3ipj7??:3a96a<4=39=6>953981a?4a2:31?l4rn00f>5=i9<:1<6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c52;=n7)?j0;08 4c62;1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7b<3;396*>e482<0=#:lh1?=h4$3g`>66a3g8mj7?4n223>4=#;9<1>:k4$0;g>6=#90o1?6*<21805c=#;;;1?<h4n201>4=i;;91=6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%131?7?=2.8<54=;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,::26?5f6e83>>o1m3:17d9<:188m22=831b=5>50;9j757=831b?=<50;9j5=7=831b?=o50;9j75d=831d:k4?::m44?6=3`>h6=4+29790g=i:1>1<65f4`83>!4?=3>i7c<74;38?l2>290/>5;54c9m6=2=:21b854?:%0;1?2e3g8387=4;h64>5<#:1?18o5a29690>=n=<0;6)<75;6a?k4?<3?07d;;:18'6=3=<k1e>5:56:9j16<72-8397:m;o0;0?1<3`?96=4+29790g=i:1>1465f5083>!4?=3>i7c<74;;8?l37290/>5;54c9m6=2=i21b8k4?:%0;1?2e3g8387l4;h6f>5<#:1?18o5a2969g>=n<m0;6)<75;6a?k4?<3n07d:9:18'6=3=<k1e>5:5e:9j1f<72-8397;m;o0;0?6<3`?j6=4+29791g=i:1>1=65f5883>!4?=3?i7c<74;08?l3?290/>5;55c9m6=2=;21b9:4?:%0;1?3e3g8387:4;h46>5<#:1?19o5a29691>=n>=0;6)<75;7a?k4?<3<07d8<:18'6=3==k1e>5:57:9j27<72-8397;m;o0;0?><3`<:6=4+29791g=i:1>1565f6183>!4?=3?i7c<74;c8?l3a290/>5;55c9m6=2=j21b9h4?:%0;1?3e3g8387m4;h7g>5<#:1?19o5a2969`>=n=?0;6)<75;7a?k4?<3o07dl8:18'6=3=j?1e>5:50:9jf0<72-8397l9;o0;0?7<3`h86=4+2979f3=i:1>1>65fb383>!4?=3h=7c<74;18?ld6290/>5;5b79m6=2=<21bn=4?:%0;1?d13g8387;4;hce>5<#:1?1n;5a29692>=nil0;6)<75;`5?k4?<3=07dok:18'6=3=j?1e>5:58:9jef<72-8397l9;o0;0??<3`ki6=4+2979f3=i:1>1m65fa`83>!4?=3h=7c<74;`8?lg?290/>5;5b79m6=2=k21bm:4?:%0;1?d13g8387j4;hc5>5<#:1?1n;5a2969a>=ni<0;6)<75;`5?k4?<3l07do;:18'6=3=j?1e>5:51198md5=83.9484m6:l1<1<6921bm?4?:%0;1?d13g8387?=;:kb5?6=,;2>6o84n3:7>45<3`k;6=4+2979f3=i:1>1=954i8d94?"50<0i:6`=85821>=njl0;6)<75;`5?k4?<3;=76gmd;29 7>22k<0b?6;:058?ldd290/>5;5b79m6=2=9110eol50;&1<0<e>2d9494>9:9jfd<72-8397l9;o0;0?7f32ci57>5$3:6>g0<f;2?6<l4;h`;>5<#:1?1n;5a29695f=<ak>1<7*=848a2>h50=0:h65fa883>!4?=3h=7c<74;3f?>o>m3:1(?6::c48j7>328l07dj7:18'6=3=l>1e>5:50:9j`3<72-8397j8;o0;0?7<3`n?6=4+2979`2=i:1>1>65fd283>!4?=3n<7c<74;18?lb5290/>5;5d69m6=2=<21bh<4?:%0;1?b03g8387;4;hf3>5<#:1?1h:5a29692>=nko0;6)<75;f4?k4?<3=07dmj:18'6=3=l>1e>5:58:9jga<72-8397j8;o0;0??<3`ih6=4+2979`2=i:1>1m65fcc83>!4?=3n<7c<74;`8?le>290/>5;5d69m6=2=k21bo54?:%0;1?b03g8387j4;ha4>5<#:1?1h:5a2969a>=nk?0;6)<75;f4?k4?<3l07dm::18'6=3=l>1e>5:51198mf2=83.9484k7:l1<1<6921bo>4?:%0;1?b03g8387?=;:k`6?6=,;2>6i94n3:7>45<3`i:6=4+2979`2=i:1>1=954ib294?"50<0o;6`=85821>=nlo0;6)<75;f4?k4?<3;=76gke;29 7>22m=0b?6;:058?lbc290/>5;5d69m6=2=9110eim50;&1<0<c?2d9494>9:9j`g<72-8397j8;o0;0?7f32com7>5$3:6>a1<f;2?6<l4;hf:>5<#:1?1h:5a29695f=<am?1<7*=848g3>h50=0:h65fc`83>!4?=3n<7c<74;3f?>oen3:1(?6::e58j7>328l07d??7;29 7>228:=7c<74;28?l77=3:1(?6::025?k4?<3;07d??4;29 7>228:=7c<74;08?l77;3:1(?6::025?k4?<3907d??c;29 7>228:i7c<74;28?l77i3:1(?6::02a?k4?<3;07d??9;29 7>228:i7c<74;08?l7703:1(?6::02a?k4?<3907d=>9;29 7>22:;37c<74;28?l56?3:1(?6::23;?k4?<3;07d=>6;29 7>22:;37c<74;08?l56=3:1(?6::23;?k4?<3907d=>e;29 7>22:;o7c<74;28?l56k3:1(?6::23g?k4?<3;07d=>b;29 7>22:;o7c<74;08?l56i3:1(?6::23g?k4?<3907b?=6;29 7>2288>7c<74;28?j75<3:1(?6::006?k4?<3;07b?=2;29 7>2288>7c<74;08?j7593:1(?6::006?k4?<3907b?=0;29 7>2288>7c<74;68?j76n3:1(?6::006?k4?<3?07b?>e;29 7>2288>7c<74;48?j76l3:1(?6::006?k4?<3=07b?>c;29 7>2288>7c<74;:8?j76j3:1(?6::006?k4?<3307b?>a;29 7>2288>7c<74;c8?j7613:1(?6::006?k4?<3h07b?>7;29 7>2288>7c<74;a8?j76>3:1(?6::006?k4?<3n07b?>5;29 7>2288>7c<74;g8?j76<3:1(?6::006?k4?<3l07b?>3;29 7>2288>7c<74;33?>i69;0;6)<75;311>h50=0:=65`10394?"50<0:>85a296957=<g8;;6=4+2979573<f;2?6<=4;n33b?6=,;2>6<<:;o0;0?7332e:<h4?:%0;1?75=2d9494>5:9l57b=83.9484>249m6=2=9?10c<<l:18'6=3=9;?0b?6;:058?j75j3:1(?6::006?k4?<3;376a>2`83>!4?=3;996`=8582=>=h9;31<7*=848260=i:1>1=l54o00;>5<#:1?1=?;4n3:7>4d<3f;9;7>5$3:6>4423g8387?l;:m266<72-8397?=5:l1<1<6l21d=<650;&1<0<6:<1e>5:51d98k46c290/>5;51378j7>328l07b?;8;29 7>228><7c<74;28?j73>3:1(?6::064?k4?<3;07b?;4;29 7>228><7c<74;08?j73;3:1(?6::064?k4?<3907b?;2;29 7>228><7c<74;68?j7393:1(?6::064?k4?<3?07b?;0;29 7>228><7c<74;48?j74n3:1(?6::064?k4?<3=07b?<e;29 7>228><7c<74;:8?j74l3:1(?6::064?k4?<3307b?<c;29 7>228><7c<74;c8?j74j3:1(?6::064?k4?<3h07b?<9;29 7>228><7c<74;a8?j7403:1(?6::064?k4?<3n07b?<7;29 7>228><7c<74;g8?j74>3:1(?6::064?k4?<3l07b?<5;29 7>228><7c<74;33?>i6;=0;6)<75;373>h50=0:=65`12194?"50<0:8:5a296957=<g8996=4+2979511<f;2?6<=4;n305?6=,;2>6<:8;o0;0?7332e:?=4?:%0;1?73?2d9494>5:9l51`=83.9484>469m6=2=9?10c<:j:18'6=3=9==0b?6;:058?j73l3:1(?6::064?k4?<3;376a>4b83>!4?=3;?;6`=8582=>=h9=h1<7*=848202=i:1>1=l54o06b>5<#:1?1=994n3:7>4d<3f;?57>5$3:6>4203g8387?l;:m200<72-8397?;7:l1<1<6l21d=>o50;&1<0<6<>1e>5:51d98k44a290/>5;51558j7>328l07b?:5;29 7>228??7c<74;28?j72;3:1(?6::077?k4?<3;07b?:2;29 7>228??7c<74;08?j7293:1(?6::077?k4?<3907b?:a;29 7>228?27c<74;28?j7203:1(?6::07:?k4?<3;07b?:7;29 7>228?27c<74;08?j72>3:1(?6::07:?k4?<3907pljag83>f4=83:p(>>l:3gb?M5412B8=<5U6c8`\7fc<6838h6?j534802?502:21>h4=f;1:>6g=u-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`4=:>o0(<k?:39'5`7=:2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4c3282>7)?j5;3;1>"5mk0:io5+2da95`d<f;lm6<5a31295>"48?09;h5+18f97>"61l087)==0;12b>"4:808=k5a33095>h4::0:7)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4n00f>5=i9<:1<6*<0482<0=#;921>6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%13=?4<a?n1<75f6d83>>o0;3:17d9;:188m4>72900e>>>:188m6652900e<6>:188m66f2900e>>m:188k3`=831d;=4?::k7g?6=,;2>69l4n3:7>5=<a=k1<7*=8487f>h50=0:76g;9;29 7>22=h0b?6;:398m1>=83.9484;b:l1<1<432c?;7>5$3:6>1d<f;2?6954i4794?"50<0?n6`=8586?>o2<3:1(?6::5`8j7>32?10e8=50;&1<0<3j2d94948;:k66?6=,;2>69l4n3:7>==<a<;1<7*=8487f>h50=0276g:0;29 7>22=h0b?6;:`98m1`=83.9484;b:l1<1<e32c?i7>5$3:6>1d<f;2?6n54i5f94?"50<0?n6`=858g?>o3>3:1(?6::5`8j7>32l10e8m50;&1<0<2j2d9494?;:k6e?6=,;2>68l4n3:7>4=<a<31<7*=8486f>h50=0976g:8;29 7>22<h0b?6;:298m01=83.9484:b:l1<1<332c=97>5$3:6>0d<f;2?6854i7694?"50<0>n6`=8585?>o1;3:1(?6::4`8j7>32>10e;<50;&1<0<2j2d94947;:k55?6=,;2>68l4n3:7><=<a?:1<7*=8486f>h50=0j76g:f;29 7>22<h0b?6;:c98m0c=83.9484:b:l1<1<d32c>h7>5$3:6>0d<f;2?6i54i4494?"50<0>n6`=858f?>oe?3:1(?6::c48j7>32910eo;50;&1<0<e>2d9494>;:ka7?6=,;2>6o84n3:7>7=<ak81<7*=848a2>h50=0876gm1;29 7>22k<0b?6;:598mg6=83.9484m6:l1<1<232cjj7>5$3:6>g0<f;2?6;54i`g94?"50<0i:6`=8584?>ofl3:1(?6::c48j7>32110elm50;&1<0<e>2d94946;:kbf?6=,;2>6o84n3:7>d=<ahk1<7*=848a2>h50=0i76gn8;29 7>22k<0b?6;:b98md1=83.9484m6:l1<1<c32cj:7>5$3:6>g0<f;2?6h54i`794?"50<0i:6`=858e?>of<3:1(?6::c48j7>328:07do<:18'6=3=j?1e>5:51098md4=83.9484m6:l1<1<6:21bm<4?:%0;1?d13g8387?<;:kb4?6=,;2>6o84n3:7>42<3`3m6=4+2979f3=i:1>1=854icg94?"50<0i:6`=85822>=njm0;6)<75;`5?k4?<3;<76gmc;29 7>22k<0b?6;:0:8?lde290/>5;5b79m6=2=9010eoo50;&1<0<e>2d9494>a:9jf<<72-8397l9;o0;0?7e32ci47>5$3:6>g0<f;2?6<m4;h`7>5<#:1?1n;5a29695a=<ah31<7*=848a2>h50=0:i65f9d83>!4?=3h=7c<74;3e?>oc03:1(?6::e58j7>32910ei850;&1<0<c?2d9494>;:kg0?6=,;2>6i94n3:7>7=<am91<7*=848g3>h50=0876gk2;29 7>22m=0b?6;:598ma7=83.9484k7:l1<1<232co<7>5$3:6>a1<f;2?6;54ibd94?"50<0o;6`=8584?>odm3:1(?6::e58j7>32110enj50;&1<0<c?2d94946;:k`g?6=,;2>6i94n3:7>d=<ajh1<7*=848g3>h50=0i76gl9;29 7>22m=0b?6;:b98mf>=83.9484k7:l1<1<c32ch;7>5$3:6>a1<f;2?6h54ib494?"50<0o;6`=858e?>od=3:1(?6::e58j7>328:07dm;:18'6=3=l>1e>5:51098mf5=83.9484k7:l1<1<6:21bo?4?:%0;1?b03g8387?<;:k`5?6=,;2>6i94n3:7>42<3`i;6=4+2979`2=i:1>1=854ied94?"50<0o;6`=85822>=nll0;6)<75;f4?k4?<3;<76gkd;29 7>22m=0b?6;:0:8?lbd290/>5;5d69m6=2=9010eil50;&1<0<c?2d9494>a:9j`d<72-8397j8;o0;0?7e32co57>5$3:6>a1<f;2?6<m4;hf6>5<#:1?1h:5a29695a=<ajk1<7*=848g3>h50=0:i65fbg83>!4?=3n<7c<74;3e?>o68>0;6)<75;332>h50=0;76g>0483>!4?=3;;:6`=8582?>o68=0;6)<75;332>h50=0976g>0283>!4?=3;;:6`=8580?>o68j0;6)<75;33f>h50=0;76g>0`83>!4?=3;;n6`=8582?>o6800;6)<75;33f>h50=0976g>0983>!4?=3;;n6`=8580?>o4900;6)<75;12<>h50=0;76g<1683>!4?=39:46`=8582?>o49?0;6)<75;12<>h50=0976g<1483>!4?=39:46`=8580?>o49l0;6)<75;12`>h50=0;76g<1b83>!4?=39:h6`=8582?>o49k0;6)<75;12`>h50=0976g<1`83>!4?=39:h6`=8580?>i6:?0;6)<75;311>h50=0;76a>2583>!4?=3;996`=8582?>i6:;0;6)<75;311>h50=0976a>2083>!4?=3;996`=8580?>i6:90;6)<75;311>h50=0?76a>1g83>!4?=3;996`=8586?>i69l0;6)<75;311>h50=0=76a>1e83>!4?=3;996`=8584?>i69j0;6)<75;311>h50=0376a>1c83>!4?=3;996`=858:?>i69h0;6)<75;311>h50=0j76a>1883>!4?=3;996`=858a?>i69>0;6)<75;311>h50=0h76a>1783>!4?=3;996`=858g?>i69<0;6)<75;311>h50=0n76a>1583>!4?=3;996`=858e?>i69:0;6)<75;311>h50=0:<65`10094?"50<0:>85a296954=<g8;:6=4+2979573<f;2?6<<4;n324?6=,;2>6<<:;o0;0?7432e:<k4?:%0;1?75=2d9494>4:9l55c=83.9484>249m6=2=9<10c<<k:18'6=3=9;?0b?6;:048?j75k3:1(?6::006?k4?<3;<76a>2c83>!4?=3;996`=8582<>=h9;k1<7*=848260=i:1>1=454o00:>5<#:1?1=?;4n3:7>4g<3f;947>5$3:6>4423g8387?m;:m262<72-8397?=5:l1<1<6k21d=?=50;&1<0<6:<1e>5:51e98k47?290/>5;51378j7>328o07b??d;29 7>2288>7c<74;3e?>i6<10;6)<75;373>h50=0;76a>4783>!4?=3;?;6`=8582?>i6<=0;6)<75;373>h50=0976a>4283>!4?=3;?;6`=8580?>i6<;0;6)<75;373>h50=0?76a>4083>!4?=3;?;6`=8586?>i6<90;6)<75;373>h50=0=76a>3g83>!4?=3;?;6`=8584?>i6;l0;6)<75;373>h50=0376a>3e83>!4?=3;?;6`=858:?>i6;j0;6)<75;373>h50=0j76a>3c83>!4?=3;?;6`=858a?>i6;00;6)<75;373>h50=0h76a>3983>!4?=3;?;6`=858g?>i6;>0;6)<75;373>h50=0n76a>3783>!4?=3;?;6`=858e?>i6;<0;6)<75;373>h50=0:<65`12694?"50<0:8:5a296954=<g8986=4+2979511<f;2?6<<4;n306?6=,;2>6<:8;o0;0?7432e:?<4?:%0;1?73?2d9494>4:9l566=83.9484>469m6=2=9<10c<:i:18'6=3=9==0b?6;:048?j73m3:1(?6::064?k4?<3;<76a>4e83>!4?=3;?;6`=8582<>=h9=i1<7*=848202=i:1>1=454o06a>5<#:1?1=994n3:7>4g<3f;?m7>5$3:6>4203g8387?m;:m20<<72-8397?;7:l1<1<6k21d=9;50;&1<0<6<>1e>5:51e98k45f290/>5;51558j7>328o07b?=f;29 7>228><7c<74;3e?>i6=<0;6)<75;360>h50=0;76a>5283>!4?=3;>86`=8582?>i6=;0;6)<75;360>h50=0976a>5083>!4?=3;>86`=8580?>i6=h0;6)<75;36=>h50=0;76a>5983>!4?=3;>56`=8582?>i6=>0;6)<75;36=>h50=0976a>5783>!4?=3;>56`=8580?>{e?0<1<7850;2x 66d28l:7E=<9:J054=#90l1885f18194?=n90>1<75f18794?=n90<1<75`1d:94?=h:>l1<75rb7g3>5<1290;w)=?c;3e5>N4;01C?<?4$0;e>13<a8386=44i0;7>5<<a83>6=44i0;5>5<<g8o36=44o35e>5<<uk3;h7>54;294~"48j0:ih5G32;8L6763-;2j7=;;h3:7?6=3`;287>5;n3f<?6=3f8<j7>5;|`:4<<72=0;6=u+31a95`c<@:927E=>1:&2=c<4n2c:5>4?::k2=1<722e:i54?::m13c<722wi5=950;694?6|,::h6<kj;I10=>N4981/=4h5359j5<5=831b=4:50;9l5`>=831d>:h50;9~f`00290>6=4?{%13g?7a:2B8?45G3038 4?a282o7d?63;29?l7><3:17d?65;29?l7>>3:17b?j8;29?xd>900;684?:1y'75e=9o80D>=6;I125>"61o0:4n5f18194?=n90>1<75f18794?=n90<1<75`1d:94?=zj0;?6=4::183\7f!57k3;m>6F<389K747<,83m6<6l;h3:7?6=3`;287>5;h3:1?6=3`;2:7>5;n3f<?6=3th2<o4?:483>5}#;9i1=k<4H21:?M5692.:5k4>8c9j5<5=831b=4:50;9j5<3=831b=4850;9l5`>=831vn4>::186>5<7s-9;o7?i2:J07<=O;8;0(<7i:0:g?l7>;3:17d?64;29?l7>=3:17d?66;29?j7b03:17plj6783>0<729q/?=m51g08L65>3A9:=6*>9g8a?l7>;3:17d?64;29?l7>=3:17d?66;29?j7b03:17plj6083>0<729q/?=m51g08L65>3A9:=6*>9g82<7=n9091<75f18694?=n90?1<75f18494?=h9l21<75rbd40>5<2290;w)=?c;3e6>N4;01C?<?4$0;e>17<a8386=44i0;7>5<<a83>6=44i0;5>5<<g8o36=44}r5a>5<58rT<n63;dg845>;3m90<=63;e7845>;3m>0<=63;e9845>;3m00<=63;e`845>;3mk0<=63;eb845>;3mm0<=63;e0845>;3m;0<=63;e2845>;3m=0<=63;e4845>;31?0<=63;96845>;31m0<=63;9d845>;31o0<=63;a1845>;3i80<=63;a3845>;3i:0<=63;a5845>;3110<=63;98845>;31h0<=63;9c845>;31j0<=638b`813c=z{82n6=49{_5b?[7?m273;n4=e89><ad=9090159m:0;6?8>ck3;2?6s|2gg94?d|V;ln709ma;3:0>;bj00:5>5290:95<5<50;86<7<;<;3e?7>;272<94>929>a34=909015=7:0;5?8c1>3;2?63j6082=6=z{;h36=4>3`7xZ3><V:8?7S=?e:\53>X5081U?<<4^3:4?[4e0278?l4>919><c6=?1164hk5799>1=6=?;1695759e9>1=d=1m1695j59e9>1=`=1m1694?59e9>1<5=1m1694;59e9>1<1=1m1694759e9>1=7=1m1695=59e9>1=3=1m1695959e9>161=?;1699>59e9>114=1m1699:59e9>110=1m1699659e9>11g=1m1699m59e9>11c=1m1698>59e9>16>=1m169>o59e9>16e=1m169>k59e9>0d3=?;168lk59e9>0g6=1m168o<59e9>0g2=1m168o859e9>0g>=1m168oo59e9>0ge=1m168ok59e9>0d0=1m168l659e9>0dg=1m168lm59e9>0`c=?;168k959e9>0c?=1m168kl59e9>0cb=1m168kh59e9>157=1m169==59e9>153=1m169=959e9>0``=1m168k?59e9>0c5=1m168k;59e9>00e=?;168;;59e9>031=1m168;759e9>03d=1m168;j59e9>03`=1m168:?59e9>025=1m168:;59e9>00b=1m1688h59e9>037=1m168;=59e9><17=1m1649<59e9><15=1m1649:59e9><13=1m1649859e9><11=1m1649659e9><36=1m164;?59e9><34=1m164;=59e9><32=1m164;;59e9><30=1m164;959e9><=2=1m1645;59e9><=0=1m1645959e9><=>=1m1645759e9><=g=1m1645l59e9><d5=1m164l:59e9><d3=1m164l859e9><d1=1m164l659e9><d?=1m164lo59e9>3f4=1m16;n=59e9>3f2=1m16;n;59e9>3f0=1m16;n959e9>3f>=1m16;n759e9>3`7=1m16;h<59e9>3`5=1m16;h:59e9>3`3=1m16;h859e9>3`1=1m16;h659e9><56=1m164=?59e9><54=1m164==59e9><52=1m164=;59e9><50=1m164=959e9><4`=1m164?>59e9><77=1m164?<59e9><75=1m164?:59e9><73=1m164?859e9>a3e=>m16i;m56d9>a3e=;9;01h8l:221?8c1k3>h70k9c;6f?8c1k3>o70k9c;65?8c1k3?h70k9c;7f?8c1k3?o70k9c;75?8c1k3h<70k9c;`6?8c1k3h870k9c;`1?8c1k3h:70k9c;`3?8c1k3km70k9c;cf?8c1k3ko70k9c;c`?8c1k3ki70k9c;cb?8c1k3k370k9c;c4?8c1k3k=70k9c;c6?8c1k3k?70k9c;c0?8c1k3k970k9c;c2?8c1k3k;70k9c;;e?8c1k3hn70k9c;`g?8c1k3n370k9c;f5?8c1k3n?70k9c;f0?8c1k3n970k9c;f2?8c1k3n;70k9c;ae?8c1k3in70k9c;ag?8c1k3ih70k9c;aa?8c1k3i270k9c;a;?8c1k3i<70k9c;a5?8c1k3i>70k9c;a7?8c1k3i870k9c;a1?8c1k3i:70k9c;a3?8c1k3nm70k9c;ff?8c1k3no70k9c;f`?8c1k3ni70k9c;fb?8c1k3n270k9c;f6?8c1k3ij70k9c;`e?8c1k3;;;63j6b8240=:m?i1==:4=d4`>46d34o=o7??a:?f2f<68016i;m511:89`0d2:;n70k9c;12g>;b>j08=o52e7a974g<5l=<6;j4=d54>3c<5l=<6>>>;<g43?57:27n;:4;c:?f32<3m27n;:4;d:?f32<3>27n;:4:c:?f32<2m27n;:4:d:?f32<2>27n;:4m7:?f32<e=27n;:4m3:?f32<e:27n;:4m1:?f32<e827n;:4nf:?f32<fm27n;:4nd:?f32<fk27n;:4nb:?f32<fi27n;:4n8:?f32<f?27n;:4n6:?f32<f=27n;:4n4:?f32<f;27n;:4n2:?f32<f927n;:4n0:?f32<>n27n;:4me:?f32<el27n;:4k8:?f32<c>27n;:4k4:?f32<c;27n;:4k2:?f32<c927n;:4k0:?f32<dn27n;:4le:?f32<dl27n;:4lc:?f32<dj27n;:4l9:?f32<d027n;:4l7:?f32<d>27n;:4l5:?f32<d<27n;:4l3:?f32<d:27n;:4l1:?f32<d827n;:4kf:?f32<cm27n;:4kd:?f32<ck27n;:4kb:?f32<ci27n;:4k9:?f32<c=27n;:4la:?f32<en27n;:4>069>a21=99?01h98:027?8c0?3;;o63j76824d=:m>=1==74=d54>46?34o<;7=>e:?f32<49j16i:9530`89`102:;j70k72;4g?8c?:3<n70k72;135>;b0;08<?52e9090f=:m1818h52e9090a=:m1818;52e9091f=:m1819h52e9091a=:m1819;52e909f2=:m181n852e909f6=:m181n?52e909f4=:m181n=52e909ec=:m181mh52e909ea=:m181mn52e909eg=:m181ml52e909e==:m181m:52e909e3=:m181m852e909e1=:m181m>52e909e7=:m181m<52e909e5=:m1815k52e909f`=:m181ni52e909`==:m181h;52e909`1=:m181h>52e909`7=:m181h<52e909`5=:m181ok52e909g`=:m181oi52e909gf=:m181oo52e909g<=:m181o552e909g2=:m181o;52e909g0=:m181o952e909g6=:m181o?52e909g4=:m181o=52e909`c=:m181hh52e909`a=:m181hn52e909`g=:m181hl52e909`<=:m181h852e909gd=:m181nk52e909551<5l296<>:;<g;6?77<27n4?4>0b9>a=4=99k01h6=:02:?8c?:3;;463j83805`=:m181?<m4=d:1>67e34o3>7=>a:?f<a<1l27n4i49e:?f<a<48816i5j531089`>c2=i01h6k:5g89`>c2=n01h6k:5489`>c2<i01h6k:4g89`>c2<n01h6k:4489`>c2k=01h6k:c789`>c2k901h6k:c089`>c2k;01h6k:c289`>c2hl01h6k:`g89`>c2hn01h6k:`a89`>c2hh01h6k:`c89`>c2h201h6k:`589`>c2h<01h6k:`789`>c2h>01h6k:`189`>c2h801h6k:`389`>c2h:01h6k:8d89`>c2ko01h6k:cf89`>c2m201h6k:e489`>c2m>01h6k:e189`>c2m801h6k:e389`>c2m:01h6k:bd89`>c2jo01h6k:bf89`>c2ji01h6k:b`89`>c2j301h6k:b:89`>c2j=01h6k:b489`>c2j?01h6k:b689`>c2j901h6k:b089`>c2j;01h6k:b289`>c2ml01h6k:eg89`>c2mn01h6k:ea89`>c2mh01h6k:ec89`>c2m301h6k:e789`>c2jk01h6k:cd89`>c28:<70k7d;331>;b0m0:<952e9f955e<5l2o6<>n;<g;`?77127n4i4>099>a=b=;8o01h6k:23`?8c?l39:n63j8e805d=:m0o1:i52e8g92`=:m0o1?=?4=d;f>66534o2i7:l;<g:a?2b34o2i7:k;<g:a?2134o2i7;l;<g:a?3b34o2i7;k;<g:a?3134o2i7l8;<g:a?d234o2i7l<;<g:a?d534o2i7l>;<g:a?d734o2i7oi;<g:a?gb34o2i7ok;<g:a?gd34o2i7om;<g:a?gf34o2i7o7;<g:a?g034o2i7o9;<g:a?g234o2i7o;;<g:a?g434o2i7o=;<g:a?g634o2i7o?;<g:a??a34o2i7lj;<g:a?dc34o2i7j7;<g:a?b134o2i7j;;<g:a?b434o2i7j=;<g:a?b634o2i7j?;<g:a?ea34o2i7mj;<g:a?ec34o2i7ml;<g:a?ee34o2i7m6;<g:a?e?34o2i7m8;<g:a?e134o2i7m:;<g:a?e334o2i7m<;<g:a?e534o2i7m>;<g:a?e734o2i7ji;<g:a?bb34o2i7jk;<g:a?bd34o2i7jm;<g:a?bf34o2i7j6;<g:a?b234o2i7mn;<g:a?da34o2i7??7:?f=`<68<16i4k511689`?b28:h70k6e;33e>;b1l0:<452e8g955><5l3n6>?j;<g:a?56k27n5h4<1c9>a<c=;8k01hl<:7f89`d42?o01hl<:222?8ce;39;>63jb287g>;bj:0?i63jb287`>;bj:0?:63jb286g>;bj:0>i63jb286`>;bj:0>:63jb28a3>;bj:0i963jb28a7>;bj:0i>63jb28a5>;bj:0i<63jb28bb>;bj:0ji63jb28b`>;bj:0jo63jb28bf>;bj:0jm63jb28b<>;bj:0j;63jb28b2>;bj:0j963jb28b0>;bj:0j?63jb28b6>;bj:0j=63jb28b4>;bj:02j63jb28aa>;bj:0ih63jb28g<>;bj:0o:63jb28g0>;bj:0o?63jb28g6>;bj:0o=63jb28g4>;bj:0hj63jb28`a>;bj:0hh63jb28`g>;bj:0hn63jb28`=>;bj:0h463jb28`3>;bj:0h:63jb28`1>;bj:0h863jb28`7>;bj:0h>63jb28`5>;bj:0h<63jb28gb>;bj:0oi63jb28g`>;bj:0oo63jb28gf>;bj:0om63jb28g=>;bj:0o963jb28`e>;bj:0ij63jb28242=:mk91==;4=d`0>46334oi?7??c:?ff6<68h16io=511;89`d428:370km3;12a>;bj:08=n52ec1974d<5lh86>?n;<ga0?0c34oi878j;<ga0?57927nn94<039>ag2=<j16io:54d9>ag2=<m16io:5479>ag2==j16io:55d9>ag2==m16io:5579>ag2=j>16io:5b49>ag2=j:16io:5b39>ag2=j816io:5b19>ag2=io16io:5ad9>ag2=im16io:5ab9>ag2=ik16io:5a`9>ag2=i116io:5a69>ag2=i?16io:5a49>ag2=i=16io:5a29>ag2=i;16io:5a09>ag2=i916io:59g9>ag2=jl16io:5be9>ag2=l116io:5d79>ag2=l=16io:5d29>ag2=l;16io:5d09>ag2=l916io:5cg9>ag2=kl16io:5ce9>ag2=kj16io:5cc9>ag2=k016io:5c99>ag2=k>16io:5c79>ag2=k<16io:5c59>ag2=k:16io:5c39>ag2=k816io:5c19>ag2=lo16io:5dd9>ag2=lm16io:5db9>ag2=lk16io:5d`9>ag2=l016io:5d49>ag2=kh16io:5bg9>ag2=99=01hl;:026?8ce<3;;863jb5824f=:mk>1==o4=d`7>46>34oi87??8:?ff1<49l16io:530a89`d32:;i70km4;12e>;bj<0=h63jb485a>;bj<08<<52ec79754<5lh>69m4=d`6>1c<5lh>69j4=d`6>10<5lh>68m4=d`6>0c<5lh>68j4=d`6>00<5lh>6o94=d`6>g3<5lh>6o=4=d`6>g4<5lh>6o?4=d`6>g6<5lh>6lh4=d`6>dc<5lh>6lj4=d`6>de<5lh>6ll4=d`6>dg<5lh>6l64=d`6>d1<5lh>6l84=d`6>d3<5lh>6l:4=d`6>d5<5lh>6l<4=d`6>d7<5lh>6l>4=d`6><`<5lh>6ok4=d`6>gb<5lh>6i64=d`6>a0<5lh>6i:4=d`6>a5<5lh>6i<4=d`6>a7<5lh>6i>4=d`6>f`<5lh>6nk4=d`6>fb<5lh>6nm4=d`6>fd<5lh>6n74=d`6>f><5lh>6n94=d`6>f0<5lh>6n;4=d`6>f2<5lh>6n=4=d`6>f4<5lh>6n?4=d`6>f6<5lh>6ih4=d`6>ac<5lh>6ij4=d`6>ae<5lh>6il4=d`6>ag<5lh>6i74=d`6>a3<5lh>6no4=d`6>g`<5lh>6<>8;<ga1?77=27nn84>059>ag3=99i01hl::02b?8ce=3;;563jb4824==:mk?1?<k4=d`6>67d34oi97=>b:?ff0<49h16io856e9>ag0=>l16io8531389`d12::970km6;6`?8ce>3>n70km6;6g?8ce>3>=70km6;7`?8ce>3?n70km6;7g?8ce>3?=70km6;`4?8ce>3h>70km6;`0?8ce>3h970km6;`2?8ce>3h;70km6;ce?8ce>3kn70km6;cg?8ce>3kh70km6;ca?8ce>3kj70km6;c;?8ce>3k<70km6;c5?8ce>3k>70km6;c7?8ce>3k870km6;c1?8ce>3k:70km6;c3?8ce>33m70km6;`f?8ce>3ho70km6;f;?8ce>3n=70km6;f7?8ce>3n870km6;f1?8ce>3n:70km6;f3?8ce>3im70km6;af?8ce>3io70km6;a`?8ce>3ii70km6;a:?8ce>3i370km6;a4?8ce>3i=70km6;a6?8ce>3i?70km6;a0?8ce>3i970km6;a2?8ce>3i;70km6;fe?8ce>3nn70km6;fg?8ce>3nh70km6;fa?8ce>3nj70km6;f:?8ce>3n>70km6;ab?8ce>3hm70km6;333>;bj?0:<852ec49552<5lh=6<>l;<ga2?77i27nn;4>089>ag0=99201hl9:23f?8ce>39:o63jb7805g=:mk<1?<o4=d`4>3b<5lh<6;k4=d`4>66634oi;7=?2:?ff2<3k27nn:4;e:?ff2<3l27nn:4;6:?ff2<2k27nn:4:e:?ff2<2l27nn:4:6:?ff2<e?27nn:4m5:?ff2<e;27nn:4m2:?ff2<e927nn:4m0:?ff2<fn27nn:4ne:?ff2<fl27nn:4nc:?ff2<fj27nn:4na:?ff2<f027nn:4n7:?ff2<f>27nn:4n5:?ff2<f<27nn:4n3:?ff2<f:27nn:4n1:?ff2<f827nn:46f:?ff2<em27nn:4md:?ff2<c027nn:4k6:?ff2<c<27nn:4k3:?ff2<c:27nn:4k1:?ff2<c827nn:4lf:?ff2<dm27nn:4ld:?ff2<dk27nn:4lb:?ff2<d127nn:4l8:?ff2<d?27nn:4l6:?ff2<d=27nn:4l4:?ff2<d;27nn:4l2:?ff2<d927nn:4l0:?ff2<cn27nn:4ke:?ff2<cl27nn:4kc:?ff2<cj27nn:4ka:?ff2<c127nn:4k5:?ff2<di27nn:4mf:?ff2<68>16io9511789`d028:?70km7;33g>;bj>0:<l52ec5955?<5lh<6<>7;<ga3?56m27nn:4<1b9>ag1=;8h01hl8:23b?8c1l3<o70k9d;4f?8c1l39;=63j6e8047=:m?n18n52e7f90`=:m?n18i52e7f903=:m?n19n52e7f91`=:m?n19i52e7f913=:m?n1n:52e7f9f0=:m?n1n>52e7f9f7=:m?n1n<52e7f9f5=:m?n1mk52e7f9e`=:m?n1mi52e7f9ef=:m?n1mo52e7f9ed=:m?n1m552e7f9e2=:m?n1m;52e7f9e0=:m?n1m952e7f9e6=:m?n1m?52e7f9e4=:m?n1m=52e7f9=c=:m?n1nh52e7f9fa=:m?n1h552e7f9`3=:m?n1h952e7f9`6=:m?n1h?52e7f9`4=:m?n1h=52e7f9gc=:m?n1oh52e7f9ga=:m?n1on52e7f9gg=:m?n1o452e7f9g==:m?n1o:52e7f9g3=:m?n1o852e7f9g1=:m?n1o>52e7f9g7=:m?n1o<52e7f9g5=:m?n1hk52e7f9``=:m?n1hi52e7f9`f=:m?n1ho52e7f9`d=:m?n1h452e7f9`0=:m?n1ol52e7f9fc=:m?n1==94=d4g>46234o=h7??4:?f2a<68j16i;j511c89`0c28:270k9d;33<>;b>m08=h52e7f974e<5l<o6>?m;<g5`?56i27n:h49d:?f2`<1m27n:h4<009>a3c=;9801h8j:5a89`0b2=o01h8j:5f89`0b2=<01h8j:4a89`0b2<o01h8j:4f89`0b2<<01h8j:c589`0b2k?01h8j:c189`0b2k801h8j:c389`0b2k:01h8j:`d89`0b2ho01h8j:`f89`0b2hi01h8j:``89`0b2hk01h8j:`:89`0b2h=01h8j:`489`0b2h?01h8j:`689`0b2h901h8j:`089`0b2h;01h8j:`289`0b20l01h8j:cg89`0b2kn01h8j:e:89`0b2m<01h8j:e689`0b2m901h8j:e089`0b2m;01h8j:e289`0b2jl01h8j:bg89`0b2jn01h8j:ba89`0b2jh01h8j:b;89`0b2j201h8j:b589`0b2j<01h8j:b789`0b2j>01h8j:b189`0b2j801h8j:b389`0b2j:01h8j:ed89`0b2mo01h8j:ef89`0b2mi01h8j:e`89`0b2mk01h8j:e;89`0b2m?01h8j:bc89`0b2kl01h8j:024?8c1m3;;963j6d8241=:m?o1==m4=d4f>46f34o=i7??9:?f2`<68116i;k530g89`0b2:;h70k9e;12f>;b>l08=l52e7d92a=:m?l1:h52e7d9757<5l<m6>>=;<g5b?2d34o=j7:j;<g5b?2c34o=j7:9;<g5b?3d34o=j7;j;<g5b?3c34o=j7;9;<g5b?d034o=j7l:;<g5b?d434o=j7l=;<g5b?d634o=j7l?;<g5b?ga34o=j7oj;<g5b?gc34o=j7ol;<g5b?ge34o=j7on;<g5b?g?34o=j7o8;<g5b?g134o=j7o:;<g5b?g334o=j7o<;<g5b?g534o=j7o>;<g5b?g734o=j77i;<g5b?db34o=j7lk;<g5b?b?34o=j7j9;<g5b?b334o=j7j<;<g5b?b534o=j7j>;<g5b?b734o=j7mi;<g5b?eb34o=j7mk;<g5b?ed34o=j7mm;<g5b?e>34o=j7m7;<g5b?e034o=j7m9;<g5b?e234o=j7m;;<g5b?e434o=j7m=;<g5b?e634o=j7m?;<g5b?ba34o=j7jj;<g5b?bc34o=j7jl;<g5b?be34o=j7jn;<g5b?b>34o=j7j:;<g5b?ef34o=j7li;<g5b?77?27n:k4>049>a3`=99>01h8i:02`?8c1n3;;m63j6g824<=:m?l1==64=d4e>67b34o=j7=>c:?f2c<49k16i;h530c89`172?n01h9?:7g89`172:::70k80;136>;b?90?o63j7187a>;b?90?h63j71872>;b?90>o63j7186a>;b?90>h63j71862>;b?90i;63j718a1>;b?90i?63j718a6>;b?90i=63j718a4>;b?90jj63j718ba>;b?90jh63j718bg>;b?90jn63j718be>;b?90j463j718b3>;b?90j:63j718b1>;b?90j863j718b7>;b?90j>63j718b5>;b?90j<63j718:b>;b?90ii63j718a`>;b?90o463j718g2>;b?90o863j718g7>;b?90o>63j718g5>;b?90o<63j718`b>;b?90hi63j718``>;b?90ho63j718`f>;b?90h563j718`<>;b?90h;63j718`2>;b?90h963j718`0>;b?90h?63j718`6>;b?90h=63j718`4>;b?90oj63j718ga>;b?90oh63j718gg>;b?90on63j718ge>;b?90o563j718g1>;b?90hm63j718ab>;b?90:<:52e629553<5l=;6<>;;<g44?77k27n;=4>0`9>a26=99301h9?:02;?8c0839:i63j71805f=:m>:1?<l4=d53>67f34o<=78k;<g45?0b34o<=7=?1:?f34<48;16i:?54b9>a27=<l16i:?54e9>a27=<?16i:?55b9>a27==l16i:?55e9>a27==?16i:?5b69>a27=j<16i:?5b29>a27=j;16i:?5b09>a27=j916i:?5ag9>a27=il16i:?5ae9>a27=ij16i:?5ac9>a27=ih16i:?5a99>a27=i>16i:?5a79>a27=i<16i:?5a59>a27=i:16i:?5a39>a27=i816i:?5a19>a27=1o16i:?5bd9>a27=jm16i:?5d99>a27=l?16i:?5d59>a27=l:16i:?5d39>a27=l816i:?5d19>a27=ko16i:?5cd9>a27=km16i:?5cb9>a27=kk16i:?5c89>a27=k116i:?5c69>a27=k?16i:?5c49>a27=k=16i:?5c29>a27=k;16i:?5c09>a27=k916i:?5dg9>a27=ll16i:?5de9>a27=lj16i:?5dc9>a27=lh16i:?5d89>a27=l<16i:?5c`9>a27=jo16i:?511589`1628:>70k81;330>;b?80:<n52e63955g<5l=:6<>6;<g45?77027n;<4<1d9>a27=;8i01h9>:23a?8c0939:m63j7385`>;b?;0=i63j738044=:m>81?=<4=d51>1e<5l=969k4=d51>1b<5l=96984=d51>0e<5l=968k4=d51>0b<5l=96884=d51>g1<5l=96o;4=d51>g5<5l=96o<4=d51>g7<5l=96o>4=d51>d`<5l=96lk4=d51>db<5l=96lm4=d51>dd<5l=96lo4=d51>d><5l=96l94=d51>d0<5l=96l;4=d51>d2<5l=96l=4=d51>d4<5l=96l?4=d51>d6<5l=964h4=d51>gc<5l=96oj4=d51>a><5l=96i84=d51>a2<5l=96i=4=d51>a4<5l=96i?4=d51>a6<5l=96nh4=d51>fc<5l=96nj4=d51>fe<5l=96nl4=d51>f?<5l=96n64=d51>f1<5l=96n84=d51>f3<5l=96n:4=d51>f5<5l=96n<4=d51>f7<5l=96n>4=d51>a`<5l=96ik4=d51>ab<5l=96im4=d51>ad<5l=96io4=d51>a?<5l=96i;4=d51>fg<5l=96oh4=d51>46034o<>7??5:?f37<68=16i:<511a89`1528:j70k82;33=>;b?;0:<552e60974c<5l=96>?l;<g46?56j27n;?4<1`9>a25=>m16i:=56d9>a25=;9;01h9<:221?8c0;3>h70k83;6f?8c0;3>o70k83;65?8c0;3?h70k83;7f?8c0;3?o70k83;75?8c0;3h<70k83;`6?8c0;3h870k83;`1?8c0;3h:70k83;`3?8c0;3km70k83;cf?8c0;3ko70k83;c`?8c0;3ki70k83;cb?8c0;3k370k83;c4?8c0;3k=70k83;c6?8c0;3k?70k83;c0?8c0;3k970k83;c2?8c0;3k;70k83;;e?8c0;3hn70k83;`g?8c0;3n370k83;f5?8c0;3n?70k83;f0?8c0;3n970k83;f2?8c0;3n;70k83;ae?8c0;3in70k83;ag?8c0;3ih70k83;aa?8c0;3i270k83;a;?8c0;3i<70k83;a5?8c0;3i>70k83;a7?8c0;3i870k83;a1?8c0;3i:70k83;a3?8c0;3nm70k83;ff?8c0;3no70k83;f`?8c0;3ni70k83;fb?8c0;3n270k83;f6?8c0;3ij70k83;`e?8c0;3;;;63j728240=:m>91==:4=d50>46d34o<?7??a:?f36<68016i:=511:89`142:;n70k83;12g>;b?:08=o52e61974g<5l=?6;j4=d57>3c<5l=?6>>>;<g40?57:27n;94;c:?f31<3m27n;94;d:?f31<3>27n;94:c:?f31<2m27n;94:d:?f31<2>27n;94m7:?f31<e=27n;94m3:?f31<e:27n;94m1:?f31<e827n;94nf:?f31<fm27n;94nd:?f31<fk27n;94nb:?f31<fi27n;94n8:?f31<f?27n;94n6:?f31<f=27n;94n4:?f31<f;27n;94n2:?f31<f927n;94n0:?f31<>n27n;94me:?f31<el27n;94k8:?f31<c>27n;94k4:?f31<c;27n;94k2:?f31<c927n;94k0:?f31<dn27n;94le:?f31<dl27n;94lc:?f31<dj27n;94l9:?f31<d027n;94l7:?f31<d>27n;94l5:?f31<d<27n;94l3:?f31<d:27n;94l1:?f31<d827n;94kf:?f31<cm27n;94kd:?f31<ck27n;94kb:?f31<ci27n;94k9:?f31<c=27n;94la:?f31<en27n;94>069>a22=99?01h9;:027?8c0<3;;o63j75824d=:m>>1==74=d57>46?34o<87=>e:?f31<49j16i::530`89`132:;j70k85;4g?8c0=3<n70k85;135>;b?<08<?52e6790f=:m>?18h52e6790a=:m>?18;52e6791f=:m>?19h52e6791a=:m>?19;52e679f2=:m>?1n852e679f6=:m>?1n?52e679f4=:m>?1n=52e679ec=:m>?1mh52e679ea=:m>?1mn52e679eg=:m>?1ml52e679e==:m>?1m:52e679e3=:m>?1m852e679e1=:m>?1m>52e679e7=:m>?1m<52e679e5=:m>?15k52e679f`=:m>?1ni52e679`==:m>?1h;52e679`1=:m>?1h>52e679`7=:m>?1h<52e679`5=:m>?1ok52e679g`=:m>?1oi52e679gf=:m>?1oo52e679g<=:m>?1o552e679g2=:m>?1o;52e679g0=:m>?1o952e679g6=:m>?1o?52e679g4=:m>?1o=52e679`c=:m>?1hh52e679`a=:m>?1hn52e679`g=:m>?1hl52e679`<=:m>?1h852e679gd=:m>?1nk52e679551<5l=>6<>:;<g41?77<27n;84>0b9>a23=99k01h9::02:?8c0=3;;463j74805`=:m>?1?<m4=d56>67e34o<97=>a:?f33<1l27n;;49e:?f33<48816i:8531089`112=i01h99:5g89`112=n01h99:5489`112<i01h99:4g89`112<n01h99:4489`112k=01h99:c789`112k901h99:c089`112k;01h99:c289`112hl01h99:`g89`112hn01h99:`a89`112hh01h99:`c89`112h201h99:`589`112h<01h99:`789`112h>01h99:`189`112h801h99:`389`112h:01h99:8d89`112ko01h99:cf89`112m201h99:e489`112m>01h99:e189`112m801h99:e389`112m:01h99:bd89`112jo01h99:bf89`112ji01h99:b`89`112j301h99:b:89`112j=01h99:b489`112j?01h99:b689`112j901h99:b089`112j;01h99:b289`112ml01h99:eg89`112mn01h99:ea89`112mh01h99:ec89`112m301h99:e789`112jk01h99:cd89`1128:<70k86;331>;b??0:<952e64955e<5l==6<>n;<g42?77127n;;4>099>a20=;8o01h99:23`?8c0>39:n63j77805d=:m>21:i52e6:92`=:m>21?=?4=d5;>66534o<47:l;<g4<?2b34o<47:k;<g4<?2134o<47;l;<g4<?3b34o<47;k;<g4<?3134o<47l8;<g4<?d234o<47l<;<g4<?d534o<47l>;<g4<?d734o<47oi;<g4<?gb34o<47ok;<g4<?gd34o<47om;<g4<?gf34o<47o7;<g4<?g034o<47o9;<g4<?g234o<47o;;<g4<?g434o<47o=;<g4<?g634o<47o?;<g4<??a34o<47lj;<g4<?dc34o<47j7;<g4<?b134o<47j;;<g4<?b434o<47j=;<g4<?b634o<47j?;<g4<?ea34o<47mj;<g4<?ec34o<47ml;<g4<?ee34o<47m6;<g4<?e?34o<47m8;<g4<?e134o<47m:;<g4<?e334o<47m<;<g4<?e534o<47m>;<g4<?e734o<47ji;<g4<?bb34o<47jk;<g4<?bd34o<47jm;<g4<?bf34o<47j6;<g4<?b234o<47mn;<g4<?da34o<47??7:?f3=<68<16i:6511689`1?28:h70k88;33e>;b?10:<452e6:955><5l=36>?j;<g4<?56k27n;54<1c9>a2>=;8k01h96:7f89`1>2?o01h96:222?8c0139;>63j7887g>;b?00?i63j7887`>;b?00?:63j7886g>;b?00>i63j7886`>;b?00>:63j788a3>;b?00i963j788a7>;b?00i>63j788a5>;b?00i<63j788bb>;b?00ji63j788b`>;b?00jo63j788bf>;b?00jm63j788b<>;b?00j;63j788b2>;b?00j963j788b0>;b?00j?63j788b6>;b?00j=63j788b4>;b?002j63j788aa>;b?00ih63j788g<>;b?00o:63j788g0>;b?00o?63j788g6>;b?00o=63j788g4>;b?00hj63j788`a>;b?00hh63j788`g>;b?00hn63j788`=>;b?00h463j788`3>;b?00h:63j788`1>;b?00h863j788`7>;b?00h>63j788`5>;b?00h<63j788gb>;b?00oi63j788g`>;b?00oo63j788gf>;b?00om63j788g=>;b?00o963j788`e>;b?00ij63j788242=:m>31==;4=d5:>46334o<57??c:?f3<<68h16i:7511;89`1>28:370k89;12a>;b?008=n52e6;974d<5l=26>?n;<g4e?0c34o<m78j;<g4e?57927n;l4<039>a2g=<j16i:o54d9>a2g=<m16i:o5479>a2g==j16i:o55d9>a2g==m16i:o5579>a2g=j>16i:o5b49>a2g=j:16i:o5b39>a2g=j816i:o5b19>a2g=io16i:o5ad9>a2g=im16i:o5ab9>a2g=ik16i:o5a`9>a2g=i116i:o5a69>a2g=i?16i:o5a49>a2g=i=16i:o5a29>a2g=i;16i:o5a09>a2g=i916i:o59g9>a2g=jl16i:o5be9>a2g=l116i:o5d79>a2g=l=16i:o5d29>a2g=l;16i:o5d09>a2g=l916i:o5cg9>a2g=kl16i:o5ce9>a2g=kj16i:o5cc9>a2g=k016i:o5c99>a2g=k>16i:o5c79>a2g=k<16i:o5c59>a2g=k:16i:o5c39>a2g=k816i:o5c19>a2g=lo16i:o5dd9>a2g=lm16i:o5db9>a2g=lk16i:o5d`9>a2g=l016i:o5d49>a2g=kh16i:o5bg9>a2g=99=01h9n:026?8c0i3;;863j7`824f=:m>k1==o4=d5b>46>34o<m7??8:?f3d<49l16i:o530a89`1f2:;i70k8a;12e>;b?k0=h63j7c85a>;b?k08<<52e6`9754<5l=i69m4=d5a>1c<5l=i69j4=d5a>10<5l=i68m4=d5a>0c<5l=i68j4=d5a>00<5l=i6o94=d5a>g3<5l=i6o=4=d5a>g4<5l=i6o?4=d5a>g6<5l=i6lh4=d5a>dc<5l=i6lj4=d5a>de<5l=i6ll4=d5a>dg<5l=i6l64=d5a>d1<5l=i6l84=d5a>d3<5l=i6l:4=d5a>d5<5l=i6l<4=d5a>d7<5l=i6l>4=d5a><`<5l=i6ok4=d5a>gb<5l=i6i64=d5a>a0<5l=i6i:4=d5a>a5<5l=i6i<4=d5a>a7<5l=i6i>4=d5a>f`<5l=i6nk4=d5a>fb<5l=i6nm4=d5a>fd<5l=i6n74=d5a>f><5l=i6n94=d5a>f0<5l=i6n;4=d5a>f2<5l=i6n=4=d5a>f4<5l=i6n?4=d5a>f6<5l=i6ih4=d5a>ac<5l=i6ij4=d5a>ae<5l=i6il4=d5a>ag<5l=i6i74=d5a>a3<5l=i6no4=d5a>g`<5l=i6<>8;<g4f?77=27n;o4>059>a2d=99i01h9m:02b?8c0j3;;563j7c824==:m>h1?<k4=d5a>67d34o<n7=>b:?f3g<49h16i:m56e9>a2e=>l16i:m531389`1d2::970k8c;6`?8c0k3>n70k8c;6g?8c0k3>=70k8c;7`?8c0k3?n70k8c;7g?8c0k3?=70k8c;`4?8c0k3h>70k8c;`0?8c0k3h970k8c;`2?8c0k3h;70k8c;ce?8c0k3kn70k8c;cg?8c0k3kh70k8c;ca?8c0k3kj70k8c;c;?8c0k3k<70k8c;c5?8c0k3k>70k8c;c7?8c0k3k870k8c;c1?8c0k3k:70k8c;c3?8c0k33m70k8c;`f?8c0k3ho70k8c;f;?8c0k3n=70k8c;f7?8c0k3n870k8c;f1?8c0k3n:70k8c;f3?8c0k3im70k8c;af?8c0k3io70k8c;a`?8c0k3ii70k8c;a:?8c0k3i370k8c;a4?8c0k3i=70k8c;a6?8c0k3i?70k8c;a0?8c0k3i970k8c;a2?8c0k3i;70k8c;fe?8c0k3nn70k8c;fg?8c0k3nh70k8c;fa?8c0k3nj70k8c;f:?8c0k3n>70k8c;ab?8c0k3hm70k8c;333>;b?j0:<852e6a9552<5l=h6<>l;<g4g?77i27n;n4>089>a2e=99201h9l:23f?8c0k39:o63j7b805g=:m>i1?<o4=d5g>3b<5l=o6;k4=d5g>66634o<h7=?2:?f3a<3k27n;i4;e:?f3a<3l27n;i4;6:?f3a<2k27n;i4:e:?f3a<2l27n;i4:6:?f3a<e?27n;i4m5:?f3a<e;27n;i4m2:?f3a<e927n;i4m0:?f3a<fn27n;i4ne:?f3a<fl27n;i4nc:?f3a<fj27n;i4na:?f3a<f027n;i4n7:?f3a<f>27n;i4n5:?f3a<f<27n;i4n3:?f3a<f:27n;i4n1:?f3a<f827n;i46f:?f3a<em27n;i4md:?f3a<c027n;i4k6:?f3a<c<27n;i4k3:?f3a<c:27n;i4k1:?f3a<c827n;i4lf:?f3a<dm27n;i4ld:?f3a<dk27n;i4lb:?f3a<d127n;i4l8:?f3a<d?27n;i4l6:?f3a<d=27n;i4l4:?f3a<d;27n;i4l2:?f3a<d927n;i4l0:?f3a<cn27n;i4ke:?f3a<cl27n;i4kc:?f3a<cj27n;i4ka:?f3a<c127n;i4k5:?f3a<di27n;i4mf:?f3a<68>16i:j511789`1c28:?70k8d;33g>;b?m0:<l52e6f955?<5l=o6<>7;<g4`?56m27n;i4<1b9>a2b=;8h01h9k:23b?8c0m3<o70k8e;4f?8c0m39;=63j7d8047=:m>o18n52e6g90`=:m>o18i52e6g903=:m>o19n52e6g91`=:m>o19i52e6g913=:m>o1n:52e6g9f0=:m>o1n>52e6g9f7=:m>o1n<52e6g9f5=:m>o1mk52e6g9e`=:m>o1mi52e6g9ef=:m>o1mo52e6g9ed=:m>o1m552e6g9e2=:m>o1m;52e6g9e0=:m>o1m952e6g9e6=:m>o1m?52e6g9e4=:m>o1m=52e6g9=c=:m>o1nh52e6g9fa=:m>o1h552e6g9`3=:m>o1h952e6g9`6=:m>o1h?52e6g9`4=:m>o1h=52e6g9gc=:m>o1oh52e6g9ga=:m>o1on52e6g9gg=:m>o1o452e6g9g==:m>o1o:52e6g9g3=:m>o1o852e6g9g1=:m>o1o>52e6g9g7=:m>o1o<52e6g9g5=:m>o1hk52e6g9``=:m>o1hi52e6g9`f=:m>o1ho52e6g9`d=:m>o1h452e6g9`0=:m>o1ol52e6g9fc=:m>o1==94=d5f>46234o<i7??4:?f3`<68j16i:k511c89`1b28:270k8e;33<>;b?l08=h52e6g974e<5l=n6>?m;<g4a?56i27n;k49d:?f3c<1m27n;k4<009>a2`=;9801h9i:5a89`1a2=o01h9i:5f89`1a2=<01h9i:4a89`1a2<o01h9i:4f89`1a2<<01h9i:c589`1a2k?01h9i:c189`1a2k801h9i:c389`1a2k:01h9i:`d89`1a2ho01h9i:`f89`1a2hi01h9i:``89`1a2hk01h9i:`:89`1a2h=01h9i:`489`1a2h?01h9i:`689`1a2h901h9i:`089`1a2h;01h9i:`289`1a20l01h9i:cg89`1a2kn01h9i:e:89`1a2m<01h9i:e689`1a2m901h9i:e089`1a2m;01h9i:e289`1a2jl01h9i:bg89`1a2jn01h9i:ba89`1a2jh01h9i:b;89`1a2j201h9i:b589`1a2j<01h9i:b789`1a2j>01h9i:b189`1a2j801h9i:b389`1a2j:01h9i:ed89`1a2mo01h9i:ef89`1a2mi01h9i:e`89`1a2mk01h9i:e;89`1a2m?01h9i:bc89`1a2kl01h9i:024?8c0n3;;963j7g8241=:m>l1==m4=d5e>46f34o<j7??9:?f3c<68116i:h530g89`1a2:;h70k8f;12f>;b?o08=l52e9292a=:m1:1:h52e929757<5l2;6>>=;<g;4?2d34o3<7:j;<g;4?2c34o3<7:9;<g;4?3d34o3<7;j;<g;4?3c34o3<7;9;<g;4?d034o3<7l:;<g;4?d434o3<7l=;<g;4?d634o3<7l?;<g;4?ga34o3<7oj;<g;4?gc34o3<7ol;<g;4?ge34o3<7on;<g;4?g?34o3<7o8;<g;4?g134o3<7o:;<g;4?g334o3<7o<;<g;4?g534o3<7o>;<g;4?g734o3<77i;<g;4?db34o3<7lk;<g;4?b?34o3<7j9;<g;4?b334o3<7j<;<g;4?b534o3<7j>;<g;4?b734o3<7mi;<g;4?eb34o3<7mk;<g;4?ed34o3<7mm;<g;4?e>34o3<7m7;<g;4?e034o3<7m9;<g;4?e234o3<7m;;<g;4?e434o3<7m=;<g;4?e634o3<7m?;<g;4?ba34o3<7jj;<g;4?bc34o3<7jl;<g;4?be34o3<7jn;<g;4?b>34o3<7j:;<g;4?ef34o3<7li;<g;4?77?27n4=4>049>a=6=99>01h6?:02`?8c?83;;m63j81824<=:m1:1==64=d:3>67b34o3<7=>c:?f<5<49k16i5>530c89`>62?n01h6>:7g89`>62:::70k71;136>;b080?o63j8087a>;b080?h63j80872>;b080>o63j8086a>;b080>h63j80862>;b080i;63j808a1>;b080i?63j808a6>;b080i=63j808a4>;b080jj63j808ba>;b080jh63j808bg>;b080jn63j808be>;b080j463j808b3>;b080j:63j808b1>;b080j863j808b7>;b080j>63j808b5>;b080j<63j808:b>;b080ii63j808a`>;b080o463j808g2>;b080o863j808g7>;b080o>63j808g5>;b080o<63j808`b>;b080hi63j808``>;b080ho63j808`f>;b080h563j808`<>;b080h;63j808`2>;b080h963j808`0>;b080h?63j808`6>;b080h=63j808`4>;b080oj63j808ga>;b080oh63j808gg>;b080on63j808ge>;b080o563j808g1>;b080hm63j808ab>;b080:<:52e939553<5l2:6<>;;<g;5?77k27n4<4>0`9>a=7=99301h6>:02;?8c?939:i63j80805f=:m1;1?<l4=d:2>67f34o3?78k;<g;7?0b34o3?7=?1:?f<6<48;16i5=54b9>a=5=<l16i5=54e9>a=5=<?16i5=55b9>a=5==l16i5=55e9>a=5==?16i5=5b69>a=5=j<16i5=5b29>a=5=j;16i5=5b09>a=5=j916i5=5ag9>a=5=il16i5=5ae9>a=5=ij16i5=5ac9>a=5=ih16i5=5a99>a=5=i>16i5=5a79>a=5=i<16i5=5a59>a=5=i:16i5=5a39>a=5=i816i5=5a19>a=5=1o16i5=5bd9>a=5=jm16i5=5d99>a=5=l?16i5=5d59>a=5=l:16i5=5d39>a=5=l816i5=5d19>a=5=ko16i5=5cd9>a=5=km16i5=5cb9>a=5=kk16i5=5c89>a=5=k116i5=5c69>a=5=k?16i5=5c49>a=5=k=16i5=5c29>a=5=k;16i5=5c09>a=5=k916i5=5dg9>a=5=ll16i5=5de9>a=5=lj16i5=5dc9>a=5=lh16i5=5d89>a=5=l<16i5=5c`9>a=5=jo16i5=511589`>428:>70k73;330>;b0:0:<n52e91955g<5l286<>6;<g;7?77027n4>4<1d9>a=5=;8i01h6<:23a?8c?;39:m63j8585`>;b0=0=i63j858044=:m1>1?=<4=d:7>1e<5l2?69k4=d:7>1b<5l2?6984=d:7>0e<5l2?68k4=d:7>0b<5l2?6884=d:7>g1<5l2?6o;4=d:7>g5<5l2?6o<4=d:7>g7<5l2?6o>4=d:7>d`<5l2?6lk4=d:7>db<5l2?6lm4=d:7>dd<5l2?6lo4=d:7>d><5l2?6l94=d:7>d0<5l2?6l;4=d:7>d2<5l2?6l=4=d:7>d4<5l2?6l?4=d:7>d6<5l2?64h4=d:7>gc<5l2?6oj4=d:7>a><5l2?6i84=d:7>a2<5l2?6i=4=d:7>a4<5l2?6i?4=d:7>a6<5l2?6nh4=d:7>fc<5l2?6nj4=d:7>fe<5l2?6nl4=d:7>f?<5l2?6n64=d:7>f1<5l2?6n84=d:7>f3<5l2?6n:4=d:7>f5<5l2?6n<4=d:7>f7<5l2?6n>4=d:7>a`<5l2?6ik4=d:7>ab<5l2?6im4=d:7>ad<5l2?6io4=d:7>a?<5l2?6i;4=d:7>fg<5l2?6oh4=d:7>46034o387??5:?f<1<68=16i5:511a89`>328:j70k74;33=>;b0=0:<552e96974c<5l2?6>?l;<g;0?56j27n494<1`9>a=3=>m16i5;56d9>a=3=;9;01h6::221?8c?=3>h70k75;6f?8c?=3>o70k75;65?8c?=3?h70k75;7f?8c?=3?o70k75;75?8c?=3h<70k75;`6?8c?=3h870k75;`1?8c?=3h:70k75;`3?8c?=3km70k75;cf?8c?=3ko70k75;c`?8c?=3ki70k75;cb?8c?=3k370k75;c4?8c?=3k=70k75;c6?8c?=3k?70k75;c0?8c?=3k970k75;c2?8c?=3k;70k75;;e?8c?=3hn70k75;`g?8c?=3n370k75;f5?8c?=3n?70k75;f0?8c?=3n970k75;f2?8c?=3n;70k75;ae?8c?=3in70k75;ag?8c?=3ih70k75;aa?8c?=3i270k75;a;?8c?=3i<70k75;a5?8c?=3i>70k75;a7?8c?=3i870k75;a1?8c?=3i:70k75;a3?8c?=3nm70k75;ff?8c?=3no70k75;f`?8c?=3ni70k75;fb?8c?=3n270k75;f6?8c?=3ij70k75;`e?8c?=3;;;63j848240=:m1?1==:4=d:6>46d34o397??a:?f<0<68016i5;511:89`>22:;n70k75;12g>;b0<08=o52e97974g<5l2=6;j4=d:5>3c<5l2=6>>>;<g;2?57:27n4;4;c:?f<3<3m27n4;4;d:?f<3<3>27n4;4:c:?f<3<2m27n4;4:d:?f<3<2>27n4;4m7:?f<3<e=27n4;4m3:?f<3<e:27n4;4m1:?f<3<e827n4;4nf:?f<3<fm27n4;4nd:?f<3<fk27n4;4nb:?f<3<fi27n4;4n8:?f<3<f?27n4;4n6:?f<3<f=27n4;4n4:?f<3<f;27n4;4n2:?f<3<f927n4;4n0:?f<3<>n27n4;4me:?f<3<el27n4;4k8:?f<3<c>27n4;4k4:?f<3<c;27n4;4k2:?f<3<c927n4;4k0:?f<3<dn27n4;4le:?f<3<dl27n4;4lc:?f<3<dj27n4;4l9:?f<3<d027n4;4l7:?f<3<d>27n4;4l5:?f<3<d<27n4;4l3:?f<3<d:27n4;4l1:?f<3<d827n4;4kf:?f<3<cm27n4;4kd:?f<3<ck27n4;4kb:?f<3<ci27n4;4k9:?f<3<c=27n4;4la:?f<3<en27n4;4>069>a=0=99?01h69:027?8c?>3;;o63j87824d=:m1<1==74=d:5>46?34o3:7=>e:?f<3<49j16i58530`89`>12:;j70k77;4g?8c??3<n70k77;135>;b0>08<?52e9590f=:m1=18h52e9590a=:m1=18;52e9591f=:m1=19h52e9591a=:m1=19;52e959f2=:m1=1n852e959f6=:m1=1n?52e959f4=:m1=1n=52e959ec=:m1=1mh52e959ea=:m1=1mn52e959eg=:m1=1ml52e959e==:m1=1m:52e959e3=:m1=1m852e959e1=:m1=1m>52e959e7=:m1=1m<52e959e5=:m1=15k52e959f`=:m1=1ni52e959`==:m1=1h;52e959`1=:m1=1h>52e959`7=:m1=1h<52e959`5=:m1=1ok52e959g`=:m1=1oi52e959gf=:m1=1oo52e959g<=:m1=1o552e959g2=:m1=1o;52e959g0=:m1=1o952e959g6=:m1=1o?52e959g4=:m1=1o=52e959`c=:m1=1hh52e959`a=:m1=1hn52e959`g=:m1=1hl52e959`<=:m1=1h852e959gd=:m1=1nk52e959551<5l2<6<>:;<g;3?77<27n4:4>0b9>a=1=99k01h68:02:?8c??3;;463j86805`=:m1=1?<m4=d:4>67e34o3;7=>a:?f<=<1l27n4549e:?f<=<48816i56531089`>?2=i01h67:5g89`>?2=n01h67:5489`>?2<i01h67:4g89`>?2<n01h67:4489`>?2k=01h67:c789`>?2k901h67:c089`>?2k;01h67:c289`>?2hl01h67:`g89`>?2hn01h67:`a89`>?2hh01h67:`c89`>?2h201h67:`589`>?2h<01h67:`789`>?2h>01h67:`189`>?2h801h67:`389`>?2h:01h67:8d89`>?2ko01h67:cf89`>?2m201h67:e489`>?2m>01h67:e189`>?2m801h67:e389`>?2m:01h67:bd89`>?2jo01h67:bf89`>?2ji01h67:b`89`>?2j301h67:b:89`>?2j=01h67:b489`>?2j?01h67:b689`>?2j901h67:b089`>?2j;01h67:b289`>?2ml01h67:eg89`>?2mn01h67:ea89`>?2mh01h67:ec89`>?2m301h67:e789`>?2jk01h67:cd89`>?28:<70k78;331>;b010:<952e9:955e<5l236<>n;<g;<?77127n454>099>a=>=;8o01h67:23`?8c?039:n63j89805d=:m131:i52e9;92`=:m131?=?4=d::>66534o357:l;<g;=?2b34o357:k;<g;=?2134o357;l;<g;=?3b34o357;k;<g;=?3134o357l8;<g;=?d234o357l<;<g;=?d534o357l>;<g;=?d734o357oi;<g;=?gb34o357ok;<g;=?gd34o357om;<g;=?gf34o357o7;<g;=?g034o357o9;<g;=?g234o357o;;<g;=?g434o357o=;<g;=?g634o357o?;<g;=??a34o357lj;<g;=?dc34o357j7;<g;=?b134o357j;;<g;=?b434o357j=;<g;=?b634o357j?;<g;=?ea34o357mj;<g;=?ec34o357ml;<g;=?ee34o357m6;<g;=?e?34o357m8;<g;=?e134o357m:;<g;=?e334o357m<;<g;=?e534o357m>;<g;=?e734o357ji;<g;=?bb34o357jk;<g;=?bd34o357jm;<g;=?bf34o357j6;<g;=?b234o357mn;<g;=?da34o357??7:?f<<<68<16i57511689`>>28:h70k79;33e>;b000:<452e9;955><5l226>?j;<g;=?56k27n444<1c9>a=?=;8k01h6n:7f89`>f2?o01h6n:222?8c?i39;>63j8`87g>;b0h0?i63j8`87`>;b0h0?:63j8`86g>;b0h0>i63j8`86`>;b0h0>:63j8`8a3>;b0h0i963j8`8a7>;b0h0i>63j8`8a5>;b0h0i<63j8`8bb>;b0h0ji63j8`8b`>;b0h0jo63j8`8bf>;b0h0jm63j8`8b<>;b0h0j;63j8`8b2>;b0h0j963j8`8b0>;b0h0j?63j8`8b6>;b0h0j=63j8`8b4>;b0h02j63j8`8aa>;b0h0ih63j8`8g<>;b0h0o:63j8`8g0>;b0h0o?63j8`8g6>;b0h0o=63j8`8g4>;b0h0hj63j8`8`a>;b0h0hh63j8`8`g>;b0h0hn63j8`8`=>;b0h0h463j8`8`3>;b0h0h:63j8`8`1>;b0h0h863j8`8`7>;b0h0h>63j8`8`5>;b0h0h<63j8`8gb>;b0h0oi63j8`8g`>;b0h0oo63j8`8gf>;b0h0om63j8`8g=>;b0h0o963j8`8`e>;b0h0ij63j8`8242=:m1k1==;4=d:b>46334o3m7??c:?f<d<68h16i5o511;89`>f28:370k7a;12a>;b0h08=n52e9c974d<5l2j6>?n;<g;f?0c34o3n78j;<g;f?57927n4o4<039>a=d=<j16i5l54d9>a=d=<m16i5l5479>a=d==j16i5l55d9>a=d==m16i5l5579>a=d=j>16i5l5b49>a=d=j:16i5l5b39>a=d=j816i5l5b19>a=d=io16i5l5ad9>a=d=im16i5l5ab9>a=d=ik16i5l5a`9>a=d=i116i5l5a69>a=d=i?16i5l5a49>a=d=i=16i5l5a29>a=d=i;16i5l5a09>a=d=i916i5l59g9>a=d=jl16i5l5be9>a=d=l116i5l5d79>a=d=l=16i5l5d29>a=d=l;16i5l5d09>a=d=l916i5l5cg9>a=d=kl16i5l5ce9>a=d=kj16i5l5cc9>a=d=k016i5l5c99>a=d=k>16i5l5c79>a=d=k<16i5l5c59>a=d=k:16i5l5c39>a=d=k816i5l5c19>a=d=lo16i5l5dd9>a=d=lm16i5l5db9>a=d=lk16i5l5d`9>a=d=l016i5l5d49>a=d=kh16i5l5bg9>a=d=99=01h6m:026?8c?j3;;863j8c824f=:m1h1==o4=d:a>46>34o3n7??8:?f<g<49l16i5l530a89`>e2:;i70k7b;12e>;b0j0=h63j8b85a>;b0j08<<52e9a9754<5l2h69m4=d:`>1c<5l2h69j4=d:`>10<5l2h68m4=d:`>0c<5l2h68j4=d:`>00<5l2h6o94=d:`>g3<5l2h6o=4=d:`>g4<5l2h6o?4=d:`>g6<5l2h6lh4=d:`>dc<5l2h6lj4=d:`>de<5l2h6ll4=d:`>dg<5l2h6l64=d:`>d1<5l2h6l84=d:`>d3<5l2h6l:4=d:`>d5<5l2h6l<4=d:`>d7<5l2h6l>4=d:`><`<5l2h6ok4=d:`>gb<5l2h6i64=d:`>a0<5l2h6i:4=d:`>a5<5l2h6i<4=d:`>a7<5l2h6i>4=d:`>f`<5l2h6nk4=d:`>fb<5l2h6nm4=d:`>fd<5l2h6n74=d:`>f><5l2h6n94=d:`>f0<5l2h6n;4=d:`>f2<5l2h6n=4=d:`>f4<5l2h6n?4=d:`>f6<5l2h6ih4=d:`>ac<5l2h6ij4=d:`>ae<5l2h6il4=d:`>ag<5l2h6i74=d:`>a3<5l2h6no4=d:`>g`<5l2h6<>8;<g;g?77=27n4n4>059>a=e=99i01h6l:02b?8c?k3;;563j8b824==:m1i1?<k4=d:`>67d34o3o7=>b:?f<f<49h16i5k56e9>a=c=>l16i5k531389`>b2::970k7e;6`?8c?m3>n70k7e;6g?8c?m3>=70k7e;7`?8c?m3?n70k7e;7g?8c?m3?=70k7e;`4?8c?m3h>70k7e;`0?8c?m3h970k7e;`2?8c?m3h;70k7e;ce?8c?m3kn70k7e;cg?8c?m3kh70k7e;ca?8c?m3kj70k7e;c;?8c?m3k<70k7e;c5?8c?m3k>70k7e;c7?8c?m3k870k7e;c1?8c?m3k:70k7e;c3?8c?m33m70k7e;`f?8c?m3ho70k7e;f;?8c?m3n=70k7e;f7?8c?m3n870k7e;f1?8c?m3n:70k7e;f3?8c?m3im70k7e;af?8c?m3io70k7e;a`?8c?m3ii70k7e;a:?8c?m3i370k7e;a4?8c?m3i=70k7e;a6?8c?m3i?70k7e;a0?8c?m3i970k7e;a2?8c?m3i;70k7e;fe?8c?m3nn70k7e;fg?8c?m3nh70k7e;fa?8c?m3nj70k7e;f:?8c?m3n>70k7e;ab?8c?m3hm70k7e;333>;b0l0:<852e9g9552<5l2n6<>l;<g;a?77i27n4h4>089>a=c=99201h6j:23f?8c?m39:o63j8d805g=:m1o1?<o4=d:e>3b<5l2m6;k4=d:e>66634o3j7=?2:?f<c<3k27n4k4;e:?f<c<3l27n4k4;6:?f<c<2k27n4k4:e:?f<c<2l27n4k4:6:?f<c<e?27n4k4m5:?f<c<e;27n4k4m2:?f<c<e927n4k4m0:?f<c<fn27n4k4ne:?f<c<fl27n4k4nc:?f<c<fj27n4k4na:?f<c<f027n4k4n7:?f<c<f>27n4k4n5:?f<c<f<27n4k4n3:?f<c<f:27n4k4n1:?f<c<f827n4k46f:?f<c<em27n4k4md:?f<c<c027n4k4k6:?f<c<c<27n4k4k3:?f<c<c:27n4k4k1:?f<c<c827n4k4lf:?f<c<dm27n4k4ld:?f<c<dk27n4k4lb:?f<c<d127n4k4l8:?f<c<d?27n4k4l6:?f<c<d=27n4k4l4:?f<c<d;27n4k4l2:?f<c<d927n4k4l0:?f<c<cn27n4k4ke:?f<c<cl27n4k4kc:?f<c<cj27n4k4ka:?f<c<c127n4k4k5:?f<c<di27n4k4mf:?f<c<68>16i5h511789`>a28:?70k7f;33g>;b0o0:<l52e9d955?<5l2m6<>7;<g;b?56m27n4k4<1b9>a=`=;8h01h6i:23b?8c>83<o70k60;4f?8c>839;=63j918047=:m0:18n52e8290`=:m0:18i52e82903=:m0:19n52e8291`=:m0:19i52e82913=:m0:1n:52e829f0=:m0:1n>52e829f7=:m0:1n<52e829f5=:m0:1mk52e829e`=:m0:1mi52e829ef=:m0:1mo52e829ed=:m0:1m552e829e2=:m0:1m;52e829e0=:m0:1m952e829e6=:m0:1m?52e829e4=:m0:1m=52e829=c=:m0:1nh52e829fa=:m0:1h552e829`3=:m0:1h952e829`6=:m0:1h?52e829`4=:m0:1h=52e829gc=:m0:1oh52e829ga=:m0:1on52e829gg=:m0:1o452e829g==:m0:1o:52e829g3=:m0:1o852e829g1=:m0:1o>52e829g7=:m0:1o<52e829g5=:m0:1hk52e829``=:m0:1hi52e829`f=:m0:1ho52e829`d=:m0:1h452e829`0=:m0:1ol52e829fc=:m0:1==94=d;3>46234o2<7??4:?f=5<68j16i4>511c89`?728:270k60;33<>;b1908=h52e82974e<5l3;6>?m;<g:4?56i27n5<49d:?f=4<1m27n5<4<009>a<7=;9801h7>:5a89`?62=o01h7>:5f89`?62=<01h7>:4a89`?62<o01h7>:4f89`?62<<01h7>:c589`?62k?01h7>:c189`?62k801h7>:c389`?62k:01h7>:`d89`?62ho01h7>:`f89`?62hi01h7>:``89`?62hk01h7>:`:89`?62h=01h7>:`489`?62h?01h7>:`689`?62h901h7>:`089`?62h;01h7>:`289`?620l01h7>:cg89`?62kn01h7>:e:89`?62m<01h7>:e689`?62m901h7>:e089`?62m;01h7>:e289`?62jl01h7>:bg89`?62jn01h7>:ba89`?62jh01h7>:b;89`?62j201h7>:b589`?62j<01h7>:b789`?62j>01h7>:b189`?62j801h7>:b389`?62j:01h7>:ed89`?62mo01h7>:ef89`?62mi01h7>:e`89`?62mk01h7>:e;89`?62m?01h7>:bc89`?62kl01h7>:024?8c>93;;963j908241=:m0;1==m4=d;2>46f34o2=7??9:?f=4<68116i4?530g89`?62:;h70k61;12f>;b1808=l52e8092a=:m081:h52e809757<5l396>>=;<g:6?2d34o2>7:j;<g:6?2c34o2>7:9;<g:6?3d34o2>7;j;<g:6?3c34o2>7;9;<g:6?d034o2>7l:;<g:6?d434o2>7l=;<g:6?d634o2>7l?;<g:6?ga34o2>7oj;<g:6?gc34o2>7ol;<g:6?ge34o2>7on;<g:6?g?34o2>7o8;<g:6?g134o2>7o:;<g:6?g334o2>7o<;<g:6?g534o2>7o>;<g:6?g734o2>77i;<g:6?db34o2>7lk;<g:6?b?34o2>7j9;<g:6?b334o2>7j<;<g:6?b534o2>7j>;<g:6?b734o2>7mi;<g:6?eb34o2>7mk;<g:6?ed34o2>7mm;<g:6?e>34o2>7m7;<g:6?e034o2>7m9;<g:6?e234o2>7m;;<g:6?e434o2>7m=;<g:6?e634o2>7m?;<g:6?ba34o2>7jj;<g:6?bc34o2>7jl;<g:6?be34o2>7jn;<g:6?b>34o2>7j:;<g:6?ef34o2>7li;<g:6?77?27n5?4>049>a<4=99>01h7=:02`?8c>:3;;m63j93824<=:m081==64=d;1>67b34o2>7=>c:?f=7<49k16i4<530c89`?42?n01h7<:7g89`?42:::70k63;136>;b1:0?o63j9287a>;b1:0?h63j92872>;b1:0>o63j9286a>;b1:0>h63j92862>;b1:0i;63j928a1>;b1:0i?63j928a6>;b1:0i=63j928a4>;b1:0jj63j928ba>;b1:0jh63j928bg>;b1:0jn63j928be>;b1:0j463j928b3>;b1:0j:63j928b1>;b1:0j863j928b7>;b1:0j>63j928b5>;b1:0j<63j928:b>;b1:0ii63j928a`>;b1:0o463j928g2>;b1:0o863j928g7>;b1:0o>63j928g5>;b1:0o<63j928`b>;b1:0hi63j928``>;b1:0ho63j928`f>;b1:0h563j928`<>;b1:0h;63j928`2>;b1:0h963j928`0>;b1:0h?63j928`6>;b1:0h=63j928`4>;b1:0oj63j928ga>;b1:0oh63j928gg>;b1:0on63j928ge>;b1:0o563j928g1>;b1:0hm63j928ab>;b1:0:<:52e819553<5l386<>;;<g:7?77k27n5>4>0`9>a<5=99301h7<:02;?8c>;39:i63j92805f=:m091?<l4=d;0>67f34o2878k;<g:0?0b34o287=?1:?f=1<48;16i4:54b9>a<2=<l16i4:54e9>a<2=<?16i4:55b9>a<2==l16i4:55e9>a<2==?16i4:5b69>a<2=j<16i4:5b29>a<2=j;16i4:5b09>a<2=j916i4:5ag9>a<2=il16i4:5ae9>a<2=ij16i4:5ac9>a<2=ih16i4:5a99>a<2=i>16i4:5a79>a<2=i<16i4:5a59>a<2=i:16i4:5a39>a<2=i816i4:5a19>a<2=1o16i4:5bd9>a<2=jm16i4:5d99>a<2=l?16i4:5d59>a<2=l:16i4:5d39>a<2=l816i4:5d19>a<2=ko16i4:5cd9>a<2=km16i4:5cb9>a<2=kk16i4:5c89>a<2=k116i4:5c69>a<2=k?16i4:5c49>a<2=k=16i4:5c29>a<2=k;16i4:5c09>a<2=k916i4:5dg9>a<2=ll16i4:5de9>a<2=lj16i4:5dc9>a<2=lh16i4:5d89>a<2=l<16i4:5c`9>a<2=jo16i4:511589`?328:>70k64;330>;b1=0:<n52e86955g<5l3?6<>6;<g:0?77027n594<1d9>a<2=;8i01h7;:23a?8c><39:m63j9485`>;b1<0=i63j948044=:m0?1?=<4=d;6>1e<5l3>69k4=d;6>1b<5l3>6984=d;6>0e<5l3>68k4=d;6>0b<5l3>6884=d;6>g1<5l3>6o;4=d;6>g5<5l3>6o<4=d;6>g7<5l3>6o>4=d;6>d`<5l3>6lk4=d;6>db<5l3>6lm4=d;6>dd<5l3>6lo4=d;6>d><5l3>6l94=d;6>d0<5l3>6l;4=d;6>d2<5l3>6l=4=d;6>d4<5l3>6l?4=d;6>d6<5l3>64h4=d;6>gc<5l3>6oj4=d;6>a><5l3>6i84=d;6>a2<5l3>6i=4=d;6>a4<5l3>6i?4=d;6>a6<5l3>6nh4=d;6>fc<5l3>6nj4=d;6>fe<5l3>6nl4=d;6>f?<5l3>6n64=d;6>f1<5l3>6n84=d;6>f3<5l3>6n:4=d;6>f5<5l3>6n<4=d;6>f7<5l3>6n>4=d;6>a`<5l3>6ik4=d;6>ab<5l3>6im4=d;6>ad<5l3>6io4=d;6>a?<5l3>6i;4=d;6>fg<5l3>6oh4=d;6>46034o297??5:?f=0<68=16i4;511a89`?228:j70k65;33=>;b1<0:<552e87974c<5l3>6>?l;<g:1?56j27n584<1`9>a<1=;9;01h78:221?8c>?3h<70k67;`6?8c>?3h870k67;`1?8c>?3h:70k67;`3?8c>?3km70k67;cf?8c>?3ko70k67;c`?8c>?3ki70k67;cb?8c>?3k370k67;c4?8c>?3k=70k67;c6?8c>?3k?70k67;c0?8c>?3k970k67;c2?8c>?3k;70k67;;e?8c>?3hn70k67;`g?8c>?3hh70k67;`a?8c>?3hj70k67;`:?8c>?3h370k67;`7?8c>?3k270k67;f;?8c>?3n=70k67;f7?8c>?3n870k67;f1?8c>?3n:70k67;f3?8c>?3im70k67;af?8c>?3io70k67;a`?8c>?3ii70k67;a:?8c>?3i370k67;a4?8c>?3i=70k67;a6?8c>?3i?70k67;a0?8c>?3i970k67;a2?8c>?3i;70k67;fe?8c>?3nn70k67;fg?8c>?3nh70k67;fa?8c>?3nj70k67;f:?8c>?3n>70k67;ab?8c>?3hm70k67;333>;b1>0:<852e859552<5l3<6<><;<g:3?77k27n5:4>0`9>a<1=99301h78:02;?8c>?39:i63j96805f=:m0=1?<l4=d;4>67f34o2:78k;<g:2?0b34o2:7=?1:?f=3<48;16i485b69>a<0=j<16i485b29>a<0=j;16i485b09>a<0=j916i485ag9>a<0=il16i485ae9>a<0=ij16i485ac9>a<0=ih16i485a99>a<0=i>16i485a79>a<0=i<16i485a59>a<0=i:16i485a39>a<0=i816i485a19>a<0=1o16i485bd9>a<0=jm16i485bb9>a<0=jk16i485b`9>a<0=j016i485b99>a<0=j=16i485a89>a<0=l116i485d79>a<0=l=16i485d29>a<0=l;16i485d09>a<0=l916i485cg9>a<0=kl16i485ce9>a<0=kj16i485cc9>a<0=k016i485c99>a<0=k>16i485c79>a<0=k<16i485c59>a<0=k:16i485c39>a<0=k816i485c19>a<0=lo16i485dd9>a<0=lm16i485db9>a<0=lk16i485d`9>a<0=l016i485d49>a<0=kh16i485bg9>a<0=99=01h79:026?8c>>3;;863j978246=:m0<1==m4=d;5>46f34o2:7??9:?f=3<68116i48530g89`?12:;h70k66;12f>;b1?08=l52e8`9757<5l3i6>>=;<g:f?d034o2n7l:;<g:f?d434o2n7l=;<g:f?d634o2n7l?;<g:f?ga34o2n7oj;<g:f?gc34o2n7ol;<g:f?ge34o2n7on;<g:f?g?34o2n7o8;<g:f?g134o2n7o:;<g:f?g334o2n7o<;<g:f?g534o2n7o>;<g:f?g734o2n77i;<g:f?db34o2n7lk;<g:f?dd34o2n7lm;<g:f?df34o2n7l6;<g:f?d?34o2n7l;;<g:f?g>34o2n7j7;<g:f?b134o2n7j;;<g:f?b434o2n7j=;<g:f?b634o2n7j?;<g:f?ea34o2n7mj;<g:f?ec34o2n7ml;<g:f?ee34o2n7m6;<g:f?e?34o2n7m8;<g:f?e134o2n7m:;<g:f?e334o2n7m<;<g:f?e534o2n7m>;<g:f?e734o2n7ji;<g:f?bb34o2n7jk;<g:f?bd34o2n7jm;<g:f?bf34o2n7j6;<g:f?b234o2n7mn;<g:f?da34o2n7??7:?f=g<68<16i4l511689`?e28:870k6b;33g>;b1k0:<l52e8`955?<5l3i6<>7;<g:f?56m27n5o4<1b9>a<d=;8h01h7m:23b?8c>i3<o70k6a;4f?8c>i39;=63j9`8047=:m0k1n:52e8c9f0=:m0k1n>52e8c9f7=:m0k1n<52e8c9f5=:m0k1mk52e8c9e`=:m0k1mi52e8c9ef=:m0k1mo52e8c9ed=:m0k1m552e8c9e2=:m0k1m;52e8c9e0=:m0k1m952e8c9e6=:m0k1m?52e8c9e4=:m0k1m=52e8c9=c=:m0k1nh52e8c9fa=:m0k1nn52e8c9fg=:m0k1nl52e8c9f<=:m0k1n552e8c9f1=:m0k1m452e8c9`==:m0k1h;52e8c9`1=:m0k1h>52e8c9`7=:m0k1h<52e8c9`5=:m0k1ok52e8c9g`=:m0k1oi52e8c9gf=:m0k1oo52e8c9g<=:m0k1o552e8c9g2=:m0k1o;52e8c9g0=:m0k1o952e8c9g6=:m0k1o?52e8c9g4=:m0k1o=52e8c9`c=:m0k1hh52e8c9`a=:m0k1hn52e8c9`g=:m0k1hl52e8c9`<=:m0k1h852e8c9gd=:m0k1nk52e8c9551<5l3j6<>:;<g:e?77<27n5l4>029>a<g=99i01h7n:02b?8c>i3;;563j9`824==:m0k1?<k4=d;b>67d34o2m7=>b:?f=d<49h16il>531389`g72::970kn0;`4?8cf83h>70kn0;`0?8cf83h970kn0;`2?8cf83h;70kn0;ce?8cf83kn70kn0;cg?8cf83kh70kn0;ca?8cf83kj70kn0;c;?8cf83k<70kn0;c5?8cf83k>70kn0;c7?8cf83k870kn0;c1?8cf83k:70kn0;c3?8cf833m70kn0;`f?8cf83ho70kn0;``?8cf83hi70kn0;`b?8cf83h270kn0;`;?8cf83h?70kn0;c:?8cf83n370kn0;f5?8cf83n?70kn0;f0?8cf83n970kn0;f2?8cf83n;70kn0;ae?8cf83in70kn0;ag?8cf83ih70kn0;aa?8cf83i270kn0;a;?8cf83i<70kn0;a5?8cf83i>70kn0;a7?8cf83i870kn0;a1?8cf83i:70kn0;a3?8cf83nm70kn0;ff?8cf83no70kn0;f`?8cf83ni70kn0;fb?8cf83n270kn0;f6?8cf83ij70kn0;`e?8cf83;;;63ja18240=:mh:1==:4=dc3>46434oj<7??c:?fe5<68h16il>511;89`g728:370kn0;12a>;bi908=n52e`2974d<5lk;6>?n;<g:b?0c34o2j78j;<g:b?57927n5k4<039>a<`=j>16i4h5b49>a<`=j:16i4h5b39>a<`=j816i4h5b19>a<`=io16i4h5ad9>a<`=im16i4h5ab9>a<`=ik16i4h5a`9>a<`=i116i4h5a69>a<`=i?16i4h5a49>a<`=i=16i4h5a29>a<`=i;16i4h5a09>a<`=i916i4h59g9>a<`=jl16i4h5be9>a<`=jj16i4h5bc9>a<`=jh16i4h5b89>a<`=j116i4h5b59>a<`=i016i4h5d99>a<`=l?16i4h5d59>a<`=l:16i4h5d39>a<`=l816i4h5d19>a<`=ko16i4h5cd9>a<`=km16i4h5cb9>a<`=kk16i4h5c89>a<`=k116i4h5c69>a<`=k?16i4h5c49>a<`=k=16i4h5c29>a<`=k;16i4h5c09>a<`=k916i4h5dg9>a<`=ll16i4h5de9>a<`=lj16i4h5dc9>a<`=lh16i4h5d89>a<`=l<16i4h5c`9>a<`=jo16i4h511589`?a28:>70k6f;330>;b1o0:<>52e8d955e<5l3m6<>n;<g:b?77127n5k4>099>a<`=;8o01h7i:23`?8c>n39:n63j9g805d=:mh>1?=?4=dc7>66534oj87l8;<gb0?d234oj87l<;<gb0?d534oj87l>;<gb0?d734oj87oi;<gb0?gb34oj87ok;<gb0?gd34oj87om;<gb0?gf34oj87o7;<gb0?g034oj87o9;<gb0?g234oj87o;;<gb0?g434oj87o=;<gb0?g634oj87o?;<gb0??a34oj87lj;<gb0?dc34oj87ll;<gb0?de34oj87ln;<gb0?d>34oj87l7;<gb0?d334oj87o6;<gb0?b?34oj87j9;<gb0?b334oj87j<;<gb0?b534oj87j>;<gb0?b734oj87mi;<gb0?eb34oj87mk;<gb0?ed34oj87mm;<gb0?e>34oj87m7;<gb0?e034oj87m9;<gb0?e234oj87m;;<gb0?e434oj87m=;<gb0?e634oj87m?;<gb0?ba34oj87jj;<gb0?bc34oj87jl;<gb0?be34oj87jn;<gb0?b>34oj87j:;<gb0?ef34oj87li;<gb0?77?27nm94>049>ad2=99>01ho;:020?8cf<3;;o63ja5824d=:mh>1==74=dc7>46?34oj87=>e:?fe1<49j16il:530`89`g32:;j70kn3;4g?8cf;3<n70kn3;135>;bi:08<?52e`19f2=:mh91n852e`19f6=:mh91n?52e`19f4=:mh91n=52e`19ec=:mh91mh52e`19ea=:mh91mn52e`19eg=:mh91ml52e`19e==:mh91m:52e`19e3=:mh91m852e`19e1=:mh91m>52e`19e7=:mh91m<52e`19e5=:mh915k52e`19f`=:mh91ni52e`19ff=:mh91no52e`19fd=:mh91n452e`19f==:mh91n952e`19e<=:mh91h552e`19`3=:mh91h952e`19`6=:mh91h?52e`19`4=:mh91h=52e`19gc=:mh91oh52e`19ga=:mh91on52e`19gg=:mh91o452e`19g==:mh91o:52e`19g3=:mh91o852e`19g1=:mh91o>52e`19g7=:mh91o<52e`19g5=:mh91hk52e`19``=:mh91hi52e`19`f=:mh91ho52e`19`d=:mh91h452e`19`0=:mh91ol52e`19fc=:mh91==94=dc0>46234oj?7??4:?fe6<68:16il=511a89`g428:j70kn3;33=>;bi:0:<552e`1974c<5lk86>?l;<gb7?56j27nm>4<1`9>ad>=;9;01ho7:221?8cf03h<70kn8;`6?8cf03h870kn8;`1?8cf03h:70kn8;`3?8cf03km70kn8;cf?8cf03ko70kn8;c`?8cf03ki70kn8;cb?8cf03k370kn8;c4?8cf03k=70kn8;c6?8cf03k?70kn8;c0?8cf03k970kn8;c2?8cf03k;70kn8;;e?8cf03hn70kn8;`g?8cf03hh70kn8;`a?8cf03hj70kn8;`:?8cf03h370kn8;`7?8cf03k270kn8;f;?8cf03n=70kn8;f7?8cf03n870kn8;f1?8cf03n:70kn8;f3?8cf03im70kn8;af?8cf03io70kn8;a`?8cf03ii70kn8;a:?8cf03i370kn8;a4?8cf03i=70kn8;a6?8cf03i?70kn8;a0?8cf03i970kn8;a2?8cf03i;70kn8;fe?8cf03nn70kn8;fg?8cf03nh70kn8;fa?8cf03nj70kn8;f:?8cf03n>70kn8;ab?8cf03hm70kn8;333>;bi10:<852e`:9552<5lk36<><;<gb<?77k27nm54>0`9>ad>=99301ho7:02;?8cf039:i63ja9805f=:mh21?<l4=dc;>67f34oj;78k;<gb3?0b34oj;7=?1:?fe2<48;16il95b69>ad1=j<16il95b29>ad1=j;16il95b09>ad1=j916il95ag9>ad1=il16il95ae9>ad1=ij16il95ac9>ad1=ih16il95a99>ad1=i>16il95a79>ad1=i<16il95a59>ad1=i:16il95a39>ad1=i816il95a19>ad1=1o16il95bd9>ad1=jm16il95bb9>ad1=jk16il95b`9>ad1=j016il95b99>ad1=j=16il95a89>ad1=l116il95d79>ad1=l=16il95d29>ad1=l;16il95d09>ad1=l916il95cg9>ad1=kl16il95ce9>ad1=kj16il95cc9>ad1=k016il95c99>ad1=k>16il95c79>ad1=k<16il95c59>ad1=k:16il95c39>ad1=k816il95c19>ad1=lo16il95dd9>ad1=lm16il95db9>ad1=lk16il95d`9>ad1=l016il95d49>ad1=kh16il95bg9>ad1=99=01ho8:026?8cf?3;;863ja68246=:mh=1==m4=dc4>46f34oj;7??9:?fe2<68116il9530g89`g02:;h70kn7;12f>;bi>08=l52e`a9757<5lkh6>>=;<gbg?d034ojo7l:;<gbg?d434ojo7l=;<gbg?d634ojo7l?;<gbg?ga34ojo7oj;<gbg?gc34ojo7ol;<gbg?ge34ojo7on;<gbg?g?34ojo7o8;<gbg?g134ojo7o:;<gbg?g334ojo7o<;<gbg?g534ojo7o>;<gbg?g734ojo77i;<gbg?db34ojo7lk;<gbg?dd34ojo7lm;<gbg?df34ojo7l6;<gbg?d?34ojo7l;;<gbg?g>34ojo7j7;<gbg?b134ojo7j;;<gbg?b434ojo7j=;<gbg?b634ojo7j?;<gbg?ea34ojo7mj;<gbg?ec34ojo7ml;<gbg?ee34ojo7m6;<gbg?e?34ojo7m8;<gbg?e134ojo7m:;<gbg?e334ojo7m<;<gbg?e534ojo7m>;<gbg?e734ojo7ji;<gbg?bb34ojo7jk;<gbg?bd34ojo7jm;<gbg?bf34ojo7j6;<gbg?b234ojo7mn;<gbg?da34ojo7??7:?fef<68<16ilm511689`gd28:870knc;33g>;bij0:<l52e`a955?<5lkh6<>7;<gbg?56m27nmn4<1b9>ade=;8h01hol:23b?8cfj3<o70knb;4f?8cfj39;=63jac8047=:mhh1n:52e``9f0=:mhh1n>52e``9f7=:mhh1n<52e``9f5=:mhh1mk52e``9e`=:mhh1mi52e``9ef=:mhh1mo52e``9ed=:mhh1m552e``9e2=:mhh1m;52e``9e0=:mhh1m952e``9e6=:mhh1m?52e``9e4=:mhh1m=52e``9=c=:mhh1nh52e``9fa=:mhh1nn52e``9fg=:mhh1nl52e``9f<=:mhh1n552e``9f1=:mhh1m452e``9`==:mhh1h;52e``9`1=:mhh1h>52e``9`7=:mhh1h<52e``9`5=:mhh1ok52e``9g`=:mhh1oi52e``9gf=:mhh1oo52e``9g<=:mhh1o552e``9g2=:mhh1o;52e``9g0=:mhh1o952e``9g6=:mhh1o?52e``9g4=:mhh1o=52e``9`c=:mhh1hh52e``9`a=:mhh1hn52e``9`g=:mhh1hl52e``9`<=:mhh1h852e``9gd=:mhh1nk52e``9551<5lki6<>:;<gbf?77<27nmo4>029>add=99i01hom:02b?8cfj3;;563jac824==:mhh1?<k4=dca>67d34ojn7=>b:?feg<49h16io>531389`d72::970km0;`4?8ce83h>70km0;`0?8ce83h970km0;`2?8ce83h;70km0;ce?8ce83kn70km0;cg?8ce83kh70km0;ca?8ce83kj70km0;c;?8ce83k<70km0;c5?8ce83k>70km0;c7?8ce83k870km0;c1?8ce83k:70km0;c3?8ce833m70km0;`f?8ce83ho70km0;``?8ce83hi70km0;`b?8ce83h270km0;`;?8ce83h?70km0;c:?8ce83n370km0;f5?8ce83n?70km0;f0?8ce83n970km0;f2?8ce83n;70km0;ae?8ce83in70km0;ag?8ce83ih70km0;aa?8ce83i270km0;a;?8ce83i<70km0;a5?8ce83i>70km0;a7?8ce83i870km0;a1?8ce83i:70km0;a3?8ce83nm70km0;ff?8ce83no70km0;f`?8ce83ni70km0;fb?8ce83n270km0;f6?8ce83ij70km0;`e?8ce83;;;63jb18240=:mk:1==:4=d`3>46434oi<7??c:?ff5<68h16io>511;89`d728:370km0;12a>;bj908=n52ec2974d<5lh;6>?n;<gbb?0c34ojj78j;<gbb?57927nmk4<039>ad`=j>16ilh5b49>ad`=j:16ilh5b39>ad`=j816ilh5b19>ad`=io16ilh5ad9>ad`=im16ilh5ab9>ad`=ik16ilh5a`9>ad`=i116ilh5a69>ad`=i?16ilh5a49>ad`=i=16ilh5a29>ad`=i;16ilh5a09>ad`=i916ilh59g9>ad`=jl16ilh5be9>ad`=jj16ilh5bc9>ad`=jh16ilh5b89>ad`=j116ilh5b59>ad`=i016ilh5d99>ad`=l?16ilh5d59>ad`=l:16ilh5d39>ad`=l816ilh5d19>ad`=ko16ilh5cd9>ad`=km16ilh5cb9>ad`=kk16ilh5c89>ad`=k116ilh5c69>ad`=k?16ilh5c49>ad`=k=16ilh5c29>ad`=k;16ilh5c09>ad`=k916ilh5dg9>ad`=ll16ilh5de9>ad`=lj16ilh5dc9>ad`=lh16ilh5d89>ad`=l<16ilh5c`9>ad`=jo16ilh511589`ga28:>70knf;330>;bio0:<>52e`d955e<5lkm6<>n;<gbb?77127nmk4>099>ad`=;8o01hoi:23`?8cfn39:n63jag805d=z{::86=4:{_137>;?mm094;528g296=0<51om6?69;<:fa?4?>2wx=:h50;fxZ2?<V8=m706<9;0f=>;0jh0:5>52ec;95<2<50;36<7;;<;27?7><272<l4>959>=52=90>01h8=:0;7?8>403;2963j6782=1=:m?;1=4:4}r5`>5<>?rT<o63:b`845>;2jk0<=63:c0845>;2k;0<=63:c2845>;2k=0<=63:c4845>;2k?0<=63:c6845>;2k10<=63:bb845>;2jm0<=63:bd845>;2jo0<=63:c1845>;2?80<=63:73845>;2?10<=63:78845>;2?h0<=63:7c845>;2?j0<=63:7e845>;2?l0<=63:7g845>;2?:0<=63:75845>;2?<0<=63:77845>;2?>0<=63:29845>;2:00<=63:2g845>;2;90<=63:30845>;2;;0<=63:32845>;2;=0<=63:34845>;2;?0<=63:2`845>;2:k0<=63:2b845>;2:m0<=63:2d845>;?lk09;k52e7a974?<5l<h6>?8;<g5g?56>27n:n4<149>a21=;8301h98:234?8c0?39::63j768050=:m181?<74=d:1>67034o3>7=>6:?f<7<49<16i5j530;89`>c2:;<70k7d;122>;b0m08=852e8g974?<5l3n6>?8;<g:a?56>27n5h4<149>ag5=;8301hl<:234?8ce;39::63jb28050=:mk>1?<74=d`7>67034oi87=>6:?ff1<49<16io;530;89`d22:;<70km5;122>;bj<08=852ec4974?<5lh=6>?8;<ga2?56>27nn;4<149>ag1=;8301hl8:234?8ce?39::63jb68050=:m?n1?<74=d4g>67034o=h7=>6:?f2a<49<16i;k530;89`0b2:;<70k9e;122>;b>l08=852e7d974?<5l<m6>?8;<g5b?56>27n:k4<149>a26=;8301h9?:234?8c0839::63j718050=:m>;1?<74=d52>67034o<=7=>6:?f34<49<16i:<530;89`152:;<70k82;122>;b?;08=852e61974?<5l=86>?8;<g47?56>27n;>4<149>a22=;8301h9;:234?8c0<39::63j758050=:m>?1?<74=d56>67034o<97=>6:?f30<49<16i:8530;89`112:;<70k86;122>;b??08=852e6:974?<5l=36>?8;<g4<?56>27n;54<149>a2?=;8301h96:234?8c0139::63j788050=:m>k1?<74=d5b>67034o<m7=>6:?f3d<49<16i:l530;89`1e2:;<70k8b;122>;b?k08=852e6a974?<5l=h6>?8;<g4g?56>27n;n4<149>a2b=;8301h9k:234?8c0l39::63j7e8050=z{:9=6=4;{_102>;?lk0:595286`95<0<51nh6<7;;|q1aa<72hlpR?kk;<:f`?0d342ni78l;<6gb?0d34>n<78l;<6f2?0d34>n;78l;<6f<?0d34>n578l;<6fe?0d34>nn78l;<6fg?0d34>nh78l;<6f5?0d34>n>78l;<6f7?0d34>n878l;<6f1?0d34>2:78l;<6:3?0d34>2h78l;<6:a?0d34>2j78l;<6b4?0d34>j=78l;<6b6?0d34>j?78l;<6b0?0d34>2478l;<6:=?0d34>2m78l;<6:f?0d34>2o78l;<:0=?0d34<3m78l;<4;=?0d34<3478l;<4;3?0d34<3:78l;<4:6?0d34<2=78l;<4:4?0d34<3j78l;<4;a?0d34<3h78l;<4;g?0d34<3n78l;<4;1?0d34<3878l;<53`?0d34=;o78l;<53f?0d34=;m78l;<53=?0d34=:978l;<520?0d34=:?78l;<526?0d34=:=78l;<524?0d34=;j78l;<53a?0d34=;478l;<533?0d34=>i78l;<56`?0d34=>o78l;<56f?0d34=>m78l;<552?0d34==978l;<550?0d34==?78l;<556?0d34===78l;<554?0d34=>j78l;<56=?0d34=>478l;<54g?0d34=<n78l;<54e?0d34=<578l;<54<?0d34=3878l;<5;7?0d34=3>78l;<5;5?0d34=3<78l;<54b?0d34=<i78l;<54`?0d34=<;78l;<542?0d34=i=78l;<5a4?0d34=jj78l;<5ba?0d34=jh78l;<5a=?0d34=i478l;<5a3?0d34=i:78l;<5a1?0d34=i878l;<5a7?0d34=i>78l;<5bg?0d34=jn78l;<aba?0d34ijh78l;<abg?0d34ijn78l;<g5g?1334o<;79;;<g;6?1334o3h79;;<g:a?1334oi?79;;<ga0?1334oi979;;<ga2?1334oi;79;;<g5`?1334o=i79;;<g5b?1334o<<79;;<g45?1334o<>79;;<g47?1334o<879;;<g41?1334o<:79;;<g4<?1334o<579;;<g4e?1334o<n79;;<g4g?1334o<h79;;<g4a?1334o<j79;;<g;4?1334o3=79;;<g;7?1334o3879;;<g;1?1334o3:79;;<g;3?1334o3479;;<g;=?1334o3m79;;<g;f?1334o3o79;;<g;a?1334o3j79;;<g:4?1334o2=79;;<g:6?1334o2?79;;<g:0?1334o2979;;<g:3?1334o2:79;;<g:f?1334o2m79;;<gb4?1334o2j79;;<gb0?1334oj?79;;<gb<?1334oj;79;;<gbg?1334ojn79;;<ga4?1334ojj79;;|q060<72kkpR><:;<:e4?0d342nj78l;<7ae?0d34?in78l;<7`5?0d34?h>78l;<7`7?0d34?h878l;<7`1?0d34?h:78l;<7`3?0d34?h478l;<7ag?0d34?ih78l;<7aa?0d34?ij78l;<7`4?0d34?<=78l;<746?0d34?<478l;<74=?0d34?<m78l;<74f?0d34?<o78l;<74`?0d34?<i78l;<74b?0d34?<?78l;<740?0d34?<978l;<742?0d34?<;78l;<71<?0d34?9578l;<71b?0d34?8<78l;<705?0d34?8>78l;<707?0d34?8878l;<701?0d34?8:78l;<71e?0d34?9n78l;<71g?0d34?9h78l;<71a?0d342<o78l;<463?0d34<>:78l;<461?0d34<>878l;<467?0d34<>j78l;<46a?0d34<>h78l;<46g?0d34<>n78l;<46e?0d34<>578l;<46<?0d34<>>78l;<465?0d34<j478l;<4b3?0d34<j:78l;<4b1?0d34<j878l;<4a4?0d34<jj78l;<4ba?0d34<jh78l;<4bg?0d34<jn78l;<4be?0d34<j578l;<4b7?0d34<j>78l;<4`2?0d34<h978l;<4`0?0d34<h?78l;<4`6?0d34<hi78l;<4``?0d34<ho78l;<4`f?0d34<hm78l;<4`=?0d34<h478l;<4`3?0d34<h=78l;<4`4?0d34=?<78l;<50b?0d34=8i78l;<50`?0d34=8o78l;<57<?0d34=?;78l;<572?0d34=?978l;<570?0d34=??78l;<576?0d34=?=78l;<50f?0d34=8m78l;<:f7?0d342n>78l;<:f5?0d342n<78l;<:gb?0d342nn78l;<:fe?0d342n578l;<:f<?0d342n;78l;<:f2?0d342n978l;<:f0?0d342oi78l;<:g`?0d34o=o79<;<g43?1434o3>79<;<g;`?1434o2i79<;<ga7?1434oi879<;<ga1?1434oi:79<;<ga3?1434o=h79<;<g5a?1434o=j79<;<g44?1434o<=79<;<g46?1434o<?79<;<g40?1434o<979<;<g42?1434o<479<;<g4=?1434o<m79<;<g4f?1434o<o79<;<g4`?1434o<i79<;<g4b?1434o3<79<;<g;5?1434o3?79<;<g;0?1434o3979<;<g;2?1434o3;79<;<g;<?1434o3579<;<g;e?1434o3n79<;<g;g?1434o3i79<;<g;b?1434o2<79<;<g:5?1434o2>79<;<g:7?1434o2879<;<g:1?1434o2;79<;<g:2?1434o2n79<;<g:e?1434oj<79<;<g:b?1434oj879<;<gb7?1434oj479<;<gb3?1434ojo79<;<gbf?1434oi<79<;<gbb?143ty8n=4?:6y]7g6<5>:o6?k6;<7gg?7>;27<h44>959><21=909015;7:0;0?81a03;2>6s|3`g94?>|V:kn709?c;0f=>;2km02h63:dc82=6=:?o=1=4:4=6f;>4?1342<:7?65:?;12<61<1v\7f>ok:18;\7f[5fl27<<o4=e89>1fe=1m169io5181892`02838709k8;3:0>;???0:5>5284595<5<uz9jo7>58z\0ef=:?9k1>h74=4aa><b<5<n26<7<;<5e2?7><27<h:4>979><23=90?015;9:0;6?xu4ik0;65uQ3``8926>2;o270;la;;g?83c03;2?638f782=6=:?m=1=4:4=956>4?4342>:7?63:\7fp7g?=832pR>l6;<521?4b127>h;46d:?6a0<61:16;k;5186892b1283=70684;3:1>;?=<0:585rs2`;>5<?sW9i46381581a<=:=m?15i525d695<5<5>l>6<7<;<5g2?7><273;94>929><03=9090q~=m7;29<~X4j>16;<=52d;890b320n018k<:0;0?81a<3;28638d482=3=:0>91=4;4=977>4?23ty8n;4?:9y]7g0<5>;96?k6;<7g7??c34?n>7?63:?4b1<61:16;i;518689=142838706:4;3:7>{t;k?1<76t^2`6?816938n563:d38:`>;2m80:5>527g195<2<5>n?6<79;<:46?7>=2739>4>949~w6d32903wS=m4:?455<5m0169i?59e9>1`6=90901:h<:0;0?81c<3;286377382=6=:0<91=4=4}r1a7?6=0rT8n>5271d96`?<5<n;64j4=4fe>4?434=m>7?64:?4`6<61?164:?518789=35283>7p}<b383>=}Y;k801:>j:3g:?83dn33o70;ke;3:7>;0n;0:5>527e195<2<51=:6<7<;<:66?7>;2wx?o?50;:xZ6d634=;47<j9:?6g`<>l27>hi4>929>3c7=90>01:j=:0;5?8>083;296375082=0=z{:kj6=47{_1be>;08>09i4525b;9=a=:=m=1=4=4=6d2>4?434=o>7?64:?;35<61:1648?51818yv?429099vP<c19]=6=:=;o1>h74=663>2><5?:36<7<;<4e1?7>;273jk4>929><cd=90<015h;:0;0?8>a93;2?63:0g82=6=:0o<1=4;4=9d6>4?2342mi7?66:?;ba<61?164km518489=`>283?706i8;3:0>;?n:0:59528gc95<3<51l<6<7:;<;34?7>;273j?4>929>a<1=<j16i4854b9>a<d=<j16i4o54b9>ad6=<j16i4h54b9>ad2=<j16il=54b9>ad>=<j16il954b9>ade=<j16ill54b9>ag6=<j16ilh54b9~w<7=838=wS=me:\:5>;2:m09i4525g;9=a=:>9=1=4=4=7d5>4?434<m97?64:?;bc<61?164kl518189=`3283?706i1;3:0>;3n?0:5>528g495<5<51l>6<7<;<:ea?7>;273ji4>959><ce=90>015h6:0;5?8>a03;2:637f282=3=:0ok1=484=9d4>4?1343;<7?65:?;b7<61<16i4954`9>a<0=<h16i4l54`9>a<g=<h16il>54`9>a<`=<h16il:54`9>ad5=<h16il654`9>ad1=<h16ilm54`9>add=<h16io>54`9>ad`=<h1v\7f4>50;05\7f[5el2T2<63:2b81a<=:=o215i5261495<5<5?l<6<7<;<4e2?7><273jk4>959><cd=90>015h;:0;6?8>a93;2963;f582=6=:0o<1=4:4=9d6>4?1342mi7?65:?;ba<61:164km518789=`>2838706i8;3:1>;?n:0:58528gc95<2<51l<6<7<;<;34?7>>273j?4>959>a<1=<016i485489>a<d=<016i4o5489>ad6=<016i4h5489>ad2=<016il=5489>ad>=<016il95489>ade=<016ill5489>ag6=<016ilh5489~w=`=838=wS=mc:\;b>;2:k09i4525g59=a=:>9?1=4=4=7d;>4?434<m;7?64:?;bc<61<164kl518789=`3283=706i1;3:2>;3n;0:5>528g495<0<51l>6<7;;<:ea?7><273ji4>949><ce=909015h6:0;6?8>a03;2?637f282=6=:0ok1=4=4=9d4>4?3343;<7?64:?;b7<61?16i495499>a<0=<116i4l5499>a<g=<116il>5499>a<`=<116il:5499>ad5=<116il65499>ad1=<116ilm5499>add=<116io>5499>ad`=<11v\7f5k50;65\7f[5ej2T3i63:2`81a<=:=o<15i5261695<5<5?l26<7<;<4e<?7><27?j=4>929>a3e=<h16i:954`9>a=4=<h16i5j54`9>a<c=<h16io=54`9>ag2=<h16io;54`9>ag0=<h16io954`9>a3b=<h16i;k54`9>a3`=<h16i:>54`9>a27=<h16i:<54`9>a25=<h16i::54`9>a23=<h16i:854`9>a2>=<h16i:754`9>a2g=<h16i:l54`9>a2e=<h16i:j54`9>a2c=<h16i:h54`9>a=6=<h16i5?54`9>a=5=<h16i5:54`9>a=3=<h16i5854`9>a=1=<h16i5654`9>a=?=<h16i5o54`9>a=d=<h16i5m54`9>a=c=<h16i5h54`9>a<6=<h16i4?54`9>a<4=<h16i4=54`9>a<2=<h16i4;54`9>a<1=<>16i485469>a<d=<>16i4o5469>ad6=<>16i4h5469>ad2=<>16il=5469>ad>=<>16il95469>ade=<>16ill5469>ag6=<>16ilh5469~w<e=83>=wS=l9:\:g>;2;?09i4526109=a=:>8;1=4=4=7db>4?434<m57?64:?64=<61:16i;m5489>a21=<016i5<5489>a=b=<016i4k5489>ag5=<016io:5489>ag3=<016io85489>ag1=<016i;j5489>a3c=<016i;h5489>a26=<016i:?5489>a24=<016i:=5489>a22=<016i:;5489>a20=<016i:65489>a2?=<016i:o5489>a2d=<016i:m5489>a2b=<016i:k5489>a2`=<016i5>5489>a=7=<016i5=5489>a=2=<016i5;5489>a=0=<016i595489>a=>=<016i575489>a=g=<016i5l5489>a=e=<016i5k5489>a=`=<016i4>5489>a<7=<016i4<5489>a<5=<016i4:5489>a<3=<016i495549>a<0==<16i4l5549>a<g==<16il>5549>a<`==<16il:5549>ad5==<16il65549>ad1==<16ilm5549>add==<16io>5549>ad`==<1v\7f4l50;65\7f[5d02T2n63:3481a<=:>9;15i5260295<5<5?li6<7<;<4ee?7><27><;4>929>a3e=<116i:95499>a=4=<116i5j5499>a<c=<116io=5499>ag2=<116io;5499>ag0=<116io95499>a3b=<116i;k5499>a3`=<116i:>5499>a27=<116i:<5499>a25=<116i::5499>a23=<116i:85499>a2>=<116i:75499>a2g=<116i:l5499>a2e=<116i:j5499>a2c=<116i:h5499>a=6=<116i5?5499>a=5=<116i5:5499>a=3=<116i585499>a=1=<116i565499>a=?=<116i5o5499>a=d=<116i5m5499>a=c=<116i5h5499>a<6=<116i4?5499>a<4=<116i4=5499>a<2=<116i4;5499>a<1===16i485559>a<d===16i4o5559>ad6===16i4h5559>ad2===16il=5559>ad>===16il95559>ade===16ill5559>ag6===16ilh5559~w<g=83>=wS=l7:\:e>;2;=09i4526129=a=:>9l1=4=4=7d`>4?434<mn7?64:?641<61:16i;m5469>a21=<>16i5<5469>a=b=<>16i4k5469>ag5=<>16io:5469>ag3=<>16io85469>ag1=<>16i;j5469>a3c=<>16i;h5469>a26=<>16i:?5469>a24=<>16i:=5469>a22=<>16i:;5469>a20=<>16i:65469>a2?=<>16i:o5469>a2d=<>16i:m5469>a2b=<>16i:k5469>a2`=<>16i5>5469>a=7=<>16i5=5469>a=2=<>16i5;5469>a=0=<>16i595469>a=>=<>16i575469>a=g=<>16i5l5469>a=e=<>16i5k5469>a=`=<>16i4>5469>a<7=<>16i4<5469>a<5=<>16i4:5469>a<3=<>16i495529>a<0==:16i4l5529>a<g==:16il>5529>a<`==:16il:5529>ad5==:16il65529>ad1==:16ilm5529>add==:16io>5529>ad`==:1v\7f4750;65\7f[5d>2T2563:3281a<=:=ol15i5261g95<5<5?lo6<7<;<4eg?7><27><?4>929>a3e==<16i:95549>a=4==<16i5j5549>a<c==<16io=5549>ag2==<16io;5549>ag0==<16io95549>a3b==<16i;k5549>a3`==<16i:>5549>a27==<16i:<5549>a25==<16i::5549>a23==<16i:85549>a2>==<16i:75549>a2g==<16i:l5549>a2e==<16i:j5549>a2c==<16i:h5549>a=6==<16i5?5549>a=5==<16i5:5549>a=3==<16i585549>a=1==<16i565549>a=?==<16i5o5549>a=d==<16i5m5549>a=c==<16i5h5549>a<6==<16i4?5549>a<4==<16i4=5549>a<2==<16i4;5549>a<1==;16i485539>a<d==;16i4o5539>ad6==;16i4h5539>ad2==;16il=5539>ad>==;16il95539>ade==;16ill5539>ag6==;16ilh5539~w<>=83>=wS=l5:\:<>;2;;09i4525gg9=a=:>9n1=4=4=7df>4?434<mh7?64:?645<61:16i;m5559>a21===16i5<5559>a=b===16i4k5559>ag5===16io:5559>ag3===16io85559>ag1===16i;j5559>a3c===16i;h5559>a26===16i:?5559>a24===16i:=5559>a22===16i:;5559>a20===16i:65559>a2?===16i:o5559>a2d===16i:m5559>a2b===16i:k5559>a2`===16i5>5559>a=7===16i5=5559>a=2===16i5;5559>a=0===16i595559>a=>===16i575559>a=g===16i5l5559>a=e===16i5k5559>a=`===16i4>5559>a<7===16i4<5559>a<5===16i4:5559>a<3===16i495509>a<0==816i4l5509>a<g==816il>5509>a<`==816il:5509>ad5==816il65509>ad1==816ilm5509>add==816io>5509>ad`==81v\7f4950;65\7f[5d<2T2;63:3081a<=:=on15i5261a95<5<5?lm6<7<;<4ea?7><27?jh4>929>a3e==:16i:95529>a=4==:16i5j5529>a<c==:16io=5529>ag2==:16io;5529>ag0==:16io95529>a3b==:16i;k5529>a3`==:16i:>5529>a27==:16i:<5529>a25==:16i::5529>a23==:16i:85529>a2>==:16i:75529>a2g==:16i:l5529>a2e==:16i:j5529>a2c==:16i:h5529>a=6==:16i5?5529>a=5==:16i5:5529>a=3==:16i585529>a=1==:16i565529>a=?==:16i5o5529>a=d==:16i5m5529>a=c==:16i5h5529>a<6==:16i4?5529>a<4==:16i4=5529>a<2==:16i4;5529>a<1==916i485519>a<d==916i4o5519>ad6==916i4h5519>ad2==916il=5519>ad>==916il95519>ade==916ill5519>ag6==916ilh5519~w<0=83>=wS=l3:\:2>;2;909i4525ga9=a=:>9h1=4=4=623>4?434<mj7?64:?7bf<61:16i;m5539>a21==;16i5<5539>a=b==;16i4k5539>ag5==;16io:5539>ag3==;16io85539>ag1==;16i;j5539>a3c==;16i;h5539>a26==;16i:?5539>a24==;16i:=5539>a22==;16i:;5539>a20==;16i:65539>a2?==;16i:o5539>a2d==;16i:m5539>a2b==;16i:k5539>a2`==;16i5>5539>a=7==;16i5=5539>a=2==;16i5;5539>a=0==;16i595539>a=>==;16i575539>a=g==;16i5l5539>a=e==;16i5k5539>a=`==;16i4>5539>a<7==;16i4<5539>a<5==;16i4:5539>a<3==;16i4954g9>a<0=<o16i4l54g9>a<g=<o16il>54g9>a<`=<o16il:54g9>ad5=<o16il654g9>ad1=<o16ilm54g9>add=<o16io>54g9>ad`=<o1v\7f4;50;65\7f[5d:2T2963:2g81a<=:=oh15i5261c95<5<5>::6<7<;<534?7><27?jl4>929>a3e==816i:95509>a=4==816i5j5509>a<c==816io=5509>ag2==816io;5509>ag0==816io95509>a3b==816i;k5509>a3`==816i:>5509>a27==816i:<5509>a25==816i::5509>a23==816i:85509>a2>==816i:75509>a2g==816i:l5509>a2e==816i:j5509>a2c==816i:h5509>a=6==816i5?5509>a=5==816i5:5509>a=3==816i585509>a=1==816i565509>a=?==816i5o5509>a=d==816i5m5509>a=c==816i5h5509>a<6==816i4?5509>a<4==816i4=5509>a<2==816i4;5509>a<1=<l16i4854d9>a<d=<l16i4o54d9>ad6=<l16i4h54d9>ad2=<l16il=54d9>ad>=<l16il954d9>ade=<l16ill54d9>ag6=<l16ilh54d9~w<2=83>=wS=l1:\:0>;2:009i4525gc9=a=:>931=4=4=621>4?434=;=7?64:?7b=<61:16i;m5519>a21==916i5<5519>a=b==916i4k5519>ag5==916io:5519>ag3==916io85519>ag1==916i;j5519>a3c==916i;h5519>a26==916i:?5519>a24==916i:=5519>a22==916i:;5519>a20==916i:65519>a2?==916i:o5519>a2d==916i:m5519>a2b==916i:k5519>a2`==916i5>5519>a=7==916i5=5519>a=2==916i5;5519>a=0==916i595519>a=>==916i575519>a=g==916i5l5519>a=e==916i5k5519>a=`==916i4>5519>a<7==916i4<5519>a<5==916i4:5519>a<3==916i4954e9>a<0=<m16i4l54e9>a<g=<m16il>54e9>a<`=<m16il:54e9>ad5=<m16il654e9>ad1=<m16ilm54e9>add=<m16io>54e9>ad`=<m1v\7f5j50;66\7f[5ei2T3h63:2981a<=:=o?15i5261195<5<5>:96<7;;<73=?7>:27n:n4;f:?f32<3n27n4?4;f:?f<a<3n27n5h4;f:?ff6<3n27nn94;f:?ff0<3n27nn;4;f:?ff2<3n27n:i4;f:?f2`<3n27n:k4;f:?f35<3n27n;<4;f:?f37<3n27n;>4;f:?f31<3n27n;84;f:?f33<3n27n;54;f:?f3<<3n27n;l4;f:?f3g<3n27n;n4;f:?f3a<3n27n;h4;f:?f3c<3n27n4=4;f:?f<4<3n27n4>4;f:?f<1<3n27n484;f:?f<3<3n27n4:4;f:?f<=<3n27n444;f:?f<d<3n27n4o4;f:?f<f<3n27n4h4;f:?f<c<3n27n5=4;f:?f=4<3n27n5?4;f:?f=6<3n27n594;f:?f=0<3n27n5:4;6:?f=3<3>27n5o4;6:?f=d<3>27nm=4;6:?f=c<3>27nm94;6:?fe6<3>27nm54;6:?fe2<3>27nmn4;6:?feg<3>27nn=4;6:?fec<3>2wx?;>50;7xZ60734>n97<j9:?4`<<61:164:95186891e128387p}<5d83>0}Y;<o019k;:3g:?81c03;296377782=3=:<hn1=4=4}r16`?6==rT89i524d196`?<5>n36<7<;<:42?7><27?mo4>929~w63d290>wS=:c:?7a7<5m016;i9518789=12283=70:n9;3:7>{t;<h1<7;t^27a?82b938n5638d682=6=:0>?1=4:4=5c4>4?43ty8:44?:4y]73?<5=oo6?k6;<5g2?7>=273;94>979>0g`=9090q~=98;291~X4>1168hm52d;892b1283870684;3:0>;3jm0:5>5rs244>5<2sW9=;63;ec81a<=:?m?1=4;4=950>4?134>in7?63:\7fp730=83?pR>89;<6fe?4b127<h84>929><25=90>019l6:0;0?xu4><0;68uQ377891c>2;o2709k4;3:1>;??;0:5;524c595<5<uz9=87>55z\021=:<l21>h74=6f7>4?4342<>7?64:?7f0<61:1v\7f>8<:186\7f[51;27?i:4=e89>3a5=90?0159>:0;5?82e;3;2?6s|37094?3|V:<970:j6;0f=>;0l:0:5>5286395<2<5=h:6<7<;|q024<72<qU?;?4=5g3>7c>34=o>7?65:?;35<61?168lh51818yv52i3:19vP<5`9>0a`=:l301:j=:0;0?8>083;2863;c182=7=z{191<7<n{_144>X?;27?5n4=e89>2=g=?1169im518689fgb2>201;=i:0;0?8>203;286361`82=1=:18=1=484=835>4?1343:97?66:?:57<61=165<?518689<77283?707?f;3:0>;>8l0:595291a95<2<50:36<7;;<;32?7><27?;i4>929>a<1==j16i4855b9>a<d==j16i4o55b9>ad6==j16i4h55b9>ad2==j16il=55b9>ad>==j16il955b9>ade==j16ill55b9>ag6==j16ilh55b9>=4?=90?014?;:0;7?8?7j3;286360482=6=:m?<1=4;4=d42>4?234o=?7?63:\7fp<4<72;kpR>8j;_:2?82>j38n563:dc82=1=:khn1;55265295<5<5?9m6<7;;<:63?7>>272=l4>979>=41=909014?9:0;0?8?6=3;2?6361382=6=:18;1=4=4=833>4?4343;j7?66:?:4`<61?165=m518489<6?283=707?6;3:2>;3>=0:5>52e7295<3<5l<>6<7:;<g:3?3f34o2:7;n;<g:f?3f34o2m7;n;<gb4?3f34o2j7;n;<gb0?3f34oj?7;n;<gb<?3f34oj;7;n;<gbg?3f34ojn7;n;<ga4?3f34ojj7;n;<;2=?7><272=94>949>=5d=90?014>::0;7?8c1;3;286s|8183>77|V:<o7S6?;<6:e?4b127>hl4>959>gde=?116:9?518189327283?706:7;3:0>;3>;0:5>52e7295<2<5l<>6<7;;<g:3?3>34o2:7;6;<g:f?3>34o2m7;6;<gb4?3>34o2j7;6;<gb0?3>34oj?7;6;<gb<?3>34oj;7;6;<gbg?3>34ojn7;6;<ga4?3>34ojj7;6;<;3`?7>;272<44>929>=51=90>014?6:0;0?8?6<3;2?6360c82=6=:19?1=4;4=d40>4?23ty<j7>520y]73e<V>l01976:3g:?83c13;2863lac84<>;1<;0:5>5265395<2<51?=6<79;<654?7>;27n:=4>929>a33=90901h78:4:89`?12<201h7m:4:89`?f2<201ho?:4:89`?a2<201ho;:4:89`g42<201ho7:4:89`g02<201hol:4:89`ge2<201hl?:4:89`ga2<2014>k:0;7?8?713;286360682=6=:1831=484=837>4?1343;n7?66:?:40<61?16i;=51848yv1b290?:vP<6c9]3`=:<021>h74=4f;>4?334<??7?63:?507<61=1648851868913b283870k9c;7b?8c0?3?j70k72;7b?8c?l3?j70k6e;7b?8ce;3?j70km4;7b?8ce=3?j70km6;7b?8ce?3?j70k9d;7b?8c1m3?j70k9f;7b?8c083?j70k81;7b?8c0:3?j70k83;7b?8c0<3?j70k85;7b?8c0>3?j70k88;7b?8c013?j70k8a;7b?8c0j3?j70k8c;7b?8c0l3?j70k8e;7b?8c0n3?j70k70;7b?8c?93?j70k73;7b?8c?<3?j70k75;7b?8c?>3?j70k77;7b?8c?03?j70k79;7b?8c?i3?j70k7b;7b?8c?k3?j70k7e;7b?8c?n3?j70k60;7b?8c>93?j70k62;7b?8c>;3?j70k64;7b?8c>=3?j70k67;74?8c>>3?<70k6b;74?8c>i3?<70kn0;74?8c>n3?<70kn4;74?8cf;3?<70kn8;74?8cf?3?<70knc;74?8cfj3?<70km0;74?8cfn3?<7p}7c;2903}Y;>30R5m4=5c7>7c>34?n97?64:?501<61:16:9=518689=32283=70:86;3:7>;b>j0>563j7686=>;b0;0>563j8e86=>;b1l0>563jb286=>;bj=0>563jb486=>;bj?0>563jb686=>;b>m0>563j6d86=>;b>o0>563j7186=>;b?80>563j7386=>;b?:0>563j7586=>;b?<0>563j7786=>;b?10>563j7886=>;b?h0>563j7c86=>;b?j0>563j7e86=>;b?l0>563j7g86=>;b090>563j8086=>;b0:0>563j8586=>;b0<0>563j8786=>;b0>0>563j8986=>;b000>563j8`86=>;b0k0>563j8b86=>;b0l0>563j8g86=>;b190>563j9086=>;b1;0>563j9286=>;b1=0>563j9486=>;b1>0=963j97851>;b1k0=963j9`851>;bi90=963j9g851>;bi=0=963ja2851>;bi10=963ja6851>;bij0=963jac851>;bj90=963jag851>{t0k0;698t^25;?[>e34>j?7<j9:?6a1<61=16:9;518189323283?706:5;3:0>;3?=0:5>52e7a91==:m>=19552e9091==:m1n19552e8g91==:mk919552ec691==:mk?19552ec491==:mk=19552e7f91==:m?o19552e7d91==:m>:19552e6391==:m>819552e6191==:m>>19552e6791==:m><19552e6:91==:m>319552e6c91==:m>h19552e6a91==:m>n19552e6g91==:m>l19552e9291==:m1;19552e9191==:m1>19552e9791==:m1<19552e9591==:m1219552e9;91==:m1k19552e9`91==:m1i19552e9g91==:m1l19552e8291==:m0;19552e8091==:m0919552e8691==:m0?19552e85921=:m0<1:952e8`921=:m0k1:952e`2921=:m0l1:952e`6921=:mh91:952e`:921=:mh=1:952e`a921=:mhh1:952ec2921=:mhl1:95rs9c94?21sW9<;6P7a:?7e7<5m0169h=5186893212838708;5;3:0>;?==0:5;5246095<5<5l<h6894=d54>01<5l296894=d:g>01<5l3n6894=d`0>01<5lh?6894=d`6>01<5lh=6894=d`4>01<5l<o6894=d4f>01<5l<m6894=d53>01<5l=:6894=d51>01<5l=86894=d57>01<5l=>6894=d55>01<5l=36894=d5:>01<5l=j6894=d5a>01<5l=h6894=d5g>01<5l=n6894=d5e>01<5l2;6894=d:2>01<5l286894=d:7>01<5l2>6894=d:5>01<5l2<6894=d:;>01<5l226894=d:b>01<5l2i6894=d:`>01<5l2n6894=d:e>01<5l3;6894=d;2>01<5l396894=d;0>01<5l3?6894=d;6>01<5l3<6;=4=d;5>35<5l3i6;=4=d;b>35<5lk;6;=4=d;e>35<5lk?6;=4=dc0>35<5lk36;=4=dc4>35<5lkh6;=4=dca>35<5lh;6;=4=dce>35<uz226=4;6z\033=Y00168l?52d;890c5283?708;7;3:7>;1<?0:595284695<2<5==;6<7<;<g5g?0234o<;78:;<g;6?0234o3h78:;<g:a?0234oi?78:;<ga0?0234oi978:;<ga2?0234oi;78:;<g5`?0234o=i78:;<g5b?0234o<<78:;<g45?0234o<>78:;<g47?0234o<878:;<g41?0234o<:78:;<g4<?0234o<578:;<g4e?0234o<n78:;<g4g?0234o<h78:;<g4a?0234o<j78:;<g;4?0234o3=78:;<g;7?0234o3878:;<g;1?0234o3:78:;<g;3?0234o3478:;<g;=?0234o3m78:;<g;f?0234o3o78:;<g;a?0234o3j78:;<g:4?0234o2=78:;<g:6?0234o2?78:;<g:0?0234o2978:;<g:3?0534o2:78=;<g:f?0534o2m78=;<gb4?0534o2j78=;<gb0?0534oj?78=;<gb<?0534oj;78=;<gbg?0534ojn78=;<ga4?0534ojj78=;|q;<?6=<?qU?:;4^9:891g72;o270;j1;3:0>;1<10:5>5265595<2<51?86<79;<65a?7>;27n:n494:?f32<1<27n4?494:?f<a<1<27n5h494:?ff6<1<27nn9494:?ff0<1<27nn;494:?ff2<1<27n:i494:?f2`<1<27n:k494:?f35<1<27n;<494:?f37<1<27n;>494:?f31<1<27n;8494:?f33<1<27n;5494:?f3<<1<27n;l494:?f3g<1<27n;n494:?f3a<1<27n;h494:?f3c<1<27n4=494:?f<4<1<27n4>494:?f<1<1<27n48494:?f<3<1<27n4:494:?f<=<1<27n44494:?f<d<1<27n4o494:?f<f<1<27n4h494:?f<c<1<27n5=494:?f=4<1<27n5?494:?f=6<1<27n59494:?f=0<1<27n5:491:?f=3<1927n5o491:?f=d<1927nm=491:?f=c<1927nm9491:?fe6<1927nm5491:?fe2<1927nmn491:?feg<1927nn=491:?fec<192wx4:4?:54xZ6133W2<70:6f;0f=>;2m90:595265;95<5<5?>36<7;;<:67?7><27?:n4>929>a3e=>:16i:95629>a=4=>:16i5j5629>a<c=>:16io=5629>ag2=>:16io;5629>ag0=>:16io95629>a3b=>:16i;k5629>a3`=>:16i:>5629>a27=>:16i:<5629>a25=>:16i::5629>a23=>:16i:85629>a2>=>:16i:75629>a2g=>:16i:l5629>a2e=>:16i:j5629>a2c=>:16i:h5629>a=6=>:16i5?5629>a=5=>:16i5:5629>a=3=>:16i585629>a=1=>:16i565629>a=?=>:16i5o5629>a=d=>:16i5m5629>a=c=>:16i5h5629>a<6=>:16i4?5629>a<4=>:16i4=5629>a<2=>:16i4;5629>a<1=>916i485619>a<d=>916i4o5619>ad6=>916i4h5619>ad2=>916il=5619>ad>=>916il95619>ade=>916ill5619>ag6=>916ilh5619~w=0=83>=wS=83:\;2>;31l09i4525ed95<2<5?>j6<7<;<47=?7><2739?4>979>03g=90901h8l:7089`102?801h6=:7089`>c2?801h7j:7089`d42?801hl;:7089`d22?801hl9:7089`d02?801h8k:7089`0b2?801h8i:7089`172?801h9>:7089`152?801h9<:7089`132?801h9::7089`112?801h97:7089`1>2?801h9n:7089`1e2?801h9l:7089`1c2?801h9j:7089`1a2?801h6?:7089`>62?801h6<:7089`>32?801h6::7089`>12?801h68:7089`>?2?801h66:7089`>f2?801h6m:7089`>d2?801h6j:7089`>a2?801h7?:7089`?62?801h7=:7089`?42?801h7;:7089`?22?801h78:4d89`?12<l01h7m:4d89`?f2<l01ho?:4d89`?a2<l01ho;:4d89`g42<l01ho7:4d89`g02<l01hol:4d89`ge2<l01hl?:4d89`ga2<l0q~6::1872~X4?;1U485248f96`?<5<nn6<7;;<47f?7>;27=8l4>959><04=90>01987:0;0?8c1k3<:70k87;42?8c?:3<:70k7d;42?8c>m3<:70km3;42?8ce<3<:70km5;42?8ce>3<:70km7;42?8c1l3<:70k9e;42?8c1n3<:70k80;42?8c093<:70k82;42?8c0;3<:70k84;42?8c0=3<:70k86;42?8c003<:70k89;42?8c0i3<:70k8b;42?8c0k3<:70k8d;42?8c0m3<:70k8f;42?8c?83<:70k71;42?8c?;3<:70k74;42?8c?=3<:70k76;42?8c??3<:70k78;42?8c?13<:70k7a;42?8c?j3<:70k7c;42?8c?m3<:70k7f;42?8c>83<:70k61;42?8c>:3<:70k63;42?8c><3<:70k65;42?8c>?3?n70k66;7f?8c>j3?n70k6a;7f?8cf83?n70k6f;7f?8cf<3?n70kn3;7f?8cf03?n70kn7;7f?8cfk3?n70knb;7f?8ce83?n70knf;7f?xu?<3:18;uQ3638Z=2<5=3<6?k6;<7g`?7><27=8n4>929>21d=90>015;>:0;5?821>3;2?63j6b854>;b?>0=<63j83854>;b0m0=<63j9d854>;bj:0=<63jb5854>;bj<0=<63jb7854>;bj>0=<63j6e854>;b>l0=<63j6g854>;b?90=<63j70854>;b?;0=<63j72854>;b?=0=<63j74854>;b??0=<63j79854>;b?00=<63j7`854>;b?k0=<63j7b854>;b?m0=<63j7d854>;b?o0=<63j81854>;b080=<63j82854>;b0=0=<63j84854>;b0?0=<63j86854>;b010=<63j88854>;b0h0=<63j8c854>;b0j0=<63j8d854>;b0o0=<63j91854>;b180=<63j93854>;b1:0=<63j95854>;b1<0=<63j9686`>;b1?0>h63j9c86`>;b1h0>h63ja186`>;b1o0>h63ja586`>;bi:0>h63ja986`>;bi>0>h63jab86`>;bik0>h63jb186`>;bio0>h6s|7e83>13|V:<j7S9k;<6:2?4b127>h:4>959>21e=90>015;>:0;7?820?3;2>63j6b86b>;b?>0>j63j8386b>;b0m0>j63j9d86b>;bj:0>j63jb586b>;bj<0>j63jb786b>;bj>0>j63j6e86b>;b>l0>j63j6g86b>;b?90>j63j7086b>;b?;0>j63j7286b>;b?=0>j63j7486b>;b??0>j63j7986b>;b?00>j63j7`86b>;b?k0>j63j7b86b>;b?m0>j63j7d86b>;b?o0>j63j8186b>;b080>j63j8286b>;b0=0>j63j8486b>;b0?0>j63j8686b>;b010>j63j8886b>;b0h0>j63j8c86b>;b0j0>j63j8d86b>;b0o0>j63j9186b>;b180>j63j9386b>;b1:0>j63j9586b>;b1<0>j63j96862>;b1?0>:63j9c862>;b1h0>:63ja1862>;b1o0>:63ja5862>;bi:0>:63ja9862>;bi>0>:63jab862>;bik0>:63jb1862>;bio0>:6s|39294?1|V:2;708:7;0f=>;1810:595280595<2<51hj6<7;;<::f?7><273?;4>939~w61b290<wS=8e:?513<5m016:=9518689=52283?706>6;3:2>;?j00:5;5288c95<0<uz9<h7>57z\03a=:><?1>h74=725>4?3342897?63:?;53<61=164o7518689=?f283?7p}<7b83>2}Y;>i01;;;:3g:?807=3;286373582=1=:08?1=484=9`;>4?1342257?66:\7fp72d=83=pR>9m;<467?4b127=<94>959><62=909015?::0;7?8>e03;286379882=1=z{:226=48{_1;=>;1=o09i45260395<2<51986<7;;<:20?7>>273n:4>979><<>=90<0q~=78;293~X40116:8k52d;89377283?706<3;3:7>;?9=0:59528c595<2<51336<7;;|q0<2<72>qU?594=77g>7c>34<;j7?64:?;77<61=164<=518489=d1283=70667;3:2>{t;1<1<79t^2:5?802k38n56390d82=1=:0:81=4=4=930>4?3342i:7?64:?;=2<61=1v\7f>6::184\7f[5?=27=9o4=e89>25b=90>015=>:0;7?8>6:3;2:637b482=3=:00<1=484}r1;0?6=?rT8495264c96`?<5?:h6<7;;<:05?7>;273=?4>959><g3=90>01579:0;7?xu40:0;6:uQ3918933>2;o2708?b;3:0>;?;90:595280395<0<51h?6<79;<::1?7>>2wx?5<50;5xZ6>534<>47<j9:?54d<61=164>>518189=76283?706m4;3:0>;?1<0:595rs2:2>5<0sW93=6395381a<=:>931=4:4=90e>4?3342:<7?66:?;f6<61?1644:51848yv50i3:1;vP<7`9>207=:l301;><:0;7?8>5n3;2?6371182=1=:0k91=4:4=9;7>4?33ty8>n4?:3y]77e<51o86?k6;|q06d<72;qU??o4=9g1>7c>3ty8>44?:3y]77?<51o:6?k6;|q06=<72;qU??64=9g3>7c>3ty8>:4?:3y]771<51nm6?k6;|q070<72;qU?>;4=9ga>7c>3ty8?94?:3y]762<51oj6?k6;|q076<72;qU?>=4=9g:>7c>3ty8??4?:3y]764<51o36?k6;|q074<72;qU?>?4=9g4>7c>3ty8?=4?:3y]766<51o=6?k6;|q06c<72;qU??h4=9g6>7c>3ty8>h4?:3y]77c<51o?6?k6;|q06a<72;qU??j4=9ff>7c>3ty8>;4?:3y]770<51no6?k6;|q0=5<72=qU?4>4=454>7c>3422n7?63:?61=<61:1v\7f>6j:187\7f[5?m27>;;4=e89><<g=90?018=i:0;0?xu40m0;69uQ39f890122;o27066a;3:7>;2;m0:5>5rs2:`>5<3sW93o63:7581a<=:0031=4;4=41a>4?43ty84o4?:5y]7=d<5<=86?k6;<::=?7>;27>?44>929~w6?>290?wS=69:?63c<5m01644651878903628387p}<9983>1}Y;020189j:3g:?8>>03;2?63:4g82=6=z{:3<6=4;{_1:3>;2?m09i45288595<3<5<>o6<7<;|q0=3<72=qU?484=45`>7c>3422;7?63:?60g<61:1v\7f>7::187\7f[5>=27>;o4=e89><<0=90?018:6:0;0?xu41=0;69uQ3868901f2;o270666;3:7>;2<>0:5>5rs2;0>5<3sW92?63:7881a<=:00?1=4;4=466>4?43ty85?4?:5y]7<4<5<=36?k6;<::1?7>;27>8>4>929~w6?6290?wS=61:?637<5m01644:51878902628387p}<8`83>1}Y;1k0189>:3g:?8>><3;2?63:5382=7=z{:k;6=4:{_1b4>;2k909i45280595<5<51hj6<7<;<7b5?7>;2wx?4k50;7xZ6?b34?ij7<j9:?;53<61<164o75187890>?28387p}<9e83>0}Y;0n018lj:3g:?8>6>3;2?637b882=6=:=1<1=4=4}r1:g?6==rT85n525cf96`?<51;>6<7:;<:a<?7>=27>494>929~w6?e290>wS=6b:?6ff<5m0164<;518189=d?283870;72;3:7>{t;h31<7;t^2c:?83d038n56371582=0=:0k=1=4;4=4;b>4?43ty8m54?:4y]7d><5<i<6?k6;<:20?7>;273n:4>929>1<>=9090q~=n7;291~X4i>169n852d;89=74283>706m6;3:1>;21?0:5>5rs2c5>5<2sW9j:63:c481a<=:0891=4=4=9`5>4?434?287?63:\7fp7d3=83?pR>o:;<7`0?4b1273=?4>949><g3=90?0187=:0;0?xu4i=0;68uQ3`6890e42;o2706>2;3:7>;?j<0:5>5258295<5<uz9j?7>55z\0e6=:=j81>h74=932>4?2342i87?65:?6<`<61:1v\7f>o=:186\7f[5f:27>o<4=e89><47=909015l;:0;0?83?k3;2?6s|3`394?3|V:k:70;mb;0f=>;?990:58528c195<3<5<2j6<7<;|q0=d<72<qU?4o4=4`b>7c>342:<7?63:?;f6<61:1694l51808yv70>3:1>vP>779>=1c=:>l0q~?84;296~X6?=1659j526d8yv70;3:1>vP>729>=1e=:>l0q~?82;296~X6?;1659l526d8yv7083:1>vP>719>=1?=:>l0q~?9f;296~X6>o16599526d8yv71m3:1>vP>6d9>=10=:>l0q~?9d;296~X6>m1659;526d8yv71k3:1>vP>6b9>=12=:>l0q~?9b;296~X6>k1659=526d8yv71i3:1>vP>6`9>=14=:>l0q~?99;296~X6>01659?526d8yv7103:1>vP>699>=16=:>l0q~?97;296~X6>>165>h526d8yv71=3:1>vP>649>=0e=:>l0q~?94;296~X6>=1658l526d8yv71;3:1>vP>629>=0g=:>l0q~?92;296~X6>;16587526d8yv7193:1>vP>609>=0>=:>l0q~?90;296~X6>916589526d8yv72n3:1>vP>5g9>=05=:>l0q~?:e;296~X6=l16596526d8yv72l3:1>vP>5e9>=6b=:>l0q~?:c;296~X6=j165>m526d8yv70l3:1>vP>7e9>=00=:>l0q~?8c;296~X6?j1658;526d8yv70j3:1>vP>7c9>=02=:>l0q~?8a;296~X6?h1658<526d8yv7013:1>vP>789>=07=:>l0q~?88;296~X6?11658>526d8yv70?3:1>vP>769>=1`=:>l0q~?81;296~X6?81659o526d8yv71>3:1>vP>679>=6c=:>l0q~?:b;296~X6=k165>l526d8yv4a<3:1>vP=f59>3g7=:l30q~<i2;296~X5n;16;o>52d;8yv4a93:1>vP=f09>3d`=:l30q~<i0;296~X5n916;lk52d;8yv4bn3:1>vP=eg9>3db=:l30q~<id;296~X5nm16;o752d;8yv4ak3:1>vP=fb9>3g>=:l30q~<ib;296~X5nk16;o952d;8yv4ai3:1>vP=f`9>3g0=:l30q~<i9;296~X5n016;o;52d;8yv4a03:1>vP=f99>3g2=:l30q~<i7;296~X5n>16;o=52d;8yv4a>3:1>vP=f79>3g4=:l30q~<i5;296~X5n<16;lm52d;8yv4bm3:1>vP=ed9>3dd=:l30q~hm:180\7f[`e34oi<77j;<gbb??b3tym57>53z\e=>;bij02i63jac8:a>{tn10;6>uQf99>ad>=1l16il959d9~wc1=839pRk94=dc7><c<5lk864k4}rd6>5<4sWl>70kn0;;f?8c>n33n7p}i4;297~Xa<27n5o46e:?f=d<>m2wxj>4?:2y]b6=:m0=15h52e849=`=z{o81<7?>{_d1?8c?=3;;?63j878246=:m1=1===4=d:;>46434o357??3:?f<d<68:16i5l511189`>d28:870k7e;337>;b0o0:<>52e829555<5l3:6<><;<g:6?77;27n5>4>029>a<2=99901h7::020?xua93:1=<uQf09>a=3=jj16i585bb9>a=1=jj16i565bb9>a=?=jj16i5o5bb9>a=d=jj16i5m5bb9>a=c=jj16i5h5bb9>a<6=jj16i4?5bb9>a<4=jj16i4=5bb9>a<2=jj16i4;5bb9~wc6=83;:wSh?;<g;1?de34o3:7lm;<g;3?de34o347lm;<g;=?de34o3m7lm;<g;f?de34o3o7lm;<g;a?de34o3j7lm;<g:4?de34o2=7lm;<g:6?de34o2?7lm;<g:0?de34o297lm;|qfb?6=98qUik52e979fd=:m1<1nl52e959fd=:m121nl52e9;9fd=:m1k1nl52e9`9fd=:m1i1nl52e9g9fd=:m1l1nl52e829fd=:m0;1nl52e809fd=:m091nl52e869fd=:m0?1nl5rsdg94?76sWon70k75;`:?8c?>3h270k77;`:?8c?03h270k79;`:?8c?i3h270k7b;`:?8c?k3h270k7e;`:?8c?n3h270k60;`:?8c>93h270k62;`:?8c>;3h270k64;`:?8c>=3h27p}jd;2954}Ymm16i5;5b99>a=0=j116i595b99>a=>=j116i575b99>a=g=j116i5l5b99>a=e=j116i5k5b99>a=`=j116i4>5b99>a<7=j116i4<5b99>a<5=j116i4:5b99>a<3=j11v\7fhm50;32\7f[cd34o397l;;<g;2?d334o3;7l;;<g;<?d334o357l;;<g;e?d334o3n7l;;<g;g?d334o3i7l;;<g;b?d334o2<7l;;<g:5?d334o2>7l;;<g:7?d334o287l;;<g:1?d33tynm7>510y]ad=:m1?1m452e949e<=:m1=1m452e9:9e<=:m131m452e9c9e<=:m1h1m452e9a9e<=:m1o1m452e9d9e<=:m0:1m452e839e<=:m081m452e819e<=:m0>1m452e879e<=z{l31<7?>{_g:?8c?=33n70k76;;f?8c??33n70k78;;f?8c?133n70k7a;;f?8c?j33n70k7c;;f?8c?m33n70k7f;;f?8c>833n70k61;;f?8c>:33n70k63;;f?8c><33n70k65;;f?xub03:1=<uQe99>a25=99901h9;:020?8c0=3;;?63j778246=:m>21===4=d5:>46434o<m7??3:?f3g<68:16i:m511189`1c28:870k8e;337>;b?o0:<>52e929555<5l2:6<><;<g;7?77;27n494>029~w`1=83;:wSk8;<g47?dd34o<87ll;<g41?dd34o<:7ll;<g4<?dd34o<57ll;<g4e?dd34o<n7ll;<g4g?dd34o<h7ll;<g4a?dd34o<j7ll;<g;4?dd34o3=7ll;<g;7?dd34o387ll;|qf2?6=98qUi;52e619fg=:m>>1no52e679fg=:m><1no52e6:9fg=:m>31no52e6c9fg=:m>h1no52e6a9fg=:m>n1no52e6g9fg=:m>l1no52e929fg=:m1;1no52e919fg=:m1>1no5rsd794?76sWo>70k83;`b?8c0<3hj70k85;`b?8c0>3hj70k88;`b?8c013hj70k8a;`b?8c0j3hj70k8c;`b?8c0l3hj70k8e;`b?8c0n3hj70k70;`b?8c?93hj70k73;`b?8c?<3hj7p}j4;2954}Ym=16i:=5b89>a22=j016i:;5b89>a20=j016i:65b89>a2?=j016i:o5b89>a2d=j016i:m5b89>a2b=j016i:k5b89>a2`=j016i5>5b89>a=7=j016i5=5b89>a=2=j01v\7fh=50;32\7f[c434o<?7l7;<g40?d?34o<97l7;<g42?d?34o<47l7;<g4=?d?34o<m7l7;<g4f?d?34o<o7l7;<g4`?d?34o<i7l7;<g4b?d?34o3<7l7;<g;5?d?34o3?7l7;<g;0?d?3tyn>7>510y]a7=:m>91n952e669f1=:m>?1n952e649f1=:m>21n952e6;9f1=:m>k1n952e6`9f1=:m>i1n952e6f9f1=:m>o1n952e6d9f1=:m1:1n952e939f1=:m191n952e969f1=z{l;1<7?>{_g2?8c0;3k270k84;c:?8c0=3k270k86;c:?8c003k270k89;c:?8c0i3k270k8b;c:?8c0k3k270k8d;c:?8c0m3k270k8f;c:?8c?83k270k71;c:?8c?;3k270k74;c:?xu68;0;6<?t^021?8c0;33n70k84;;f?8c0=33n70k86;;f?8c0033n70k89;;f?8c0i33n70k8b;;f?8c0k33n70k8d;;f?8c0m33n70k8f;;f?8c?833n70k71;;f?8c?;33n70k74;;f?xu6880;6<?t^022?8c1k3;;?63j768246=:m181===4=d:g>46434o2i7??3:?ff6<68:16io:511189`d228:870km6;337>;bj>0:<>52e7f9555<5l<n6<><;<g5b?77;27n;=4>029>a27=99901h9=:020?xu6890;6<?t^023?8c1k3hh70k87;``?8c?:3hh70k7d;``?8c>m3hh70km3;``?8ce<3hh70km5;``?8ce>3hh70km7;``?8c1l3hh70k9e;``?8c1n3hh70k80;``?8c093hh70k82;``?xuan3:1=<uQfg9>a3e=jk16i:95bc9>a=4=jk16i5j5bc9>a<c=jk16io=5bc9>ag2=jk16io;5bc9>ag0=jk16io95bc9>a3b=jk16i;k5bc9>a3`=jk16i:>5bc9>a27=jk16i:<5bc9~wcc=83;:wShj;<g5g?df34o<;7ln;<g;6?df34o3h7ln;<g:a?df34oi?7ln;<ga0?df34oi97ln;<ga2?df34oi;7ln;<g5`?df34o=i7ln;<g5b?df34o<<7ln;<g45?df34o<>7ln;|qe`?6=98qUji52e7a9f<=:m>=1n452e909f<=:m1n1n452e8g9f<=:mk91n452ec69f<=:mk?1n452ec49f<=:mk=1n452e7f9f<=:m?o1n452e7d9f<=:m>:1n452e639f<=:m>81n45rsga94?76sWlh70k9c;`;?8c0?3h370k72;`;?8c?l3h370k6e;`;?8ce;3h370km4;`;?8ce=3h370km6;`;?8ce?3h370k9d;`;?8c1m3h370k9f;`;?8c083h370k81;`;?8c0:3h37p}i6;2954}Yn?16i;m5b59>a21=j=16i5<5b59>a=b=j=16i4k5b59>ag5=j=16io:5b59>ag3=j=16io85b59>ag1=j=16i;j5b59>a3c=j=16i;h5b59>a26=j=16i:?5b59>a24=j=1v\7fhl50;32\7f[ce34o=o7o6;<g43?g>34o3>7o6;<g;`?g>34o2i7o6;<ga7?g>34oi87o6;<ga1?g>34oi:7o6;<ga3?g>34o=h7o6;<g5a?g>34o=j7o6;<g44?g>34o<=7o6;<g46?g>3tyn<7>510y]a5=:m?i15h52e659=`=:m1815h52e9f9=`=:m0o15h52ec19=`=:mk>15h52ec79=`=:mk<15h52ec59=`=:m?n15h52e7g9=`=:m?l15h52e629=`=:m>;15h52e609=`=z{8l26=4=0z?07g<50;1695>59e9>161=1m168l;59e9>0`c=1m1688m59e9><17=?;164;>5739><=2=?;164l=5739>3f4=?;16;h?5739><56=?;164<h5739>1`0=?;169n75739>244=?;169k;5739>a<1=91:01h79:0:3?8c>j3;3<63j9`82<5=:mh:1=5>4=d;e>4>734oj87?70:?fe6<60916il6519289`g0282;70knc;3;4>;bik0:4=52ec295=6<5lkm6<6?;|q;a`<72;q64hj5799><`c=:l30q~6jc;29f7}:0ln1>h74=9gf>27<5=nm6?69;<6f4?1234>n:79:;<6f3?1234>n479:;<6f=?1234>nm79:;<6ff?1234>no79:;<6f`?1234>n=79:;<6f6?1234>n?79:;<6f0?1234>n979:;<6:2?1234>2;79:;<6:`?1234>2i79:;<6:b?1234>j<79:;<6b5?1234>j>79:;<6b7?1234>j879:;<6:<?1234>2579:;<6:e?1234>2n79:;<6:g?12342857<76:?5<d<0=27=44485:?5<=<0=27=4:485:?5<3<0=27=5?485:?5=4<0=27=5=485:?5<c<0=27=4h485:?5<a<0=27=4n485:?5<g<0=27=48485:?5<1<0=27<<i485:?44f<0=27<<o485:?44d<0=27<<4485:?450<0=27<=9485:?456<0=27<=?485:?454<0=27<==485:?44c<0=27<<h485:?44=<0=27<<:485:?41`<0=27<9i485:?41f<0=27<9o485:?41d<0=27<:;485:?420<0=27<:9485:?426<0=27<:?485:?424<0=27<:=485:?41c<0=27<94485:?41=<0=27<;n485:?43g<0=27<;l485:?43<<0=27<;5485:?4<1<0=27<4>485:?4<7<0=27<4<485:?4<5<0=27<;k485:?43`<0=27<;i485:?432<0=27<;;485:?4f4<0=27<n=485:?4ec<0=27<mh485:?4ea<0=27<n4485:?4f=<0=27<n:485:?4f3<0=27<n8485:?4f1<0=27<n>485:?4f7<0=27<mn485:?4eg<0=27nn44>949>=4>=90?014?<:0;6?8?7i3;296360582=0=:m?81=4;4=d4`>66e34o<;7=?b:?f<7<48k16i5j531`89`?b2::i70km3;13f>;bj=08<o52ec7975d<5lh=6>>m;<ga3?57j27n:i4<0c9>a3c=;9h01h8i:22a?8c0839;n63j70804g=:m>81?=l4=d50>66e34o<87=?b:?f30<48k16i:8531`89`1?2::i70k89;13f>;b?h08<o52e6`975d<5l=h6>>m;<g4`?57j27n;h4<0c9>a2`=;9h01h6?:22a?8c?939;n63j82804g=:m1>1?=l4=d:6>66e34o3:7=?b:?f<2<48k16i56531`89`>>2::i70k7a;13f>;b0k08<o52e9a975d<5l2n6>>m;<g;b?57j27n5=4<0c9>a<7=;9h01h7=:22a?8c>;39;n63j95804g=:m0?1?=l4=d;4>66e34o2:7=?b:?f=g<48k16i4o531`89`g72::i70k6f;13f>;bi=08<o52e`1975d<5lk36>>m;<gb3?57j27nmn4<0c9>add=;9h01hl?:22a?8cfn39;n63j6782=3=:m?;1=484}r:fb?6=j0q64k>5709><``=:l3018ln:67890de2;2=70;l1;56?83d:3=>70;l3;56?83d<3=>70;l5;56?83d>3=>70;l7;56?83d03=>70;mc;56?83el3=>70;me;56?83en3=>70;l0;56?8309383:63:73841>;2?10<963:78841>;2?h0<963:7c841>;2?j0<963:7e841>;2?l0<963:7g841>;2?:0<963:75841>;2?<0<963:77841>;2?>0<963:29841>;2:00<963:2g841>;2;90<963:30841>;2;;0<963:32841>;2;=0<963:34841>;2;?0<963:2`841>;2:k0<963:2b841>;2:m0<963:2d841>;??j094;52645930=:><<1;852647930=:><>1;852641930=:><l1;85264g930=:><n1;85264a930=:><h1;85264c930=:><31;85264:930=:><81;852643930=:>h21;8526`5930=:>h<1;8526`7930=:>h>1;8526c2930=:>hl1;8526`g930=:>hn1;8526`a930=:>hh1;8526`c930=:>h31;8526`1930=:>h81;8526b4930=:>j?1;8526b6930=:>j91;8526b0930=:>jo1;8526bf930=:>ji1;8526b`930=:>jk1;8526b;930=:>j21;8526b5930=:>j;1;8526b2930=:?=:1;85272d930=:?:o1;85272f930=:?:i1;85275:930=:?==1;852754930=:?=?1;852756930=:?=91;852750930=:?=;1;85272`930=:?:k1;8528d1930=:0l81;8528d3930=:0l:1;8528ed930=:0lh1;8528dc930=:0l31;8528d:930=:0l=1;8528d4930=:0l?1;8528d6930=:0mo1;8528ef930=:m?i1?=o4=d54>66f34o3>7=?a:?f<a<48h16i4k531c89`d42::j70km4;13e>;bj<08<l52ec4975g<5lh<6>>n;<g5`?57i27n:h4<0`9>a3`=;9k01h9?:22b?8c0939;m63j73804d=:m>91?=o4=d57>66f34o<97=?a:?f33<48h16i:6531c89`1>2::j70k8a;13e>;b?k08<l52e6a975g<5l=o6>>n;<g4a?57i27n;k4<0`9>a=6=;9k01h6>:22b?8c?;39;m63j85804d=:m1?1?=o4=d:5>66f34o3;7=?a:?f<=<48h16i57531c89`>f2::j70k7b;13e>;b0j08<l52e9g975g<5l2m6>>n;<g:4?57i27n5<4<0`9>a<4=;9k01h7<:22b?8c><39;m63j94804d=:m0=1?=o4=d;5>66f34o2n7=?a:?f=d<48h16il>531c89`?a2::j70kn4;13e>;bi:08<l52e`:975g<5lk<6>>n;<gbg?57i27nmo4<0`9>ag6=;9k01hoi:22b?xu?n90;6?u28g296`?<51om6:64}r7bf?6=;r7>nl488:?6<5<48=1694l526d8yv3e93:1>v3:bc84<>;2i;09;k5rs4`1>5<5s4?h=797;<7b7?40n2wx9o=50;0x90e52>2018o;:35e?xu2j=0;6?u25b193==:=h?1>:h4}r7a1?6=:r7>o9488:?6e3<5?o1v\7f8l9:181\7f83d=3=370;n7;04b>{t=k=1<7<t=4a5>2><5<k36?9i;|q6f=<72;q69n95799>1d?=:>l0q~;m9;296~;2k10<463:a`813c=z{<kh6=4={<7ag?1?34?2o7<8f:\7fp1db=838p18lk:6:890?c2;=m7p}:ad83>7}:=ko1;55258g962`<uz?jj7>52z?6fc<0027>5k4=7g9~w0d72909w0;l0;5;?83f838<j6s|59294?5|5<2;6?9i;<7;=?1534?j>79=;|q6<d<72:q69575316890g528o<70;7a;04b>{t=131<7=t=4::>71a34?3n79=;<7b7?153ty>4n4?:2y>1=d=;9>018o<:0g4?83?k38<j6s|59`94?5|5<2i6?9i;<7;`?1534?j879=;|q6<`<72:q695j5316890g328o<70;7e;04b>{t=1n1<7=t=4:g>71a34?3j79=;<7b1?153ty>5=4?:2y>1=`=;9>018o::0g4?83>838<j6s|59d94?5|5<2m6?9i;<7:5?1534?j:79=;|q6=7<72:q694?5316890g128o<70;62;04b>{t=0;1<7=t=4;2>71a34?2?79=;<7b3?153ty>594?:2y>1<5=;9>018o8:0g4?83><38<j6s|58194?5|5<386?9i;<7:1?1534?j479=;|q6=3<72:q694;5316890g?28o<70;66;04b>{t=0?1<7=t=4;6>71a34?2;79=;<7b=?153ty>554?:2y>1<1=;9>018o6:0g4?83>038<j6s|58594?5|5<3<6?9i;<7:=?1534?jm79=;|q6=d<72:q69475316890gf28o<70;6a;04b>{t=031<7=t=4;:>71a34?3=79=;<7:g?153ty>4?4?:2y>1=7=;9>0187l:0g4?83?:38<j6s|59394?5|5<2:6?9i;<7;7?1534?2h79=;|q6<1<72:q695=5316890?c28o<70;74;04b>{t=191<7=t=4:0>71a34?3979=;<7:a?153ty>4;4?:2y>1=3=;9>0187j:0g4?83?>38<j6s|59794?5|5<2>6?9i;<7;3?1534?2j79=;|q6<=<72:q69595316890?a28o<70;78;04b>{t=1=1<7<t=4:4>71a34?j<79=;|q6e4<72;q69l>51d5890g62;=m7p}:6383>6}:=>;1;5525259752<5<?96?9i;|q62=<72;q69:<5799>10?=:>l0q~;99;296~;2?10<463:5`813c=z{<<j6=4={<74=?1?34?>n7<8f:\7fp13d=838p189n:6:8903d2;=m7p}:6b83>7}:=>h1;55254f962`<uz?=h7>52z?63f<0027>9h4=7g9~w00b2909w0;8d;5;?832n38<j6s|57d94?4|5<=n6:64=443>71a3ty>;=4?:3y>12`=?1169;?526d8yv31;3:1>v3:7284<>;2=:09;k5rs447>5<5s4?<8797;<760?40n2wx9;;50;0x90122>2018;::35e?xu2>?0;6?u256493==:=<<1>:h4}r753?6=:r7>;:488:?612<5?o1v\7f8=8:180\7f834?38<j63:41846>;2=00<>6s|55394?5|5<>;6>>;;<76=?7b?27>8<4=7g9~w0272908w0;;0;04b>;2<;0<>63:5`846>{t==91<7=t=461>66334?>m7?j7:?606<5?o1v\7f8:=:180\7f833:38<j63:45846>;2=k0<>6s|55794?5|5<>?6>>;;<76f?7b?27>884=7g9~w0232908w0;;4;04b>;2<?0<>63:5b846>{t===1<7=t=465>66334?>o7?j7:?602<5?o1v\7f8:9:180\7f833>38<j63:49846>;2=m0<>6s|55;94?5|5<>36>>;;<76`?7b?27>844=7g9~w02?2908w0;;8;04b>;2<h0<>63:5d846>{t==h1<7=t=46b>66334?>i7?j7:?60g<5?o1v\7f8:n:180\7f833i38<j63:4b846>;2=o0<>6s|55f94?5|5<>h6>>;;<76b?7b?27>8i4=7g9~w02d2908w0;;c;04b>;2<l0<>63:61846>{t==l1<7=t=46f>66334?=<7?j7:?60c<5?o1v\7f8:j:180\7f833m38<j63:51846>;2>80<>6s|54394?5|5<?;6>>;;<755?7b?27>9<4=7g9~w0372908w0;:0;04b>;2;10<>63:52846>{t=:31<7=t=41;>66334?>?7?j7:?67<<5?o1v\7f8=7:180\7f834038<j63:3`846>;2==0<>6s|52`94?5|5<9j6>>;;<760?7b?27>?o4=7g9~w05f2908w0;<a;04b>;2;j0<>63:54846>{t=:n1<7=t=41`>66334?>97?j7:?67a<5?o1v\7f8=l:180\7f834k38<j63:3d846>;2=?0<>6s|52d94?5|5<9n6>>;;<762?7b?27>?k4=7g9~w05b2909w0;<e;04b>;2=>0<>6s|54:94?4|5<?<6<k8;<76<?40n2wx8i>50;1x91ba2>2019o::227?82d838<j6s|4e494?4|5=o;6:64=5a4>71a3ty?h:4?:3y>0`0=?1168n6526d8yv2c03:1>v3;e684<>;3k009;k5rs5f:>5<5s4>n4797;<6`e?40n2wx8io50;0x91c>2>2019mm:35e?xu3lk0;6?u24dc93==:<ji1>:h4}r6gg?6=:r7?io488:?7ga<5?o1v\7f9jk:181\7f82bk3=370:le;04b>{t<mo1<7<t=5gg>2><5=im6?9i;|q7`4<72;q68h?5799>0f7=:>l0q~:k2;296~;3m;0<463;c3813c=z{=n86=4={<6f7?1?34>h?7<8f:\7fp0a2=838p19k;:6:891e32;=m7p};d483>7}:<l?1;5524b7962`<uz>j97>53z?7e0<5?o168lk5739>0f1=?;1v\7f9oi:180\7f82fm39;863;c682a2=:<hl1>:h4}r6ba?6=;r7?mh4=7g9>0g6=?;168n65739~w1d62908w0:m0;130>;3k10:i:524c3962`<uz>i<7>53z?7f5<5?o168o<5739>0f?=?;1v\7f9l<:180\7f82e:39;863;c882a2=:<k91>:h4}r6a6?6=;r7?n?4=7g9>0g2=?;168no5739~w1d22908w0:m4;130>;3kh0:i:524c7962`<uz>i87>53z?7f1<5?o168o85739>0fd=?;1v\7f9l8:180\7f82e>39;863;cc82a2=:<k=1>:h4}r6a2?6=;r7?n;4=7g9>0g>=?;168nm5739~w1d>2908w0:m8;130>;3kj0:i:524c;962`<uz>i47>53z?7f=<5?o168oo5739>0fb=?;1v\7f9lm:180\7f82ei39;863;ce82a2=:<kh1>:h4}r6ae?6=;r7?nl4=7g9>0ge=?;168nk5739~w1dc2908w0:mc;130>;3kl0:i:524cf962`<uz>io7>53z?7ff<5?o168ok5739>0f`=?;1v\7f9li:180\7f82em39;863;cg82a2=:<kl1>:h4}r6aa?6=;r7?nh4=7g9>0d0=?;168n?5739~w1g02908w0:n6;130>;3k80:i:524`5962`<uz>j:7>53z?7e3<5?o168l65739>0f4=?;1v\7f9o6:180\7f82f039;863;c382a2=:<h31>:h4}r6b<?6=;r7?m54=7g9>0dg=?;168n=5739~w1ge2908w0:na;130>;3k:0:i:524``962`<uz>jm7>53z?7ed<5?o168lm5739>0f2=?;1v\7f9ok:180\7f82fk39;863;c582a2=:<hn1>:h4}r6bg?6=:r7?mn4=7g9>0f3=?;1v\7f9m9:181\7f82d=3;n;63;c7813c=z{<;26=4<{<71<?1?34>ni7=?4:?64<<5?o1v\7f8?i:181\7f83513=370;>0;04b>{t=;:1<7<t=40e>2><5<;:6?9i;|q664<72;q69>>5799>144=:>l0q~;=2;296~;2;80<463:12813c=z{<886=4={<706?1?34?:87<8f:\7fp172=838p18=<:6:890722;=m7p}:2483>7}:=:>1;552504962`<uz?9:7>52z?670<0027>=:4=7g9~w0402909w0;<6;5;?836038<j6s|50c94?4|5<8j6:64=42b>71a3ty>=o4?:3y>17d=?1169=l526d8yv36k3:1>v3:2b84<>;28j09;k5rs43g>5<5s4?9h797;<73`?40n2wx9<k50;0x904b2>2018>j:35e?xu3ml0;6>u24dg962`<5=l<6:<4=433>24<uz>m47>53z?7b2<48=169<>51d5891`?2;=m7p};f683>6}:<o=1>:h4=5d:>24<5<;:6:<4}r6ee?6=;r7?j44<059>147=9l=019hn:35e?xu3n00;6>u24g;962`<5=li6:<4=431>24<uz>mo7>53z?7bg<48=169<<51d5891`d2;=m7p};fc83>6}:<oh1>:h4=5dg>24<5<;86:<4}r6ea?6=;r7?ji4<059>145=9l=019hj:35e?xu3nm0;6>u24gf962`<5=lm6:<4=437>24<uz?;<7>53z?7bc<48=169<:51d5890672;=m7p};fg83>6}:<ol1>:h4=422>24<5<;>6:<4}r736?6=;r7><<4<059>143=9l=018>=:35e?xu2880;6>u2513962`<5<:86:<4=435>24<uz?;87>53z?646<48=169<851d5890632;=m7p}:0283>6}:=991>:h4=426>24<5<;<6:<4}r732?6=;r7><84<059>141=9l=018>9:35e?xu28<0;6>u2517962`<5<:<6:<4=43;>24<uz?;47>53z?642<48=169<651d58906?2;=m7p}:0683>6}:=9=1>:h4=5ge>24<5<:j6:<4}r6e4?6=;r7?ik4<059>15g=9l=019h?:35e?xu3mo0;6>u24dd962`<5=l:6:<4=42a>24<uz>m>7>53z?7b4<48=169=l51d5891`52;=m7p};f083>6}:<o;1>:h4=5d0>24<5<:h6:<4}r6e0?6=;r7?j>4<059>15e=9l=019h;:35e?xu3n:0;6>u24g1962`<5=l>6:<4=42g>24<uz>m:7>53z?7b0<48=169=j51d5891`12;=m7p};f483>7}:<o?1>:h4=42f>24<uz?;j7>52z?64`<6m>169=h526d8yv2??3:1?v3;9784<>;3=j08<952465962`<uz>3h7>52z?7=2<0027?;h4=7g9~w1>b2909w0:6d;5;?820n38<j6s|49d94?4|5=3n6:64=5:3>71a3ty?5=4?:3y>0<`=?11685?526d8yv2>93:1>v3;a184<>;30;09;k5rs5;1>5<5s4>j=797;<6;7?40n2wx84=50;0x91g52>20196;:35e?xu31=0;6?u24`193==:<1?1>:h4}r6:1?6=:r7?m9488:?7<3<5?o1v\7f967:181\7f82>03=370:88;04b>{t<131<7<t=5;:>2><5==26?9i;|q7<d<72;q684o5799>02g=:>l0q~:7b;296~;31k0<463;7c813c=z{=2h6=4={<6:g?1?34><o7<8f:\7fp00e=839p19;l:35e?821=3=970:8e;51?xu3>?0;6>u24779752<5==n6<k8;<652?40n2wx8;;50;1x91022;=m70:97;51?820n3=97p};6983>6}:<?=1?=:4=55e>4c034>=47<8f:\7fp031=839p1988:35e?82113=970:70;51?xu3>h0;6>u247;9752<5=2;6<k8;<65e?40n2wx8;750;1x910>2;=m70:9b;51?82?93=97p};6b83>6}:<?h1?=:4=5:2>4c034>=o7<8f:\7fp03d=839p198m:35e?821l3=970:72;51?xu3>l0;6>u247f9752<5=296<k8;<65a?40n2wx8;j50;1x910c2;=m70:9f;51?82?;3=97p};7183>6}:<?l1?=:4=5:0>4c034><<7<8f:\7fp03`=839p198i:35e?82093=970:74;51?xu3?;0;6>u24639752<5=2?6<k8;<646?40n2wx8:?50;1x91162;=m70:83;51?82?=3=97p};7583>6}:<>91?=:4=5:6>4c034><87<8f:\7fp025=839p199<:35e?820=3=970:76;51?xu3??0;6>u24679752<5=2=6<k8;<642?40n2wx8:;50;1x91122;=m70::d;51?82003=97p};5d83>6}:<<n1?=:4=55;>4c034>>i7<8f:\7fp00b=839p19;k:35e?822n3=970:89;51?xu3>90;6>u244d9752<5==26<k8;<654?40n2wx88h50;1x913a2;=m70:91;51?820i3=97p};6383>6}:<?;1?=:4=55b>4c034>=>7<8f:\7fp037=839p198>:35e?821;3=970:8b;51?xu3>=0;6>u24719752<5==i6<k8;<650?40n2wx8;=50;0x91042;=m70:8c;51?xu3?m0;6?u246a95`1<5==o6?9i;|q;0<<72;q649?531689=362;=m7p}73`83>7}:0=;1>:h4=961>24<uz2?m7>52z?;07<48=1648<526d8yv>4j3:1>v3743813c=:0=91;?5rs96a>5<5s42??7=?4:?;16<5?o1v\7f5=l:181\7f8>3;38<j63745846>{t0=i1<7<t=967>663342>87<8f:\7fp<6b=838p15:;:35e?8>3=3=97p}74e83>7}:0=?1?=:4=976>71a3ty3?h4?:3y><13=:>l015:9:608yv>3m3:1>v37478041=:0<<1>:h4}r:0b?6=:r738;4=7g9><11=?;1v\7f5:i:181\7f8>3?39;863756813c=z{1>;6=4={<:73?40n27385482:\7fp<06=838p15:7:227?8>2038<j6s|86:94?4|51>36?9i;<:0<?7>;2wx4;650;0x9=072::?70680;04b>{t0<31<7<t=943>71a342==79=;|q;2<<72;q64;?531689=162;=m7p}75`83>7}:0?;1>:h4=941>24<uz2=m7>52z?;27<48=164:<526d8yv>2j3:1>v3763813c=:0?91;?5rs94a>5<5s42=?7=?4:?;36<5?o1v\7f5;l:181\7f8>1;38<j63765846>{t0?i1<7<t=947>663342<87<8f:\7fp<0b=838p158;:35e?8>1=3=97p}76e83>7}:0??1?=:4=956>71a3ty39h4?:3y><33=:>l01589:608yv>1m3:1>v37678041=:0><1>:h4}r:6b?6=:r73:;4=7g9><31=?;1v\7f58i:181\7f8>1?39;863776813c=z{1=26=4={<:53?40n273?54>959~w=502909w06<9;5;?8>4038<j6s|89a94?4|512?6>>;;<::0?40n2wx4:j50;0x9=>32;=m70675;51?xu?0m0;6?u28979752<513>6?9i;|q;3`<72;q645;526d89=>12>80q~67e;296~;?0?08<952884962`<uz2<j7>52z?;<3<5?o164595739~w=>a2909w0677;130>;?1>09;k5rs9:3>5<5s423;7<8f:?;<=<0:2wx44>50;0x9=>?2::?70668;04b>{t01;1<7<t=9:;>71a3423579=;|q;=4<72;q6457531689=?>2;=m7p}78383>7}:0131>:h4=9:b>24<uz22>7>52z?;<d<48=1644o526d8yv>?;3:1>v378`813c=:01h1;?5rs9;0>5<5s423n7=?4:?;=g<5?o1v\7f5lm:181\7f8>?j38<j6377c82=6=z{1ki6=4={<:b7?57<273n>4=7g9~w=?d2909w06n3;04b>;?i=0<>6s|8`a94?4|51k?6>>;;<:a0?40n2wx44j50;0x9=g32;=m706n5;51?xu?im0;6?u28`79752<51h>6?9i;|q;=`<72;q64l;526d89=g12>80q~6ne;296~;?i?08<9528c4962`<uz22j7>52z?;e3<5?o164l95739~w=ga2909w06n7;130>;?j>09;k5rs9c3>5<5s42j;7<8f:?;e=<0:2wx4o>50;0x9=g?2::?706m8;04b>{t0h;1<7<t=9c;>71a342j579=;|q;f4<72;q64l7531689=d>2;=m7p}7a383>7}:0h31>:h4=9cb>24<uz2i>7>52z?;ed<48=164oo526d8yv>ek3:1>v37a`813c=:0>h1=4:4}r:4e?6=:r73;n488:?;3g<5?o1v\7f:mn:181\7f81d:39;8638d3813c=z{>hi6=4={<5`6?40n27<o>482:\7fp3fd=838p1:m<:227?81c;38<j6s|7ca94?4|5>i86?9i;<5`0?153ty<on4?:3y>3f2=;9>01:j;:35e?xu0jm0;6?u27b6962`<5>i>6:<4}r5``?6=:r7<o84<059>3a3=:>l0q~9me;296~;0k<09;k527b4937=z{>in6=4={<5`2?57<27<h;4=7g9~w2da2909w09l6;04b>;0k>0<>6s|7bd94?4|5>i<6>>;;<5g3?40n2wx;n>50;0x92e02;=m709l8;51?xu0l90;6?u27b:9752<5>n36?9i;|q4g4<72;q6;n6526d892e>2>80q~9k1;296~;0k008<9527e;962`<uz=n57>52z?4a4<48=16;k?526d8yv1ci3:1>v38e0813c=:?l81;?5rs6gb>5<5s4=n>7=?4:?4b7<5?o1v\7f:jm:181\7f81b:38<j638e2846>{t?lh1<7<t=6g0>66334=m?7<8f:\7fp3ae=838p1:k<:35e?81b<3=97p}8eb83>7}:?l>1?=:4=6d7>71a3ty<hi4?:3y>3`2=:>l01:k::608yv1bl3:1>v38e48041=:?o?1>:h4}r5ga?6=:r7<i84=7g9>3`0=?;1v\7f:kj:181\7f81b>39;8638f7813c=z{>nm6=4={<5f2?40n27<i:482:\7fp3``=838p1:k8:227?81a?38<j6s|7d294?4|5>o<6?9i;<5f<?153ty<j=4?:3y>3`>=;9>01:h7:35e?xu?810;6?u28129752<51;;6?9i;|q4b<<72;q64=>526d89=662>80q~6?9;296~;?8808<952803962`<uz=mm7>52z?;44<5?o164=<5739~w=6f2909w06?2;130>;?9;09;k5rs6da>5<5s42;>7<8f:?;46<0:2wx4=l50;0x9=642::?706>3;04b>{t?oi1<7<t=920>71a342;879=;|q;4f<72;q64=:531689=732;=m7p}8fe83>7}:09>1>:h4=926>24<uz2;h7>52z?;40<48=164<;526d8yv1am3:1>v3704813c=:09<1;?5rs92f>5<5s42;:7=?4:?;53<5?o1v\7f:hi:181\7f8>7>38<j63706846>{t09l1<7<t=924>663342:;7<8f:\7fp<71=838p15?i:227?8>5n38<j6s|80:94?4|51;m6?9i;<:14?153ty3>54?:3y><76=;9>015=?:35e?xu?900;6?u2832962`<518:6:<4}r:1=?6=:r73><4<059><67=:>l0q~6>a;296~;?:809;k52830937=z{18j6=4={<:16?57<273??4=7g9~w=7e2909w06=2;04b>;?::0<>6s|83`94?4|51886>>;;<:07?40n2wx4<m50;0x9=442;=m706=4;51?xu?:j0;6?u28369752<519?6?9i;|q;5a<72;q64?:526d89=422>80q~6=d;296~;?:<08<952827962`<uz2:i7>52z?;60<5?o164?85739~w=4b2909w06=6;130>;?;?09;k5rs7;;>5<fs4<>;797;<4b<?4b127=:<4>959>235=90901;9>:0;0?803n3;2?639ed82=0=:>?h1=4;4=76f>4?334<n<7?63:\7fp236=838p1;;9:6:893062;=m7p}96383>7}:><?1;552671962`<uz<?h7>52z?511<0027=8h4=7g9~w3032909w08:3;5;?801=38<j6s|67494?4|5??m6:64=744>71a3ty=:54?:3y>20c=?116:;6526d8yv01i3:1>v395e84<>;1>m09;k5rs74e>5<5s4<>o797;<45b?40n2wx::>50;0x933e2>201;9=:35e?xu1n:0;6?u264c93==:>o91>:h4}r4ff?6=:r7=94488:?5ac<5?o1v\7f;k9:181\7f80203=3708j7;04b>{t>l?1<7<t=771>2><5?o>6?9i;|q5`a<72;q6:8?5799>2ab=:>l0q~8m6;296~;1i10<4639c781a<=z{?h>6=4={<4b3?1?34<h97<j9:\7fp2<1=833p1;o8:3g:?80193;2?6396282=1=:>>;1=4:4=76e>4?334<m<7?63:?52f<61:16:9k5187893c7283?7p}9b583>7}:>h<1;5526b696`?<uz<2:7>59z?5e3<5m016:;=5187893`4283>708jc;3:7>;1?80:585265d95<3<5?nn6<7;;<45f?7>;27=8h4>979~w3d42909w08n5;5;?80d;38n56s|68794?g|5?k>6?k6;<45=?7>;27=:84>959>231=90901;h;:0;6?80bl3;2?639e982=1=:>?n1=4:4=7g1>4?334<?i7?63:\7fp2g4=838p1;o;:6:893e52;o27p}99583><}:>h>1>h74=74:>4?334<=97?65:?522<61=16:k:5184893cc283?708j8;3:7>;1>m0:5>526d095<5<uz<ij7>52z?5f5<0027=oh4=e89~w3g62903w08m0;0f=>;1>10:595267595<0<5?l86<7;;<4fg?7><27=;<4>979>2ac=90?01;8m:0;7?xu1jl0;6?u26`d93==:>jn1>h74}r4b4?6=0r7=mk4=e89>23>=90?01;8i:0;7?80a<3;2?639eb82=3=:>?n1=4;4=7g6>4?234<oh7?65:\7fp2gb=838p1;oj:6:893ed2;o27p}99g83>0}:>ho1>h74=7gf>4?434<=o7?64:?537<61<16:h>51878yv0ek3:1>v39ae84<>;1kk09i45rs7;f>5<1s4<jh7<j9:?52c<61<16:k:5186893cd283>708j5;3:0>;1lm0:595rs7`a>5<5s4<jo797;<4`e?4b12wx:4j50;6x93gd2;o2708i0;3:0>;1m80:595266095<2<uz<im7>52z?5eg<0027=o44=e89~w3?d2908w08nb;0f=>;1ml0:59526d395<5<uz<i57>52z?5ed<0027=o54=e89~w3?e290?w08na;0f=>;1mm0:58526d:95<3<5?nm6<7<;|q5f=<72;q6:l75799>2f1=:l30q~86a;296~;1i009i4526d395<3<uz<i;7>52z?5e6<0027=o<4=e89~w3?>2909w08n3;0f=>;1lo0:595rs7`2>5<5s4<j>797;<4`4?4b12wx:4=50;0x93g52;o2708j2;3:1>{t>>k1<7<t=7a5>2><5?2j6?k6;|q53<<72;q6:n;5799>2=?=:l30q~888;296~;1k=0<46398981a<=z{?=<6=4={<4`7?1?34<3;7<j9:\7fp220=838p1;m=:6:893>12;o27p}98283>7}:>jo1;55268096`?<uz<3>7>52z?5ga<0027=5<4=e89~w3>62909w08lc;5;?80>838n56s|69294?4|5?ii6:64=7:e>7c>3ty=;k4?:3y>2fg=?116:5k52d;8yv00m3:1>v39c884<>;10m09i45rs75g>5<5s4<h4797;<4;g?4b12wx::m50;0x93e02>201;6m:3g:?xu1?k0;6?u26b393==:>1?1>h74}r441?6=:r7=o=488:?5<1<5m01v\7f;mi:181\7f80?13=3708<f;04b>{t>m:1<7<t=7:;>2><5?>;6?9i;|q5`4<72;q6:595799>217=:>l0q~8k2;296~;10?0<463943813c=z{?n86=4={<4:6?1?34<??7<8f:\7fp2a2=838p1;7>:6:893232;=m7p}9d483>7}:>0:1;552657962`<uz<o:7>52z?5<c<0027=8;4=7g9~w3b02909w087e;5;?803?38<j6s|6e:94?4|5?2o6:64=76;>71a3ty=h44?:3y>2=e=?116:97526d8yv0ci3:1>v398c84<>;1<h09;k5rs7fa>5<5s4<39797;<47f?40n2wx:im50;0x93>32>201;:l:35e?xu0<l0;6lu271f93==:?<o1>h74=634>4?334=:57?63:?462<61:16;=;5181892g3283>709=1;3:1>;08=0:595278495<5<uz=::7>52z?44f<0027<=:4=7g9~w27?2909w09?b;5;?816138<j6s|71194?4|5>:j6:64=627>71a3ty<=l4?:3y>35?=?116;<l526d8yv16k3:1>v381484<>;09m09;k5rs63f>5<5s4=:8797;<52a?40n2wx;?>50;0x92742>201:<<:35e?xu0:<0;6?u270093==:?;?1>:h4}r512?6=:r7<=<488:?46=<5?o1v\7f:o6:181\7f81683=3709n9;04b>{t?h;1<7<t=62e>2><5>k>6?9i;|q4=f<72;q6;=k5799>3<b=:>l0q~96b;296~;0810<46389c813c=z{>386=4={<533?1?34=2?7<8f:\7fp33e=838p1:;j:6:8921d2;o27p}86c83>7}:?<n1;55276`96`?<uz=?h7>59z?41a<5m016;<951818927>283?709=7;3:0>;08<0:59527`495<5<5>896<7<;<530?7>=27<5;4>959~w20f2909w09:c;5;?810i38n56s|75a94??|5>?h6?k6;<52=?7>=27<m44>949>3d4=90901:<8:0;6?817=3;296389582=1=:?;;1=4=4=627>4?13ty<:44?:3y>30d=?116;:752d;8yv13j3:1mv385c81a<=:?8l1=4=4=63a>4?334=:h7?63:?4ed<61<16;l=5181892?b283?709=3;3:0>;0110:595271695<5<uz==47>52z?41d<0027<;54=e89~w22f2902w09:a;0f=>;09o0:595270`95<3<5>;o6<7;;<5be?7>>27<m>4>959>3<c=90901:<<:0;0?81>03;2?6s|76794?4|5><=6:64=6:7>7c>3ty<9:4?:9y>330=:l301:?j:0;7?816l3;2:638a882=1=:?h81=4:4=604>4?134=287?65:?464<61=1v\7f:9;:181\7f811=3=370973;0f=>{t?<<1<76t=646>7c>34=:i7?65:?460<61=16;lo5181892g5283=709=3;3:1>;01k0:585278195<3<uz=<?7>52z?421<0027<4?4=e89~w232290>w0994;0f=>;0i=0:5>5273095<2<5>836<7:;<5:2?7>=2wx;:<50;0x92042>201:6>:3g:?xu0==0;6;u277196`?<5>8>6<7:;<5be?7><27<m?4>949>3<d=90>01:7<:0;7?xu0?80;6?u277093==:?1:1>h74}r567?6=<r7<:?4=e89>3d0=90>01:78:0;7?81503;286s|76294?4|5><:6:64=65e>7c>3ty<9?4?:2y>337=:l301:o;:0;7?81>?3;2?6s|77d94?4|5><;6:64=65f>7c>3ty<9<4?:5y>336=:l301:o<:0;6?81>m3;296389482=6=z{><n6=4={<56b?1?34=<h7<j9:\7fp306=838p1:;i:3g:?81>?3;296s|77f94?4|5>?26:64=654>7c>3ty<8k4?:3y>30?=:l301:7::0;7?xu0>>0;6?u274:93==:?><1>h74}r57=?6=:r7<954=e89>3<>=90?0q~9<0;296~;0?j0<46384181a<=z{>8m6=4={<54f?1?34=8j7<j9:\7fp37c=838p1:9n:6:8925b2;o27p}82e83>7}:?>31;55272f96`?<uz=9o7>52z?43=<0027<?n4=e89~w25>2909w0974;5;?813038n56s|72:94?4|5>286:64=664>7c>3ty<?:4?:3y>3=4=?116;9852d;8yv14>3:1>v388084<>;0<<09i45rs616>5<5s4=3<797;<570?4b12wx;>:50;0x921a2>201::<:3g:?xu0;:0;6?u276g93==:?=81>h74}r506?6=:r7<;i488:?404<5m01v\7f:=>:181\7f810?3=3709<b;0f=>{t?;h1<7<t=655>2><5>9j6?k6;|q4<0<72;q6;>h5799>2c3=:>l0q~976;296~;0;l0<4639f7813c=z{>2<6=4={<50`?1?34<m;7<8f:\7fp3=>=838p1:=l:6:893`?2;=m7p}88883>7}:?=21;5526g;962`<uz=3m7>52z?402<0027=jl4=7g9~w2>e2909w09;6;5;?80aj38<j6s|79a94?4|5>>>6:64=7d`>71a3ty<4i4?:3y>312=?116:kj526d8yv1?m3:1>v384284<>;1nl09;k5rs6:e>5<5s4=?>797;<4eb?40n2wx;4>50;0x92262>201:>?:35e?xu0180;6?u272`93==:?9;1>:h4}r5:6?6=:r7<?l488:?447<5?o1v\7f8mk:181\7f83bj3=970;ld;04b>{t>:k1<7<t=4ga>4c034?oo7<8f:\7fp<f4=838p18km:35e?81e93=37p}:cb83>6}:=lk1;?525bf937=:=ji1>:h4}r40=?6=;r7>il4>e69>1fb=;9>018jm:35e?xu?k80;6?u25dc962`<5>h;6:64}r7`f?6=;r7>i4482:?6gf<0:27>oo4=7g9~w35?2908w0;j9;3f3>;2kj08<9525ec962`<uz2h<7>52z?6a<<5?o16;lh5799~w0ef2908w0;j8;51?83dj3=970;la;04b>{t>:=1<7=t=4g;>4c034?hn7=?4:?6`<<5?o1v\7f5li:181\7f83b038<j638ad84<>{t=m<1<7=t=4g4>24<5<ij6:<4=4f5>71a3ty=?;4?:2y>1`1=9l=018mn:227?83c038<j6s|8cg94?4|5<o<6?9i;<5b`?1?3ty>h84?:2y>1c2=?;169i85739>1a3=:>l0q~8<5;297~;2n=0:i:525e49752<5<o>6?9i;|q;gg<72;q69k:526d892d>2>20q~;k4;297~;2n:0<>63:d4846>;2l=09;k5rs717>5<4s4?m?7?j7:?6`0<48=169h:526d8yv>di3:1>v3:f2813c=:?k21;55rs4f0>5<4s4?m>79=;<7g0?1534?o?7<8f:\7fp265=839p18h=:0g4?83c<39;863:e2813c=z{1i26=4={<7e6?40n27<n:488:\7fp1a4=839p18h>:60890b42>8018j=:35e?xu1;;0;6>u25g395`1<5<n86>>;;<7f6?40n2wx4n650;0x90`62;=m709m6;5;?xu2l80;6>u25g2937=:=m81;?525e3962`<uz<8=7>53z?6b5<6m>169i<5316890c62;=m7p}7c683>7}:=o:1>:h4=6`6>2><uz?o<7>53z?6ac<0:27>h<482:?6`5<5?o1v\7f;=?:180\7f83bn3;n;63:d08041=:=l:1>:h4}r:`2?6=:r7>ik4=7g9>3g2=?11v\7f8mi:180\7f83bm3=970;k0;51?83dn38<j6s|63d94?5|5<on6<k8;<7g4?57<27>hk4=7g9~w=e22909w0;je;04b>;0j:0<46s|5bg94?5|5<oo6:<4=4ae>24<5<in6?9i;|q56`<72:q69hj51d5890ea2::?70;ke;04b>{t0j>1<7<t=4gg>71a34=i>797;|q6g<<72:q69hm5739>1fc=?;169n7526d8yv05l3:1?v3:eb82a2=:=jo1?=:4=4fg>71a3ty3o>4?:3y>1`e=:>l01:ol:6:8yv05k3:1?v3:e782a2=:=j31?=:4=4f4>71a3ty3ni4?:3y>1`0=:>l01:om:6:8yv3a13:1>v3916846>;2n009;k5rs70a>5<5s4<:;7?j7:?54=<5?o1v\7f5j>:181\7f806?38<j637e284<>{t=o21<7=t=735>24<5<l26:<4=4d;>71a3ty=>l4?:2y>240=9l=018h6:227?807?38<j6s|8e294?4|5?;=6?9i;<:f6?1?3ty>j:4?:2y>243=?;169k65739>1c1=:>l0q~8=9;297~;19<0:i:525g:9752<5?:=6?9i;|q;gc<72;q6:<;526d89=c62>20q~;i6;297~;19=0<>63:f6846>;2n?09;k5rs70;>5<4s4<:87?j7:?6b2<48=16:=;526d8yv>dm3:1>v3915813c=:0l:1;55rs721>5<4s4<:?79=;<7e2?1534<;>7<8f:\7fp271=839p1;?<:0g4?83a>39;863905813c=z{1io6=4={<427?40n273hk488:\7fp257=839p1;<?:60893652>801;>>:35e?xu1:?0;6>u263295`1<5?:96>>;;<425?40n2wx4io50;0x93472;=m706jb;5;?xu1890;6>u260d937=:>9;1;?52612962`<uz<997>53z?55c<6m>16:=?5316893772;=m7p}7d883>7}:>8l1>:h4=9gb>2><uz?mj7>53z?55`<0:27=<=482:?6bc<5?o1v\7f;<;:180\7f806m3;n;639018041=:>9l1>:h4}r:g<?6=:r7==h4=7g9><`?=?11v\7f8hj:180\7f806l3=970;if;51?83am38<j6s|63194?5|5?;o6<k8;<7eb?57<27=<h4=7g9~w=b02909w08>d;04b>;?m10<46s|5gf94?5|5?;h6:<4=4df>24<5<lo6?9i;|q567<72:q6:<m51d5890`b2::?708?d;04b>{t0m<1<7<t=73`>71a342n;797;|q6bf<72:q6:<l5739>1cb=?;169km526d8yv0593:1?v391c82a2=:=on1?=:4=72`>71a3ty3h84?:3y>24d=:>l015k9:6:8yv3aj3:1?v391`846>;2nj0<>63:fc813c=z{?9n6=4<{<42e?7b?27>jn4<059>25d=:>l0q~6k4;296~;19h09;k528d793==z{<lj6=4<{<42=?1534?mn79=;<7ee?40n2wx:>j50;1x937>28o<70;ib;130>;18h09;k5rs9f0>5<5s4<:57<8f:?;a1<002wx9k;50;1x937?2>8018hn:60890`22;=m7p}93b83>6}:>821=h94=4db>66334<;57<8f:\7fp<a4=838p1;?7:35e?8>cm3=37p}93c83>6}:>881=h94=4d6>66334<;?7<8f:\7fp<fe=838p1;?=:35e?8>cl3=37p}6e283>7}:1:h1=4=4=8g0>71a3ty29i4?:3y>=6d=90>014;k:35e?xudih0;6?=t=81a>6633438o7=?4:?:7a<48=16596531689<342::?707:7;130>;>=108<95294;9752<50?j6>>;;<;6f?57<2729n4<059>=6c=;9>014=i:227?8?3839;8636408041=:1=81?=:4=860>663343?87=?4:?:00<48=16598531689<202::?707;9;130>;><h08<95295`9752<50>h6>>;;<;7`?57<2728h4<059>=1`=;9>014;?:227?8?2939;8636538041=:1<>1?=:4=876>663343>:7=?4:?`e`<5m01v\7f4k;:181\7f8?4k3;2?63n04813c=z{0?n6=4={<;0g?7><272;k4=7g9~w<ca2909w07<d;3:7>;f8?09;k5rs84:>5<5s438h7?64:?:<5<5?o1v\7f4hn:181\7f8?303;2?63n10813c=z{0=?6=4={<;7<?7><2724o4=7g9~w<`a2909w07:3;3:7>;f9j09;k5rs85:>5<5s43>?7?64:?:=3<5?o1v\7fl>?:181\7f8?2?3;2?63n21813c=z{0=j6=4={<;63?7><2725l4=7g9~wd662909w07:8;3:7>;f:809;k5rs85a>5<5s43>47?64:?:=g<5?o1v\7fl>=:181\7f8?213;2?63n23813c=z{0=h6=4={<;6=?7><2725n4=7g9~wd642909w07:a;3:7>;f::09;k5rs85g>5<5s43>m7?64:?:=a<5?o1v\7fl>;:181\7f8?2j3;2?63n25813c=z{0=n6=4={<;6f?7><2725h4=7g9~w<c22909w07:c;3:7>;f:<09;k5rs87e>5<5s43>o7?64:?:=c<5?o1v\7f4k9:181\7f8?4m3;2?63n06813c=z{0<;6=4={<;0a?7><2724<4=7g9~w<c02909w07<f;3:7>;f8109;k5rs842>5<5s438j7?64:?:<7<5?o1v\7f4k7:181\7f8?383;2?63n08813c=z{0<96=4={<;74?7><2724>4=7g9~w<c>2909w07;1;3:7>;f8h09;k5rs840>5<5s43?=7?64:?:<1<5?o1v\7f4kn:181\7f8?3:3;2?63n0c813c=z{0<?6=4={<;76?7><272484=7g9~w<ce2909w07;3;3:7>;f8j09;k5rs846>5<5s43??7?64:?:<3<5?o1v\7f4kl:181\7f8?3<3;2?63n0e813c=z{0<=6=4={<;70?7><2724:4=7g9~w<cc2909w07;5;3:7>;f8l09;k5rs844>5<5s43?97?64:?:<=<5?o1v\7fn>;:18:\7f8?dk3;2?636cb82=1=:ih>1=4=4=`c7>4?334kj97?63:?be0<61=16n9;518189g22283?70k67;31b>{tkh21<766{<;`g?57<27jm94<059>ed3=;9>01o:::227?8?dl39;863na78041=:ih21?=:4=c65>663343hj7=?4:?be<<48=16mlo531689g2?2::?707k1;130>;fim08<952a`g9752<5k>j6>>;;<;g6?57<27jmk4<059>eg6=;9>01o:m:227?8?c;39;863nb08041=:ik91?=:4=c6`>663343o87=?4:?bf1<48=16mo;531689g2c2::?70mnc;0f=>;f<808<9529`29752<5k;26>>;;<c;1?57<27j4;4<059>=f4=;9>01o=m:227?8g>939;863n9b8041=:1j91?=:4=c1`>66334kj;7=?4:?bf7<48=165nk531689g202::?70omd;130>;fk<08<9529e;9752<5k?96>>;;<c`2?57<27jo:4<059>=ab=;9>01l68:227?8d2>39;863n898041=:1mo1?=:4=c74>66334k357=?4:?b<d<48=165ih531689g3?2::?70o7b;130>;f0j08<9529d29752<5k?26>>;;<c;`?57<27j4h4<059>=`7=;9>01o;n:227?8g?n39;863n918041=:1l81?=:4=c7a>66334k2>7=?4:?b=6<48=165n:531689g5c2::?70o64;130>;f1<08<9529b79752<5k9n6>>;;<c:2?57<27j5:4<059>=f0=;9>01o=i:227?8g>039;863n988041=:1j=1?=:4=c63>66334k2m7=?4:?b=g<48=165n6531689g262::?70o6d;130>;f1l08<9529b;9752<5k>96>>;;<c:b?57<27jm=4<059>=fg=;9>01o:<:227?8gf939;863na38041=:1jh1?=:4=c67>66334kj?7=?4:?beg<48=165i>531689g2>2::?70onc;130>;fj?08<9529e79752<5k>n6>>;;<ca3?57<27jn54<059>=a0=;9>01o:i:227?8ge139;863nb`8041=:1m=1?=:4=c73>66334kin7=?4:?bff<48=165i6531689g362::?70ome;130>;fjo08<9529ec9752<5k?86>>;;<c`4?57<27jo<4<059>=ad=;9>01o;;:227?8gd:39;863nc28041=:1mi1?=:4=c76>66334kh87=?4:\7fp=dd=838p14ml:35e?8??13;286s|a7394?4|5hk?6?9i;<;;=?7>;2wxol750;66\7f8??139;863n0g8041=:11k1?=:4=`33>6633433o7=?4:?b57<48=1655k531689d732::?7077f;130>;f9<08<9529829752<5h;=6>>;;<;:5?57<27j=:4<059>gdb=:l3014;k:227?8?b;39;86367g8041=:i9?1?=:4=8:3>66334k;:7=?4:?:<g<48=16m<?531689<?12::?70o>c;130>;>1h08<952a329752<503i6>>;;<c15?57<2725n4<059>e74=;9>0147k:227?8g5;39;86369d8041=:i;>1?=:4=8;e>66334k997=?4:?:<4<48=16m=9531689<>52::?70o?8;130>;>0:08<952a1;9752<502?6>>;;<c3e?57<272484<059>e5d=;9>01469:227?8g7k39;8636868041=:i9n1?=:4=8:;>66334k;i7=?4:?:<a<48=16m<=531689<?52::?70o>8;130>;>1:08<952a0;9752<503?6>>;;<c2e?57<272584<059>e4d=;9>01478:227?8g6l39;8636998041=:i8o1?=:4=8;:>66334k:j7=?4:\7fp=3>=838p1466:35e?8?3>3;286s|a7094?4|5hk>6?9i;<c3b?7><2wxn?:50;0x9g222;=m70o?f;3:7>{t1lo1<7<t=`2e>71a343?:7?63:\7fpg50=833p14mk:0;0?8?dl3;2863na782=6=:ih<1=4:4=`c;>4?434kj47?64:?a03<61:16n98518689`?e288m7p}6ae83>7}:1jn1>:h4=8:b>4?33tyj:94?:3y>ed0=:>l0146n:0;0?xu>>h0;6?u299c962`<50><6<7;;|qb20<72;q6ml6526d89d77283?7p}m2783>7}:j=<1>:h4=`33>4?43ty2j=4?:3y>e46=:>l014:8:0;0?xud810;64u29bd95<5<50im6<7;;<cb=?7>;27jm44>959>edg=90901lon:0;7?8d303;2?63m4982=1=:mh:1=?h4}r;ba?6=:r72ok4=7g9>==e=90>0q~o96;296~;fi009;k5299a95<5<uz3=n7>52z?:<f<5?o1659751868yvg1?3:1>v3na`813c=:i881=4:4}r`13?6=:r7i854=7g9>e44=9090q~7i1;296~;f9;09;k5295;95<5<uz3m>7>52z?:0d<61:16m<=526d8yv?1k3:1>v364`82=1=:11n1>:h4}ra26?6=1r72h<4>929>=a7=90>01lok:0;0?8gfl3;2863nad82=6=:iho1=4:4=c6b>4?434h?m7?64:?fe1<6:o1v\7f4l?:181\7f8?c938<j6368d82=1=z{h<j6=4={<cb`?40n2724h4>929~w<0c2909w077e;04b>;><k0:595rs`4a>5<5s4kji7<8f:?b51<61=1v\7fo<6:181\7f8d3i38<j63n1582=6=z{0l86=4={<c20?40n2728o4>929~wf732902w07k2;3:7>;>l;0:5952a`d95<5<5hkm6<7;;<ca4?7>;27jn=4>959>f1d=90901o:m:0;7?8cf03;9j6s|9c394?4|50n96?9i;<;;b?7><2wxm;m50;0x9dga2;=m7077f;3:7>{t1?o1<7<t=8:e>71a343?o7?64:\7fpe3b=838p1ll?:35e?8g6=3;286s|b3c94?4|5k>i6?9i;<c21?7>;2wx5k:50;0x9d722;=m707;c;3:7>{tk8<1<77t=8f0>4?4343o?7?64:?bf4<61:16mo?518689dd4283870om3;3:0>;e<j0:5>52b5a95<2<5lkh6<<i;|q:f7<72;q65i=526d89<?7283?7p}n6g83>7}:ik;1>:h4=8;3>4?43ty2:k4?:3y>=<6=:>l014:k:0;7?xuf?90;6?u2ac1962`<5h;=6<7;;|qa6g<72;q6n9m526d89d7128387p}6f483>7}:i8<1>:h4=86g>4?43tyh=54?:8y>=a2=909014j;:0;7?8ge<3;2?63nb582=1=:ik?1=4=4=``6>4?334h?h7?63:?a0a<61=16io>513d8yv?e;3:1>v36d5813c=:10;1=4:4}rc45?6=:r7jn94=7g9>=<7=9090q~780;296~;>1809;k5295g95<2<uzk<>7>52z?bf0<5?o16m<951868yvd5k3:1>v3m4e813c=:i8=1=4=4}r;e2?6=:r7j=:4=7g9>=1c=9090q~7i7;296~;><o0:5>52a0:962`<uz3<=7>52z?:0c<61=1654<526d8yv?a03:1>v365182=6=:i831>:h4}r;46?6=:r729=4>959>=<5=:>l0q~7i9;296~;>=80:5>52a0c962`<uz3<?7>52z?:14<61=1654:526d8yv?aj3:1>v365382=6=:i8h1>:h4}r;41?6=:r729?4>959>=<3=:>l0q~7ic;296~;>==0:5>52a0f962`<uz3<:7>52z?:11<61=16549526d8yv?al3:1>v365482=6=:i8o1>:h4}r;43?6=:r72984>959>=<>=:>l0q~7ie;296~;>=?0:5>52a0d962`<uz3<47>52z?:13<61=16547526d8yvce03:1=<u2c`g934=:khn1;<52c`a934=:khh1;<5290c95<3<50;<6<7:;<;22?7>=272=84>949>=44=90<014?>:0;5?8?683;2:6360g82=0=:19o1=4;4=82`>4?2343;47?65:?:43<61<16io7526d8yvef?3:1h4u2c``96`?<5hi36<7<;<c12?7>;27jo44>929>f0e=90901lj9:0;0?8d2l3;2?63m6`82=6=:jhn1=4=4=`04>4?434kn=7?63:?baf<61:16n:;518189d`0283870l70;3:7>;e0k0:5>52b`g95<5<5h9:6<7<;<ceb?7>;27i<>4>929>f<5=90901o>;:0;0?8de03;2?63m9682=6=:j021=4=4=`15>4?434h;i7?63:?bgd<61:16nl<518189dec283870l:e;3:7>;e>80:5>52bcf95<5<5h9i6<7<;<c`a?7>;27jok4>929>f34=90901lj?:0;0?8d1;3;2?63m6582=6=:jj81=4=4=`1`>4?434ko=7?63:?b`7<61:16n;;518189db4283870l96;3:7>;e>>0:5>52bb195<5<5h9o6<7<;<cg0?7>;27jh84>929>f3>=90901lj8:0;0?8d113;2?63m6c82=6=:jj>1=4=4=`1f>4?434ko47?63:?b`<<61:16n;m518189dbf283870l9d;3:7>;e>l0:5>52bb795<5<5h9m6<7<;<cgf?7>;27jhn4>929>f3`=90901o9>:0;0?8gcl3;2?63m7182=6=:jj<1=4=4=`63>4?434koi7?63:?b`c<61:16n:<518189dc7283870l83;3:7>;e?=0:5>52bb595<5<5h836<7<;<cf6?7>;27ji>4>929>f20=90901lk;:0;0?8d0?3;2?63m7982=6=:jhl1=4=4=`0:>4?434kn97?63:?ba3<61:16n:7518189dc0283870l8a;3:7>;e?k0:5>52bc295<5<5h8j6<7<;<cf<?7>;27ji44>929>f2e=90901lkn:0;0?8d0l3;2?63m7d82=6=:jk;1=4=4=`0a>4?434knn7?63:?baa<61:16n:h518189dcb283870l71;3:7>;e0;0:5>52bc095<5<5h8h6<7<;<cfb?7>;27jj=4>929>f=5=90901o6;:0;0?8ga93;2?63m8482=6=:jk91=4=4=`0g>4?434km>7?63:?bb6<61:16n58518189d`3283870l77;3:7>;e010:5>52bc695<5<5hl=6<7<;<c1a?7>;27jj84>929>f=?=90901lh7:0;0?8d?i3;2?63m8b82=6=:jk?1=4=4=`0e>4?434km57?63:?bbd<61:16n5j518189d`e283870l7e;3:7>;e0o0:5>52bc495<5<5h9;6<7<;<ceg?7>;27jji4>929>f<6=90901lhj:0;0?8d>93;2?63m9382=6=:jk=1=4=4=`11>4?434h;<7?63:?a44<61:16n4:518189g65283870l65;3:7>;e1?0:5>52bc;95<5<5h986<7<;<`31?7>;27i<;4>929>f<?=90901o>8:0;0?8d>i3;2?63m9c82=6=:jkk1=4=4=`17>4?434h;47?63:?a4<<61:16n4m518189g6f283870l6d;3:7>;e1l0:5>52bc`95<5<5h9>6<7<;<`3f?7>;27i<n4>929>f<`=90901o>k:0;0?8df83;2?63ma082=6=:jki1=4=4=`14>4?434h;j7?63:?a55<61:16nl=518189g76283870ln4;3:7>;ei<0:5>52bcg95<5<5h936<7<;<`26?7>;27i=>4>929>fd0=90901o?;:0;0?8df?3;2?63ma982=6=:jkl1=4=4=`1:>4?434h:97?63:?a53<61:16nl7518189g70283870lna;3:7>;eik0:5>52bb295<5<5h9j6<7<;<`2<?7>;27joo4>929>fde=90901lml:0;0?8d2n3;2?63m6182=6=:jj;1=4=4}r042?6=<r7<=k4=7g9>34c=90<01:<::0;5?81>j3;2:6s|71494?2|5>;n6<7<;<52f?7>;27<=i4>949>353=:>l0q~<87;290~;1>009;k5267:95<0<5?<m6<79;<4f1?7>>2wx:8>50;6x930?283870895;3:7>;1>>0:585265d962`<uz=987>53z?460<61:16;?=5184892462;=m7p}96d83>6}:>?l1=4=4=74g>4?134<=n7<8f:\7fp37g=839p1:on:35e?81f13;2:6382982=6=z{>k<6=4<{<5b=?7>;27<m84>949>3d2=:>l0q~884;297~;1n=09;k526g195<0<5?=96<7<;|q5b4<72:q6:k=5181893ca283>708je;04b>{t?0l1<7=t=6c1>71a34=j97?63:?4=a<61=1v\7f:o7:181\7f81f;38<j638a482=1=z{>k;6=4={<5:a?40n27<5i4>929~w2?f2909w096d;3:1>;01?09;k5rs7g:>5<4s4<no7<8f:?5ac<61:16:h951868yv0a:3:1>v39ee813c=:>ll1=4:4}r4fe?6=:r7=i54=7g9>2`1=9090q~8j4;296~;1m>0:58526d2962`<uz=957>52z?462<5?o16;?651848yv00;3:1>v3970813c=:>>81=484}r06=?6=:r7<m;4=7g9>3d2=90<0q~<:a;296~;1n909;k526dg95<0<uz=257>53z?4=g<61:16;4=5181892?32;=m7p}9e283>6}:>l?1=4=4=7fg>4?434<oi7<8f:\7fp60e=838p1:77:35e?81>;3;2:6s|24f94?4|5?o96?9i;<4g`?7>>2wx>8k50;0x92?22;=m70964;3:2>{t9oi1<7<t=6;7>4?434=2:7?j8:\7fp636=838p1:<=:35e?81593;2:6s|27394?4|5?nm6?9i;<4ga?7>>2wx=kj50;0x93bb2838708j0;3f<>{t:?81<7<t=74`>71a34<=n7?66:\7fp632=838p1:78:35e?81>>3;2:6s|27794?4|5?o:6?9i;<4f4?7>>2wx5?750;6x9=`a2;=m70km6;3;4>;b?j0:4=52e9g95=6<uz3997>54z?;bg<5?o16i4k519289`1?282;70k79;3;4>{t18o1<7:t=9d7>71a34o=j7?70:?f<5<60916i4<51928yv?6j3:18v37f0813c=:m?i1=5>4=d50>4>734o397?70:\7fp=55=83>p14?n:0;0?8?6=3;286361182=0=:19n1>:h4}r;0e?6=<r72=l4=7g9>ag1=91;01h9k:0:2?8c?n3;3=6s|91394?2|50;<6<7;;<;26?7>=272<54>929>=5?=:>l0q~7<8;290~;>9>09;k52ec795=7<5l=i6<6>;<g;g?7?92wx5=<50;6x9<71283?707>1;3:1>;>8?0:5>52915962`<uz38;7>54z?:53<5?o16io:519389`1f282:70k7b;3;5>{t1:<1<7:t=836>71a34oi?7?71:?f3<<60816i5o51938yv?4<3:18v3613813c=:m1n1=5?4=d55>4>634o347?71:\7fp=65=83>p14?>:35e?8c?:3;3=63j7482<4=:m1=1=5?4}r;06?6=<r72==4=7g9>a21=91;01h9;:0:2?8c?>3;3=6s|1gd94?4|50:m6<7<;<;3=?7b02wx5>?50;6x9<6a2;=m70k82;3;5>;b0=0:4<52e8795=7<uz8;<7>52z?:4`<61:165=951d:8yv?483:18v360d813c=:m>;1=5?4=d:0>4>634o287?71:\7fp5cc=838p14>l:0;0?8?7l3;n46s|93d94?2|50:h6?9i;<g44?7?927n4<4>809>a<5=91;0q~7=d;290~;>8109;k52e7g95=7<5l=m6<6>;<g:5?7?92wx5?m50;6x9<612;=m70k9d;3;5>;b?l0:4<52e8295=7<uzo=47>52z?f21<61:16i;<526d8yvc1j3:1>v3j6582=1=:m?:1>:h4}rg5=?6=:r7n:94>949>a33=:>l0q~k9a;296~;b>=0:5;52e7595`><uzo>j7>5fz?f21<5?o16i49519389`?1282:70k6b;3;5>;b1h0:4<52e`295=7<5l3m6<6>;<gb0?7?927nm>4>809>ad>=91;01ho8:0:2?8cfk3;3=63jac82<4=:mk:1=5?4=dce>4>63ty2>=4?:5y><c0=:>l01h9>:0:3?8c?;3;3<63j9582<5=z{0;m6=4;{<:e1?40n27n;=4>819>a=7=91:01h7<:0:3?xu>:10;69u28gg962`<5lh>6<6?;<g4f?7?827n4n4>819~w<40290?w06id;04b>;bj=0:4=52e6c95=6<5l2i6<6?;|q:63<72=q64km526d89`d4282;70k89;3;4>;b0h0:4=5rs800>5<3s42m57<8f:?f<7<60916i:;519289`>0282;7p}62383>1}:0o21>:h4=d54>4>734o<87?70:?f<3<6091v\7f4?k:187\7f8>a;38<j63j6d82<5=:m>l1=5>4=d;2>4>73ty2>94?:5y><cg=:>l01h6k:0:3?8c0>3;3<63j8982<5=z{08:6=4;{<:e3?40n27n;?4>819>a=2=91:01h7::0:3?xu5>l0;6?u290:95<0<50;26<k7;|q:7<<72<q65<6526d89`d1282:70k8c;3;5>;b0l0:4<52e7595<2<uz8=j7>52z?:56<61?165<:51d:8yv?4=3:19v3612813c=:m0o1=5?4=d5;>4>634o357?71:?f22<61<1v\7f?9?:181\7f8?7i3;2:6360c82a==z{08n6=4:{<;3e?40n27n:k4>809>a=6=91;01h7=:0:2?8c1?3;2?6s|26394?4|50:?6<79;<;31?7b02wx5?l50;7x9<632;=m70k9c;3;5>;b?:0:4<52e9795=7<5l<<6<79;|q136<72;q6i;>518489`0628o37p}=7383>7}:m??1=484=d45>4c?3ty9;44?:3y>a34=90<01h8<:0g;?xudk80;6?u2ab:95<2<5l<m6<<i;|q`gd<72;q6mn6518789`17288m7p}l5c83>7}:ij21>:h4=8c3>4?43tyhh>4?:3y>e70=90>01h9>:00e?xudlj0;6?u2a3495<3<5l=96<<i;|q`52<72;q6m?8526d89<g7283?7p}j4e83>7}:ij31=4:4=d4g>44a3tyhn54?:3y>ef?=90?01h8j:00e?xud>?0;6?u2ab;962`<5h>:6<7;;|qf7g<72;q6n8m518689`d1288m7p}j4583>7}:j<i1=4;4=d`4>44a3tyh;<4?:3y>f0e=:>l01l:>:0;0?xub:00;6?u2ae495<2<5lh?6<<i;|qf77<72;q6mi8518789`d2288m7p}l7b83>7}:im<1>:h4=`:6>4?33tyoh54?:3y>f0b=90>01h7j:00e?xub:90;6?u2b4f95<3<5lh86<<i;|q`<2<72;q6n8j526d89d>228387p}k1383>7}:j?k1=4:4=d:1>44a3tyo;84?:3y>f3g=90?01h6k:00e?xud1;0;6?u2b7c962`<5k;26<7;;|qf13<72;q6nlj518689`0d288m7p}lag83>7}:jhn1=4;4=d54>44a3tyh5i4?:3y>fdb=:>l01o?6:0;0?xuc>m0;6?u2a3595<2<5l286<=n;|qg3c<72;q6m?9518789`>3289j7p}mc983>7}:i;=1>:h4=8a1>4?33tyo9o4?:3y>e`7=90>01h6?:01b?xuc>=0;6?u2ad395<3<5l2:6<=n;|qa`1<72;q6mh?526d89<e528387p}k4883>7}:ili1=4:4=d5f>45f3tyo9?4?:3y>e`e=90?01h9i:01b?xuell0;6?u2ada962`<5h2=6<7;;|qg72<72;q6n:;518689`1d289j7p}k4183>7}:j>?1=4;4=d5g>45f3tyii44?:3y>f23=:>l01l69:0;0?xuc:<0;6?u2ag595<2<5l=j6<=n;|qg6`<72;q6mk9518789`1e289j7p}mf583>7}:io=1>:h4=`;2>4?33tyo<l4?:3y>f=6=90>01h97:01b?xuc9j0;6?u2b9295<3<5l=26<=n;|qabc<72;q6n5>526d89d?628387p}lf983>7}:j1h1=4:4=d56>45f3tyo<<4?:3y>f=d=90?01h99:01b?xud8<0;6?u2b9`962`<5k9i6<7;;|q`a3<72;q6nlk518689`14289j7p}leg83>7}:jho1=4;4=d57>45f3tyh<:4?:3y>fdc=:>l01o=m:0;0?xuc>l0;6?u2a2395<2<5l286<::;|qg<5<72;q6m>?518789`>328>>7p}l0c83>7}:i:;1>:h4=8a0>4?33tyo9n4?:3y>ec`=90>01h6?:066?xuc><0;6?u2agd95<3<5l2:6<::;|q`56<72;q6mkh526d89<e428387p}k4`83>7}:j991=4:4=d5f>4223tyo9>4?:3y>f55=90?01h9i:066?xud9<0;6?u2b11962`<5h3h6<7;;|qg7=<72;q6n4=518689`1d28>>7p}k4083>7}:j091=4;4=d5g>4223tyh=44?:3y>f<5=:>l01l7l:0;0?xuc:?0;6?u2b1695<2<5l=j6<::;|qg6c<72;q6n=:518789`1e28>>7p}l1b83>7}:j9>1>:h4=`c4>4?33tyhi:4?:3y>fg>=90>01h9<:066?xudn90;6?u2bc:95<3<5l=?6<::;|q`7a<72;q6no6526d89g5d28387p}k0c83>7}:j0=1=4:4=d5;>4223tyo=i4?:3y>f<1=90?01h96:066?xud:>0;6?u2b85962`<5hk<6<7<;|q`b<<72;q6n46518689`1228>>7p}k0383>7}:j021=4;4=d55>4223tyh??4?:3y>f<>=:>l01o=l:0;7?xuc>o0;6?u2a2495<2<5l286<:6;|qg<4<72;q6m>8518789`>328>27p}l4983>7}:i:<1>:h4=8af>4?33tyo9i4?:3y>f5c=90>01h6?:06:?xuc>?0;6?u2b1g95<3<5l2:6<:6;|q`16<72;q6n=k526d89<eb28387p}k4c83>7}:ijk1=4:4=d5f>42>3tyo994?:3y>efg=90?01h9i:06:?xud=10;6?u2abc962`<5hh96<7;;|qg7<<72;q6nl<518689`1d28>27p}k4383>7}:jh81=4;4=d5g>42>3tyh944?:3y>fd4=:>l01ll=:0;0?xuc:>0;6?u2abf95<2<5l=j6<:6;|qg75<72;q6mnj518789`1e28>27p}l5`83>7}:ijn1>:h4=``g>4?33tyo<n4?:3y>f0c=90>01h97:06:?xuc9l0;6?u2b4g95<3<5l=26<:6;|q`1f<72;q6n8k526d89ddc28387p}lf`83>7}:j?;1=4:4=d56>42>3tyo<>4?:3y>f37=90?01h99:06:?xud=m0;6?u2b73962`<5k><6<7;;|q`a=<72;q6noj518689`1428>27p}lf083>7}:jkn1=4;4=d57>42>3tyh9h4?:3y>fgb=:>l01o:8:0;0?xuc?90;6?u2a2`95<2<5l286<:n;|qg<7<72;q6m>l518789`>328>j7p}l5g83>7}:i:h1>:h4=8f:>4?33tyo9h4?:3y>efc=90>01h6?:06b?xuc>>0;6?u2abg95<3<5l2:6<:n;|q`25<72;q6mnk526d89<b>28387p}k4b83>7}:ijl1=4:4=d5f>42f3tyo984?:3y>ef`=90?01h9i:06b?xud>80;6?u2abd962`<5hi>6<7;;|qg7d<72;q6n;<518689`1d28>j7p}k4283>7}:j?81=4;4=d5g>42f3tyh:?4?:3y>f34=:>l01lm::0;0?xuc:10;6?u2ae295<2<5l=j6<:n;|qg74<72;q6mi>518789`1e28>j7p}l6283>7}:im:1>:h4=`a5>4?33tyo<i4?:3y>f35=90>01h97:06b?xuc9o0;6?u2b7195<3<5l=26<:n;|q`21<72;q6n;=526d89de128387p}lfc83>7}:j?>1=4:4=d56>42f3tyo<94?:3y>f32=90?01h99:06b?xud><0;6?u2b76962`<5k?96<7;;|q`a<<72;q6nn<518689`1428>j7p}lf383>7}:jj81=4;4=d57>42f3tyh::4?:3y>ff4=:>l01o;=:0;0?xuc?80;6?u2a2a95<2<5l286<:m;|qg<6<72;q6m>m518789`>328>i7p}l6983>7}:i:i1>:h4=8fg>4?33tyo9k4?:3y>ea7=90>01h6?:06a?xuc>10;6?u2ae395<3<5l2:6<:m;|q`2<<72;q6mi?526d89<bc28387p}k4e83>7}:im81=4:4=d5f>42e3tyo9;4?:3y>ea4=90?01h9i:06a?xud>h0;6?u2ae0962`<5hi<6<7;;|qg7g<72;q6n;;518689`1d28>i7p}k4583>7}:j??1=4;4=d5g>42e3tyh:o4?:3y>f33=:>l01lm8:0;0?xuc:00;6?u2ae195<2<5l=j6<:m;|qg77<72;q6mi=518789`1e28>i7p}l6b83>7}:im91>:h4=`:4>4?33tyo<h4?:3y>f30=90>01h97:06a?xuc:90;6?u2b7495<3<5l=26<:m;|q`2a<72;q6n;8526d89d>028387p}lfb83>7}:j?=1=4:4=d56>42e3tyo<84?:3y>f31=90?01h99:06a?xud>l0;6?u2b75962`<5k?=6<7;;|q`ad<72;q6nn=518689`1428>i7p}lf283>7}:jj91=4;4=d57>42e3tyh:k4?:3y>ff5=:>l01o;9:0;0?xuc?;0;6?u2a2f95<2<5l286<:l;|qg<1<72;q6m>j518789`>328>h7p}l7183>7}:i:n1>:h4=8ff>4?33tyo:=4?:3y>ea2=90>01h6?:06`?xuc>00;6?u2ae695<3<5l2:6<:l;|q`37<72;q6mi:526d89<bb28387p}k4d83>7}:im?1=4:4=d5f>42d3tyo9:4?:3y>ea3=90?01h9i:06`?xud?:0;6?u2ae7962`<5h236<7;;|qg7f<72;q6n;6518689`1d28>h7p}k4483>7}:j?21=4;4=d5g>42d3tyh;94?:3y>f3>=:>l01l67:0;0?xuc:h0;6?u2ae595<2<5l=j6<:l;|qg76<72;q6mi9518789`1e28>h7p}l7483>7}:im=1>:h4=`::>4?33tyo<k4?:3y>f3?=90>01h97:06`?xuc:80;6?u2b7;95<3<5l=26<:l;|q`33<72;q6n;7526d89d>>28387p}lfe83>7}:j?h1=4:4=d56>42d3tyo<;4?:3y>f3d=90?01h99:06`?xud?>0;6?u2b7`962`<5k?<6<7;;|q`ag<72;q6nn:518689`1428>h7p}lf583>7}:jj>1=4;4=d57>42d3tyh;54?:3y>ff2=:>l01o;8:0;0?xuc?:0;6?u2a2g95<2<5l286<:k;|qg<0<72;q6m>k518789`>328>o7p}l7883>7}:i:o1>:h4=8fe>4?33tyo:<4?:3y>ea>=90>01h6?:06g?xuc>h0;6?u2ae:95<3<5l2:6<:k;|q`3d<72;q6mi6526d89<ba28387p}k4g83>7}:im31=4:4=d5f>42c3tyo954?:3y>ea?=90?01h9i:06g?xud?k0;6?u2ae;962`<5h2j6<7;;|qg7a<72;q6n;m518689`1d28>o7p}k4783>7}:j?i1=4;4=d5g>42c3tyh;i4?:3y>f3e=:>l01l6n:0;0?xuc:k0;6?u2aec95<2<5l=j6<:k;|qg71<72;q6mio518789`1e28>o7p}l7d83>7}:imk1>:h4=`:a>4?33tyo==4?:3y>f3b=90>01h97:06g?xuc:;0;6?u2b7f95<3<5l=26<:k;|q`3c<72;q6n;j526d89d>e28387p}lfd83>7}:j?o1=4:4=d56>42c3tyo<:4?:3y>f3c=90?01h99:06g?xud090;6?u2b7g962`<5k?36<7;;|q`af<72;q6nn;518689`1428>o7p}lf483>7}:jj?1=4;4=d57>42c3tyh4<4?:3y>ff3=:>l01o;7:0;0?xuc?=0;6?u2a2d95<2<5l286<;9;|qg<3<72;q6m>h518789`>328?=7p}l8383>7}:i:l1>:h4=8g3>4?33tyo:?4?:3y>ead=90>01h6?:075?xuc>k0;6?u2ae`95<3<5l2:6<;9;|q`<6<72;q6mil526d89<c728387p}k5183>7}:imi1=4:4=d5f>4313tyo944?:3y>eae=90?01h9i:075?xud0=0;6?u2aea962`<5h2h6<7;;|qg7`<72;q6n;h518689`1d28?=7p}k4683>7}:j?l1=4;4=d5g>4313tyh484?:3y>f3`=:>l01l6l:0;0?xudno0;6?u2b6395<2<5l=>6<;9;|qg4=<72;q6n:?518789`1128?=7p}l8883>7}:j>;1>:h4=c7:>4?33tyo>n4?:3y>eab=90>01h9n:075?xuc;<0;6?u2aef95<3<5l=i6<;9;|q`<3<72;q6mij526d89d>c283?7p}k1083>7}:j>:1=4:4=d5;>4313tyo>>4?:3y>f26=90?01h96:075?xud010;6?u2b62962`<5h2o6<7<;|q`aa<72;q6nn8518689`1428?=7p}lf783>7}:jj<1=4;4=d57>4313tyh4l4?:3y>ff0=:>l01o;6:0;0?xub8l0;6?u2a5295<2<5l3?6<<i;|qf52<72;q6m9>518789`?2288m7p}l8c83>7}:i=:1>:h4=8g2>4?33tyojn4?:3y>eac=90>01h7=:00e?xub8<0;6?u2aeg95<3<5l386<<i;|q`<f<72;q6mik526d89<c628387p}ke`83>7}:iml1=4:4=d;3>44a3tyoj>4?:3y>ea`=90?01h7>:00e?xud0m0;6?u2aed962`<5h2n6<7;;|qggc<72;q6n:<518689`>b288m7p}ke083>7}:j>81=4;4=d:e>44a3tyh4h4?:3y>f24=:>l01l6j:0;0?xucjm0;6?u2ad295<2<5l2i6<<i;|qgg3<72;q6mh>518789`>d288m7p}l8g83>7}:il:1>:h4=`:e>4?33tyomo4?:3y>f25=90>01h66:00e?xucj=0;6?u2b6195<3<5l2j6<<i;|q`=5<72;q6n:=526d89d>a28387p}k9883>7}:j>>1=4:4=d:4>44a3tyom?4?:3y>f22=90?01h67:00e?xud180;6?u2b66962`<5k?j6<7;;|qg<2<72;q6nn9518689`>2288m7p}k9183>7}:jj=1=4;4=d:5>44a3tyh5>4?:3y>ff1=:>l01o;n:0;0?xub8o0;6?u2a3:95<2<5l3?6<=n;|qf5=<72;q6m?6518789`?2289j7p}l9583>7}:i;21>:h4=8g1>4?33tyoji4?:3y>e`4=90>01h7=:01b?xub8?0;6?u2ad095<3<5l386<=n;|q`=0<72;q6mh<526d89<c528387p}kec83>7}:il91=4:4=d;3>45f3tyoj94?:3y>e`5=90?01h7>:01b?xud1?0;6?u2ad1962`<5h3;6<7;;|qg`5<72;q6n:8518689`>b289j7p}ke383>7}:j><1=4;4=d:e>45f3tyh5:4?:3y>f20=:>l01l7?:0;0?xucjl0;6?u2ad695<2<5l2i6<=n;|qgg2<72;q6mh:518789`>d289j7p}l9983>7}:il>1>:h4=`;1>4?33tyomn4?:3y>f21=90>01h66:01b?xucj<0;6?u2b6595<3<5l2j6<=n;|q`=<<72;q6n:9526d89d?528387p}k9`83>7}:j>21=4:4=d:4>45f3tyom>4?:3y>f2>=90?01h67:01b?xud1h0;6?u2b6:962`<5k?i6<7;;|qg<=<72;q6nlh518689`>2289j7p}k9083>7}:jhl1=4;4=d:5>45f3tyh5o4?:3y>fd`=:>l01o;m:0;0?xudl=0;6?u2a3;95<2<5l=:6<=n;|q``a<72;q6m?7518789`15289j7p}l9b83>7}:i;31>:h4=8a7>4?33tyho?4?:3y>e`3=90>01h8i:01b?xudkk0;6?u2ad795<3<5l=;6<=n;|q`=`<72;q6mh;526d89<e328387p}j4d83>7}:il<1=4:4=d4g>45f3tyhn44?:3y>e`0=90?01h8j:01b?xud1o0;6?u2ad4962`<5h386<7;;|qf7f<72;q6n:7518689`d1289j7p}j4483>7}:j>31=4;4=d`4>45f3tyhm=4?:3y>f2?=:>l01l7<:0;0?xub:h0;6?u2ad595<2<5lh?6<=n;|qf76<72;q6mh9518789`d2289j7p}la083>7}:il=1>:h4=`;7>4?33tyoh44?:3y>f2g=90>01h7j:01b?xub:80;6?u2b6c95<3<5lh86<=n;|q`e7<72;q6n:o526d89d?328387p}k1283>7}:j>h1=4:4=d:1>45f3tyo;;4?:3y>f2d=90?01h6k:01b?xudi:0;6?u2b6`962`<5k9o6<7;;|qf12<72;q6no>518689`0d289j7p}lb183>7}:jk:1=4;4=d54>45f3tyhm94?:3y>fg6=:>l01o=k:0;0?xub990;6?u2a3c95<2<5l3?6<::;|qf5<<72;q6m?o518789`?228>>7p}la483>7}:i;k1>:h4=8a6>4?33tyojh4?:3y>e`>=90>01h7=:066?xub8>0;6?u2ad:95<3<5l386<::;|q`e3<72;q6mh6526d89<e228387p}keb83>7}:il31=4:4=d;3>4223tyoj84?:3y>e`?=90?01h7>:066?xuek00;6?u2ad;962`<5h3>6<7;;|qg`4<72;q6n:m518689`>b28>>7p}ke283>7}:j>i1=4;4=d:e>4223tyiol4?:3y>f2e=:>l01l7::0;0?xucjo0;6?u2adc95<2<5l2i6<::;|qgg=<72;q6mho518789`>d28>>7p}mcc83>7}:ilk1>:h4=`;5>4?33tyomi4?:3y>f2b=90>01h66:066?xucj?0;6?u2b6f95<3<5l2j6<::;|qagf<72;q6n:j526d89d?128387p}k9c83>7}:j>o1=4:4=d:4>4223tyom94?:3y>f2c=90?01h67:066?xuekm0;6?u2b6g962`<5k9n6<7;;|qg<<<72;q6no?518689`>228>>7p}k9383>7}:jk;1=4;4=d:5>4223tyioh4?:3y>fg7=:>l01o=j:0;0?xub980;6?u2a3`95<2<5l3?6<:6;|qf5d<72;q6m?l518789`?228>27p}mcg83>7}:i;h1>:h4=8a5>4?33tyojk4?:3y>e`d=90>01h7=:06:?xub810;6?u2ad`95<3<5l386<:6;|qa`5<72;q6mhl526d89<e128387p}kee83>7}:iln1=4:4=d;3>42>3tyoj;4?:3y>e`b=90?01h7>:06:?xuel80;6?u2adf962`<5h3<6<7;;|qg`7<72;q6n:h518689`>b28>27p}ke583>7}:j>l1=4;4=d:e>42>3tyih?4?:3y>f2`=:>l01l78:0;0?xuck90;6?u2adg95<2<5l2i6<:6;|qgg<<72;q6mhk518789`>d28>27p}md283>7}:ilo1>:h4=`;;>4?33tyomh4?:3y>f=7=90>01h66:06:?xucj>0;6?u2b9395<3<5l2j6<:6;|qa`0<72;q6n5?526d89d??28387p}k9b83>7}:j181=4:4=d:4>42>3tyom84?:3y>f=4=90?01h67:06:?xuel?0;6?u2b90962`<5k9m6<7;;|qg<d<72;q6no<518689`>228>27p}k9283>7}:jk81=4;4=d:5>42>3tyih:4?:3y>fg4=:>l01o=i:0;0?xub9;0;6?u2a3a95<2<5l3?6<:n;|qf5g<72;q6m?m518789`?228>j7p}md983>7}:i;i1>:h4=8a4>4?33tyn<=4?:3y>e``=90>01h7=:06b?xub800;6?u2add95<3<5l386<:n;|qa`<<72;q6mhh526d89<e028387p}ked83>7}:io:1=4:4=d;3>42f3tyoj:4?:3y>ec6=90?01h7>:06b?xuelh0;6?u2ag2962`<5h326<7;;|qg`6<72;q6n5=518689`>b28>j7p}ke483>7}:j191=4;4=d:e>42f3tyiho4?:3y>f=5=:>l01l76:0;0?xucio0;6?u2b9695<2<5l226<:n;|qgf=<72;q6n5:518789`>f28>j7p}mde83>7}:j1>1>:h4=`;b>4?43tyoo<4?:3y>ec7=90>01h6m:06b?xuckh0;6?u2ag395<3<5l2h6<:n;|qa`f<72;q6mk?526d89d?f283?7p}k9e83>7}:j1?1=4:4=d:4>42f3tyom;4?:3y>f=3=90?01h67:06b?xuelo0;6?u2b97962`<5k>;6<7;;|qg<g<72;q6no=518689`>228>j7p}k9583>7}:jk91=4;4=d:5>42f3tyii=4?:3y>fg5=:>l01o:?:0;0?xub9:0;6?u2a3f95<2<5l3?6<:m;|qf5f<72;q6m?j518789`?228>i7p}me083>7}:i;n1>:h4=8a;>4?33tyn<<4?:3y>ec4=90>01h7=:06a?xub8h0;6?u2ag095<3<5l386<:m;|qaa7<72;q6mk<526d89<e?28387p}keg83>7}:io91=4:4=d;3>42e3tyoj54?:3y>ec5=90?01h7>:06a?xuem:0;6?u2ag1962`<5h3i6<7;;|qg`1<72;q6n58518689`>b28>i7p}ke783>7}:j1<1=4;4=d:e>42e3tyii94?:3y>f=0=:>l01l7m:0;0?xuck;0;6?u2ag695<2<5l2i6<:m;|qggg<72;q6mk:518789`>d28>i7p}me483>7}:io>1>:h4=`;g>4?33tyon=4?:3y>f=1=90>01h66:06a?xucj00;6?u2b9595<3<5l2j6<:m;|qaa3<72;q6n59526d89d?c28387p}k9d83>7}:j121=4:4=d:4>42e3tyom:4?:3y>f=>=90?01h67:06a?xuem>0;6?u2b9:962`<5k>:6<7;;|qg<f<72;q6no:518689`>228>i7p}k9483>7}:jk>1=4;4=d:5>42e3tyii54?:3y>fg2=:>l01o:>:0;0?xucn90;6?u2ag495<2<5l3;6<:l;|qgb<<72;q6mk8518789`?628>h7p}meb83>7}:io<1>:h4=`;f>4?33tyn=94?:3y>e7c=90>01h7;:06`?xub9m0;6?u2a3g95<3<5l3>6<:l;|qaad<72;q6m?k526d89<e>283?7p}j0383>7}:io?1=4:4=d;1>42d3tyn<o4?:3y>ec3=90?01h7<:06`?xuemk0;6?u2ag7962`<50i26<7<;|qg`0<72;q6n57518689`>b28>h7p}ke683>7}:j131=4;4=d:e>42d3tyiii4?:3y>f=?=:>l01l7j:0;0?xuck:0;6?u2ag:95<2<5l2i6<:l;|qggf<72;q6mk6518789`>d28>h7p}med83>7}:io21>:h4=`;e>4?33tyon<4?:3y>f=g=90>01h66:06`?xucjh0;6?u2b9c95<3<5l2j6<:l;|qaac<72;q6n5o526d89d?a28387p}k9g83>7}:j1i1=4:4=d:4>42d3tyom54?:3y>f=e=90?01h67:06`?xuen90;6?u2b9a962`<5k>96<7;;|qg<a<72;q6no;518689`>228>h7p}k9783>7}:jk?1=4;4=d:5>42d3tyij<4?:3y>fg3=:>l01o:=:0;0?xub9<0;6?u2a3d95<2<5l3?6<:k;|qf5`<72;q6m?h518789`?228>o7p}mf383>7}:i;l1>:h4=8ab>4?33tyn<>4?:3y>ec?=90>01h7=:06g?xub8j0;6?u2ag;95<3<5l386<:k;|qab6<72;q6mk7526d89<ef28387p}kf083>7}:iok1=4:4=d;3>42c3tyojl4?:3y>ecg=90?01h7>:06g?xuen<0;6?u2agc962`<5hk;6<7;;|qg`3<72;q6n5j518689`>b28>o7p}ke983>7}:j1n1=4;4=d:e>42c3tyij;4?:3y>f=b=:>l01lo?:0;0?xuck=0;6?u2ag`95<2<5l2i6<:k;|qgga<72;q6mkl518789`>d28>o7p}mf683>7}:ioh1>:h4=`c2>4?33tyon?4?:3y>f=c=90>01h66:06g?xucjk0;6?u2b9g95<3<5l2j6<:k;|qab=<72;q6n5k526d89dg628387p}ka183>7}:j1l1=4:4=d:4>42c3tyom44?:3y>f=`=90?01h67:06g?xuen00;6?u2b9d962`<5k>86<7;;|qg<`<72;q6no8518689`>228>o7p}k9683>7}:jk<1=4;4=d:5>42c3tyijl4?:3y>fg0=:>l01o:<:0;0?xub9?0;6?u2a2295<2<5l3?6<;9;|qf5c<72;q6m>>518789`?228?=7p}mfc83>7}:i::1>:h4=8aa>4?33tyn<94?:3y>ece=90>01h7=:075?xub8m0;6?u2aga95<3<5l386<;9;|qabf<72;q6mkm526d89<ee28387p}kf383>7}:ion1=4:4=d;3>4313tyojo4?:3y>ecb=90?01h7>:075?xuenm0;6?u2agf962`<5hk96<7;;|qg`2<72;q6n4>518689`>b28?=7p}ke883>7}:j0:1=4;4=d:e>4313tyijh4?:3y>f<6=:>l01lo=:0;0?xuck<0;6?u2agg95<2<5l2i6<;9;|qgg`<72;q6mkk518789`>d28?=7p}l0183>7}:ioo1>:h4=`c0>4?33tyon>4?:3y>f<7=90>01h66:075?xucjj0;6?u2b8395<3<5l2j6<;9;|q`44<72;q6n4?526d89dg428387p}ka083>7}:j081=4:4=d:4>4313tyoml4?:3y>f<4=90?01h67:075?xud8;0;6?u2b80962`<5k>?6<7;;|qg<c<72;q6no9518689`>228?=7p}k9983>7}:jk=1=4;4=d:5>4313tyh<>4?:3y>fg1=:>l01o:;:0;0?xudl<0;6?u2a2095<2<5l=:6<::;|q```<72;q6m><518789`1528>>7p}l0883>7}:i:81>:h4=8f3>4?33tyho>4?:3y>f56=90>01h8i:066?xudkj0;6?u2b1295<3<5l=;6<::;|q`4d<72;q6n=>526d89<b728387p}j4g83>7}:j9;1=4:4=d4g>4223tyhnl4?:3y>f57=90?01h8j:066?xud8j0;6?u2b13962`<5hki6<7;;|qf7a<72;q6n4:518689`d128>>7p}j4783>7}:j0>1=4;4=d`4>4223tyh<i4?:3y>f<2=:>l01lom:0;0?xub:k0;6?u2b1095<2<5lh?6<::;|qf71<72;q6n=<518789`d228>>7p}l0d83>7}:j981>:h4=`c`>4?33tyohl4?:3y>f<3=90>01h7j:066?xub:;0;6?u2b8795<3<5lh86<::;|q`4c<72;q6n4;526d89dgd28387p}k1583>7}:j0<1=4:4=d:1>4223tyo;:4?:3y>f<0=90?01h6k:066?xud990;6?u2b84962`<5k>26<7;;|qf1=<72;q6no7518689`0d28>>7p}lb083>7}:jk31=4;4=d54>4223tyh=<4?:3y>fg?=:>l01o:6:0;0?xudl?0;6?u2a2195<2<5l=:6<:6;|q``c<72;q6m>=518789`1528>27p}l1`83>7}:i:91>:h4=8f6>4?33tyho94?:3y>f53=90>01h8i:06:?xudkm0;6?u2b1795<3<5l=;6<:6;|q`5g<72;q6n=;526d89<b228387p}j5183>7}:j9<1=4:4=d4g>42>3tyhno4?:3y>f50=90?01h8j:06:?xud9m0;6?u2b14962`<5hh=6<7;;|qf7`<72;q6n47518689`d128>27p}j4683>7}:j031=4;4=d`4>42>3tyh=h4?:3y>f<?=:>l01ll9:0;0?xub:j0;6?u2b1595<2<5lh?6<:6;|qf70<72;q6n=9518789`d228>27p}l1g83>7}:j9=1>:h4=``4>4?33tyoho4?:3y>f<g=90>01h7j:06:?xub::0;6?u2b8c95<3<5lh86<:6;|q`65<72;q6n4o526d89dd028387p}k1483>7}:j0h1=4:4=d:1>42>3tyo;54?:3y>f<d=90?01h6k:06:?xud:80;6?u2b8`962`<5k>n6<7;;|qf1<<72;q6noo518689`0d28>27p}lb383>7}:jkk1=4;4=d54>42>3tyh>?4?:3y>fgg=:>l01o:j:0;0?xudl>0;6?u2a2695<2<5l=:6<:n;|q`a5<72;q6m>:518789`1528>j7p}l2283>7}:i:>1>:h4=8f5>4?33tyho84?:3y>f5>=90>01h8i:06b?xudkl0;6?u2b1:95<3<5l=;6<:n;|q`61<72;q6n=6526d89<b128387p}j5083>7}:j931=4:4=d4g>42f3tyhnn4?:3y>f5?=90?01h8j:06b?xud:<0;6?u2b1;962`<5hh36<7;;|qf7c<72;q6n4m518689`d128>j7p}j4983>7}:j0i1=4;4=d`4>42f3tyh>;4?:3y>f<e=:>l01ll7:0;0?xub:m0;6?u2b1c95<2<5lh?6<:n;|qf73<72;q6n=o518789`d228>j7p}l2983>7}:j9k1>:h4=``:>4?33tyohn4?:3y>f<b=90>01h7j:06b?xub:=0;6?u2b8f95<3<5lh86<:n;|q`6<<72;q6n4j526d89dd>28387p}k1783>7}:j0o1=4:4=d:1>42f3tyo;44?:3y>f<c=90?01h6k:06b?xud:h0;6?u2b8g962`<5k>m6<7;;|qf1d<72;q6nol518689`0d28>j7p}lb283>7}:jkh1=4;4=d54>42f3tyh>o4?:3y>fgd=:>l01o:i:0;0?xudl10;6?u2a2795<2<5l=:6<:m;|q`a4<72;q6m>;518789`1528>i7p}l2b83>7}:i:?1>:h4=8f4>4?33tyho;4?:3y>f5d=90>01h8i:06a?xudko0;6?u2b1`95<3<5l=;6<:m;|q`6a<72;q6n=l526d89<b028387p}j5383>7}:j9i1=4:4=d4g>42e3tyhni4?:3y>f5e=90?01h8j:06a?xud:l0;6?u2b1a962`<5hhj6<7;;|qf05<72;q6n4h518689`d128>i7p}j4883>7}:j0l1=4;4=d`4>42e3tyh>k4?:3y>f<`=:>l01lln:0;0?xub:l0;6?u2b1f95<2<5lh?6<:m;|qf72<72;q6n=j518789`d228>i7p}l3183>7}:j9n1>:h4=``a>4?33tyohi4?:3y>fd6=90>01h7j:06a?xub:<0;6?u2b`295<3<5lh86<:m;|q`74<72;q6nl>526d89dde28387p}k1683>7}:jh;1=4:4=d:1>42e3tyo;l4?:3y>fd7=90?01h6k:06a?xud;:0;6?u2b`3962`<5k?;6<7;;|qf1g<72;q6nom518689`0d28>i7p}lb583>7}:jki1=4;4=d54>42e3tyh?94?:3y>fge=:>l01o;?:0;0?xudl00;6?u2a2595<2<5l=:6<:l;|q`a7<72;q6m>9518789`1528>h7p}l3483>7}:i:=1>:h4=8f;>4?33tyho:4?:3y>f5`=90>01h8i:06`?xudl90;6?u2b1d95<3<5l=;6<:l;|q`73<72;q6n=h526d89<b?28387p}j5283>7}:j8:1=4:4=d4g>42d3tyhnh4?:3y>f46=90?01h8j:06`?xud;>0;6?u2b02962`<5hhh6<7;;|qf04<72;q6nl=518689`d128>h7p}j4`83>7}:jh91=4;4=d`4>42d3tyh?54?:3y>fd5=:>l01lll:0;0?xub:o0;6?u2b0395<2<5lh?6<:l;|qf7=<72;q6n<?518789`d228>h7p}l3883>7}:j8;1>:h4=``f>4?33tyohh4?:3y>fd2=90>01h7j:06`?xub:?0;6?u2b`695<3<5lh86<:l;|q`7d<72;q6nl:526d89ddb28387p}k1983>7}:jh?1=4:4=d:1>42d3tyo;o4?:3y>fd3=90?01h6k:06`?xud;k0;6?u2b`7962`<5k?:6<7;;|qf1f<72;q6nok518689`0d28>h7p}lb483>7}:jko1=4;4=d54>42d3tyh?n4?:3y>fgc=:>l01o;>:0;0?xudlh0;6?u2a2:95<2<5l=:6<:k;|q`a6<72;q6m>6518789`1528>o7p}l3d83>7}:i:21>:h4=8fb>4?33tyho54?:3y>f44=90>01h8i:06g?xudl80;6?u2b0095<3<5l=;6<:k;|q`7c<72;q6n<<526d89<bf28387p}j5583>7}:j891=4:4=d4g>42c3tyhnk4?:3y>f45=90?01h8j:06g?xud<90;6?u2b01962`<5hhm6<7;;|qf07<72;q6nl8518689`d128>o7p}j4c83>7}:jh<1=4;4=d`4>42c3tyh8<4?:3y>fd0=:>l01lli:0;0?xub;90;6?u2b0695<2<5lh?6<:k;|qf7<<72;q6n<:518789`d228>o7p}l4383>7}:j8>1>:h4=`a3>4?33tyohk4?:3y>fd1=90>01h7j:06g?xub:>0;6?u2b`595<3<5lh86<:k;|q`06<72;q6nl9526d89de728387p}k1883>7}:jh21=4:4=d:1>42c3tyo;n4?:3y>fd>=90?01h6k:06g?xud<=0;6?u2b`:962`<5k?86<7;;|qf1a<72;q6noh518689`0d28>o7p}lb783>7}:jkl1=4;4=d54>42c3tyh884?:3y>fg`=:>l01o;<:0;0?xudlk0;6?u2a2;95<2<5l=:6<;9;|q`a1<72;q6m>7518789`1528?=7p}l4783>7}:i:31>:h4=8fa>4?33tyho44?:3y>f43=90>01h8i:075?xudl;0;6?u2b0795<3<5l=;6<;9;|q`02<72;q6n<;526d89<be28387p}j5483>7}:j8<1=4:4=d4g>4313tyho=4?:3y>f40=90?01h8j:075?xud<00;6?u2b04962`<5hi:6<7;;|qf06<72;q6nl7518689`d128?=7p}j4b83>7}:jh31=4;4=d`4>4313tyh8l4?:3y>fd?=:>l01lm>:0;0?xub;80;6?u2b0595<2<5lh?6<;9;|qf7d<72;q6n<9518789`d228?=7p}l4c83>7}:j8=1>:h4=`a1>4?33tyoi=4?:3y>fdg=90>01h7j:075?xub:10;6?u2b`c95<3<5lh86<;9;|q`0f<72;q6nlo526d89de528387p}k1`83>7}:jhh1=4:4=d:1>4313tyo;i4?:3y>fdd=90?01h6k:075?xud<m0;6?u2b``962`<5k??6<7;;|qf1`<72;q6nn>518689`0d28?=7p}lb683>7}:jj:1=4;4=d54>4313tyh8h4?:3y>ff6=:>l01o;;:0;0?xuc>j0;6?u2a2c95<2<5l286<<i;|qg3`<72;q6m>o518789`>3288m7p}l4g83>7}:i:k1>:h4=8f`>4?33tyo9l4?:3y>f4>=90>01h6?:00e?xuc>:0;6?u2b0:95<3<5l2:6<<i;|q`15<72;q6n<6526d89<bd28387p}k4983>7}:ijh1=4:4=d5f>44a3tyo9<4?:3y>efd=90?01h9i:00e?xud=80;6?u2ab`962`<5hi86<7;;|qg73<72;q6nlm518689`1d288m7p}k3g83>7}:jhi1=4;4=d5g>44a3tyh9?4?:3y>fde=:>l01lm<:0;0?xuc:=0;6?u2aba95<2<5l=j6<<i;|qg6a<72;q6mnm518789`1e288m7p}l5583>7}:iji1>:h4=`a7>4?33tyo<44?:3y>f0`=90>01h97:00e?xuc9k0;6?u2b4d95<3<5l=26<<i;|q`10<72;q6n8h526d89de328387p}lf683>7}:j?:1=4:4=d56>44a3tyo<=4?:3y>f36=90?01h99:00e?xud=?0;6?u2b72962`<5k?>6<7;;|q`a0<72;q6nn?518689`14288m7p}led83>7}:jj;1=4;4=d57>44a3tyh9:4?:3y>ff7=:>l01o;::0;0?xuf<80;6?u2a53962`<50?o6<7<;|q:e5<72;q65l>526d89<3c283?7p}m1883>7}:j831>:h4=8g0>4?43tyj8?4?:3y>e=3=:>l014k<:0;7?xuf<m0;6?u2a94962`<50=m6<7<;|q:e4<72;q65n<526d89<1a283?7p}m1`83>7}:j:h1>:h4=`26>4?43tyj954?:3y>e<7=:>l01l>::0;7?xuf>:0;6?u2a8a962`<502;6<7<;|q:ef<72;q65n=526d89<>7283?7p}m2483>7}:j:i1>:h4=`25>4?43tyj:h4?:3y>ed1=:>l01l>9:0;7?xuf?00;6?u2ac0962`<502i6<7<;|q:f2<72;q65nk526d89<>e283?7p}m3183>7}:j==1>:h4=`32>4?43tyj4?4?:3y>egb=:>l01l?>:0;7?xuf0:0;6?u2ab7962`<503=6<7<;|q:ff<72;q65i7526d89<?1283?7p}m3483>7}:j<81>:h4=`3`>4?43tyj494?:3y>ef0=:>l01l?l:0;7?xuf<:0;6?u2ab5962`<503j6<7<;|q:fa<72;q65ij526d89<?f283?7p}n4583>7}:i1=1>:h4=`03>4?33tyi?;4?:3y>f00=:>l01l<?:0;0?xuf<<0;6?u2a9:962`<503i6<7<;|q:f`<72;q65ik526d89<?e283?7p}m3683>7}:j<=1>:h4=`02>4?43tyj8;4?:3y>e=?=:>l01l<>:0;7?xuf<>0;6?u2a9c962`<503h6<7<;|q:fc<72;q65ih526d89<?d283?7p}m3983>7}:j<21>:h4=`01>4?43tyj854?:3y>e=d=:>l01l<=:0;7?xuf<00;6?u2a9a962`<503o6<7<;|q:g5<72;q65h>526d89<?c283?7p}m3883>7}:j<31>:h4=`00>4?43tyj8l4?:3y>e=b=:>l01l<<:0;7?xuf<k0;6?u2a9g962`<503n6<7<;|q:g4<72;q65h?526d89<?b283?7p}m3`83>7}:j<k1>:h4=`07>4?43tyj8n4?:3y>e=`=:>l01l<;:0;7?xuf<l0;6?u2a82962`<503m6<7<;|q:e7<72;q65h<526d89<?a283?7p}m1c83>7}:j<h1>:h4=`06>4?43tyj8k4?:3y>e<4=:>l01l<::0;7?xuf=90;6?u2a81962`<502:6<7<;|q:e6<72;q65n:526d89<>6283?7p}m1b83>7}:j:n1>:h4=`24>4?43tyj9<4?:3y>e<2=:>l01l>8:0;7?xuf=;0;6?u2a87962`<50296<7<;|q:e1<72;q65n;526d89<>5283?7p}m1e83>7}:j:o1>:h4=`2;>4?43tyj9>4?:3y>e<0=:>l01l>7:0;7?xuf==0;6?u2a85962`<50286<7<;|q:e0<72;q65n8526d89<>4283?7p}m1d83>7}:j:l1>:h4=`2:>4?43tyj984?:3y>e<>=:>l01l>6:0;7?xuf=?0;6?u2a8;962`<502?6<7<;|q:e3<72;q65n9526d89<>3283?7p}m1g83>7}:j=:1>:h4=`2b>4?43tyj9:4?:3y>e<g=:>l01l>n:0;7?xuf=00;6?u2a8`962`<502>6<7<;|q:e2<72;q65n6526d89<>2283?7p}m2183>7}:j=;1>:h4=`2a>4?43tyj9l4?:3y>e<b=:>l01l>m:0;7?xuf=k0;6?u2a8g962`<502=6<7<;|q:e=<72;q65n7526d89<>1283?7p}m2083>7}:j=81>:h4=`2`>4?43tyj9n4?:3y>e<`=:>l01l>l:0;7?xuf=m0;6?u2a`2962`<502<6<7<;|q:e<<72;q65no526d89<>0283?7p}m2383>7}:j=91>:h4=`2g>4?43tyj9h4?:3y>ed7=:>l01l>k:0;7?xuf=o0;6?u2a`0962`<50236<7<;|q:ed<72;q65nl526d89<>?283?7p}m2283>7}:j=>1>:h4=`2f>4?43tyj:=4?:3y>ed5=:>l01l>j:0;7?xuf>10;6?u2a``962`<502o6<7<;|q:ec<72;q65i>526d89<>c283?7p}m2983>7}:j=31>:h4=`30>4?43tyj:44?:3y>ede=:>l01l?<:0;7?xuf?:0;6?u2ac4962`<50396<7<;|q:f1<72;q65i;526d89<?5283?7p}m2e83>7}:j=o1>:h4=`3;>4?43tyj;94?:3y>eg1=:>l01l?7:0;7?xuf?<0;6?u2ac:962`<50386<7<;|q:f0<72;q65i8526d89<?4283?7p}m2d83>7}:j=l1>:h4=`3:>4?43tyj;;4?:3y>eg?=:>l01l?6:0;7?xuf?>0;6?u2acc962`<503?6<7<;|q:f3<72;q65i9526d89<?3283?7p}m2g83>7}:j<:1>:h4=`3b>4?43tyj;54?:3y>egd=:>l01l?n:0;7?xuf?h0;6?u2aca962`<503>6<7<;|q:f=<72;q65i6526d89<?2283?7p}m3083>7}:j<;1>:h4=`3a>4?43tyj;o4?:3y>egc=:>l01l?m:0;7?xuf?j0;6?u2acd962`<503<6<7<;|q:f<<72;q65io526d89<?0283?7p}m3383>7}:j<91>:h4=`3g>4?43tyj;i4?:3y>ef6=:>l01l?k:0;7?xuf?l0;6?u2ab3962`<50336<7<;|q:fd<72;q65il526d89<??283?7p}m3283>7}:j<>1>:h4=`3f>4?43tyj;k4?:3y>ef4=:>l01l?j:0;7?xuf090;6?u2ab1962`<50326<7<;|q:fg<72;q65im526d89<?>283?7p}m3583>7}:j<?1>:h4=`3e>4?43tyj4<4?:3y>ef2=:>l01l?i:0;7?xu>:h0;69u2912962`<5lh<6<6?;<g4`?7?827n4k4>819~w<7d290?w06i2;04b>;b>m0:4=52e6g95=6<5l3;6<6?;|q;`f<720;p15jl:35e?8c0m39:563j7d8052=:m>o1?<84=d5f>67234o<j7=>9:?f3c<49>16i:h530489`1a2:;>70k70;12=>;b0908=:52e929740<5l2;6>?:;<g;5?56127n4<4<169>a=7=;8<01h6>:236?8c?;39:563j828052=:m191?<84=d:0>67234o387=>9:?f<1<49>16i5:530489`>32:;>70k75;12=>;b0<08=:52e979740<5l2>6>?:;<g;2?56127n4;4<169>a=0=;8<01h69:236?8c??39:563j868052=:m1=1?<84=d:4>67234o347=>9:?f<=<49>16i56530489`>?2:;>70k79;12=>;b0008=:52e9;9740<5l226>?:;<g;e?56127n4l4<169>a=g=;8<01h6n:236?8c?j39:563j8c8052=:m1h1?<84=d:a>67234o3o7=>9:?f<f<49>16i5m530489`>d2:;>70k7e;12=>;b0l08=:52e9g9740<5l2n6>?:;<g;b?56127n4k4<169>a=`=;8<01h6i:236?8c>839:563j918052=:m0:1?<84=d;3>67234o2=7=>9:?f=4<49>16i4?530489`?62:;>70k62;12=>;b1;08=:52e809740<5l396>?:;<g:7?56127n5>4<169>a<5=;8<01h7<:236?8c><39:563j958052=:m0>1?<84=d;7>67234o297=>9:?f=0<49>16i4;530489`?22:;>70k67;12=>;b1>08=:52e859740<5l3<6>?:;<g:2?56127n5;4<169>a<0=;8<01h79:236?8c>j39:563j9c8052=:m0h1?<84=d;a>67234o2m7=>9:?f=d<49>16i4o530489`?f2:;>70kn0;12=>;bi908=:52e`29740<5lk;6>?:;<g:b?56127n5k4<169>a<`=;8<01h7i:236?8cf<39:563ja58052=:mh>1?<84=dc7>67234oj?7=>9:?fe6<49>16il=530489`g42:;>70kn8;12=>;bi108=:52e`:9740<5lk36>?:;<gb3?56127nm:4<169>ad1=;8<01ho8:236?8cfk39:563jab8052=:mhi1?<84=dc`>67234ojn7=>9:?feg<49>16ill530489`ge2:;>70km0;12=>;bj908=:52ec29740<5lh;6>?:;<gbb?56127nmk4<169>ad`=;8<01hoi:236?xub110;6?u2e8592a=:m0<1:k5rsd;:>5<5s4o2;78j;<g:2?173tyn5n4?:3y>a<d=>m16i4o56g9~w`?c2909w0k6b;4f?8c>i3=;7p}ja083>7}:mh:1:i52e8d92c=z{lk96=4={<gb4?0b34o2j79?;|qfe0<72;q6il:56e9>ad5=>o1v\7fho9:181\7f8cf<3<n70kn3;53?xubi00;6?u2e`:92a=:mh=1:k5rsdcb>5<5s4oj478j;<gb3?173tynmi4?:3y>ade=>m16ill56g9~w`gb2909w0knc;4f?8cfj3=;7p}jb083>7}:mk:1:i52e`d92c=z{lh96=4={<ga4?0b34ojj79?;|\7fa76d=83;1<7>t$22`>6743A9856F<109l6=4=831v\7fk78:181\7f[`>?278<i4=fd9~w630290:>vP<589]b<g<V:>n7S=;d:\00f=Y;=h0R>:n;_162>X4=<1U?8:4^270?[52:2T89<5Q3428Z62a3W9?56P<499>76g=90:0q~=;3;296~X4<:16?=j5699~wc?>2909wSh69:?04a<48:1v\7fk7j:181\7f[`>m278<i4<259~w1322909wS::5:?04a<6?o1v\7fkli:181\7f[`en278<i4<379~wc?d2909wSh6c:?04a<48l1v\7f>:=:181\7f[53:278<i497:\7fpb21=838pRk98;<13`?4bl2wxioo50;0xZ`df349;h7<71:\7fpb<b=838pRk7k;<13`?56:2wx88650;0xZ13?349;h7?7e:\7fpagd=838pRhlm;<13`?4??2wxj4h50;0xZc?a349;h7==5:\7fpb4?=838pRk?6;<13`?4e02wxjo;50;0xZcg1349;h7==c:\7fpbg5=838pRko:;<13`?55i2wxjo<50;0xZcg3349;h7==9:\7fpbg7=838pRko<;<13`?5502wxjo>50;0xZcg5349;h7==7:\7fpbgc=838pRkoj;<13`?54=2wxjoj50;0xZcgc349;h7=<4:\7fpbge=838pRkol;<13`?54;2wxjol50;0xZcge349;h7=<2:\7fpbgg=838pRkon;<13`?5492wxjo750;0xZcg>349;h7=<0:\7fpbg>=838pRko7;<13`?55n2wxjo950;0xZcg0349;h7==e:\7fpbg0=838pRko>;<13`?55l2wxjlh50;0xZcg7349;h7==6:\7fp01e=838pR9<n;<13`?70>2wx89o50;0xZ14>349;h7?84:\7fp01?=838pR9<7;<13`?70;2wx89650;0xZ140349;h7?82:\7fp010=838pR9<:;<13`?7082wx89;50;0xZ143349;h7?9f:\7fp012=838pR9<<;<13`?71m2wx89=50;0xZ145349;h7?9d:\7fp014=838pR9<>;<13`?71k2wx89?50;0xZ147349;h7?9b:\7fp016=838pR9?i;<13`?71i2wx8>h50;0xZ17b349;h7?99:\7fp06c=838pR9?k;<13`?7102wx8>j50;0xZ17d349;h7?97:\7fp06d=838pR9?n;<13`?71=2wx8>o50;0xZ17>349;h7?94:\7fp06?=838pR9?7;<13`?71;2wx8>650;0xZ170349;h7?92:\7fp061=838pR9?9;<13`?7192wx8>850;0xZ172349;h7?90:\7fp063=838pR9?;;<13`?72n2wx8>:50;0xZ174349;h7?:e:\7fp065=838pR9?=;<13`?72l2wx8><50;0xZ176349;h7?:c:\7fp005=838pR9=?;<13`?70l2wx88<50;0xZ14a349;h7?8c:\7fp007=838pR9<j;<13`?70j2wx88>50;0xZ14c349;h7?8a:\7fp01`=838pR9<l;<13`?7012wx89k50;0xZ14e349;h7?88:\7fp01b=838pR9<9;<13`?70?2wx89950;0xZ17e349;h7?81:\7fp06e=838pR9??;<13`?71>2wx8>?50;0xZ16a349;h7?:b:\7fpb=b=838pRk9j;<13`?4a<2wxj5l50;0xZc1c349;h7<i2:\7fpb=g=838pRk9l;<13`?4a92wxj5750;0xZc1e349;h7<i0:\7fpb=>=838pRk9n;<13`?4bn2wxj4850;0xZc>1349;h7<id:\7fpb<3=838pRk6:;<13`?4ak2wxj4:50;0xZc>3349;h7<ib:\7fpb<5=838pRk6<;<13`?4ai2wxj4<50;0xZc>5349;h7<i9:\7fpb<7=838pRk6>;<13`?4a02wxj4>50;0xZc>7349;h7<i7:\7fpb=`=838pRk9i;<13`?4a>2wxj5k50;0xZc1>349;h7<i5:\7fpb=1=838pRk97;<13`?4bm2wxik>50;0xZ`c6349;h7<6e:\7fpa`c=838pRhk?;<13`?4>k2wxihj50;0xZ`ba349;h7<6b:\7fpa`e=838pRhjj;<13`?4>i2wxihl50;0xZ`bc349;h7<69:\7fpac?=838pRhk6;<13`?4f?2wxik650;0xZ`c?349;h7<n6:\7fpac1=838pRhk8;<13`?4f=2wxik850;0xZ`c1349;h7<n4:\7fpac3=838pRhk:;<13`?4f;2wxik:50;0xZ`c3349;h7<n2:\7fpac5=838pRhk<;<13`?4f92wxik<50;0xZ`c5349;h7<n0:\7fpac7=838pRhjl;<13`?4>n2wxiho50;0xZ`be349;h7<68:\7fp051=838pR>k:;<13`?`e3ty?<84?:3y]7`2<5::o6k74}r630?6=:rT8i>5231f9b==z{=:86=4={_1f6>;48m0m;6s|41394?4|V:o;70=?d;d6?xu3890;6?uQ3ed8966c2o>0q~=if;296~X4ll16?=j5f29~w6`b2909wS=kd:?04a<a:2wx?kj50;0xZ6bd349;h7h>;|q0bf<72;qU?il4=22g>c6<uz9mn7>52z\0`d=:;9n1ik5rs2db>5<5sW9o563<0e8fa>{t;o31<7<t^2f;?857l3oo7p}<f983>7}Y;m=01>>k:da8yv5a>3:1>vP<d49>75b=mh1v\7f>h::181\7f[5c<278<i4j9:\7fp7c2=838pR>j<;<13`?c?3ty8j>4?:3y]7a4<5::o6h94}r1e6?6=:rT8h<5231f9a3=z{:l:6=4={_1g4>;48m0n96s|3g294?4|V:im70=?d;g7?xu4mo0;6?uQ3bg8966c2l90q~=je;296~X4km16?=j5e39~w6cc2909wS=lc:?04a<b92wx8=k50;0xZ6ce349;h7??2:\7fp05b=838pR>kn;<13`?7792wx8=m50;0xZ6c>349;h7??0:\7fp05d=838pR>k7;<13`?`a3ty?<l4?:3y]7`1<5::o6kk4}r63=?6=:rT8i;5231f9ba=z{=:36=4={_1f5>;48m0mo6s|41094?4|V:n=70=?d;d5?xu4n>0;6?uQ3b`8966c2lh0q~=jc;296~X4kh16?=j5e19~wc0c2909wSh:e:?04a<5lo1v\7fk8m:181\7f[`2l278<i4=de9~wc0f2909wSh:c:?04a<5lj1v\7fk86:181\7f[`2j278<i4=dc9~wc0?2909wSh:a:?04a<5lh1v\7fk99:181\7f[`1>278<i4=e99~wc122909wSh95:?04a<5m>1v\7fk9;:181\7f[`1<278<i4=e79~wc142909wSh93:?04a<5m<1v\7fk9=:181\7f[`1:278<i4=e59~wc162909wSh91:?04a<5m:1v\7fk9?:181\7f[`18278<i4=e39~wc0a2909wSh:f:?04a<5m81v\7fk8j:181\7f[`21278<i4=e19~wc002909wSh:8:?04a<5l01v\7fk:j:181\7f[`4n278<i4=cg9~wc2d2909wSh<e:?04a<5km1v\7fk:m:181\7f[`4l278<i4=cb9~wc2f2909wSh<c:?04a<5kk1v\7fk:6:181\7f[`4j278<i4=c`9~wc302909wSh;7:?04a<5l11v\7fk;9:181\7f[`3>278<i4=d69~wc322909wSh;5:?04a<5l?1v\7fk;;:181\7f[`3<278<i4=d49~wc342909wSh;3:?04a<5l=1v\7fk;=:181\7f[`3:278<i4=d29~wc362909wSh;1:?04a<5l;1v\7fk;?:181\7f[`38278<i4=d09~wc2a2909wSh<a:?04a<5l91v\7fk:7:181\7f[`41278<i4=c89~wc4a2909wSh=0:?04a<5jo1v\7fk<k:181\7f[`6n278<i4=be9~wc4d2909wSh>e:?04a<5jj1v\7fk<m:181\7f[`6l278<i4=bc9~wc4f2909wSh>c:?04a<5jh1v\7fk=7:181\7f[`50278<i4=c99~wc502909wSh=7:?04a<5k>1v\7fk=9:181\7f[`5>278<i4=c79~wc522909wSh=5:?04a<5k<1v\7fk=;:181\7f[`5<278<i4=c59~wc542909wSh=3:?04a<5k:1v\7fk==:181\7f[`5:278<i4=c39~wc562909wSh=1:?04a<5k81v\7fk=?:181\7f[`6j278<i4=c19~wc4>2909wSh>a:?04a<5j01v\7fk>i:181\7f[`78278<i4=ad9~wc6c2909wSkif:?04a<5ij1v\7fk>l:181\7f[cam278<i4=ac9~wc6e2909wSkid:?04a<5ih1v\7fk>n:181\7f[cak278<i4=a89~wc7?2909wSh?8:?04a<5j>1v\7fk?8:181\7f[`7?278<i4=b79~wc712909wSh?6:?04a<5j<1v\7fk?::181\7f[`7=278<i4=b59~wc732909wSh?4:?04a<5j:1v\7fk?<:181\7f[`7;278<i4=b39~wc752909wSh?2:?04a<5j81v\7fk?>:181\7f[`79278<i4=b19~wc772909wSkib:?04a<5io1v\7fk>6:181\7f[cai278<i4=a99~w`b62909wSkl2:?04a<50l1v\7fhmi:181\7f[cd9278<i4=8b9~w`eb2909wSkl0:?04a<50k1v\7fhmk:181\7f[cen278<i4=8`9~w`ed2909wSkme:?04a<5001v\7fhjn:181\7f[cdi278<i4=969~w`b>2909wSkl9:?04a<51?1v\7fhj7:181\7f[cd0278<i4=949~w`b02909wSkl7:?04a<51=1v\7fhj9:181\7f[cd>278<i4=929~w`b22909wSkl5:?04a<51;1v\7fhj;:181\7f[cd<278<i4=909~w`b42909wSkl3:?04a<5191v\7fhj=:181\7f[cel278<i4=8g9~w`ee2909wSkmc:?04a<5011v\7f?=7:182\7f854j383>6srs524>5<5sW9n9639a;1f1>"49909;95rs526>5<5sW9n8639a;1f0>"49909;85rs527>5<5sW9n?639a;1f7>"49909;55rs520>5<5sW9n>639a;1f6>"49909;l5rs522>5<5sW9n<639a;1f4>"49909;o5rs523>5<5sW9oj639a;1gb>"4990:jl5rs2de>5<5sW9oi639a;1ga>"4990:jo5rs2df>5<5sW9oh639a;1g`>"49909<<5rs2dg>5<5sW9oo639a;1gg>"49909<>5rs2d`>5<5sW9on639a;1gf>"49909<55rs2da>5<5sW9om639a;1ge>"49909<45rs2db>5<5sW9o5639a;1g=>"49909==5rs2d:>5<5sW9o4639a;1g<>"49909=o5rs2d;>5<5sW9o;639a;1g3>"49909>;5rs2d5>5<5sW9o9639a;1g1>"49909?<5rs2d6>5<5sW9o8639a;1g0>"49909?45rs2d7>5<5sW9o?639a;1g7>"499098>5rs2d0>5<5sW9o>639a;1g6>"499098i5rs2d1>5<5sW9o=639a;1g5>"49909995rs2d2>5<5sW9o<639a;1g4>"49909985rs2d3>5<5sW9hj639a;1`b>"499099;5rs2ge>5<5sW9hi639a;1`a>"499099:5rs2gf>5<5sW9hh639a;1``>"49909955rs2gg>5<5sW9ho639a;1`g>"499099o5rs52f>5<5sW9nn639a;1ff>"499099k5rs52g>5<5sW9nm639a;1fe>"49909:>5rs52`>5<5sW9n5639a;1f=>"49909:;5rs52a>5<5sW9n4639a;1f<>"49909::5rs52b>5<5sW9n;639a;1f3>"49909:55rs52:>5<5sW9n:639a;1f2>"49909:45rs52;>5<5sW9n=639a;1f5>"49909:l5rs521>5<5sW9o:639a;1g2>"49909:o5rs2d4>5<5sW9hn639a;1`f>"49909:n5rs2g`>5<5sW9hm639a;1`e>"49909:i5rsg54>5<5sWl<;639a;d43>"49909<?5rsg;4>5<5sWl2;639a;d:3>"49909<95rsg;:>5<5sWl25639a;d:=>"49909<85rsg;e>5<5sWl2j639a;d:b>"49909<;5rsg`e>5<5sWlij639a;dab>"49909<:5rs56`>5<5sW>9m639a;61e>"49909<l5rs56b>5<5sW>95639a;61=>"49909<o5rs56:>5<5sW>94639a;61<>"49909<n5rs56;>5<5sW>9;639a;613>"49909<i5rs565>5<5sW>99639a;611>"49909<h5rs566>5<5sW>98639a;610>"49909<k5rs567>5<5sW>9?639a;617>"49909=<5rs560>5<5sW>9>639a;616>"49909=?5rs561>5<5sW>9=639a;615>"49909=>5rs562>5<5sW>9<639a;614>"49909=95rs563>5<5sW>:j639a;62b>"49909=85rs51e>5<5sW>:i639a;62a>"49909=;5rs51f>5<5sW>:h639a;62`>"49909=:5rs51g>5<5sW>:o639a;62g>"49909=55rs51a>5<5sW>:m639a;62e>"49909=45rs51b>5<5sW>:5639a;62=>"49909=l5rs51:>5<5sW>:4639a;62<>"49909=n5rs51;>5<5sW>:;639a;623>"49909=i5rs514>5<5sW>::639a;622>"49909=h5rs515>5<5sW>:9639a;621>"49909=k5rs516>5<5sW>:8639a;620>"49909>=5rs517>5<5sW>:?639a;627>"49909><5rs510>5<5sW>:>639a;626>"49909>?5rs511>5<5sW>:=639a;625>"49909>>5rs570>5<5sW>8<639a;604>"49909>95rs571>5<5sW>9j639a;61b>"49909>85rs572>5<5sW>9i639a;61a>"49909>:5rs573>5<5sW>9h639a;61`>"49909>55rs56e>5<5sW>9o639a;61g>"49909>45rs56f>5<5sW>9n639a;61f>"49909>l5rs56g>5<5sW>9:639a;612>"49909>o5rs564>5<5sW>:n639a;62f>"49909>n5rs51`>5<5sW>:<639a;624>"49909>i5rs512>5<5sW>;j639a;63b>"49909>h5rs576>5<5sW>>9639a;661>"49909>k5rs57;>5<5sW>>4639a;66<>"49909?=5rsg:g>5<5sWl<i639a;d4a>"49909??5rsg:a>5<5sWl<h639a;d4`>"49909?>5rsg:b>5<5sWl<o639a;d4g>"49909?95rsg::>5<5sWl<n639a;d4f>"49909?85rsg:;>5<5sWl<m639a;d4e>"49909?;5rsg;5>5<5sWl3:639a;d;2>"49909?:5rsg;6>5<5sWl39639a;d;1>"49909?l5rsg;7>5<5sWl38639a;d;0>"49909?o5rsg;0>5<5sWl3?639a;d;7>"49909?n5rsg;1>5<5sWl3>639a;d;6>"49909?i5rsg;2>5<5sWl3=639a;d;5>"49909?h5rsg;3>5<5sWl3<639a;d;4>"49909?k5rsg:e>5<5sWl<j639a;d4b>"499098=5rsg:f>5<5sWl<5639a;d4=>"499098<5rsg:4>5<5sWl<4639a;d4<>"499098?5rsg`6>5<5sWlj:639a;db2>"49909895rsg`0>5<5sWlj9639a;db1>"49909885rsg`1>5<5sWlj8639a;db0>"499098;5rsg`2>5<5sWlj?639a;db7>"499098:5rsg`3>5<5sWlj>639a;db6>"49909855rsg`f>5<5sWlji639a;dba>"49909845rsg`g>5<5sWljh639a;db`>"499098l5rsg``>5<5sWljo639a;dbg>"499098o5rsg`a>5<5sWljn639a;dbf>"499098n5rsg`b>5<5sWljm639a;dbe>"499098h5rsg`:>5<5sWlj5639a;db=>"499098k5rsg`;>5<5sWlj4639a;db<>"499099=5rsg`4>5<5sWlj;639a;db3>"499099<5rsg`5>5<5sWlj=639a;db5>"499099?5rsgce>5<5sWlj<639a;db4>"499099>5r}o72ef<72:qC?<?4}o72ea<72:qC?<?4}o72e`<72:qC?<?4}o72ec<72:qC?<?4}o72f5<72:qC?<?4}o72f4<72:qC?<?4}o72f7<72:qC?<?4}o72f6<72:qC?<?4}o72f1<72:qC?<?4}o72f0<72:qC?<?4}o72f3<72:qC?<?4}o72f2<72:qC?<?4}o72f=<72:qC?<?4}o72f<<72:qC?<?4}o72fd<72:qC?<?4}o72fg<72:qC?<?4}o72ff<72:qC?<?4}o72fa<72:qC?<?4}o72f`<72:qC?<?4}o72fc<72:qC?<?4}o72g5<72:qC?<?4}o72g4<72:qC?<?4}o72g7<72:qC?<?4}o72g6<72:qC?<?4}o72g1<72:qC?<?4}o72g0<72:qC?<?4}o72g3<72:qC?<?4}o72g2<72:qC?<?4}o72g=<72:qC?<?4}o72g<<72:qC?<?4}o72gd<72:qC?<?4}o72gg<72:qC?<?4}o72gf<72:qC?<?4}o72ga<72:qC?<?4}o72g`<72:qC?<?4}o72gc<72:qC?<?4}o72`5<72:qC?<?4}o72`4<72:qC?<?4}o72`7<72:qC?<?4}o72`6<72:qC?<?4}o72`1<72:qC?<?4}o72`0<72:qC?<?4}o72`3<72:qC?<?4}o72`2<72:qC?<?4}o72`=<72:qC?<?4}o72`<<72:qC?<?4}o72`d<72:qC?<?4}o72`g<72:qC?<?4}o72`f<72:qC?<?4}o72`a<72:qC?<?4}o72``<72:qC?<?4}o72`c<72:qC?<?4}o72a5<72:qC?<?4}o72a4<72:qC?<?4}o72a7<72:qC?<?4}o72a6<72:qC?<?4}o72a1<72:qC?<?4}o72a0<72:qC?<?4}o72a3<72:qC?<?4}o72a2<72:qC?<?4}o72a=<72:qC?<?4}o72a<<72:qC?<?4}o72ad<72:qC?<?4}o72ag<72:qC?<?4}o72af<72:qC?<?4}o72aa<72:qC?<?4}o72a`<72:qC?<?4}o72ac<72:qC?<?4}o72b5<72:qC?<?4}o72b4<72:qC?<?4}o72b7<72:qC?<?4}o72b6<72:qC?<?4}o72b1<72:qC?<?4}o72b0<72:qC?<?4}o72b3<72:qC?<?4}o72b2<72:qC?<?4}o72b=<72:qC?<?4}o72b<<72:qC?<?4}o72bd<72:qC?<?4}o72bg<72:qC?<?4}o72bf<72:qC?<?4}o72ba<72:qC?<?4}o72b`<72:qC?<?4}o72bc<72:qC?<?4}o7145<72:qC?<?4}o7144<72:qC?<?4}o7147<72:qC?<?4}o7146<72:qC?<?4}o7141<72:qC?<?4}o7140<72:qC?<?4}o7143<72:qC?<?4}o7142<72:qC?<?4}o714=<72:qC?<?4}o714<<72:qC?<?4}o714d<72:qC?<?4}o714g<72:qC?<?4}o714f<72:qC?<?4}o714a<72:qC?<?4}o714`<72:qC?<?4}o714c<72:qC?<?4}o7155<72:qC?<?4}o7154<72:qC?<?4}o7157<72:qC?<?4}o7156<72:qC?<?4}o7151<72:qC?<?4}o73a5<728qC?<?4}o73a2<720qC?<?4}o726c<728qC?<?4}o7275<728qC?<?4}o7274<728qC?<?4}o7277<728qC?<?4}o7276<728qC?<?4}o7271<728qC?<?4}o7270<728qC?<?4}o7273<728qC?<?4}o7272<728qC?<?4}o727=<728qC?<?4}o727<<728qC?<?4}o727d<728qC?<?4}o727g<728qC?<?4}o727f<728qC?<?4}o727a<728qC?<?4}o7225<728qC?<?4}o7224<728qC?<?4}o7227<728qC?<?4}o7226<728qC?<?4}o7221<728qC?<?4}o7220<728qC?<?4}o7223<728qC?<?4}o7222<728qC?<?4}o722=<728qC?<?4}o722<<728qC?<?4}o722d<728qC?<?4}o722g<728qC?<?4}o722f<728qC?<?4}o722a<728qC?<?4}o722`<728qC?<?4}o722c<728qC?<?4}o7235<728qC?<?4}o7234<728qC?<?4}o7237<728qC?<?4}o7236<728qC?<?4}o7231<728qC?<?4}o7230<728qC?<?4}o7233<728qC?<?4}o7232<728qC?<?4}o723=<728qC?<?4}o723<<728qC?<?4}o723d<728qC?<?4}o723g<728qC?<?4}o723f<728qC?<?4}o723a<728qC?<?4}o723`<728qC?<?4}o723c<728qC?<?4}o72<5<728qC?<?4}o72<4<728qC?<?4}o72<7<728qC?<?4}o72<6<728qC?<?4}o72<1<728qC?<?4}o72<0<728qC?<?4}o72<3<728qC?<?4}o72<2<728qC?<?4}o72<=<728qC?<?4}o72<<<728qC?<?4}o72<d<728qC?<?4}o72<g<728qC?<?4}o72<f<728qC?<?4}o72<a<728qC?<?4}o72<`<728qC?<?4}o72<c<728qC?<?4}o72=5<728qC?<?4}o72=4<728qC?<?4}o72=7<728qC?<?4}o72=6<728qC?<?4}o72=1<728qC?<?4}o72=0<728qC?<?4}o72=3<728qC?<?4}o72=2<728qC?<?4}o72==<728qC?<?4}o72=<<728qC?<?4}o72=d<728qC?<?4}o72=g<728qC?<?4}o72=f<728qC?<?4}o72=a<728qC?<?4}o72=`<728qC?<?4}o72=c<728qC?<?4}o72e5<728qC?<?4}o72e4<728qC?<?4}o72e7<728qC?<?4}o72e6<728qC?<?4}o72e1<728qC?<?4}o72e0<728qC?<?4}o72e3<728qC?<?4}o72e2<728qC?<?4}o72e=<728qC?<?4}o72e<<728qC?<?4}o72ed<728qC?<?4}o6b44<728qC?<?4}o6b42<728qC?<?4}o6b4g<728qC?<?4}o6b4f<728qC?<?4}o6b4a<728qC?<?4}o6b4`<728qC?<?4}o6b4c<728qC?<?4}o6b55<728qC?<?4}o6b54<728qC?<?4}o6b57<728qC?<?4}o6b56<728qC?<?4}o6b51<728qC?<?4}o6b50<728qC?<?4}o6b53<728qC?<?4}o6b52<728qC?<?4}o6b5=<728qC?<?4}o6b5<<728qC?<?4}o6b5d<728qC?<?4}o6b5g<728qC?<?4}o6b5f<728qC?<?4}o6b5a<728qC?<?4}o6b5`<728qC?<?4}o6b5c<728qC?<?4}o6b65<728qC?<?4}o6b64<728qC?<?4}o6b67<728qC?<?4}o6b66<728qC?<?4}o6b61<728qC?<?4}o6b60<728qC?<?4}o6b63<728qC?<?4}o6b62<728qC?<?4}o6b6=<728qC?<?4}o6b6<<728qC?<?4}o6b6d<728qC?<?4}o6b6g<728qC?<?4}o6b6f<728qC?<?4}o6b6a<728qC?<?4}o6b6`<728qC?<?4}o6b6c<728qC?<?4}o6b75<728qC?<?4}o6b74<728qC?<?4}o6b77<728qC?<?4}o6b76<728qC?<?4}o6b71<728qC?<?4}o6b70<728qC?<?4}o6b73<728qC?<?4}o6b72<728qC?<?4}o6b7=<728qC?<?4}o6b7<<728qC?<?4}o6b7d<728qC?<?4}o6b7g<728qC?<?4}o6b7f<728qC?<?4}o6b7a<728qC?<?4}o6b7`<728qC?<?4}o6b7c<728qC?<?4}o6b05<728qC?<?4}o6b04<728qC?<?4}o6b07<728qC?<?4}o6b06<728qC?<?4}o6b01<728qC?<?4}o6b00<728qC?<?4}o6b03<728qC?<?4}o6b02<728qC?<?4}o6b0=<728qC?<?4}o6b0<<728qC?<?4}o6b0d<728qC?<?4}o6b0g<728qC?<?4}o6b0f<728qC?<?4}o6b0a<728qC?<?4}o6b0`<728qC?<?4}o6b0c<728qC?<?4}o6b15<728qC?<?4}o6b14<728qC?<?4}o6b17<728qC?<?4}o6b16<728qC?<?4}o6b11<728qC?<?4}o6b10<728qC?<?4}o6b20<728qC?<?4}o6b23<728qC?<?4}o6b22<728qC?<?4}o6b2=<728qC?<?4}o6b2<<728qC?<?4}o6b2d<728qC?<?4}o6b2g<728qC?<?4}o6b2f<728qC?<?4}o6b2a<728qC?<?4}o6b2`<728qC?<?4}o6b2c<728qC?<?4}o6b35<728qC?<?4}o6b34<728qC?<?4}o6b37<728qC?<?4}o6b36<728qC?<?4}o6b31<728qC?<?4}o6b30<728qC?<?4}o6b33<728qC?<?4}o6b32<728qC?<?4}o6b3=<728qC?<?4}o6b3<<728qC?<?4}o6b3d<728qC?<?4}o6b3g<728qC?<?4}o6b3f<728qC?<?4}o6b3a<728qC?<?4}o6b3`<728qC?<?4}o6b3c<728qC?<?4}o6b<5<728qC?<?4}o6b<4<728qC?<?4}o6b<7<728qC?<?4}o6b`3<728qC?<?4}o6b`2<728qC?<?4}o6b`=<728qC?<?4}o6b`<<728qC?<?4}o6b`d<728qC?<?4}o6b`g<728qC?<?4}o6b`f<728qC?<?4}o6b`a<728qC?<?4}o6b``<728qC?<?4}o6b`c<728qC?<?4}o6ba5<728qC?<?4}o6ba4<728qC?<?4}o6ba7<728qC?<?4}o6ba6<728qC?<?4}o6ba1<728qC?<?4}o6ba0<728qC?<?4}o6ba3<728qC?<?4}o6ba2<728qC?<?4}o6ba=<728qC?<?4}o6ba<<728qC?<?4}o6bad<728qC?<?4}o6bag<728qC?<?4}o6baf<728qC?<?4}o6baa<728qC?<?4}o6ba`<728qC?<?4}o6bac<728qC?<?4}o6bb5<728qC?<?4}o6bb4<728qC?<?4}o6bb7<728qC?<?4}o6bb6<728qC?<?4}o6bb1<728qC?<?4}o6bb0<728qC?<?4}o6bb3<728qC?<?4}o6bb2<728qC?<?4}o6bb=<728qC?<?4}o6bb<<728qC?<?4}o6bbd<728qC?<?4}o6bbg<728qC?<?4}o6bbf<728qC?<?4}o6bba<728qC?<?4}o6bb`<728qC?<?4}o6bbc<728qC?<?4}o6a45<728qC?<?4}o6a44<728qC?<?4}o6a47<728qC?<?4}o6a46<728qC?<?4}o6a41<728qC?<?4}o6a40<728qC?<?4}o6a43<728qC?<?4}o6a42<728qC?<?4}o6a4=<728qC?<?4}o6a4<<728qC?<?4}o6a4d<728qC?<?4}o6a4g<728qC?<?4}o6a4f<728qC?<?4}o6a4a<728qC?<?4}o6a4`<728qC?<?4}o6a4c<728qC?<?4}o6a55<728qC?<?4}o6a54<728qC?<?4}o6a57<728qC?<?4}o6a56<728qC?<?4}o6a51<728qC?<?4}o6a50<728qC?<?4}o6a53<728qC?<?4}o6a52<728qC?<?4}o6a5=<728qC?<?4}o6a5<<728qC?<?4}o6a5d<728qC?<?4}o6a5g<728qC?<?4}o6a5f<728qC?<?4}o6a5a<728qC?<?4}o6a5`<728qC?<?4}o6a5c<728qC?<?4}o6a65<728qC?<?4}o6a64<728qC?<?4}o6a67<728qC?<?4}o6a66<728qC?<?4}o6a61<728qC?<?4}o6a60<728qC?<?4}o6a63<728qC?<?4}o6a62<728qC?<?4}o6a6=<728qC?<?4}o6a6<<728qC?<?4}o6a6d<728qC?<?4}o6a6g<728qC?<?4}o6a6f<728qC?<?4}o6a6a<728qC?<?4}o6a6`<728qC?<?4}o6a6c<728qC?<?4}o6a75<728qC?<?4}o6a74<728qC?<?4}o6a77<728qC?<?4}o6a76<728qC?<?4}o6a71<728qC?<?4}o6a70<728qC?<?4}o6a73<728qC?<?4}o6a72<728qC?<?4}o6a7=<728qC?<?4}o6a7<<728qC?<?4}o6a7d<728qC?<?4}o6a7g<728qC?<?4}o6a7f<728qC?<?4}o6a7a<728qC?<?4}o6a7`<728qC?<?4}o6a7c<728qC?<?4}o6a05<728qC?<?4}o6a04<728qC?<?4}o6a07<728qC?<?4}o6a06<728qC?<?4}o6a01<728qC?<?4}o6a00<728qC?<?4}o6a03<728qC?<?4}o6a02<728qC?<?4}o6a0=<728qC?<?4}o6a0<<728qC?<?4}o6a0d<728qC?<?4}o6a0g<728qC?<?4}o6a0f<728qC?<?4}o6a0a<728qC?<?4}o6a0`<728qC?<?4}o6a0c<728qC?<?4}o6a15<728qC?<?4}o6a14<728qC?<?4}o6a17<728qC?<?4}o6a16<728qC?<?4}o6a11<728qC?<?4}o6a10<728qC?<?4}o6a13<728qC?<?4}o6a12<728qC?<?4}o6a1=<728qC?<?4}o6a1<<728qC?<?4}o6a1d<728qC?<?4}o6a1g<728qC?<?4}o6a1f<728qC?<?4}o6a1a<728qC?<?4}o6a1`<728qC?<?4}o6a1c<728qC?<?4}o6a25<728qC?<?4}o6a24<728qC?<?4}o6a27<728qC?<?4}o6a26<728qC?<?4}o6a21<728qC?<?4}o6a20<728qC?<?4}o6a23<728qC?<?4}o6a22<728qC?<?4}o6a2=<728qC?<?4}o6a2<<728qC?<?4}o6a2d<728qC?<?4}o6a2g<728qC?<?4}o6a2f<728qC?<?4}o6a2a<728qC?<?4}o6a2`<728qC?<?4}o6a2c<728qC?<?4}o6a35<728qC?<?4}o6a34<728qC?<?4}o6a37<728qC?<?4}o6a36<728qC?<?4}o6a31<728qC?<?4}o6a30<728qC?<?4}o6a33<728qC?<?4}o6a32<728qC?<?4}o6a3=<728qC?<?4}o6a3<<728qC?<?4}o6a3d<728qC?<?4}o6a3g<728qC?<?4}o6a3f<728qC?<?4}o6a3a<728qC?<?4}o6a3`<728qC?<?4}o6a3c<728qC?<?4}o6a<5<728qC?<?4}o6a<4<728qC?<?4}o6a<7<728qC?<?4}o6a<6<728qC?<?4}o6a<1<728qC?<?4}o6a<0<728qC?<?4}o6a<3<728qC?<?4}o6a<2<728qC?<?4}o6a<=<728qC?<?4}o6a<<<728qC?<?4}o6a<d<728qC?<?4}o6a<g<728qC?<?4}o6a<f<728qC?<?4}o6a<a<728qC?<?4}o6a<`<728qC?<?4}o6a<c<728qC?<?4}o6a=5<728qC?<?4}o6a=4<728qC?<?4}o6a=7<728qC?<?4}o6a=6<728qC?<?4}o6a=1<728qC?<?4}o6a=0<728qC?<?4}o6a=3<728qC?<?4}o6a=2<728qC?<?4}o6a==<728qC?<?4}o6a=<<728qC?<?4}o6a=d<728qC?<?4}o6a=g<728qC?<?4}o6a=f<728qC?<?4}o6a=a<728qC?<?4}o6a=`<728qC?<?4}o6a=c<728qC?<?4}o6ae5<728qC?<?4}o6ae4<728qC?<?4}o6ae7<728qC?<?4}o6ae6<728qC?<?4}o6ae1<728qC?<?4}o6ae0<728qC?<?4}o6ae3<728qC?<?4}o6ae2<728qC?<?4}o6ae=<728qC?<?4}o6ae<<728qC?<?4}o6aed<728qC?<?4}o6aeg<728qC?<?4}o6aef<728qC?<?4}o6aea<728qC?<?4}o6ae`<728qC?<?4}o6aec<728qC?<?4}o6af5<728qC?<?4}o6af4<728qC?<?4}o6af7<728qC?<?4}o6af6<728qC?<?4}o6af1<728qC?<?4}o6af0<728qC?<?4}o6af3<728qC?<?4}o6af2<728qC?<?4}o6af=<728qC?<?4}o6af<<728qC?<?4}o6afd<728qC?<?4}o6afg<728qC?<?4}o6aff<728qC?<?4}o6afa<728qC?<?4}o6af`<728qC?<?4}o6afc<728qC?<?4}o6ag5<728qC?<?4}o6ag4<728qC?<?4}o6ag7<728qC?<?4}o6ag6<728qC?<?4}o6ag1<728qC?<?4}o6ag0<728qC?<?4}o6ag3<728qC?<?4}o6ag2<728qC?<?4}o6ag=<728qC?<?4}o6ag<<728qC?<?4}o6agd<728qC?<?4}o6agg<728qC?<?4}o6agf<728qC?<?4}o6aga<728qC?<?4}o6ag`<728qC?<?4}o6agc<728qC?<?4}o6a`5<728qC?<?4}o6a`4<728qC?<?4}o6a`7<728qC?<?4}o6a`6<728qC?<?4}o6a`1<728qC?<?4}o6a`0<728qC?<?4}o6a`3<728qC?<?4}o6a`2<728qC?<?4}o6a`=<728qC?<?4}o6a`<<728qC?<?4}o6a`d<728qC?<?4}o6a`g<728qC?<?4}o6a`f<728qC?<?4}o6a`a<728qC?<?4}o6a``<728qC?<?4}o6a`c<728qC?<?4}o6aa5<728qC?<?4}o6aa4<728qC?<?4}o6aa7<728qC?<?4}o6aa6<728qC?<?4}o6aa1<728qC?<?4}o6aa0<728qC?<?4}o6aa3<728qC?<?4}o6aa2<728qC?<?4}o6aa=<728qC?<?4}o6aa<<728qC?<?4}o6aad<728qC?<?4}o6aag<728qC?<?4}o6aaf<728qC?<?4}o6aaa<728qC?<?4}o6aa`<728qC?<?4}o6aac<728qC?<?4}o6ab5<728qC?<?4}o6ab4<728qC?<?4}o6ab7<728qC?<?4}o6ab6<728qC?<?4}o6ab1<728qC?<?4}o6ab0<728qC?<?4}o6ab3<728qC?<?4}o6ab2<728qC?<?4}o6ab=<728qC?<?4}o6ab<<728qC?<?4}o6abd<728qC?<?4}o6abg<728qC?<?4}o6abf<728qC?<?4}o6aba<728qC?<?4}o6ab`<728qC?<?4}o6abc<728qC?<?4}o6`45<728qC?<?4}o6`44<728qC?<?4}o6`47<728qC?<?4}o6`46<728qC?<?4}o6`41<728qC?<?4}o6`40<728qC?<?4}o6`43<728qC?<?4}o6`42<728qC?<?4}o6`4=<728qC?<?4}o6`4<<728qC?<?4}o6`4d<728qC?<?4}o6`4g<728qC?<?4}o6`4f<728qC?<?4}o6`4a<728qC?<?4}o6`4`<728qC?<?4}o6`4c<728qC?<?4}o6`55<728qC?<?4}o6`54<728qC?<?4}o6`57<728qC?<?4}o6`56<728qC?<?4}o6`51<728qC?<?4}o6`50<728qC?<?4}o6`53<728qC?<?4}o6`52<728qC?<?4}o6`5=<728qC?<?4}o6`5<<728qC?<?4}o6`5d<728qC?<?4}o6`5g<728qC?<?4}o6`5f<728qC?<?4}o6`5a<728qC?<?4}o6`5`<728qC?<?4}o6`5c<728qC?<?4}o6`65<728qC?<?4}o6`64<728qC?<?4}o6`67<728qC?<?4}o6`66<728qC?<?4}o6`61<728qC?<?4}o6`60<728qC?<?4}o6`63<728qC?<?4}o6`62<728qC?<?4}o6`6=<728qC?<?4}o6`6<<728qC?<?4}o6`6d<728qC?<?4}o6`6g<728qC?<?4}o6`6f<728qC?<?4}o6`6a<728qC?<?4}o6`6`<728qC?<?4}o6`6c<728qC?<?4}o6`75<728qC?<?4}o6`74<728qC?<?4}o6`77<728qC?<?4}o6`76<728qC?<?4}o6`71<728qC?<?4}o6`70<728qC?<?4}o6`73<728qC?<?4}o6`72<728qC?<?4}o6`7=<728qC?<?4}o6`7<<728qC?<?4}o6`7d<728qC?<?4}o6`7g<728qC?<?4}o6`7f<728qC?<?4}o6`7a<728qC?<?4}o6`7`<728qC?<?4}o6`7c<728qC?<?4}o6`05<728qC?<?4}o6`04<728qC?<?4}o6`07<728qC?<?4}o6`06<728qC?<?4}o6`01<728qC?<?4}o6`00<728qC?<?4}o6`03<728qC?<?4}o6`02<728qC?<?4}o6`0=<728qC?<?4}o6`0<<728qC?<?4}o6`0d<728qC?<?4}o6`0g<728qC?<?4}o6`0f<728qC?<?4}o6`0a<728qC?<?4}o6`0`<728qC?<?4}o6`0c<728qC?<?4}o6`15<728qC?<?4}o6`14<728qC?<?4}o6`17<728qC?<?4}o6`16<728qC?<?4}o6`11<728qC?<?4}o6`10<728qC?<?4}o6`13<728qC?<?4}o6`12<728qC?<?4}o6`1=<728qC?<?4}o6`1<<728qC?<?4}o6`1d<728qC?<?4}o6`1g<728qC?<?4}o6`1f<728qC?<?4}o6`1a<728qC?<?4}o6`1`<728qC?<?4}o6`1c<728qC?<?4}o6`25<728qC?<?4}o6`24<728qC?<?4}o6`27<728qC?<?4}o6`26<728qC?<?4}o6`21<728qC?<?4}o6`20<728qC?<?4}o6`23<728qC?<?4}o6`22<728qC?<?4}o6`2=<728qC?<?4}o6`2<<728qC?<?4}o6`2d<728qC?<?4}o6`2g<728qC?<?4}o6`2f<728qC?<?4}o6`2a<728qC?<?4}o6`2`<728qC?<?4}o6`2c<728qC?<?4}o6`35<728qC?<?4}o6`34<728qC?<?4}o6`37<728qC?<?4}o6`36<728qC?<?4}o6`31<728qC?<?4}o6`30<728qC?<?4}o6`33<728qC?<?4}o6`32<728qC?<?4}o6`3=<728qC?<?4}o6`3<<728qC?<?4}o6`3d<728qC?<?4}o6`3g<728qC?<?4}o6`3f<728qC?<?4}o6`3a<728qC?<?4}o6`3`<728qC?<?4}o6`3c<728qC?<?4}o6`<5<728qC?<?4}o6`<4<728qC?<?4}o6`<7<728qC?<?4}o6`<6<728qC?<?4}o6`<1<728qC?<?4}o6`<0<728qC?<?4}o6`<3<728qC?<?4}o6`<2<728qC?<?4}o6`<=<728qC?<?4}o6`<<<728qC?<?4}o6`<d<728qC?<?4}o6`<g<728qC?<?4}o6`<f<728qC?<?4}o6`<a<728qC?<?4}o6`<`<728qC?<?4}o6`<c<728qC?<?4}o6`=5<728qC?<?4}o6`=4<728qC?<?4}o6`=7<728qC?<?4}o6`=6<728qC?<?4}o6`=1<728qC?<?4}o6`=0<728qC?<?4}o6`=3<728qC?<?4}o6`=2<728qC?<?4}o6`==<728qC?<?4}o6`=<<728qC?<?4}o6`=d<728qC?<?4}o6`=g<728qC?<?4}o6`=f<728qC?<?4}o6`=a<728qC?<?4}o6`=`<728qC?<?4}o6`=c<728qC?<?4}o6`e5<728qC?<?4}o6`e4<728qC?<?4}o6`e7<728qC?<?4}o6`e6<728qC?<?4}o6`e1<728qC?<?4}o6`e0<728qC?<?4}o6`e3<728qC?<?4}o6`e2<728qC?<?4}o6`e=<728qC?<?4}o6`e<<728qC?<?4}o6`ed<728qC?<?4}o6`eg<728qC?<?4}o6`ef<728qC?<?4}o6`ea<728qC?<?4}o6`e`<728qC?<?4}o6`ec<728qC?<?4}o6`f5<728qC?<?4}o6`f4<728qC?<?4}o6`f7<728qC?<?4}o6`f6<728qC?<?4}o6`f1<728qC?<?4}o6`f0<728qC?<?4}o6`f3<728qC?<?4}o6`f2<728qC?<?4}o6`f=<728qC?<?4}o6`f<<728qC?<?4}o6`fd<728qC?<?4}o6`fg<728qC?<?4}o6`ff<728qC?<?4}o6`fa<728qC?<?4}o6`f`<728qC?<?4}o6`fc<728qC?<?4}o6`g5<728qC?<?4}o6`g4<728qC?<?4}o6`g7<728qC?<?4}o6`g6<728qC?<?4}o6`g1<728qC?<?4}o6`g0<728qC?<?4}o6`g3<728qC?<?4}o6`g2<728qC?<?4}o6`g=<728qC?<?4}o6`g<<728qC?<?4}o6`gd<728qC?<?4}o6`gg<728qC?<?4}o6`gf<728qC?<?4}o6`ga<728qC?<?4}o6`g`<728qC?<?4}o6`gc<728qC?<?4}o6``5<728qC?<?4}o6``4<728qC?<?4}o6``7<728qC?<?4}o6``6<728qC?<?4}o6``1<728qC?<?4}o6``0<728qC?<?4}o6``3<728qC?<?4}o6``2<728qC?<?4}o6``=<728qC?<?4}o6``<<728qC?<?4}o6``d<728qC?<?4}o6``g<728qC?<?4}o6``f<728qC?<?4}o6``a<728qC?<?4}o6```<728qC?<?4}o6``c<728qC?<?4}o6`a5<728qC?<?4}o6`a4<728qC?<?4}o6`a7<728qC?<?4}o6`a6<728qC?<?4}o6`a1<728qC?<?4}o6`a0<728qC?<?4}o6`a3<728qC?<?4}o6`a2<728qC?<?4}o6`a=<728qC?<?4}o6`a<<728qC?<?4}o6`ad<728qC?<?4}o6`ag<728qC?<?4}o6`af<728qC?<?4}o6`aa<728qC?<?4}o6`a`<728qC?<?4}o6`ac<728qC?<?4}o6`b5<728qC?<?4}o6`b4<728qC?<?4}o6`b7<728qC?<?4}o6`b6<728qC?<?4}o6`b1<728qC?<?4}o6`b0<728qC?<?4}o6`b3<728qC?<?4}o6`b2<728qC?<?4}o6`b=<728qC?<?4}o6`b<<728qC?<?4}o6`bd<728qC?<?4}o6`bg<728qC?<?4}o6`bf<728qC?<?4}o6`ba<728qC?<?4}o6`b`<728qC?<?4}o6`bc<728qC?<?4}o6g45<728qC?<?4}o6g44<728qC?<?4}o6g47<728qC?<?4}o6g46<728qC?<?4}o6g41<728qC?<?4}o6g40<728qC?<?4}o6g43<728qC?<?4}o6g42<728qC?<?4}o6g4=<728qC?<?4}o6g4<<728qC?<?4}o6g4d<728qC?<?4}o6g4g<728qC?<?4}o6g4f<728qC?<?4}o6g4a<728qC?<?4}o6g4`<728qC?<?4}o6g4c<728qC?<?4}o6g55<728qC?<?4}o6g54<728qC?<?4}o6g57<728qC?<?4}o6g56<728qC?<?4}o6g51<728qC?<?4}o6g50<728qC?<?4}o6g53<728qC?<?4}o6g52<728qC?<?4}o6g5=<728qC?<?4}o6g5<<728qC?<?4}o6g5d<728qC?<?4}o6g5g<728qC?<?4}o6g5f<728qC?<?4}o6g5a<728qC?<?4}o6g5`<728qC?<?4}o6g5c<728qC?<?4}o6g65<728qC?<?4}o6g64<728qC?<?4}o6g67<728qC?<?4}o6g66<728qC?<?4}o6g61<728qC?<?4}o6g60<728qC?<?4}o6g63<728qC?<?4}o6g62<728qC?<?4}o6g6=<728qC?<?4}o6g6<<728qC?<?4}o6g6d<728qC?<?4}o6g6g<728qC?<?4}o6g6f<728qC?<?4}o6g6a<728qC?<?4}o6g6`<728qC?<?4}o6g6c<728qC?<?4}o6g75<728qC?<?4}o6g74<728qC?<?4}o6g77<728qC?<?4}o6g76<728qC?<?4}o6g71<728qC?<?4}o6g70<728qC?<?4}o6g73<728qC?<?4}o6g72<728qC?<?4}o6g7=<728qC?<?4}o6g7<<728qC?<?4}o6g7d<728qC?<?4}o6g7g<728qC?<?4}o6g7f<728qC?<?4}o6g7a<728qC?<?4}o6g7`<728qC?<?4}o6g7c<728qC?<?4}o6g05<728qC?<?4}o6g04<728qC?<?4}o6g07<728qC?<?4}o6g06<728qC?<?4}o6g01<728qC?<?4}o6g00<728qC?<?4}o6g03<728qC?<?4}o6g02<728qC?<?4}o6g0=<728qC?<?4}o6g0<<728qC?<?4}o6g0d<728qC?<?4}o6g0g<728qC?<?4}o6g0f<728qC?<?4}o6g0a<728qC?<?4}o6g0`<728qC?<?4}o6g0c<728qC?<?4}o6g15<728qC?<?4}o6g14<728qC?<?4}o6g17<728qC?<?4}o6g16<728qC?<?4}o6g11<728qC?<?4}o6g10<728qC?<?4}o6g13<728qC?<?4}o6g12<728qC?<?4}o6g1=<728qC?<?4}o6g1<<728qC?<?4}o6g1d<728qC?<?4}o6g1g<728qC?<?4}o6g1f<728qC?<?4}o6g1a<728qC?<?4}o6g1`<728qC?<?4}o6g1c<728qC?<?4}o6g25<728qC?<?4}o6g24<728qC?<?4}o6g27<728qC?<?4}o6g26<728qC?<?4}o6g21<728qC?<?4}o6g20<728qC?<?4}o6g23<728qC?<?4}o6g22<728qC?<?4}o6g2=<728qC?<?4}o6g2<<728qC?<?4}o6g2d<728qC?<?4}o6g2g<728qC?<?4}o6g2f<728qC?<?4}o6g2a<728qC?<?4}o6g2`<728qC?<?4}o6g2c<728qC?<?4}o6g35<728qC?<?4}o6g34<728qC?<?4}o6g37<728qC?<?4}o6g36<728qC?<?4}o6g31<728qC?<?4}o6g30<728qC?<?4}o6g33<728qC?<?4}o6g32<728qC?<?4}o6g3=<728qC?<?4}o6g3<<728qC?<?4}o6g3d<728qC?<?4}o6g3g<728qC?<?4}o6g3f<728qC?<?4}o6g3a<728qC?<?4}o6g3`<728qC?<?4}o6g3c<728qC?<?4}o6g<5<728qC?<?4}o6g<4<728qC?<?4}o6g<7<728qC?<?4}o6g<6<728qC?<?4}o6g<1<728qC?<?4}o6g<0<728qC?<?4}o6g<3<728qC?<?4}o6g<2<728qC?<?4}o6g<=<728qC?<?4}o6g<<<728qC?<?4}o6g<d<728qC?<?4}o6g<g<728qC?<?4}o6g<f<728qC?<?4}o6g<a<728qC?<?4}o6g<`<728qC?<?4}o6g<c<728qC?<?4}o6g=5<728qC?<?4}o6g=4<728qC?<?4}o6g=7<728qC?<?4}o6g=6<728qC?<?4}o6g=1<728qC?<?4}o6g=0<728qC?<?4}o6g=3<728qC?<?4}o6g=2<728qC?<?4}o6g==<728qC?<?4}o6g=<<728qC?<?4}o6g=d<728qC?<?4}o6g=g<728qC?<?4}o6g=f<728qC?<?4}o6g=a<728qC?<?4}o6g=`<728qC?<?4}o6g=c<728qC?<?4}o6ge5<728qC?<?4}o6ge4<728qC?<?4}o6ge7<728qC?<?4}o6ge6<728qC?<?4}o6ge1<728qC?<?4}o6ge0<728qC?<?4}o6ge3<728qC?<?4}o6ge2<728qC?<?4}o6ge=<728qC?<?4}o6ge<<728qC?<?4}o6ged<728qC?<?4}o6geg<728qC?<?4}o6gef<728qC?<?4}o6gea<728qC?<?4}o6ge`<728qC?<?4}o6gec<728qC?<?4}o6gf5<728qC?<?4}o6gf4<728qC?<?4}o6gf7<728qC?<?4}o6gf6<728qC?<?4}o6gf1<728qC?<?4}o6gf0<728qC?<?4}o6gf3<728qC?<?4}o6gf2<728qC?<?4}o6gf=<728qC?<?4}o6gf<<728qC?<?4}o6gfd<728qC?<?4}o6gfg<728qC?<?4}o6gff<728qC?<?4}o6gfa<728qC?<?4}o6gf`<728qC?<?4}o6gfc<728qC?<?4}o6gg5<728qC?<?4}o6gg4<728qC?<?4}o6gg7<728qC?<?4}o6gg6<728qC?<?4}o6gg1<728qC?<?4}o6gg0<728qC?<?4}o6gg3<728qC?<?4}o6gg2<728qC?<?4}o6gg=<728qC?<?4}o6gg<<728qC?<?4}o6ggd<728qC?<?4}o6ggg<728qC?<?4}o6ggf<728qC?<?4}o6gga<728qC?<?4}o6gg`<728qC?<?4}o6ggc<728qC?<?4}o6g`5<728qC?<?4}o6g`4<728qC?<?4}o6g`7<728qC?<?4}o6g`6<728qC?<?4}o6g`1<728qC?<?4}o6g`0<728qC?<?4}o6g`3<728qC?<?4}o6g`2<728qC?<?4}o6g`=<728qC?<?4}o6g`<<728qC?<?4}o6g`d<728qC?<?4}o6g`g<728qC?<?4}o6g`f<728qC?<?4}o6g`a<728qC?<?4}o6g``<728qC?<?4}o6g`c<728qC?<?4}o6ga5<728qC?<?4}o6ga4<728qC?<?4}o6ga7<728qC?<?4}o6ga6<728qC?<?4}o6ga1<728qC?<?4}o6ga0<728qC?<?4}o6ga3<728qC?<?4}o6ga2<728qC?<?4}o6ga=<728qC?<?4}o6ga<<728qC?<?4}o6gad<728qC?<?4}o6gag<728qC?<?4}o6gaf<728qC?<?4}o6gaa<728qC?<?4}o6ga`<728qC?<?4}o6gac<728qC?<?4}o6gb5<728qC?<?4}o6gb4<728qC?<?4}o6gb7<728qC?<?4}o6gb6<728qC?<?4}o6gb1<728qC?<?4}o6gb0<728qC?<?4}o6gb3<728qC?<?4}o6gb2<728qC?<?4}o6gb=<728qC?<?4}o6gb<<728qC?<?4}o6gbd<728qC?<?4}o6gbg<728qC?<?4}o6gbf<728qC?<?4}o6gba<728qC?<?4}o6gb`<728qC?<?4}o6gbc<728qC?<?4}o6f45<728qC?<?4}o6f44<728qC?<?4}o6f47<728qC?<?4}o6f46<728qC?<?4}o6f41<728qC?<?4}o6f40<728qC?<?4}o6f43<728qC?<?4}o6f42<728qC?<?4}o6f4=<728qC?<?4}o6f4<<728qC?<?4}o6f4d<728qC?<?4}o6f4g<728qC?<?4}o6f4f<728qC?<?4}o6f4a<728qC?<?4}o6f4`<728qC?<?4}o6f4c<728qC?<?4}o6f55<728qC?<?4}o6f54<728qC?<?4}o6f57<728qC?<?4}o6f56<728qC?<?4}o6f51<728qC?<?4}o6f50<728qC?<?4}o6f53<728qC?<?4}o6f52<728qC?<?4}o6f5=<728qC?<?4}o6f5<<728qC?<?4}o6f5d<728qC?<?4}o6f5g<728qC?<?4}o6f5f<728qC?<?4}o6f5a<728qC?<?4}o6f5`<728qC?<?4}o6f5c<728qC?<?4}o6f65<728qC?<?4}o6f64<728qC?<?4}o6f67<728qC?<?4}o6f66<728qC?<?4}o6f61<728qC?<?4}o6f60<728qC?<?4}o6f63<728qC?<?4}o6f62<728qC?<?4}o6f6=<728qC?<?4}o6f6<<728qC?<?4}o6f6d<728qC?<?4}o6f6g<728qC?<?4}o6f6f<728qC?<?4}o6f6a<728qC?<?4}o6f6`<728qC?<?4}o6f6c<728qC?<?4}o6f75<728qC?<?4}o6f74<728qC?<?4}o6f77<728qC?<?4}o6f76<728qC?<?4}o6f71<728qC?<?4}o6f70<728qC?<?4}o6f73<728qC?<?4}o6f72<728qC?<?4}o6f7=<728qC?<?4}o6f7<<728qC?<?4}o6f7d<728qC?<?4}o6f7g<728qC?<?4}o6f7f<728qC?<?4}o6f7a<728qC?<?4}o6f7`<728qC?<?4}o6f7c<728qC?<?4}o6f05<728qC?<?4}o6f04<728qC?<?4}o6f07<728qC?<?4}o6f06<728qC?<?4}o6f01<728qC?<?4}o6f00<728qC?<?4}o6f03<728qC?<?4}o6f02<728qC?<?4}o6f0=<728qC?<?4}o6f0<<728qC?<?4}o6f0d<728qC?<?4}o6f0g<728qC?<?4}o6f0f<728qC?<?4}o6f0a<728qC?<?4}o6f0`<728qC?<?4}o6f0c<728qC?<?4}o6f15<728qC?<?4}o6f14<728qC?<?4}o6f17<728qC?<?4}o6f16<728qC?<?4}o6f11<728qC?<?4}o6f10<728qC?<?4}o6f13<728qC?<?4}o6f12<728qC?<?4}o6f1=<728qC?<?4}o6f1<<728qC?<?4}o6f1d<728qC?<?4}o6f1g<728qC?<?4}o6f1f<728qC?<?4}o6f1a<728qC?<?4}o6f1`<728qC?<?4}o6f1c<728qC?<?4}o6f25<728qC?<?4}o6f24<728qC?<?4}o6f27<728qC?<?4}o6f26<728qC?<?4}o6f21<728qC?<?4}o6f20<728qC?<?4}o6f23<728qC?<?4}o6f22<728qC?<?4}o6f2=<728qC?<?4}o6f2<<728qC?<?4}o6f2d<728qC?<?4}o6f2g<728qC?<?4}o6f2f<728qC?<?4}o6f2a<728qC?<?4}o6f2`<728qC?<?4}o6f2c<728qC?<?4}o6f35<728qC?<?4}o6f34<728qC?<?4}o6f37<728qC?<?4}o6f36<728qC?<?4}o6f31<728qC?<?4}o6f30<728qC?<?4}o6f33<728qC?<?4}o6f32<728qC?<?4}o6f3=<728qC?<?4}o6f3<<728qC?<?4}o6f3d<728qC?<?4}o6f3g<728qC?<?4}o6f3f<728qC?<?4}o6f3a<728qC?<?4}o6f3`<728qC?<?4}o6f3c<728qC?<?4}o6f<5<728qC?<?4}o6f<4<728qC?<?4}o6f<7<728qC?<?4}o6f<6<728qC?<?4}o6f<1<728qC?<?4}o6f<0<728qC?<?4}o6f<3<728qC?<?4}o6f<2<728qC?<?4}o6f<=<728qC?<?4}o6f<<<728qC?<?4}o6f<d<728qC?<?4}o6f<g<728qC?<?4}o6f<f<728qC?<?4}o6f<a<728qC?<?4}o6f<`<728qC?<?4}o6f<c<728qC?<?4}o6f=5<728qC?<?4}o6f=4<728qC?<?4}o6f=7<728qC?<?4}o6f=6<728qC?<?4}o6f=1<728qC?<?4}o6f=0<728qC?<?4}o6f=3<728qC?<?4}o6f=2<728qC?<?4}o6f==<728qC?<?4}o6f=<<728qC?<?4}o6f=d<728qC?<?4}o6f=g<728qC?<?4}o6f=f<728qC?<?4}o6f=a<728qC?<?4}o6f=`<728qC?<?4}o6f=c<728qC?<?4}o6fe5<728qC?<?4}o6fe4<728qC?<?4}o6fe7<728qC?<?4}o6fe6<728qC?<?4}o6fe1<728qC?<?4}o6fe0<728qC?<?4}o6fe3<728qC?<?4}o6fe2<728qC?<?4}o6fe=<728qC?<?4}o6fe<<728qC?<?4}o6fed<728qC?<?4}o6feg<728qC?<?4}o6fef<728qC?<?4}o6fea<728qC?<?4}o6fe`<728qC?<?4}o6fec<728qC?<?4}o6ff5<728qC?<?4}o6ff4<728qC?<?4}o6ff7<728qC?<?4}o6ff6<728qC?<?4}o6ff1<728qC?<?4}o6ff0<728qC?<?4}o6ff3<728qC?<?4}o6ff2<728qC?<?4}o6ff=<728qC?<?4}o6ff<<728qC?<?4}o6ffd<728qC?<?4}o6ffg<728qC?<?4}o6fff<728qC?<?4}o6ffa<728qC?<?4}o6ff`<728qC?<?4}o6ffc<728qC?<?4}o6fg5<728qC?<?4}o6fg4<728qC?<?4}o6fg7<728qC?<?4}o6fg6<728qC?<?4}o6fg1<728qC?<?4}o6fg0<728qC?<?4}o6fg3<728qC?<?4}o6fg2<728qC?<?4}o6fg=<728qC?<?4}o6fg<<728qC?<?4}o6fgd<728qC?<?4}o6fgg<728qC?<?4}o6fgf<728qC?<?4}o6fga<728qC?<?4}o6fg`<728qC?<?4}o6fgc<728qC?<?4}o6f`5<728qC?<?4}o6f`4<728qC?<?4}o6f`7<728qC?<?4}o6f`6<728qC?<?4}o6f`1<728qC?<?4}o6f`0<728qC?<?4}o6f`3<728qC?<?4}o6f`2<728qC?<?4}o6f`=<728qC?<?4}o6f`<<728qC?<?4}o6f`d<728qC?<?4}o6f`g<728qC?<?4}o6f`f<728qC?<?4}o6f`a<728qC?<?4}o6f``<728qC?<?4}o6f`c<728qC?<?4}o6fa5<728qC?<?4}o6fa4<728qC?<?4}o6fa7<728qC?<?4}o6fa6<728qC?<?4}o6fa1<728qC?<?4}o6fa0<728qC?<?4}o6fa3<728qC?<?4}o6fa2<728qC?<?4}o6fa=<728qC?<?4}o6fa<<728qC?<?4}o6fad<728qC?<?4}o6fag<728qC?<?4}o6faf<728qC?<?4}o6faa<728qC?<?4}o6fa`<728qC?<?4}o6fac<728qC?<?4}o6fb5<728qC?<?4}o6fb4<728qC?<?4}o6fb7<728qC?<?4}o6fb6<728qC?<?4}o6fb1<728qC?<?4}o6fb0<728qC?<?4}o6fb3<728qC?<?4}o6fb2<728qC?<?4}o6fb=<728qC?<?4}o6fb<<728qC?<?4}o6fbd<728qC?<?4}o6fbg<728qC?<?4}o6fbf<728qC?<?4}o6fba<728qC?<?4}o6fb`<728qC?<?4}o6fbc<728qC?<?4}o6e45<728qC?<?4}o6e44<728qC?<?4}o6e47<728qC?<?4}o6e46<728qC?<?4}o6e41<728qC?<?4}o6e40<728qC?<?4}o6e43<728qC?<?4}o6e42<728qC?<?4}o6e4=<728qC?<?4}o6e4<<728qC?<?4}o6e4d<728qC?<?4}o6e4g<728qC?<?4}o6e4f<728qC?<?4}o6e4a<728qC?<?4}o6e4`<728qC?<?4}o6e4c<728qC?<?4}o6e55<728qC?<?4}o6e54<728qC?<?4}o6e57<728qC?<?4}o6e56<728qC?<?4}o6e51<728qC?<?4}o6e50<728qC?<?4}o6e53<728qC?<?4}o6e52<728qC?<?4}o6e5=<728qC?<?4}o6e5<<728qC?<?4}o6e5d<728qC?<?4}o6e5g<728qC?<?4}o6e5f<728qC?<?4}o6e5a<728qC?<?4}o6e5`<728qC?<?4}o6e5c<728qC?<?4}o6e65<728qC?<?4}o6e64<728qC?<?4}o6e67<728qC?<?4}o6e66<728qC?<?4}o6e61<728qC?<?4}o6e60<728qC?<?4}o6e63<728qC?<?4}o6e62<728qC?<?4}o6e6=<728qC?<?4}o6e6<<728qC?<?4}o6e6d<728qC?<?4}o6e6g<728qC?<?4}o6e6f<728qC?<?4}o6e6a<728qC?<?4}o6e6`<728qC?<?4}o6e6c<728qC?<?4}o6e75<728qC?<?4}o6e74<728qC?<?4}o6e77<728qC?<?4}o6e76<728qC?<?4}o6e71<728qC?<?4}o6e70<728qC?<?4}o6e73<728qC?<?4}o6e72<728qC?<?4}o6e7=<728qC?<?4}o6e7<<728qC?<?4}o6e7d<728qC?<?4}o6e7g<728qC?<?4}o6e7f<728qC?<?4}o6e7a<728qC?<?4}o6e7`<728qC?<?4}o6e7c<728qC?<?4}o6e05<728qC?<?4}o6e04<728qC?<?4}o6e07<728qC?<?4}o6e06<728qC?<?4}o6e01<728qC?<?4}o6e00<728qC?<?4}o6e03<728qC?<?4}o6e02<728qC?<?4}o6e0=<728qC?<?4}o6e0<<728qC?<?4}o6e0d<728qC?<?4}o6e0g<728qC?<?4}o6e0f<728qC?<?4}o6e0a<728qC?<?4}o6e0`<728qC?<?4}o6e0c<728qC?<?4}o6e15<728qC?<?4}o6e14<728qC?<?4}o6e17<728qC?<?4}o6e16<728qC?<?4}o6e11<728qC?<?4}o6e10<728qC?<?4}o6e13<728qC?<?4}o6e12<728qC?<?4}o6e1=<728qC?<?4}o6e1<<728qC?<?4}o6e1d<728qC?<?4}o6e1g<728qC?<?4}o6e1f<728qC?<?4}o6e1a<728qC?<?4}o6e1`<728qC?<?4}o6e1c<728qC?<?4}o6e25<728qC?<?4}o6e24<728qC?<?4}o6e27<728qC?<?4}o6e26<728qC?<?4}o6e21<728qC?<?4}o6e20<728qC?<?4}o6e23<728qC?<?4}o6e22<728qC?<?4}o6e2=<728qC?<?4}o6e2<<728qC?<?4}o6e2d<728qC?<?4}o6e2g<728qC?<?4}o6e2f<728qC?<?4}o6e2a<728qC?<?4}o6e2`<728qC?<?4}o6e2c<728qC?<?4}o6e35<728qC?<?4}o6e34<728qC?<?4}o6e37<728qC?<?4}o6e36<728qC?<?4}o6e31<728qC?<?4}o6e30<728qC?<?4}o6e33<728qC?<?4}o6e32<728qC?<?4}o6e3=<728qC?<?4}o6e3<<728qC?<?4}o6e3d<728qC?<?4}o6e3g<728qC?<?4}o6e3f<728qC?<?4}o6e3a<728qC?<?4}o6e3`<728qC?<?4}o6e3c<728qC?<?4}o6e<5<728qC?<?4}o6e<4<728qC?<?4}o6e<7<728qC?<?4}o6e<6<728qC?<?4}o6e<1<728qC?<?4}o6e<0<728qC?<?4}o6e<3<728qC?<?4}o6e<2<728qC?<?4}o6e<=<728qC?<?4}o6e<<<728qC?<?4}o6e<d<728qC?<?4}o6e<g<728qC?<?4}o6e<f<728qC?<?4}o6e<a<728qC?<?4}o6e<`<728qC?<?4}o6e<c<728qC?<?4}o6e=5<728qC?<?4}o6e=4<728qC?<?4}o6e=7<728qC?<?4}o6e=6<728qC?<?4}o6e=1<728qC?<?4}o6e=0<728qC?<?4}o6e=3<728qC?<?4}o6e=2<728qC?<?4}o6e==<728qC?<?4}o6e=<<728qC?<?4}o6e=d<728qC?<?4}o6e=g<728qC?<?4}o6e=f<728qC?<?4}o6e=a<728qC?<?4}o6e=`<728qC?<?4}o6e=c<728qC?<?4}o6ee5<728qC?<?4}o6ee4<728qC?<?4}o6ee7<728qC?<?4}o6ee6<728qC?<?4}o6ee1<728qC?<?4}o6ee0<728qC?<?4}o6ee3<728qC?<?4}o6ee2<728qC?<?4}o6ee=<728qC?<?4}o6ee<<728qC?<?4}o6eed<728qC?<?4}o6eeg<728qC?<?4}o6eef<728qC?<?4}o6eea<728qC?<?4}o6ee`<728qC?<?4}o6eec<728qC?<?4}o6ef5<728qC?<?4}o6ef4<728qC?<?4}o6ef7<728qC?<?4}o6ef6<728qC?<?4}o6ef1<728qC?<?4}o6ef0<728qC?<?4}o6ef3<728qC?<?4}o6ef2<728qC?<?4}o6ef=<728qC?<?4}o6ef<<728qC?<?4}o6efd<728qC?<?4}o6efg<728qC?<?4}o6eff<728qC?<?4}o6efa<728qC?<?4}o6ef`<728qC?<?4}o6efc<728qC?<?4}o6eg5<728qC?<?4}o6eg4<728qC?<?4}o6eg7<728qC?<?4}o6eg6<728qC?<?4}o6eg1<728qC?<?4}o6eg0<728qC?<?4}o6eg3<728qC?<?4}o6eg2<728qC?<?4}o6eg=<728qC?<?4}o6eg<<728qC?<?4}o6egd<728qC?<?4}o6egg<728qC?<?4}o6egf<728qC?<?4}o6ega<728qC?<?4}o6eg`<728qC?<?4}o6egc<728qC?<?4}o6e`5<728qC?<?4}o6e`4<728qC?<?4}o6e`7<728qC?<?4}o6e`6<728qC?<?4}o6e`1<728qC?<?4}o6e`0<728qC?<?4}o6e`3<728qC?<?4}o6e`2<728qC?<?4}o6e`=<728qC?<?4}o6e`<<728qC?<?4}o6e`d<728qC?<?4}o6e`g<728qC?<?4}o6e`f<728qC?<?4}o6e`a<728qC?<?4}o6e``<728qC?<?4}o6e`c<728qC?<?4}o6ea5<728qC?<?4}o6ea4<728qC?<?4}o6ea7<728qC?<?4}o6ea6<728qC?<?4}o6ea1<728qC?<?4}o6ea0<728qC?<?4}o6ea3<728qC?<?4}o6ea2<728qC?<?4}o6ea=<728qC?<?4}o6ea<<728qC?<?4}o6ead<728qC?<?4}o6eag<728qC?<?4}o6eaf<728qC?<?4}o6eaa<728qC?<?4}o6ea`<728qC?<?4}o6eac<728qC?<?4}o6eb5<728qC?<?4}o6eb4<728qC?<?4}o6eb7<728qC?<?4}o6eb6<728qC?<?4}o6eb1<728qC?<?4}o6eb0<728qC?<?4}o6eb3<728qC?<?4}o6eb2<728qC?<?4}o6eb=<728qC?<?4}o6eb<<728qC?<?4}o6ebd<728qC?<?4}o6ebg<728qC?<?4}o6ebf<728qC?<?4}o6eba<728qC?<?4}o6eb`<728qC?<?4}o6ebc<728qC?<?4}o7345<728qC?<?4}o7344<728qC?<?4}o7347<728qC?<?4}o7346<728qC?<?4}o7341<728qC?<?4}o7340<728qC?<?4}o7343<728qC?<?4}o7342<728qC?<?4}o734=<728qC?<?4}o734<<728qC?<?4}o734d<728qC?<?4}o734g<728qC?<?4}o734f<728qC?<?4}o734a<728qC?<?4}o734`<728qC?<?4}o734c<728qC?<?4}o7355<728qC?<?4}o7354<728qC?<?4}o7357<728qC?<?4}o7356<728qC?<?4}o7351<728qC?<?4}o7350<728qC?<?4}o7353<728qC?<?4}o7352<728qC?<?4}o735=<728qC?<?4}o735<<728qC?<?4}o735d<728qC?<?4}o735g<728qC?<?4}o735f<728qC?<?4}o735a<728qC?<?4}o735`<728qC?<?4}o735c<728qC?<?4}o7365<728qC?<?4}o7364<728qC?<?4}o7367<728qC?<?4}o7366<728qC?<?4}o7361<728qC?<?4}o7360<728qC?<?4}o7363<728qC?<?4}o7362<728qC?<?4}o736=<728qC?<?4}o736<<728qC?<?4}o736d<728qC?<?4}o736g<728qC?<?4}o736f<728qC?<?4}o736a<728qC?<?4}o736`<728qC?<?4}o736c<728qC?<?4}o7375<728qC?<?4}o7374<728qC?<?4}o7377<728qC?<?4}o7376<728qC?<?4}o7371<728qC?<?4}o7370<728qC?<?4}o7373<728qC?<?4}o7372<728qC?<?4}o737=<728qC?<?4}o737<<728qC?<?4}o737d<728qC?<?4}o737g<728qC?<?4}o737f<728qC?<?4}o737a<728qC?<?4}o737`<728qC?<?4}o737c<728qC?<?4}o7305<728qC?<?4}o7304<728qC?<?4}o7307<728qC?<?4}o7306<728qC?<?4}o7301<728qC?<?4}o7300<728qC?<?4}o7303<728qC?<?4}o7302<728qC?<?4}o730=<728qC?<?4}o730<<728qC?<?4}o730d<728qC?<?4}o730g<728qC?<?4}o730f<728qC?<?4}o730a<728qC?<?4}o730`<728qC?<?4}o730c<728qC?<?4}o7315<728qC?<?4}o7314<728qC?<?4}o7317<728qC?<?4}o7316<728qC?<?4}o7311<728qC?<?4}o7310<728qC?<?4}o7313<728qC?<?4}o7312<728qC?<?4}o731=<728qC?<?4}o731<<728qC?<?4}o731d<728qC?<?4}o731g<728qC?<?4}o731f<728qC?<?4}o731a<728qC?<?4}o731`<728qC?<?4}o731c<728qC?<?4}o7325<728qC?<?4}o7324<728qC?<?4}o7327<728qC?<?4}o7326<728qC?<?4}o7321<728qC?<?4}o7320<728qC?<?4}o7323<728qC?<?4}o7322<728qC?<?4}o732=<728qC?<?4}o732<<728qC?<?4}o732d<728qC?<?4}o732g<728qC?<?4}o732f<728qC?<?4}o732a<728qC?<?4}o732`<728qC?<?4}o732c<728qC?<?4}o7335<728qC?<?4}o7334<728qC?<?4}o7337<728qC?<?4}o7336<728qC?<?4}o7331<728qC?<?4}o7330<728qC?<?4}o7333<728qC?<?4}o7332<728qC?<?4}o733=<728qC?<?4}o733<<728qC?<?4}o733d<728qC?<?4}o733g<728qC?<?4}o733f<728qC?<?4}o733a<728qC?<?4}o733`<728qC?<?4}o733c<728qC?<?4}o73<5<728qC?<?4}o73<4<728qC?<?4}o73<7<728qC?<?4}o73<6<728qC?<?4}o73<1<728qC?<?4}o73<0<728qC?<?4}o73<3<728qC?<?4}o73<2<728qC?<?4}o73<=<728qC?<?4}o73<<<728qC?<?4}o73<d<728qC?<?4}o73<g<728qC?<?4}o73<f<728qC?<?4}o73<a<728qC?<?4}o73<`<728qC?<?4}o73<c<728qC?<?4}o73=5<728qC?<?4}o73=4<728qC?<?4}o73=7<728qC?<?4}o73=6<728qC?<?4}o73=1<728qC?<?4}o73=0<728qC?<?4}o73=3<728qC?<?4}o73=2<728qC?<?4}o73==<728qC?<?4}o73=<<728qC?<?4}o73=d<728qC?<?4}o73=g<728qC?<?4}o73=f<728qC?<?4}o73=a<728qC?<?4}o73=`<728qC?<?4}o73=c<728qC?<?4}o73e5<728qC?<?4}o73e4<728qC?<?4}o73e7<728qC?<?4}o73e6<728qC?<?4}o73e1<728qC?<?4}o73e0<728qC?<?4}o73e3<728qC?<?4}o73e2<728qC?<?4}o73e=<728qC?<?4}o73e<<728qC?<?4}o73ed<728qC?<?4}o73eg<728qC?<?4}o73ef<728qC?<?4}o73ea<728qC?<?4}o73e`<728qC?<?4}o73ec<728qC?<?4}o73f5<728qC?<?4}o73f4<728qC?<?4}o73f7<728qC?<?4}o73f6<728qC?<?4}o73f1<728qC?<?4}o73f0<728qC?<?4}o73f3<728qC?<?4}o73f2<728qC?<?4}o73f=<728qC?<?4}o73f<<728qC?<?4}o73fd<728qC?<?4}o73fg<728qC?<?4}o73ff<728qC?<?4}o73fa<728qC?<?4}o73f`<728qC?<?4}o73fc<728qC?<?4}o73g5<728qC?<?4}o73g4<728qC?<?4}o73g7<728qC?<?4}o73g6<728qC?<?4}o73g1<728qC?<?4}o73g0<728qC?<?4}o73g3<728qC?<?4}o73g2<728qC?<?4}o73g=<728qC?<?4}o73g<<728qC?<?4}o73gd<728qC?<?4}o73gg<728qC?<?4}o73gf<728qC?<?4}o73ga<728qC?<?4}o73g`<728qC?<?4}o73gc<728qC?<?4}o73`5<728qC?<?4}o73`4<728qC?<?4}o73`7<728qC?<?4}o73`6<728qC?<?4}o73`1<728qC?<?4}o73`0<728qC?<?4}o73`3<728qC?<?4}o73`2<728qC?<?4}o73`=<728qC?<?4}o73`<<728qC?<?4}o73`d<728qC?<?4}o73`g<728qC?<?4}o73`f<728qC?<?4}o72eg<728qC?<?4}|\7f~DEE|=0>o64mlee10fxFGJr:vLM^t}AB
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file lvl1_buffer_32kW.vhd when simulating
+-- the core, lvl1_buffer_32kW. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY lvl1_buffer_32kW IS
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(14 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(14 downto 0));
+END lvl1_buffer_32kW;
+
+ARCHITECTURE lvl1_buffer_32kW_a OF lvl1_buffer_32kW IS
+-- synthesis translate_off
+component wrapped_lvl1_buffer_32kW
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(14 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(14 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_lvl1_buffer_32kW use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+ generic map(
+ c_rd_freq => 100,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 34,
+ c_has_wr_data_count => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_has_wr_rst => 0,
+ c_wr_freq => 100,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_rd_depth => 32768,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 15,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 15,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 15,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 15,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 15,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 32765,
+ c_wr_depth => 32768,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 32766,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "4kx9",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_lvl1_buffer_32kW
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count,
+ wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END lvl1_buffer_32kW_a;
+
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+use work.trb_net16_hub_func.all;
+use ieee.std_logic_arith.all;
+
+entity multiplicity is
+
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ SIGNAL_IN : in std_logic_vector(5 downto 0);
+ SIGNAL_OUT : out std_logic_vector(8 downto 0)
+ );
+end multiplicity;
+
+architecture multiplicity of multiplicity is
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+ signal signal_out_i : std_logic_vector(8 downto 0);
+begin
+
+
+ GENERATE_PULSES_OUT: for i in 0 to 8 generate
+ MULT_ONE_CLOCK : edge_to_pulse port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => signal_out_i(i),
+ pulse => SIGNAL_OUT(i)
+ );
+ end generate GENERATE_PULSES_OUT;
+
+ -- SIGNAL_OUT <= signal_out_i;
+
+ MULT1: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "000001" => signal_out_i(0) <= '1';
+ when "000010" => signal_out_i(0) <= '1';
+ when "000100" => signal_out_i(0) <= '1';
+ when "001000" => signal_out_i(0) <= '1';
+ when "010000" => signal_out_i(0) <= '1';
+ when "100000" => signal_out_i(0) <= '1';
+ when others => signal_out_i(0) <= '0';
+ end case;
+ end if;
+ end process MULT1;
+
+ MULT2: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "000011" => signal_out_i(1) <= '1';
+ when "000101" => signal_out_i(1) <= '1';
+ when "001001" => signal_out_i(1) <= '1';
+ when "010001" => signal_out_i(1) <= '1';
+ when "100001" => signal_out_i(1) <= '1';
+ when "000110" => signal_out_i(1) <= '1';
+ when "001010" => signal_out_i(1) <= '1';
+ when "010010" => signal_out_i(1) <= '1';
+ when "100010" => signal_out_i(1) <= '1';
+ when "001100" => signal_out_i(1) <= '1';
+ when "010100" => signal_out_i(1) <= '1';
+ when "100100" => signal_out_i(1) <= '1';
+ when "011000" => signal_out_i(1) <= '1';
+ when "101000" => signal_out_i(1) <= '1';
+ when "110000" => signal_out_i(1) <= '1';
+ when others => signal_out_i(1) <= '0';
+ end case;
+ end if;
+ end process MULT2;
+
+ MULT3: process (CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "111000" => signal_out_i(2) <= '1';
+ when "110100" => signal_out_i(2) <= '1';
+ when "110010" => signal_out_i(2) <= '1';
+ when "110001" => signal_out_i(2) <= '1';
+ when "101001" => signal_out_i(2) <= '1';
+ when "100101" => signal_out_i(2) <= '1';
+ when "100011" => signal_out_i(2) <= '1';
+ when "011100" => signal_out_i(2) <= '1';
+ when "011010" => signal_out_i(2) <= '1';
+ when "011001" => signal_out_i(2) <= '1';
+ when "010101" => signal_out_i(2) <= '1';
+ when "010011" => signal_out_i(2) <= '1';
+ when "001110" => signal_out_i(2) <= '1';
+ when "001101" => signal_out_i(2) <= '1';
+ when "001011" => signal_out_i(2) <= '1';
+ when "000111" => signal_out_i(2) <= '1';
+ when "101100" => signal_out_i(2) <= '1';
+ when "101010" => signal_out_i(2) <= '1';
+ when "100110" => signal_out_i(2) <= '1';
+ when "010110" => signal_out_i(2) <= '1';
+ when others => signal_out_i(2) <= '0';
+ end case;
+ end if;
+ end process MULT3;
+
+ MULT4: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "111100" => signal_out_i(3) <= '1';
+ when "111010" => signal_out_i(3) <= '1';
+ when "110110" => signal_out_i(3) <= '1';
+ when "101110" => signal_out_i(3) <= '1';
+ when "011110" => signal_out_i(3) <= '1';
+ when "111001" => signal_out_i(3) <= '1';
+ when "110101" => signal_out_i(3) <= '1';
+ when "101101" => signal_out_i(3) <= '1';
+ when "011101" => signal_out_i(3) <= '1';
+ when "110011" => signal_out_i(3) <= '1';
+ when "101011" => signal_out_i(3) <= '1';
+ when "011011" => signal_out_i(3) <= '1';
+ when "100111" => signal_out_i(3) <= '1';
+ when "010111" => signal_out_i(3) <= '1';
+ when "001111" => signal_out_i(3) <= '1';
+ when others => signal_out_i(3) <= '0';
+ end case;
+ end if;
+ end process MULT4;
+
+ MULT5: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "011111" => signal_out_i(4) <= '1';
+ when "101111" => signal_out_i(4) <= '1';
+ when "110111" => signal_out_i(4) <= '1';
+ when "111011" => signal_out_i(4) <= '1';
+ when "111101" => signal_out_i(4) <= '1';
+ when "111110" => signal_out_i(4) <= '1';
+ when others => signal_out_i(4) <= '0';
+ end case;
+ end if;
+ end process MULT5;
+
+ MULT6: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "111111" => signal_out_i(5) <= '1';
+ when others => signal_out_i(5) <= '0';
+ end case;
+ end if;
+ end process MULT6;
+
+ MULT2_NO_NEIGHBOUR: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "101000" => signal_out_i(6) <= '1';
+ when "100100" => signal_out_i(6) <= '1';
+ when "100010" => signal_out_i(6) <= '1';
+ when "010100" => signal_out_i(6) <= '1';
+ when "010010" => signal_out_i(6) <= '1';
+ when "010001" => signal_out_i(6) <= '1';
+ when "001010" => signal_out_i(6) <= '1';
+ when "001001" => signal_out_i(6) <= '1';
+ when "000101" => signal_out_i(6) <= '1';
+ when others => signal_out_i(6) <= '0';
+ end case;
+ end if;
+ end process MULT2_NO_NEIGHBOUR;
+
+ MULT3_NO_NEIGHBOUR: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "101010" => signal_out_i(7) <= '1';
+ when "010101" => signal_out_i(7) <= '1';
+ when others => signal_out_i(7) <= '0';
+ end case;
+ end if;
+ end process MULT3_NO_NEIGHBOUR;
+
+ MULT2_OPPOSITE: process(CLK)
+ begin
+ if rising_edge(CLK) then
+ case SIGNAL_IN is
+ when "100100" => signal_out_i(8) <= '1';
+ when "010010" => signal_out_i(8) <= '1';
+ when "001001" => signal_out_i(8) <= '1';
+ when others => signal_out_i(8) <= '0';
+ end case;
+ end if;
+ end process MULT2_OPPOSITE;
+
+
+
+end multiplicity;
--- /dev/null
+--------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni
+--
+-- Create Date: 7/3/07
+-- Design Name: vulom3
+-- Module Name: new_downscale_ck - Behavioral
+-- Project Name: triggerbox
+-- Target Device: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: Provides clock downscale, plus calibration and inhibit signals
+--
+--------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity new_downscale_ck is port (
+ downscale_value : in std_logic_vector(3 downto 0);
+ clk : in std_logic;
+ downscaled : out std_logic;
+ output_disable : in std_logic;
+ global_inhibit : in std_logic;
+ scaler_reset : out std_logic;
+ cal_inhibit : out std_logic;
+ cal_trigger : out std_logic);
+end new_downscale_ck;
+
+architecture Behavioral of new_downscale_ck is
+signal accu_1 : std_logic_vector(21 downto 0);
+signal accu_3 : std_logic_vector(15 downto 0);
+signal accu_4 : std_logic_vector(15 downto 0);
+signal count, count_d, clk_10kHz : std_logic;
+signal accu_2 : std_logic_vector(15 downto 0);
+signal delay_1, delay_2 : std_logic;
+
+
+begin
+
+ process(clk) --pulser
+ begin
+ if rising_edge(clk) then
+ accu_1 <= accu_1 + 1;
+ case downscale_value is
+ when "0000" => count <= accu_1(6);
+ when "0001" => count <= accu_1(7);
+ when "0010" => count <= accu_1(8);
+ when "0011" => count <= accu_1(9);
+ when "0100" => count <= accu_1(10);
+ when "0101" => count <= accu_1(11);
+ when "0110" => count <= accu_1(12);
+ when "0111" => count <= accu_1(13);
+ when "1000" => count <= accu_1(14);
+ when "1001" => count <= accu_1(15);
+ when "1010" => count <= accu_1(16);
+ when "1011" => count <= accu_1(17);
+ when "1100" => count <= accu_1(18);
+ when "1101" => count <= accu_1(19);
+ when "1110" => count <= accu_1(20);
+ when "1111" => count <= accu_1(21);
+ when others => count <= 'X';
+ end case;
+ count_d <= count;
+ downscaled <= (not count_d) and count;
+ end if;
+ end process;
+ process(clk) --10 kHz clock generator
+ begin
+ if rising_edge(clk) then
+ if accu_2 = x"3a97" then
+ accu_2 <= x"0000";
+ clk_10kHz <= not clk_10kHz;
+ else
+ accu_2 <= accu_2 + 1;
+ end if;
+ end if;
+ end process;
+ process(clk_10kHz) --calibration pulse
+ begin
+ if rising_edge(clk_10kHz) then
+ if accu_3 = x"0000" then
+ scaler_reset <= '1';
+ accu_3 <= accu_3 + 1;
+ elsif accu_3 = x"0001" then
+ scaler_reset <= '0';
+ accu_3 <= accu_3 + 1;
+ elsif accu_3 = x"0002" then
+ scaler_reset <= '0';
+ accu_3 <= accu_3 + 1;
+ elsif accu_3 = x"270f" then --10e3
+ scaler_reset <= '0';
+ accu_3 <= x"0000";
+ else accu_3 <= accu_3 + 1;
+ end if;
+ end if;
+ end process;
+ process(clk_10kHz) --calibration pulse
+ begin
+ if rising_edge(clk_10kHz) then
+ if accu_4 = x"0000" and global_inhibit = '0' then
+ cal_inhibit <= not output_disable;
+ cal_trigger <= '0';
+ accu_4 <= accu_4 + 1;
+ elsif accu_4 = x"0000" and global_inhibit = '1' then
+ cal_inhibit <= not output_disable;
+ cal_trigger <= '0';
+ accu_4 <= accu_4;
+ elsif accu_4 = x"0001" then
+ cal_inhibit <= not output_disable;
+ cal_trigger <= not output_disable;
+ accu_4 <= accu_4 + 1;
+ elsif accu_4 = x"0002" then
+ cal_inhibit <= '0';
+ cal_trigger <= '0';
+ accu_4 <= accu_4 + 1;
+ elsif accu_4 = x"270f" then --10e3
+ cal_inhibit <= '0';
+ cal_trigger <= '0';
+ accu_4 <= x"0000";
+ else accu_4 <= accu_4 + 1;
+ end if;
+ end if;
+ end process;
+
+end Behavioral;
--- /dev/null
+#-- Synopsys, Inc.
+#-- Version D-2009.12
+#-- Project file /home/marek/trbv2/proj_1.prj
+#-- Written on Mon Jun 28 09:35:26 2010
+
+
+#project files
+
+
+#implementation: "rev_2"
+impl -add rev_2 -type fpga
+
+#
+#implementation attributes
+
+set_option -vlog_std v2001
+set_option -project_relative_includes 1
+set_option -enable_nfilter 0
+
+#device options
+set_option -technology Virtex4
+set_option -part XC4VLX15
+set_option -package SF363
+set_option -speed_grade -10
+set_option -part_companion ""
+
+#compilation/mapping options
+set_option -use_fsm_explorer 0
+
+# mapper_options
+set_option -frequency auto
+set_option -write_verilog 0
+set_option -write_vhdl 0
+
+# Xilinx Virtex2
+set_option -run_prop_extract 1
+set_option -maxfan 10000
+set_option -disable_io_insertion 0
+set_option -pipe 1
+set_option -update_models_cp 0
+set_option -retiming 0
+set_option -no_sequential_opt 0
+set_option -fixgatedclocks 3
+set_option -fixgeneratedclocks 3
+
+# NFilter
+set_option -popfeed 0
+set_option -constprop 0
+set_option -createhierarchy 0
+
+# sequential_optimization_options
+set_option -symbolic_fsm_compiler 1
+
+# Compiler Options
+set_option -compiler_compatible 0
+set_option -resource_sharing 1
+
+#VIF options
+set_option -write_vif 1
+
+#automatic place and route (vendor) options
+set_option -write_apr_constraint 1
+
+#set result format/file last
+project -result_file "./rev_2/proj_1.edf"
+
+#design plan options
+set_option -nfilter_user_path ""
+impl -active "rev_2"
--- /dev/null
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.numeric_std.all;
+
+
+entity sdram_fpga_interface is
+ generic
+ (
+ USE_EXTERNAL_SDRAM : natural
+ );
+ port (
+ CLK : in std_logic; -- system clock
+ READOUT_CLK : in std_logic; --readout clock of the system e.g TDC clk
+ RESET : in std_logic; -- system reset
+-- host side
+ RD_EN : in std_logic; -- Read enable signal level
+ WR_EN : in std_logic; -- Write enable signal level
+ WR_READY : out std_logic; -- write ready signal
+ RD_READY : out std_logic; -- read ready signal
+ DATA_IN : in std_logic_vector(31 downto 0); -- data input from FPGA
+ DATA_OUT : out std_logic_vector(31 downto 0); -- data out from sdram
+ SDRAM_BUSY : out std_logic; -- SDRAM busy signal (is set when SDRAM
+ --to&from fpga slow interface
+ INTERNAL_STROBE : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ INTERNAL_VALID : out std_logic;
+ --to pins
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0); -- is almost full)
+ DQMH : out std_logic;
+ DQML : out std_logic;
+-- DEBUG
+ SDRAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_02 : out std_logic_vector(31 downto 0)
+ );
+--1. kiedy send data w tdc_interface wtedy ustawic sygnal ?
+--2. dac read_strobe na analizator
+--3. zamiast danych dac licznik (do ramu)
+--4. zrobic jeszcze raz fifo - moze jast fall through ?
+--5. dostosowac tak zeby mozna bylo czytac komorki pamieci - dodac do maszyny stanu stan z czytaniem i wtym stanie zapisac dana na wyjscie
+
+
+end sdram_fpga_interface;
+
+architecture sdram_fpga_interface of sdram_fpga_interface is
+
+ component sdramcntl
+ generic (
+ FREQ : natural;
+ IN_PHASE : boolean;
+ PIPE_EN : boolean;
+ MAX_NOP : natural;
+ MULTIPLE_ACTIVE_ROWS : boolean;
+ DATA_WIDTH : natural;
+ NROWS : natural;
+ NCOLS : natural;
+ HADDR_WIDTH : natural;
+ SADDR_WIDTH : natural);
+ port (
+ RAM_clk : in std_logic;
+ RESET : in std_logic;
+ RAM_READ_EN : in std_logic;
+ RAM_WRITE_EN : in std_logic;
+ RAM_Ready : out std_logic;
+ RAM_Addr : in std_logic_vector(HADDR_WIDTH-1 downto 0);
+ RAM_data_in : in std_logic_vector(DATA_WIDTH-1 downto 0);
+ RAM_data_out : out std_logic_vector(DATA_WIDTH-1 downto 0);
+ RAM_strobe_read : out std_logic;
+ RAM_DEBUG : out std_logic_vector(31 downto 0);
+ clk_n : out std_logic;
+ cke : out std_logic;
+ ce_n : out std_logic;
+ ras_n : out std_logic;
+ cas_n : out std_logic;
+ we_n : out std_logic;
+ ba : out std_logic_vector(1 downto 0);
+ sAddr : out std_logic_vector(SADDR_WIDTH-1 downto 0);
+ SData : inout std_logic_vector(DATA_WIDTH-1 downto 0);
+ dqmh : out std_logic;
+ dqml : out std_logic);
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ component small_1kW
+ port (
+ din : IN std_logic_VECTOR(33 downto 0);
+ rd_clk : IN std_logic;
+ rd_en : IN std_logic;
+ rst : IN std_logic;
+ wr_clk : IN std_logic;
+ wr_en : IN std_logic;
+ dout : OUT std_logic_VECTOR(33 downto 0);
+ empty : OUT std_logic;
+ full : OUT std_logic;
+ rd_data_count : OUT std_logic_VECTOR(9 downto 0);
+ wr_data_count : OUT std_logic_VECTOR(9 downto 0));
+ end component;
+
+ type READ_WRITE_SDRAM is (IDLE, READ_SDRAM_A, READ_SDRAM_B, READ_SDRAM_C, READ_SDRAM_D, WRITE_SDRAM_A1, WRITE_SDRAM_A2, WRITE_SDRAM_A3, WRITE_SDRAM_B, WRITE_SDRAM_C, WRITE_SDRAM_D);
+ signal READ_WRITE_SDRAM_CURRENT, READ_WRITE_SDRAM_NEXT : READ_WRITE_SDRAM;
+ signal din : std_logic_vector(33 downto 0);
+ signal write_address_up : std_logic;
+ signal write_address_up_fsm : std_logic;
+ signal write_rd_en : std_logic;
+ signal write_rd_en_fsm : std_logic;
+ signal write_empty : std_logic;
+ signal write_full : std_logic;
+ signal write_rd_data_count : std_logic_vector(9 downto 0);
+ signal write_wr_data_count : std_logic_vector(9 downto 0);
+ signal write_fifo_rd_en_first : std_logic;
+ signal write_fifo_rd_en_first_fsm : std_logic;
+ signal ram_read_en_fsm : std_logic;
+ signal write_address : std_logic_vector(24 downto 0);
+ signal read_address : std_logic_vector(24 downto 0);
+ signal read_request : std_logic;
+ signal ram_write_en_fsm : std_logic;
+ signal read_write_debug : std_logic_vector(7 downto 0);
+ signal read_write_debug_fsm : std_logic_vector(7 downto 0);
+ signal sdram_address : std_logic_vector(24 downto 0);
+ signal sdram_address_fsm : std_logic_vector(24 downto 0);
+ signal data_saved : std_logic_vector(31 downto 0);
+ signal read_write_diff_cntr : std_logic_vector(31 downto 0);
+ signal sdram_busy_i : std_logic;
+ signal ram_data_in_test : std_logic_vector(33 downto 0);
+ signal read_address_up_fsm : std_logic;
+ signal read_address_up : std_logic;
+
+ --sdram
+ signal ram_read_en : std_logic;
+ signal ram_write_en : std_logic;
+ signal ram_ready : std_logic;
+ signal ram_data_in : std_logic_vector(33 downto 0);
+ signal ram_data_out : std_logic_vector(31 downto 0);
+ signal ram_strobe_read : std_logic;
+ signal ram_debug : std_logic_vector(31 downto 0);
+ --internal
+ signal internal_address_saved : std_logic_vector(24 downto 0);
+ signal internal_request_saved : std_logic;
+ signal internal_mode_saved : std_logic_vector(15 downto 0);
+ signal data_saved_slow : std_logic_vector(31 downto 0);
+
+-- simulation for big memeory
+--sim-- signal A_sim : std_logic_vector(12 downto 0);
+--sim-- signal A_sim_long : std_logic_vector(24 downto 0);
+--sim-- signal data_out_i : std_logic_vector(31 downto 0);
+--sim-- type mem_block;
+--sim-- type mem_block_ptr is access mem_block;
+--sim-- type mem_block is array(0 to 1023) of std_logic_vector(31 downto 0);
+--sim-- --this defines a small portion (10 bit addressable) of memory
+--sim-- type mem_storage_t is array(0 to 255) of mem_block_ptr;
+--sim-- --this is the entire memory array type
+--sim-- type memory_t is protected
+--sim-- impure function read( addr : std_logic_vector ) return std_logic_vector;
+--sim-- procedure write(addr : std_logic_vector;
+--sim-- data : std_logic_vector);
+--sim-- end protected memory_t;
+--sim-- --this stores and gives access to an entire memory
+--sim-- --this memory is broken into rows of 1024 words.
+--sim-- type memory_t is protected body
+--sim-- variable mem_storage : mem_storage_t;
+--sim-- impure function read(addr : std_logic_vector ) return
+--sim-- std_logic_vector is
+--sim-- variable row : integer;
+--sim-- variable col : integer;
+--sim-- variable ret_slv : std_logic_vector(31 downto 0);
+--sim-- begin
+--sim-- row := to_integer(unsigned(addr(18 downto 10) ) );
+--sim-- col := to_integer(unsigned(addr(9 downto 0) ) );
+--sim-- --break down the address so you can index into the 2D array
+--sim-- if mem_storage(row) = null then
+--sim-- ret_slv := (others => 'X');
+--sim-- --no memory allocated here yet
+--sim-- else
+--sim-- ret_slv := mem_storage(row)(col);
+--sim-- --get the memory value
+--sim-- end if;
+--sim-- return ret_slv;
+--sim-- end function read;
+--sim-- procedure write(addr : std_logic_vector;
+--sim-- data : std_logic_vector ) is
+--sim-- variable row : integer;
+--sim-- variable col : integer;
+--sim-- begin
+--sim-- row := to_integer(unsigned(addr(18 downto 10) ) );
+--sim-- col := to_integer(unsigned(addr(9 downto 0) ) );
+--sim-- if mem_storage(row) = null then
+--sim-- mem_storage(row) := new mem_block;
+--sim-- --dynamically create some more ram
+--sim-- --initialise all of the memory that were just allocated
+--sim-- for i in 0 to 1023 loop
+--sim-- mem_storage(row)(i) := (others => 'X');
+--sim-- end loop;
+--sim-- end if;
+--sim-- mem_storage(row)(col) := data;
+--sim-- end procedure write;
+--sim-- end protected body memory_t;
+--sim-- --This is the varaible you actually use.
+--sim-- shared variable my_memory : memory_t;
+
+begin
+
+ --writing
+ din <= "00" & DATA_IN;
+ -- din <= "00" & DATA_IN(31 downto 24) & write_address(11 downto 0) & read_address(11 downto 0);--
+ --!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
+ WRITE_DATA_FROM_FRONT_END : small_1kW
+ port map (
+ din => din,
+ rd_clk => CLK,
+ rd_en => write_rd_en,
+ rst => RESET,
+ wr_clk => READOUT_CLK,
+ wr_en => WR_EN,
+ dout => ram_data_in,--_test,---------------------------------------!!!!!!!!!!!!!!!!!!!!!!!!!!!
+ empty => write_empty,
+ full => write_full,
+ rd_data_count => write_rd_data_count,
+ wr_data_count => write_wr_data_count);
+-- ram_data_in <= "00" & ram_data_in_test(31 downto 24) & write_address(11 downto 0) & read_address(11 downto 0); --
+ --!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
+-- write_rd_en <= '1' when (ram_ready = '1' and READ_WRITE_SDRAM_CURRENT = WRITE_SDRAM_B ) or write_fifo_rd_en_first = '1' else '0';
+-- write_address_up <= '1' when (ram_ready = '1' and READ_WRITE_SDRAM_CURRENT = WRITE_SDRAM_B and write_empty = '0') else '0';
+
+ READ_ADDRESS_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 25)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => write_address,
+ UP_IN => write_address_up,
+ DOWN_IN => '0');
+
+ WRITE_ADDRESS_CNTR: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 25)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => read_address,
+ UP_IN => read_address_up,
+ DOWN_IN => '0');
+
+ SAVE_READ_REQUEST : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or READ_WRITE_SDRAM_CURRENT = READ_SDRAM_A then
+ read_request <= '0';
+ elsif RD_EN = '1' then
+ read_request <= '1';
+ else
+ read_request <= read_request;
+ end if;
+ end if;
+ end process SAVE_READ_REQUEST;
+
+ SAVE_READ_REQUEST_SLOW : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' or READ_WRITE_SDRAM_CURRENT = READ_SDRAM_B then
+ internal_request_saved <= '0';
+ internal_address_saved <= (others => '0');
+ elsif INTERNAL_STROBE = '1' and internal_mode_saved(7 downto 0) = x"02" then
+ internal_request_saved <= '1';
+ internal_address_saved <= INTERNAL_ADDRESS(24 downto 0);
+ else
+ internal_request_saved <= internal_request_saved;
+ internal_address_saved <= internal_address_saved;
+ end if;
+ end if;
+ end process SAVE_READ_REQUEST_SLOW;
+
+
+ READ_WRITE_SDRAM_CLOCK : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ READ_WRITE_SDRAM_CURRENT <= IDLE;
+ ram_write_en <= '0';
+ ram_read_en <= '0';
+ write_fifo_rd_en_first <= '0';
+ read_write_debug <= (others => '0');
+ sdram_address <= (others => '0');
+ read_address_up <= '0';
+ write_address_up <= '0';
+ write_rd_en <= '0';
+ else
+ READ_WRITE_SDRAM_CURRENT <= READ_WRITE_SDRAM_NEXT;
+ ram_write_en <= ram_write_en_fsm;
+ ram_read_en <= ram_read_en_fsm;
+ write_fifo_rd_en_first <= write_fifo_rd_en_first_fsm;
+ read_write_debug <= read_write_debug_fsm;
+ sdram_address <= sdram_address_fsm;
+ read_address_up <= read_address_up_fsm;
+ write_address_up <= write_address_up_fsm;
+ write_rd_en <= write_rd_en_fsm;
+ end if;
+ end if;
+ end process READ_WRITE_SDRAM_CLOCK;
+
+ READ_WRITE_SDRAM_PROC : process (CLK)
+ begin
+ ram_write_en_fsm <= '0';
+ write_fifo_rd_en_first_fsm <= '0';
+ read_write_debug_fsm <= x"00";
+ sdram_address_fsm <= (others => '0');
+ ram_read_en_fsm <= '0';
+ read_address_up_fsm <= '0';
+ write_address_up_fsm <= '0';
+ write_rd_en_fsm <= '0';
+ case (READ_WRITE_SDRAM_CURRENT) is
+
+ when IDLE =>
+ read_write_debug_fsm <= x"01";
+ if write_empty = '0' then
+ READ_WRITE_SDRAM_NEXT <= WRITE_SDRAM_A1;
+ elsif read_request = '1' then
+ READ_WRITE_SDRAM_NEXT <= READ_SDRAM_A;
+ elsif internal_request_saved = '1' then
+ READ_WRITE_SDRAM_NEXT <= READ_SDRAM_B;
+ else
+ READ_WRITE_SDRAM_NEXT <= IDLE;
+ end if;
+
+ when WRITE_SDRAM_A1 =>
+ read_write_debug_fsm <= x"02";
+ write_rd_en_fsm <= '1';
+ READ_WRITE_SDRAM_NEXT <= WRITE_SDRAM_A2;
+
+ when WRITE_SDRAM_A2 =>
+ read_write_debug_fsm <= x"03";
+ ram_write_en_fsm <= '1';
+ sdram_address_fsm <= write_address;
+ if ram_ready = '1' then
+ READ_WRITE_SDRAM_NEXT <= WRITE_SDRAM_B;
+ else
+ READ_WRITE_SDRAM_NEXT <= WRITE_SDRAM_A2;
+ end if;
+
+ when WRITE_SDRAM_B =>
+ write_address_up_fsm <= '1';
+ write_rd_en_fsm <= '1';
+ sdram_address_fsm <= write_address;
+ read_write_debug_fsm <= x"05";
+ ram_write_en_fsm <= '1';
+ if write_empty = '1' then -- or ram_ready = '0' then
+ READ_WRITE_SDRAM_NEXT <= IDLE;--WRITE_SDRAM_C;
+ else
+ READ_WRITE_SDRAM_NEXT <= WRITE_SDRAM_C;
+
+ end if;
+
+ when WRITE_SDRAM_C =>
+ write_address_up_fsm <= not write_empty;
+ write_rd_en_fsm <= not write_empty;
+ sdram_address_fsm <= write_address;
+ read_write_debug_fsm <= x"05";
+ ram_write_en_fsm <= not write_empty;
+ if write_empty = '1' then -- or ram_ready = '0' then
+ READ_WRITE_SDRAM_NEXT <= IDLE;--WRITE_SDRAM_C;
+ else
+ READ_WRITE_SDRAM_NEXT <= WRITE_SDRAM_C;
+
+ end if;
+
+
+ when READ_SDRAM_A =>
+ read_write_debug_fsm <= x"07";
+ ram_read_en_fsm <= '1';
+ sdram_address_fsm <= read_address;
+ if RAM_READY = '1' and RAM_STROBE_READ = '1' then
+ READ_WRITE_SDRAM_NEXT <= READ_SDRAM_B;
+ else
+ READ_WRITE_SDRAM_NEXT <= READ_SDRAM_A;
+ end if;
+
+ when READ_SDRAM_B => --no dma mode, when dma then it has to
+ --take 15 data words to fifo and so on
+ --...
+ ram_read_en_fsm <= '1';
+ sdram_address_fsm <= read_address;
+ read_write_debug_fsm <= x"07";
+ read_address_up_fsm <= '1';
+ READ_WRITE_SDRAM_NEXT <= IDLE;
+
+ when others =>
+ read_write_debug_fsm <= x"ff";
+ READ_WRITE_SDRAM_NEXT <= IDLE;
+
+ end case;
+ end process READ_WRITE_SDRAM_PROC;
+
+ SAVE_READ_DATA : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ data_saved <= (others => '0');
+-- elsif RAM_READY = '1' and RAM_STROBE_READ = '1' and READ_WRITE_SDRAM_CURRENT = READ_SDRAM_A then
+ elsif READ_WRITE_SDRAM_CURRENT = READ_SDRAM_B then
+ data_saved <= ram_data_out; --no_sim--
+--sim-- data_saved <= data_out_i;
+ else
+ data_saved <= data_saved;
+ end if;
+ end if;
+ end process SAVE_READ_DATA;
+
+ DATA_OUT <= data_saved;
+
+-- SAVE_READ_DATA_SLOW : process (CLK, RESET)
+-- begin
+-- if rising_edge(CLK) then
+-- if RESET = '1' then
+-- data_saved_slow <= (others => '0');
+-- INTERNAL_VALID <= '0';
+-- elsif RAM_READY = '1' and RAM_STROBE_READ = '1' and READ_WRITE_SDRAM_CURRENT = READ_SDRAM_B then
+-- INTERNAL_VALID <= '1';
+-- data_saved_slow <= ram_data_out; --no_sim--
+----simaa-- data_saved_slow <= data_out_i;
+-- else
+-- data_saved_slow <= data_saved;
+ INTERNAL_VALID <= '0';
+-- end if;
+-- end if;
+-- end process SAVE_READ_DATA_SLOW;
+ INTERNAL_DATA_OUT <= data_saved_slow;
+
+
+ THE_SDRAMCNTL: sdramcntl--no_sim--
+ port map ( --no_sim--
+ RAM_clk => CLK, --no_sim--
+ RESET => RESET, --no_sim--
+ RAM_READ_EN => ram_read_en, --no_sim--
+ RAM_WRITE_EN => ram_write_en, --no_sim--
+ RAM_Ready => ram_ready, --no_sim--
+ RAM_Addr => sdram_address, --no_sim--
+ RAM_data_in => ram_data_in(31 downto 0), --no_sim--
+ RAM_data_out => ram_data_out, --no_sim--
+ RAM_strobe_read => ram_strobe_read, --no_sim--
+ RAM_DEBUG => ram_debug, --no_sim--
+ clk_n => CLK_SDRAM, --no_sim--
+ cke => CKE, --no_sim--
+ ce_n => CS, --no_sim--
+ ras_n => RAS, --no_sim--
+ cas_n => CAS, --no_sim--
+ we_n => WE, --no_sim--
+ ba => BA, --no_sim--
+ sAddr => A, --no_sim--
+ SData => DQ,--no_sim--
+ dqmh => dqmh,--no_sim--
+ dqml => dqml);--no_sim--
+
+--writing
+
+ SDRAM_DEBUG_00 <= ram_data_in(31 downto 28) --31-28
+ & data_saved(31 downto 28) --27-24
+ & ram_data_out(31 downto 28)--23-20
+ & din(31 downto 28) --19-16
+ & read_write_debug(2 downto 0) --15-13
+ & ram_debug(3 downto 0) --12-9
+ & ram_strobe_read --8
+ & ram_ready --7
+ & ram_write_en --6
+ & write_rd_en --5
+ & WR_EN --4
+ & ram_read_en --3
+ & RD_EN --2
+ & write_empty --1
+ & write_full; --0
+ SDRAM_DEBUG_01 <= sdram_address(6 downto 0) & write_address;
+ SDRAM_DEBUG_02 <= "000000" & write_address_up & read_address;
+
+
+--sim-- WRITE_TO_SIMULATION_RAM: process
+--sim-- begin
+--sim-- ram_ready <= '0';
+--sim-- ram_strobe_read <= '0';
+--sim-- while ram_write_en = '1' loop
+--sim-- wait for 70 ns;
+--sim-- ram_ready <= '1';
+--sim-- ram_strobe_read <= '0';
+--sim-- wait for 10 ns;
+--sim-- my_memory.write(sdram_address,ram_data_in(31 downto 0));
+--sim-- end loop;
+--sim-- wait for 10 ns;
+--sim-- ram_ready <= '0';
+--sim-- ram_strobe_read <= '0';
+--sim-- if ram_read_en = '1' then
+--sim-- wait for 70 ns;
+--sim-- ram_strobe_read <= '1';
+--sim-- ram_ready <= '1';
+--sim-- data_out_i <= my_memory.read(sdram_address);
+--sim-- end if;
+--sim-- wait for 10 ns;
+--sim-- end process WRITE_TO_SIMULATION_RAM;
+
+--reading
+
+
+
+ READ_WRITE_DIFF: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 32)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ COUNT_OUT => read_write_diff_cntr,
+ UP_IN => write_address_up,
+ DOWN_IN => read_address_up);
+
+ SET_SDRAM_BUSY : process (CLK, RESET)
+ begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ sdram_busy_i <= '0';
+ elsif read_write_diff_cntr(24 downto 17) = x"ff" then
+ sdram_busy_i<= '0';
+ else
+ sdram_busy_i <= '0';
+ end if;
+ end if;
+ end process SET_SDRAM_BUSY;
+
+ SET_BUSY_A: if USE_EXTERNAL_SDRAM = 1 generate
+ SDRAM_BUSY <= sdram_busy_i;
+ end generate SET_BUSY_A;
+
+ SET_BUSY_B: if USE_EXTERNAL_SDRAM = 0 generate
+ SDRAM_BUSY <= '0';
+ end generate SET_BUSY_B;
+
+
+
+end sdram_fpga_interface;
--- /dev/null
+
+
+ type mem_block;
+ type mem_block_ptr is access mem_block;
+ type mem_block is array(0 to 1023) of std_logic_vector(31 downto 0);
+--this defines a small portion (10 bit addressable) of memory
+ type mem_storage_t is array(0 to 255) of mem_block_ptr;
+--this is the entire memory array type
+ type memory_t is protected
+ impure function read( addr : std_logic_vector ) return std_logic_vector;
+ procedure write(addr : std_logic_vector;
+ data : std_logic_vector);
+ end protected memory_t;
+--this stores and gives access to an entire memory
+--this memory is broken into rows of 1024 words.
+ type memory_t is protected body
+ variable mem_storage : mem_storage_t;
+
+
+
+ impure function read(addr : std_logic_vector ) return
+ std_logic_vector is
+ variable row : integer;
+ variable col : integer;
+ variable ret_slv : std_logic_vector(35 downto 0);
+ begin
+ row := to_integer(unsigned(addr(18 downto 10) ) );
+ col := to_integer(unsigned(addr(9 downto 0) ) );
+--break down the address so you can index into the 2D array
+ if mem_storage(row) = null then
+ ret_slv := (others => 'X');
+--no memory allocated here yet
+ else
+ ret_slv := mem_storage(row)(col);
+--get the memory value
+ end if;
+ return ret_slv;
+ end function read;
+
+ procedure write(addr : std_logic_vector;
+ data : std_logic_vector ) is
+ variable row : integer;
+ variable col : integer;
+ begin
+ row := to_integer(unsigned(addr(18 downto 10) ) );
+ col := to_integer(unsigned(addr(9 downto 0) ) );
+
+ if mem_storage(row) = null then
+ mem_storage(row) := new mem_block;
+--dynamically create some more ram
+
+--initialise all of the memory that were just allocated
+ for i in 0 to 1023 loop
+ mem_storage(row)(i) := (others => 'X');
+ end loop;
+ end if;
+
+ mem_storage(row)(col) := data;
+ end procedure write;
+ end protected body memory_t;
+
+--This is the varaible you actually use.
+ shared variable my_memory : memory_t;
+
--- /dev/null
+library IEEE; --, UNISIM;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.numeric_std.all;
+use WORK.common.all;
+
+
+-- constant HADDR_WIDTH : natural := log2(END_ADDR-BEG_ADDR+1);
+
+
+
+entity sdramCntl is
+ generic(
+ FREQ : natural := 100_000; -- operating frequency in KHz
+ IN_PHASE : boolean := true; -- SDRAM and controller work on same or opposite clock edge
+ PIPE_EN : boolean := true; -- if true, enable pipelined read operations
+ MAX_NOP : natural := 10000; -- number of NOPs before entering self-refresh
+ MULTIPLE_ACTIVE_ROWS : boolean := true; -- if true, allow an active row in each bank
+ DATA_WIDTH : natural := 32; -- host & SDRAM data width --64
+ NROWS : natural := 8192; -- number of rows in SDRAM array
+ NCOLS : natural := 1024; -- number of columns in SDRAM array
+ HADDR_WIDTH : natural := 25; -- host-side address width --23
+ SADDR_WIDTH : natural := 13 -- SDRAM-side address width
+ );
+ port(
+ -- host side
+ RAM_clk : in std_logic; -- master clock
+ RESET : in std_logic; -- reset
+ RAM_read_EN : in std_logic; -- initiate read operation
+ RAM_write_EN : in std_logic; -- initiate write operation
+ RAM_Ready : out std_logic; -- SDRAM ready for data
+ RAM_Addr : in std_logic_vector(HADDR_WIDTH-1 downto 0); -- address from host to SDRAM
+ RAM_data_in : in std_logic_vector(DATA_WIDTH-1 downto 0); -- data from host to SDRAM
+ RAM_data_out : out std_logic_vector(DATA_WIDTH-1 downto 0); -- data from SDRAM to host
+ RAM_strobe_read : out std_logic; -- SDRAM read data out
+ RAM_DEBUG : out std_logic_vector(31 downto 0);
+ -- SDRAM side
+ clk_n : out std_logic; -- clock to SDRAM
+ cke : out std_logic; -- clock-enable to SDRAM
+ ce_n : out std_logic; -- chip-select to SDRAM
+ ras_n : out std_logic; -- SDRAM row address strobe
+ cas_n : out std_logic; -- SDRAM column address strobe
+ we_n : out std_logic; -- SDRAM write enable
+ ba : out std_logic_vector(1 downto 0); -- SDRAM bank address
+ sAddr : out std_logic_vector(SADDR_WIDTH-1 downto 0); -- SDRAM row/column address
+ SData : inout std_logic_vector(DATA_WIDTH-1 downto 0); -- data to/from SDRAM
+ dqmh : out std_logic; -- enable upper-byte of SDRAM databus if true
+ dqml : out std_logic -- enable lower-byte of SDRAM databus if true
+ );
+end sdramCntl;
+
+
+architecture arch of sdramCntl is
+
+ constant OUTPUT : std_logic := '1'; -- direction of dataflow w.r.t. this controller
+ constant INPUT : std_logic := '0';
+ constant NOP : std_logic := '0'; -- no operation
+ constant READ : std_logic := '1'; -- read operation
+ constant WRITE : std_logic := '1'; -- write operation
+
+ -- SDRAM timing parameters
+ constant Tinit : natural := 2; -- min initialization interval (us)-200
+ constant Tras : natural := 45; -- min interval between active to precharge commands (ns)
+ constant Trcd : natural := 20; -- min interval between active and R/W commands (ns)
+ constant Tref : natural := 64_000_000; -- maximum refresh interval (ns)
+ constant Trfc : natural := 66; -- duration of refresh operation (ns)
+ constant Trp : natural := 20; -- min precharge command duration (ns)
+ constant Twr : natural := 15; -- write recovery time (ns)
+ constant Txsr : natural := 75; -- exit self-refresh time (ns)
+-- war alles auf null nur das opbegun signal ist auf dem gleichen RAM_clk wie earlyopenbegun
+-- SDRAM timing parameters converted into clock cycles (based on FREQ)
+ constant NORM : natural := 1_000_000; -- normalize ns * KHz
+ constant INIT_CYCLES : natural := 1+((Tinit*FREQ)/1000); -- SDRAM power-on initialization interval
+ constant RAS_CYCLES : natural := 1+((Tras*FREQ)/NORM); -- active-to-precharge interval --nixx
+ constant RCD_CYCLES : natural := 1+((Trcd*FREQ)/NORM); -- active-to-R/W interval --nixx
+ constant REF_CYCLES : natural := 1+(((Tref/NROWS)*FREQ)/NORM); -- interval between row refreshes
+ constant RFC_CYCLES : natural := 1+((Trfc*FREQ)/NORM); -- refresh operation interval
+ constant RP_CYCLES : natural := 1+((Trp*FREQ)/NORM); -- precharge operation interval
+ constant WR_CYCLES : natural := 1+((Twr*FREQ)/NORM); -- write recovery time
+ constant XSR_CYCLES : natural := 1+((Txsr*FREQ)/NORM); -- exit self-refresh time
+ constant MODE_CYCLES : natural := 2; -- mode register setup time
+ constant CAS_CYCLES : natural := 3; -- CAS latency
+ constant RFSH_OPS : natural := 8; -- number of refresh operations needed to init SDRAM
+
+ -- timer registers that count down times for various SDRAM operations
+ signal timer_r, timer_x : natural range 0 to INIT_CYCLES; -- current SDRAM op time
+ signal rasTimer_r, rasTimer_x : natural range 0 to RAS_CYCLES; -- active-to-precharge time
+ signal wrTimer_r, wrTimer_x : natural range 0 to WR_CYCLES; -- write-to-precharge time
+ signal refTimer_r, refTimer_x : natural range 0 to REF_CYCLES; -- time between row refreshes
+ signal rfshCntr_r, rfshCntr_x : natural range 0 to NROWS; -- counts refreshes that are neede
+ signal nopCntr_r, nopCntr_x : natural range 0 to MAX_NOP; -- counts consecutive NOP operations
+
+ signal doSelfRfsh : std_logic; -- active when the NOP counter hits zero and self-refresh can start
+
+ -- states of the SDRAM controller state machine
+ type cntlState is (
+ INITWAIT, -- initialization - waiting for power-on initialization to complete
+ INITPCHG, -- initialization - initial precharge of SDRAM banks
+ INITSETMODE, -- initialization - set SDRAM mode
+ INITRFSH, -- initialization - do initial refreshes
+ RW, -- read/write/refresh the SDRAM
+ ACTIVATE, -- open a row of the SDRAM for reading/writing
+ REFRESHROW, -- refresh a row of the SDRAM
+ SELFREFRESH -- keep SDRAM in self-refresh mode with CKE low
+ );
+ signal state_r, state_x : cntlState; -- state register and next state
+
+ -- commands that are sent to the SDRAM to make it perform certain operations
+ -- commands use these SDRAM input pins (ce_n,ras_n,cas_n,we_n,dqmh,dqml)
+ subtype sdramCmd is unsigned(5 downto 0);
+ constant NOP_CMD : sdramCmd := "011100";
+ constant ACTIVE_CMD : sdramCmd := "001100";
+ constant READ_CMD : sdramCmd := "010100";
+ constant WRITE_CMD : sdramCmd := "010000";
+ constant PCHG_CMD : sdramCmd := "001011";
+ constant MODE_CMD : sdramCmd := "000011";
+ constant RFSH_CMD : sdramCmd := "000111";
+
+ -- SDRAM mode register
+ -- the SDRAM is placed in a non-burst mode (burst length = 1) with a 3-cycle CAS
+ subtype sdramMode is std_logic_vector(12 downto 0);
+ constant MODE : sdramMode := "000" & "0" & "00" & "011" & "0" & "000";
+
+ -- the host address is decomposed into these sets of SDRAM address components
+ constant ROW_LEN : natural := log2(NROWS); -- number of row address bits
+ constant COL_LEN : natural := log2(NCOLS); -- number of column address bits
+ signal bank : std_logic_vector(ba'range); -- bank address bits
+ signal row : std_logic_vector(ROW_LEN - 1 downto 0); -- row address within bank
+ signal col : std_logic_vector(sAddr'range); -- column address within row
+
+ -- registers that store the currently active row in each bank of the SDRAM
+ constant NUM_ACTIVE_ROWS : integer := int_select(MULTIPLE_ACTIVE_ROWS = false, 1, 2**ba'length);
+ type activeRowType is array(0 to NUM_ACTIVE_ROWS-1) of std_logic_vector(row'range);
+ signal activeRow_r, activeRow_x : activeRowType;
+ signal activeFlag_r, activeFlag_x : std_logic_vector(0 to NUM_ACTIVE_ROWS-1); -- indicates that some row in a bank is active
+ signal bankIndex : natural range 0 to NUM_ACTIVE_ROWS-1; -- bank address bits
+ signal activeBank_r, activeBank_x : std_logic_vector(ba'range) :="00"; -- indicates the bank with the active row
+ signal doActivate : std_logic; -- indicates when a new row in a bank needs to be activated
+
+ -- there is a command bit embedded within the SDRAM column address
+ constant CMDBIT_POS : natural := 10; -- position of command bit
+ constant AUTO_PCHG_ON : std_logic := '1'; -- CMDBIT value to auto-precharge the bank
+ constant AUTO_PCHG_OFF : std_logic := '0'; -- CMDBIT value to disable auto-precharge
+ constant ONE_BANK : std_logic := '0'; -- CMDBIT value to select one bank
+ constant ALL_BANKS : std_logic := '1'; -- CMDBIT value to select all banks
+
+ -- status signals that indicate when certain operations are in progress
+ signal wrInProgress : std_logic; -- write operation in progress
+ signal rdInProgress : std_logic; -- read operation in progress
+ signal activateInProgress : std_logic; -- row activation is in progress
+
+ -- these registers track the progress of read and write operations
+ signal rdPipeline_r, rdPipeline_x : std_logic_vector(CAS_CYCLES+1 downto 0); -- pipeline of read ops in progress
+ signal wrPipeline_r, wrPipeline_x : std_logic_vector(0 downto 0); -- pipeline of write ops (only need 1 cycle)
+
+ -- registered outputs to host
+ signal opBegun_r, opBegun_x : std_logic; -- true when SDRAM read or write operation is started
+ signal hDOut_r, hDOut_x : std_logic_vector(RAM_data_out'range); -- holds data read from SDRAM and sent to the host
+ signal hDOutOppPhase_r, hDOutOppPhase_x : std_logic_vector(RAM_data_out'range); -- holds data read from SDRAM on opposite clock edge
+
+ -- registered outputs to SDRAM
+ signal cke_r, cke_x : std_logic; -- clock enable
+ signal cmd_r, cmd_x : sdramCmd; -- SDRAM command bits
+ signal ba_r, ba_x : std_logic_vector(ba'range); -- SDRAM bank address bits
+ signal sAddr_r, sAddr_x : std_logic_vector(sAddr'range); -- SDRAM row/column address
+ signal sData_r, sData_x : std_logic_vector(sData'range); -- SDRAM out databus
+ signal sDataDir_r, sDataDir_x : std_logic; -- SDRAM databus direction control bit
+ --control signal
+ signal RAM_sDOutEn : std_logic;
+ Signal status : std_logic_vector(3 downto 0);
+ Signal earlyOpBegun, opBegun, lock, rdPending, rdDone, done : std_logic;
+ signal SDout : std_logic_vector(DATA_WIDTH-1 downto 0); \r
+ signal sDOutEn : std_logic;
+ -- SIGNAL addr_counter, RAM_Addr : std_logic_vector(HADDR_WIDTH-1 downto 0);
+ -- SIGNAL RAM_data_in : std_logic_vector(DATA_WIDTH-1 downto 0);
+begin
+--RAM_data_in <= Addr_counter (DATA_WIDTH-1 downto 0);
+--RAM_Addr <= Addr_counter;
+ -----------------------------------------------------------
+ -- attach some internal signals to the I/O ports
+ -----------------------------------------------------------
+
+ -- attach registered SDRAM control signals to SDRAM input pins
+ (ce_n, ras_n, cas_n, we_n, dqmh, dqml) <= cmd_r; -- SDRAM operation control bits
+ cke <= cke_r; -- SDRAM clock enable
+ ba <= ba_r; -- SDRAM bank address
+ sAddr <= sAddr_r; -- SDRAM address
+ sDOut <= sData_r; -- SDRAM output data bus
+ RAM_sDOutEn <= YES when sDataDir_r = OUTPUT else NO; -- output databus enable
+
+
+ -----------------------------------------------------------------------------
+ clk_n <= RAM_clk; -- CLK input for the SDRAM
+ -----------------------------------------------------------------------------
+
+ -- attach some port signals
+ RAM_data_out <= hDOut_r; -- data back to host
+ opBegun <= opBegun_r; -- true if requested operation has begun
+ sDOutEn <= RAM_sDOutEn;
+ lock <= '1'; --for time management
+ sData <= sDOut when RAM_sDOutEn = YES else (others => 'Z');
+ RAM_Ready <= earlyOpBegun;
+ RAM_strobe_read <= rdDone;
+ -----------------------------------------------------------
+ -- compute the next state and outputs
+ -----------------------------------------------------------
+
+ combinatorial : process(RAM_read_EN, RAM_write_EN, RAM_Addr, RAM_data_in, hDOut_r, SData, state_r, opBegun_x,
+ activeFlag_r, activeRow_r, rdPipeline_r, wrPipeline_r,
+ hDOutOppPhase_r, nopCntr_r, lock, rfshCntr_r, timer_r, rasTimer_r,
+ wrTimer_r, refTimer_r, activeBank_r, ba_r)
+ begin
+
+ -----------------------------------------------------------
+ -- setup default values for signals
+ -----------------------------------------------------------
+
+ opBegun_x <= NO; -- no operations have begun
+ earlyOpBegun <= opBegun_x;
+ cke_x <= YES; -- enable SDRAM clock
+ cmd_x <= NOP_CMD; -- set SDRAM command to no-operation
+ sDataDir_x <= INPUT; -- accept data from the SDRAM
+ sData_x <= RAM_data_in(sData_x'range); -- output data from host to SDRAM
+ state_x <= state_r; -- reload these registers and flags
+ activeFlag_x <= activeFlag_r; -- with their existing values
+ activeRow_x <= activeRow_r;
+ activeBank_x <= activeBank_r;
+ rfshCntr_x <= rfshCntr_r;
+
+ -----------------------------------------------------------
+ -- setup default value for the SDRAM address
+ -----------------------------------------------------------
+
+ -- extract bank field from host address
+ ba_x <= RAM_Addr(ba'length + ROW_LEN + COL_LEN - 1 downto ROW_LEN + COL_LEN);
+ if MULTIPLE_ACTIVE_ROWS = true then
+ bank <= (others => '0');
+ bankIndex <= CONV_INTEGER(ba_x);
+ else
+ bank <= ba_x;
+ bankIndex <= 0;
+ end if;
+ -- extract row, column fields from host address
+ row <= RAM_Addr(ROW_LEN + COL_LEN - 1 downto COL_LEN);
+ -- extend column (if needed) until it is as large as the (SDRAM address bus - 1)
+ col <= (others => '0'); -- set it to all zeroes
+ col(COL_LEN-1 downto 0) <= RAM_Addr(COL_LEN-1 downto 0);
+ -- by default, set SDRAM address to the column address with interspersed
+ -- command bit set to disable auto-precharge
+ sAddr_x <= col(col'high-1 downto CMDBIT_POS) & AUTO_PCHG_OFF
+ & col(CMDBIT_POS-1 downto 0);
+
+ -----------------------------------------------------------
+ -- manage the read and write operation pipelines
+ -----------------------------------------------------------
+
+ -- determine if read operations are in progress by the presence of
+ -- READ flags in the read pipeline
+ if rdPipeline_r(rdPipeline_r'high downto 1) /= 0 then
+ rdInProgress <= YES;
+ else
+ rdInProgress <= NO;
+ end if;
+ rdPending <= rdInProgress; -- tell the host if read operations are in progress
+
+ -- enter NOPs into the read and write pipeline shift registers by default
+ rdPipeline_x <= NOP & rdPipeline_r(rdPipeline_r'high downto 1);
+ wrPipeline_x(0) <= NOP;
+
+ -- transfer data from SDRAM to the host data register if a read flag has exited the pipeline
+ -- (the transfer occurs 1 cycle before we tell the host the read operation is done)
+ if rdPipeline_r(1) = READ then
+ hDOutOppPhase_x <= SData(RAM_data_out'range); -- gets value on the SDRAM databus on the opposite phase
+ if IN_PHASE then
+ -- get the SDRAM data for the host directly from the SDRAM if the controller and SDRAM are in-phase
+ hDOut_x <= SData(RAM_data_out'range);
+ else
+ -- otherwise get the SDRAM data that was gathered on the previous opposite clock edge
+ hDOut_x <= hDOutOppPhase_r(RAM_data_out'range);
+ end if;
+ else
+ -- retain contents of host data registers if no data from the SDRAM has arrived yet
+ hDOutOppPhase_x <= hDOutOppPhase_r;
+ hDOut_x <= hDOut_r;
+ end if;
+
+ done <= rdPipeline_r(0) or wrPipeline_r(0); -- a read or write operation is done
+ rdDone <= rdPipeline_r(0); -- SDRAM data available when a READ flag exits the pipeline
+
+ -----------------------------------------------------------
+ -- manage row activation
+ -----------------------------------------------------------
+
+ -- request a row activation operation if the row of the current address
+ -- does not match the currently active row in the bank, or if no row
+ -- in the bank is currently active
+ if (bank /= activeBank_r) or (row /= activeRow_r(bankIndex)) or (activeFlag_r(bankIndex) = NO) then
+ doActivate <= YES;
+ else
+ doActivate <= NO;
+ end if;
+
+ -----------------------------------------------------------
+ -- manage self-refresh
+ -----------------------------------------------------------
+
+ -- enter self-refresh if neither a read or write is requested for MAX_NOP consecutive cycles.
+ if (RAM_read_EN = YES) or (RAM_write_EN = YES) then
+ -- any read or write resets NOP counter and exits self-refresh state
+ nopCntr_x <= 0;
+ doSelfRfsh <= NO;
+ elsif nopCntr_r /= MAX_NOP then
+ -- increment NOP counter whenever there is no read or write operation
+ nopCntr_x <= nopCntr_r + 1;
+ doSelfRfsh <= NO;
+ else
+ -- start self-refresh when counter hits maximum NOP count and leave counter unchanged
+ nopCntr_x <= nopCntr_r;
+ doSelfRfsh <= YES;
+ end if;
+
+ -----------------------------------------------------------
+ -- update the timers
+ -----------------------------------------------------------
+
+ -- row activation timer
+ if rasTimer_r /= 0 then
+ -- decrement a non-zero timer and set the flag
+ -- to indicate the row activation is still inprogress
+ rasTimer_x <= rasTimer_r - 1;
+ activateInProgress <= YES;
+ else
+ -- on timeout, keep the timer at zero and reset the flag
+ -- to indicate the row activation operation is done
+ rasTimer_x <= rasTimer_r;
+ activateInProgress <= NO;
+ end if;
+
+ -- write operation timer
+ if wrTimer_r /= 0 then
+ -- decrement a non-zero timer and set the flag
+ -- to indicate the write operation is still inprogress
+ wrTimer_x <= wrTimer_r - 1;
+ wrInPRogress <= YES;
+ else
+ -- on timeout, keep the timer at zero and reset the flag that
+ -- indicates a write operation is in progress
+ wrTimer_x <= wrTimer_r;
+ wrInPRogress <= NO;
+ end if;
+
+ -- refresh timer
+ if refTimer_r /= 0 then
+ refTimer_x <= refTimer_r - 1;
+ else
+ -- on timeout, reload the timer with the interval between row refreshes
+ -- and increment the counter for the number of row refreshes that are needed
+ refTimer_x <= REF_CYCLES;
+ rfshCntr_x <= rfshCntr_r + 1;
+ end if;
+
+ -- main timer for sequencing SDRAM operations
+ if timer_r /= 0 then
+ -- decrement the timer and do nothing else since the previous operation has not completed yet.
+ timer_x <= timer_r - 1;
+ status <= "0000";
+ else
+ -- the previous operation has completed once the timer hits zero
+ timer_x <= timer_r; -- by default, leave the timer at zero
+
+ -----------------------------------------------------------
+ -- compute the next state and outputs
+ -----------------------------------------------------------
+ case state_r is
+
+ -----------------------------------------------------------
+ -- let clock stabilize and then wait for the SDRAM to initialize
+ -----------------------------------------------------------
+ when INITWAIT =>
+ if lock = YES then
+ -- wait for SDRAM power-on initialization once the clock is stable
+ timer_x <= INIT_CYCLES; -- set timer for initialization duration
+ state_x <= INITPCHG;
+ else
+ -- disable SDRAM clock and return to this state if the clock is not stable
+ -- this insures the clock is stable before enabling the SDRAM
+ -- it also insures a clean startup if the SDRAM is currently in self-refresh mode
+ cke_x <= NO;
+ end if;
+ status <= "0001";
+
+ -----------------------------------------------------------
+ -- precharge all SDRAM banks after power-on initialization
+ -----------------------------------------------------------
+ when INITPCHG =>
+ cmd_x <= PCHG_CMD;
+ sAddr_x(CMDBIT_POS) <= ALL_BANKS; -- precharge all banks
+ timer_x <= RP_CYCLES; -- set timer for precharge operation duration
+ rfshCntr_x <= RFSH_OPS; -- set counter for refresh ops needed after precharge
+ state_x <= INITRFSH;
+ status <= "0010";
+
+ -----------------------------------------------------------
+ -- refresh the SDRAM a number of times after initial precharge
+ -----------------------------------------------------------
+ when INITRFSH =>
+ cmd_x <= RFSH_CMD;
+ timer_x <= RFC_CYCLES; -- set timer to refresh operation duration
+ rfshCntr_x <= rfshCntr_r - 1; -- decrement refresh operation counter
+ if rfshCntr_r = 1 then--no_sim--
+ state_x <= INITSETMODE; -- set the SDRAM mode once all refresh ops are done
+ end if;--no_sim--
+ status <= "0011";
+ -----------------------------------------------------------
+ -- set the mode register of the SDRAM
+ -----------------------------------------------------------
+ when INITSETMODE =>
+ cmd_x <= MODE_CMD;
+ sAddr_x <= MODE; -- output mode register bits on the SDRAM address bits
+ timer_x <= MODE_CYCLES; -- set timer for mode setting operation duration
+ state_x <= RW;
+ status <= "0100";
+
+ -----------------------------------------------------------
+ -- process read/write/refresh operations after initialization is done
+ -----------------------------------------------------------
+ when RW =>
+ -----------------------------------------------------------
+ -- highest priority operation: row refresh
+ -- do a refresh operation if the refresh counter is non-zero
+ -----------------------------------------------------------
+ if rfshCntr_r /= 0 then
+ -- wait for any row activations, writes or reads to finish before doing a precharge
+ if (activateInProgress = NO) and (wrInProgress = NO) and (rdInProgress = NO) then
+ cmd_x <= PCHG_CMD; -- initiate precharge of the SDRAM
+ sAddr_x(CMDBIT_POS) <= ALL_BANKS; -- precharge all banks
+ timer_x <= RP_CYCLES; -- set timer for this operation
+ activeFlag_x <= (others => NO); -- all rows are inactive after a precharge operation
+ state_x <= REFRESHROW; -- refresh the SDRAM after the precharge
+ end if;
+ status <= "0101";
+ -----------------------------------------------------------
+ -- do a host-initiated read operation
+ -----------------------------------------------------------
+ elsif RAM_read_EN = YES then
+ -- Wait one clock cycle if the bank address has just changed and each bank has its own active row.
+ -- This gives extra time for the row activation circuitry.
+ if (ba_x = ba_r) or (MULTIPLE_ACTIVE_ROWS=false) then
+ -- activate a new row if the current read is outside the active row or bank
+ if doActivate = YES then
+ -- activate new row only if all previous activations, writes, reads are done
+ if (activateInProgress = NO) and (wrInProgress = NO) and (rdInProgress = NO) then
+ cmd_x <= PCHG_CMD; -- initiate precharge of the SDRAM
+ sAddr_x(CMDBIT_POS) <= ONE_BANK; -- precharge this bank
+ timer_x <= RP_CYCLES; -- set timer for this operation
+ activeFlag_x(bankIndex) <= NO; -- rows in this bank are inactive after a precharge operation
+ state_x <= ACTIVATE; -- activate the new row after the precharge is done
+ end if;
+ -- read from the currently active row if no previous read operation
+ -- is in progress or if pipeline reads are enabled
+ -- we can always initiate a read even if a write is already in progress
+ elsif (rdInProgress = NO) or PIPE_EN then
+ cmd_x <= READ_CMD; -- initiate a read of the SDRAM
+ -- insert a flag into the pipeline shift register that will exit the end
+ -- of the shift register when the data from the SDRAM is available
+ rdPipeline_x <= READ & rdPipeline_r(rdPipeline_r'high downto 1);
+ opBegun_x <= YES; -- tell the host the requested operation has begun
+ end if;
+ end if;
+ status <= "0110";
+ -----------------------------------------------------------
+ -- do a host-initiated write operation
+ -----------------------------------------------------------
+ elsif RAM_write_EN = YES then
+ -- Wait one clock cycle if the bank address has just changed and each bank has its own active row.
+ -- This gives extra time for the row activation circuitry.
+ if (ba_x = ba_r) or (MULTIPLE_ACTIVE_ROWS=false) then
+ -- activate a new row if the current write is outside the active row or bank
+ if doActivate = YES then
+ -- activate new row only if all previous activations, writes, reads are done
+ if (activateInProgress = NO) and (wrInProgress = NO) and (rdInProgress = NO) then
+ cmd_x <= PCHG_CMD; -- initiate precharge of the SDRAM
+ sAddr_x(CMDBIT_POS) <= ONE_BANK; -- precharge this bank
+ timer_x <= RP_CYCLES; -- set timer for this operation
+ activeFlag_x(bankIndex) <= NO; -- rows in this bank are inactive after a precharge operation
+ state_x <= ACTIVATE; -- activate the new row after the precharge is done
+ end if;
+ -- write to the currently active row if no previous read operations are in progress
+ elsif rdInProgress = NO then
+ cmd_x <= WRITE_CMD; -- initiate the write operation
+ sDataDir_x <= OUTPUT; -- turn on drivers to send data to SDRAM
+ -- set timer so precharge doesn't occur too soon after write operation
+ wrTimer_x <= WR_CYCLES;
+ -- insert a flag into the 1-bit pipeline shift register that will exit on the
+ -- next cycle. The write into SDRAM is not actually done by that time, but
+ -- this doesn't matter to the host
+ wrPipeline_x(0) <= WRITE;
+ opBegun_x <= YES; -- tell the host the requested operation has begun
+ end if;
+ end if;
+ status <= "0111";
+ -----------------------------------------------------------
+ -- do a host-initiated self-refresh operation
+ -----------------------------------------------------------
+ elsif doSelfRfsh = YES then
+ -- wait until all previous activations, writes, reads are done
+ if (activateInProgress = NO) and (wrInProgress = NO) and (rdInProgress = NO) then
+ cmd_x <= PCHG_CMD; -- initiate precharge of the SDRAM
+ sAddr_x(CMDBIT_POS) <= ALL_BANKS; -- precharge all banks
+ timer_x <= RP_CYCLES; -- set timer for this operation
+ activeFlag_x <= (others => NO); -- all rows are inactive after a precharge operation
+ state_x <= SELFREFRESH; -- self-refresh the SDRAM after the precharge
+ end if;
+ status <= "1000";
+ -----------------------------------------------------------
+ -- no operation
+ -----------------------------------------------------------
+ else
+ state_x <= RW; -- continue to look for SDRAM operations to execute
+ status <= "1001";
+ end if;
+
+ -----------------------------------------------------------
+ -- activate a row of the SDRAM
+ -----------------------------------------------------------
+ when ACTIVATE =>
+ cmd_x <= ACTIVE_CMD;
+ sAddr_x <= (others => '0'); -- output the address for the row to be activated
+ sAddr_x(row'range) <= row;
+ activeBank_x <= bank;
+ activeRow_x(bankIndex) <= row; -- store the new active SDRAM row address
+ activeFlag_x(bankIndex) <= YES; -- the SDRAM is now active
+ rasTimer_x <= RAS_CYCLES; -- minimum time before another precharge can occur
+ timer_x <= RCD_CYCLES; -- minimum time before a read/write operation can occur
+ state_x <= RW; -- return to do read/write operation that initiated this activation
+ status <= "1010";
+
+ -----------------------------------------------------------
+ -- refresh a row of the SDRAM
+ -----------------------------------------------------------
+ when REFRESHROW =>
+ cmd_x <= RFSH_CMD;
+ timer_x <= RFC_CYCLES; -- refresh operation interval
+ rfshCntr_x <= rfshCntr_r - 1; -- decrement the number of needed row refreshes
+ state_x <= RW; -- process more SDRAM operations after refresh is done
+ status <= "1011";
+
+ -----------------------------------------------------------
+ -- place the SDRAM into self-refresh and keep it there until further notice
+ -----------------------------------------------------------
+ when SELFREFRESH =>
+ if (doSelfRfsh = YES) or (lock = NO) then
+ -- keep the SDRAM in self-refresh mode as long as requested and until there is a stable clock
+ cmd_x <= RFSH_CMD; -- output the refresh command; this is only needed on the first clock cycle
+ cke_x <= NO; -- disable the SDRAM clock
+ else
+ -- else exit self-refresh mode and start processing read and write operations
+ cke_x <= YES; -- restart the SDRAM clock
+ rfshCntr_x <= 0; -- no refreshes are needed immediately after leaving self-refresh
+ activeFlag_x <= (others => NO); -- self-refresh deactivates all rows
+ timer_x <= XSR_CYCLES; -- wait this long until read and write operations can resume
+ state_x <= RW;
+ end if;
+ status <= "1100";
+
+ -----------------------------------------------------------
+ -- unknown state
+ -----------------------------------------------------------
+ when others =>
+ state_x <= INITWAIT; -- reset state if in erroneous state
+ status <= "1101";
+
+ end case;
+ end if;
+ end process combinatorial;
+ RAM_DEBUG(3 downto 0) <= status;
+ -----------------------------------------------------------
+ -- update registers on the appropriate clock edge
+ -----------------------------------------------------------
+
+ update : process(RESET, RAM_clk)
+ begin
+
+ if RESET = YES then
+ -- asynchronous reset
+ state_r <= INITWAIT;
+ activeFlag_r <= (others => NO);
+ rfshCntr_r <= 0;
+ timer_r <= 0;
+ refTimer_r <= REF_CYCLES;
+ rasTimer_r <= 0;
+ wrTimer_r <= 0;
+ nopCntr_r <= 0;
+ opBegun_r <= NO;
+ rdPipeline_r <= (others => '0');
+ wrPipeline_r <= (others => '0');
+ cke_r <= NO;
+ cmd_r <= NOP_CMD;
+ ba_r <= (others => '0');
+ sAddr_r <= (others => '0');
+ sData_r <= (others => '0');
+ sDataDir_r <= INPUT;
+ hDOut_r <= (others => '0');
+-- addr_counter <= (others => '0');
+ elsif rising_edge(RAM_clk) then
+ -- addr_counter <= addr_counter+1;
+ state_r <= state_x;
+ activeBank_r <= activeBank_x;
+ activeRow_r <= activeRow_x;
+ activeFlag_r <= activeFlag_x;
+ rfshCntr_r <= rfshCntr_x;
+ timer_r <= timer_x;
+ refTimer_r <= refTimer_x;
+ rasTimer_r <= rasTimer_x;
+ wrTimer_r <= wrTimer_x;
+ nopCntr_r <= nopCntr_x;
+ opBegun_r <= opBegun_x;
+ rdPipeline_r <= rdPipeline_x;
+ wrPipeline_r <= wrPipeline_x;
+ cke_r <= cke_x;
+ cmd_r <= cmd_x;
+ ba_r <= ba_x;
+ sAddr_r <= sAddr_x;
+ sData_r <= sData_x;
+ sDataDir_r <= sDataDir_x;
+ hDOut_r <= hDOut_x;
+ end if;
+
+ -- the register that gets data from the SDRAM and holds it for the host
+ -- is clocked on the opposite edge. We don't use this register if IN_PHASE=TRUE.
+ if RESET = YES then
+ hDOutOppPhase_r <= (others => '0');
+ elsif falling_edge(RAM_clk) then
+ hDOutOppPhase_r <= hDOutOppPhase_x;
+ end if;
+
+ end process update;
+end arch;
+
+
+
+
+
--- /dev/null
+--------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni
+--
+-- Create Date: 8/3/07
+-- Design Name: vulom3
+-- Module Name: set_width - Behavioral
+-- Project Name: triggerbox
+-- Target Device: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: 16 clock cycle programmable pulse shaper
+--
+--------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.vcomponents.all;
+
+entity set_width is port (
+ clk : in std_logic;
+ to_be_set : in std_logic;
+ width_value : in std_logic_vector(3 downto 0);
+ width_adjusted_pulse : out std_logic);
+end set_width;
+
+architecture Behavioral of set_width is
+signal reset, to_be_set_delayed, q,width_adjusted_pulse_i : std_logic:='0';
+signal shift :std_logic_vector (15 downto 0):=(others => '0');
+
+begin
+
+ process (clk)
+ begin
+
+ if rising_edge(clk) then
+ shift <= shift (14 downto 0) & to_be_set;
+ to_be_set_delayed <= to_be_set;
+
+ case width_value is
+ when "0000" => reset <= shift(0);
+ when "0001" => reset <= shift(1);
+ when "0010" => reset <= shift(2);
+ when "0011" => reset <= shift(3);
+ when "0100" => reset <= shift(4);
+ when "0101" => reset <= shift(5);
+ when "0110" => reset <= shift(6);
+ when "0111" => reset <= shift(7);
+ when "1000" => reset <= shift(8);
+ when "1001" => reset <= shift(9);
+ when "1010" => reset <= shift(10);
+ when "1011" => reset <= shift(11);
+ when "1100" => reset <= shift(12);
+ when "1101" => reset <= shift(13);
+ when "1110" => reset <= shift(14);
+ when "1111" => reset <= shift(15);
+ when others => reset <= 'X';
+ end case;
+
+ if (to_be_set_delayed = '0' and reset ='1') then
+ width_adjusted_pulse_i <= '0';
+ elsif (to_be_set_delayed = '1' and reset ='0') then
+ width_adjusted_pulse_i <= '1';
+ end if;
+ end if;
+ end process;
+ width_adjusted_pulse <= width_adjusted_pulse_i;
+end Behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+--use work.version.all;
+--use work.trb_net_std.all;
+--use work.support.all;
+
+
+entity Sfp_Interface is
+ generic (
+ TEMP_START_ADD : std_logic_vector(7 downto 0) := "01100000";
+ TEMP_STOP_ADD : std_logic_vector(7 downto 0) := "01100001";
+ OP_PWR_START_ADD : std_logic_vector(7 downto 0) := "01101000";
+ OP_PWR_STOP_ADD : std_logic_vector(7 downto 0) := "01101001";
+ DEVICE_ADD : std_logic_vector(7 downto 0) := "10100010";
+ I2C_SPEED : std_logic_vector(7 downto 0) := "00001010"
+ );
+ port(
+ CLK_IN : in std_logic; -- System clock
+ RST_IN : in std_logic; -- System reset
+--
+ EN_SFP_INTERFACE : in std_logic; -- Enable temperature read
+ TEMP_DATA_OUT : out std_logic_vector(15 downto 0); -- Temperature data read from optical transmitter
+ OPT_DATA_OUT : out std_logic_vector(15 downto 0); -- Optical Power data read from optical transmitter
+--
+ SCL : inout std_logic; -- I2C Serial clock I/O
+ SDA : inout std_logic; -- I2C Serial data I/O
+--
+ BIT_CTRL_DEBUG : out std_logic_vector(23 downto 0);
+ BYTE_CTRL_DEBUG : out std_logic_vector(15 downto 0)
+ );
+
+end Sfp_Interface;
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+architecture behavioral of Sfp_Interface is
+-------------------------------------------------------------------------------
+ signal en_clk_gen : std_logic := '0';
+ signal en_components : std_logic := '0';
+ signal pulse_i : std_logic := '0';
+ signal bit_command : std_logic_vector(3 downto 0) := "0000";
+ signal bit_command_ack : std_logic := '0';
+ signal i2c_busy : std_logic := '0';
+ signal byte_2_bit_data : std_logic := '0';
+--
+ signal eeprom_start_add : std_logic_vector(7 downto 0) := "00000000";
+ signal eeprom_stop_add : std_logic_vector(7 downto 0) := "00000000";
+--
+ signal clk_gen_cnt : std_logic_vector(7 downto 0) := "00000000";
+ signal switch_cnt : std_logic_vector(27 downto 0) := X"0000000";
+--
+ signal temp_data_out_int : std_logic_vector(15 downto 0) := X"0000";
+ signal opt_data_out_int : std_logic_vector(15 downto 0) := X"0000";
+ signal first_byte_reg : std_logic_vector(7 downto 0) := "00000000";
+ signal first_byte_mem : std_logic_vector(7 downto 0) := "00000000";
+ signal data_out_int : std_logic_vector(7 downto 0) := "00000000";
+ signal first_byte_ready_int : std_logic := '0';
+ signal second_byte_ready_int : std_logic := '0';
+ signal en_read_temp : std_logic := '1';
+ signal en_read_opt_pwr : std_logic := '0';
+ signal switch_cnt_done : std_logic := '0';
+ signal switch_cnt_done_pre : std_logic := '0';
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+ component Bit_Controller
+ port (
+ CLK_IN : in std_logic;
+ RST_IN : in std_logic;
+ EN_BIT_CTRL : in std_logic;
+ BIT_COMMAND : in std_logic_vector(3 downto 0);
+ BIT_COMMAND_ACK : out std_logic;
+ I2C_BUSY : out std_logic;
+ SDATA_IN : in std_logic;
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ BIT_CTRL_DEBUG : out std_logic_vector(23 downto 0)
+ );
+ end component;
+-------------------------------------------------------------------------------
+ component Byte_Ctrl
+ port (
+ CLK_IN : in std_logic;
+ RST_IN : in std_logic;
+ EN_BYTE_CTRL : in std_logic;
+ EN_READ : in std_logic;
+ DEVICE_ADD_IN : in std_logic_vector(7 downto 0);
+ EEPROM_START_ADD_IN : in std_logic_vector(7 downto 0);
+ EEPROM_STOP_ADD_IN : in std_logic_vector(7 downto 0);
+ DATA_OUT : out std_logic_vector(7 downto 0);
+ SDA_IN : in std_logic;
+ BIT_COMMAND : out std_logic_vector(3 downto 0);
+ BIT_CTRL_SDATA_OUT : out std_logic;
+ BIT_CMND_RQST : in std_logic;
+ FIRST_BYTE_READY : out std_logic;
+ SECOND_BYTE_READY : out std_logic;
+ BYTE_CTRL_DEBUG : out std_logic_vector(15 downto 0)
+ );
+ end component;
+-------------------------------------------------------------------------------
+ component edge_to_pulse_cahit
+ port (
+ CLOCK : in std_logic;
+ EN_CLK : in std_logic;
+ SIGNAL_IN : in std_logic;
+ PULSE : out std_logic
+ );
+ end component;
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+
+begin
+-------------------------------------------------------------------------------
+ en_clk_gen <= en_read_temp xor en_read_opt_pwr;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Syncronising : process (CLK_IN, RST_IN)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ TEMP_DATA_OUT <= X"0000";
+ OPT_DATA_OUT <= X"0000";
+ first_byte_mem <= "00000000";
+ else
+ TEMP_DATA_OUT <= temp_data_out_int;
+ OPT_DATA_OUT <= opt_data_out_int;
+ first_byte_mem <= first_byte_reg;
+ end if;
+ end if;
+ end process Syncronising;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ CLK_Generator : process (CLK_IN, RST_IN, en_clk_gen, clk_gen_cnt)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ en_components <= '0';
+ clk_gen_cnt <= "00000000";
+ elsif en_clk_gen = '1' then
+ if clk_gen_cnt = "00000000" then
+ en_components <= '1';
+ clk_gen_cnt <= I2C_SPEED;
+ else
+ en_components <= '0';
+ clk_gen_cnt <= clk_gen_cnt - 1;
+ end if;
+ else
+ en_components <= '0';
+ clk_gen_cnt <= "00000000";
+ end if;
+ end if;
+ end process CLK_Generator;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Address_Assignment : process (CLK_IN, RST_IN, EN_READ_TEMP, EN_READ_OPT_PWR)
+ begin
+ if rising_edge(CLK_IN) then -- rising clock edge
+ if RST_IN = '1' then
+ eeprom_start_add <= "00000000";
+ eeprom_stop_add <= "00000000";
+ elsif en_read_temp = '1' then
+ eeprom_start_add <= temp_start_add; -- START ADD OF TEMPERATURE
+ eeprom_stop_add <= temp_stop_add; -- STOP ADD OF TEMPERATURE
+ elsif en_read_opt_pwr = '1' then
+ eeprom_start_add <= op_pwr_start_add; -- START ADD OF OPTICAL PWR
+ eeprom_stop_add <= op_pwr_stop_add; -- STOP ADD OF OPTICAL PWR
+ else
+ eeprom_start_add <= "00000000";
+ eeprom_stop_add <= "00000000";
+ end if;
+ end if;
+ end process Address_Assignment;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Output_Data_Assignment : process (CLK_IN, RST_IN, EN_READ_OPT_PWR, EN_READ_TEMP, data_out_int)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ first_byte_reg <= X"00";
+ elsif EN_READ_TEMP = '1' and first_byte_ready_int = '1' then
+ first_byte_reg <= data_out_int;
+ elsif EN_READ_TEMP = '1' and second_byte_ready_int = '1' then
+ temp_data_out_int <= first_byte_reg & data_out_int;
+ elsif EN_READ_OPT_PWR = '1' and first_byte_ready_int = '1' then
+ first_byte_reg <= data_out_int;
+ elsif EN_READ_OPT_PWR = '1' and second_byte_ready_int = '1' then
+ opt_data_out_int <= first_byte_reg & data_out_int;
+ else
+ first_byte_reg <= first_byte_mem;
+ end if;
+ end if;
+ end process Output_Data_Assignment;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Switching_Temp_Opt_Pwr : process (CLK_IN, RST_IN)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ switch_cnt <= X"2FAF080";
+ en_read_temp <= '1';
+ en_read_opt_pwr <= '0';
+ elsif EN_SFP_INTERFACE = '1' then
+ if switch_cnt = X"0000001" then
+ switch_cnt_done <= '0';
+ switch_cnt_done_pre <= '1';
+ switch_cnt <= switch_cnt - 1;
+ elsif switch_cnt = X"0000000" then
+ switch_cnt_done <= '1';
+ switch_cnt_done_pre <= '0';
+ switch_cnt <= X"2FAF080";
+ else
+ switch_cnt_done <= '0';
+ switch_cnt_done_pre <= '0';
+ switch_cnt <= switch_cnt - 1;
+ end if;
+ if switch_cnt_done_pre = '1' then
+ en_read_temp <= not(en_read_temp);
+ elsif switch_cnt_done = '1' then
+ en_read_opt_pwr <= not(en_read_opt_pwr);
+ end if;
+ else
+ switch_cnt <= X"2FAF080";
+ en_read_temp <= '1';
+ en_read_opt_pwr <= '0';
+ end if;
+ end if;
+ end process Switching_Temp_Opt_Pwr;
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Inst_Bit_Controller : Bit_Controller
+ port map(
+ CLK_IN => CLK_IN,
+ RST_IN => RST_IN,
+ EN_BIT_CTRL => en_components,
+ BIT_COMMAND => bit_command,
+ BIT_COMMAND_ACK => bit_command_ack,
+ I2C_BUSY => i2c_busy,
+ SDATA_IN => byte_2_bit_data,
+ SCL => SCL,
+ SDA => SDA,
+ BIT_CTRL_DEBUG => BIT_CTRL_DEBUG
+ );
+-------------------------------------------------------------------------------
+ Inst_Byte_Ctrl : Byte_Ctrl
+ port map(
+ CLK_IN => CLK_IN,
+ RST_IN => RST_IN,
+ EN_BYTE_CTRL => en_components,
+ EN_READ => pulse_i,
+ DEVICE_ADD_IN => DEVICE_ADD, -- SPECIFIED BEFORE
+ EEPROM_START_ADD_IN => eeprom_start_add, -- SPECIFIED BEFORE
+ EEPROM_STOP_ADD_IN => eeprom_stop_add, -- SPECIFIED BEFORE
+ DATA_OUT => data_out_int,
+ SDA_IN => SDA,
+ BIT_COMMAND => bit_command,
+ BIT_CTRL_SDATA_OUT => byte_2_bit_data,
+ BIT_CMND_RQST => bit_command_ack,
+ FIRST_BYTE_READY => first_byte_ready_int,
+ SECOND_BYTE_READY => second_byte_ready_int,
+ BYTE_CTRL_DEBUG => BYTE_CTRL_DEBUG
+ );
+-------------------------------------------------------------------------------
+ Inst_Edge_to_Pulse : edge_to_pulse_cahit
+ port map (
+ CLOCK => CLK_IN,
+ EN_CLK => en_components,
+ SIGNAL_IN => en_clk_gen,
+ PULSE => pulse_i
+ );
+
+end behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+entity Sfp_Interface is
+ generic (
+ device_add : std_logic_vector(6 downto 0) := "1010001";
+ temp_start_add : std_logic_vector(7 downto 0) := "01100000";
+ opt_pwr_start_add : std_logic_vector(7 downto 0) := "01101000";
+ I2C_SPEED : std_logic_vector(7 downto 0) := "11111010"
+ );
+ port(
+ CLK_IN : in std_logic; -- System clock
+ RST_IN : in std_logic; -- System reset
+--
+ START_PULSE : in std_logic; -- System start pulse
+--
+ TEMP_DATA_OUT : out std_logic_vector(15 downto 0); -- Temperature data read from optical transmitter
+ OPT_DATA_OUT : out std_logic_vector(15 downto 0); -- Optical Power data read from optical transmitter
+--
+ SCL : inout std_logic; -- I2C Serial clock I/O
+ SDA : inout std_logic; -- I2C Serial data I/O
+--
+ EN_RESET : in std_logic; -- Enable signal for reset sequence
+--
+ DEBUG : out std_logic_vector(31 downto 0); -- Debug output
+ SFP_ADDRESS : in std_logic_vector(31 downto 0) -- SFP addresses
+ );
+
+end Sfp_Interface;
+-------------------------------------------------------------------------------
+
+architecture behavioral of Sfp_Interface is
+-------------------------------------------------------------------------------
+-- Internal Lines
+-------------------------------------------------------------------------------
+ signal scl_int : std_logic := '1';
+ signal sda_int : std_logic := '1';
+ signal sda_int_mem : std_logic := '1';
+ signal byte_2_send : std_logic_vector(7 downto 0) := X"00";
+ signal byte_2_send_mem : std_logic_vector(7 downto 0) := X"00";
+ signal byte_2_read : std_logic_vector(15 downto 0) := X"0000";
+ signal opt_data_out_int : std_logic_vector(15 downto 0) := X"0000";
+ signal opt_data_out_int_mem : std_logic_vector(15 downto 0) := X"0000";
+ signal temp_data_out_int : std_logic_vector(15 downto 0) := X"0000";
+ signal temp_data_out_int_mem : std_logic_vector(15 downto 0) := X"0000";
+ signal bit_read : std_logic := '0';
+--
+ signal debug_int : std_logic_vector(31 downto 0) := X"00000000";
+--
+ signal pulse_cnt : std_logic := '0';
+--
+ signal en_reset_cnt : std_logic := '0';
+ signal stop_reset_cnt : std_logic := '0';
+ signal rst_reset_cnt : std_logic := '0';
+ signal reset_cnt : std_logic_vector(3 downto 0) := "0001";
+ signal reset_done : std_logic := '0';
+ signal reset_done_mem : std_logic := '0';
+--
+ signal en_bit_cnt : std_logic := '0';
+ signal stop_bit_cnt : std_logic := '0';
+ signal rst_bit_cnt : std_logic := '0';
+ signal bit_cnt : std_logic_vector(5 downto 0) := "000000";
+--
+ signal stop_fre_cnt : std_logic := '0';
+ signal rst_fre_cnt : std_logic := '0';
+ signal fre_cnt : std_logic_vector(9 downto 0) := "0000000000";
+--
+ signal en_shift_reg : std_logic := '0';
+ signal en_FSM : std_logic := '0';
+ signal sfp_address_i : std_logic_vector(31 downto 0) := X"00000000";
+-------------------------------------------------------------------------------
+ type STATES is (IDLE, RESET_A, RESET_B, RESET_C, RESET_D, RESET_E, START_A_1, START_A, START_B, START_C, START_D, STOP_A, STOP_B, STOP_C, STOP_D, SEND_BYTE_A, SEND_BYTE_B,
+ SEND_BYTE_C, SEND_BYTE_D, READ_BYTE_A, READ_BYTE_B, READ_BYTE_C, READ_BYTE_D, SEND_ACK_A, SEND_ACK_B, SEND_ACK_C, SEND_ACK_D, READ_ACK_A, READ_ACK_B,
+ READ_ACK_C, READ_ACK_D);
+ signal STATE_CURRENT : STATES;
+ signal STATE_NEXT : STATES;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic
+ );
+ end component;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+begin
+ stop_reset_cnt <= rst_in or rst_reset_cnt;
+ stop_bit_cnt <= rst_in or rst_bit_cnt;
+ stop_fre_cnt <= rst_in or rst_fre_cnt;
+-------------------------------------------------------------------------------
+ Reset_Counter : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 4)
+ port map (
+ CLK => CLK_IN,
+ RESET => stop_reset_cnt,
+ COUNT_OUT => reset_cnt,
+ UP_IN => en_reset_cnt,
+ DOWN_IN => '0');
+-------------------------------------------------------------------------------
+ Bit_Counter : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 6)
+ port map (
+ CLK => CLK_IN,
+ RESET => stop_bit_cnt,
+ COUNT_OUT => bit_cnt,
+ UP_IN => en_bit_cnt,
+ DOWN_IN => '0');
+-------------------------------------------------------------------------------
+ Frequency_Counter : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 10)
+ port map (
+ CLK => CLK_IN,
+ RESET => stop_fre_cnt,
+ COUNT_OUT => fre_cnt,
+ UP_IN => '1',
+ DOWN_IN => '0');
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ TEMP_OPT_SWITCH : process (CLK_IN, RST_IN, START_PULSE)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ pulse_cnt <= '0';
+ elsif START_PULSE = '1' then
+ pulse_cnt <= not pulse_cnt;
+ end if;
+ end if;
+ end process TEMP_OPT_SWITCH;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Frequency_Division : process (CLK_IN, RST_IN, fre_cnt)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ en_FSM <= '0';
+ rst_fre_cnt <= '0';
+ elsif fre_cnt = "1000000000" then
+ en_FSM <= '1';
+ rst_fre_cnt <= '1';
+ else
+ en_FSM <= '0';
+ rst_fre_cnt <= '0';
+ end if;
+ end if;
+ end process Frequency_Division;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Address_Assingment : process (CLK_IN, RST_IN, START_PULSE)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ sfp_address_i <= X"00000000";
+ elsif START_PULSE = '1' then
+ sfp_address_i <= SFP_ADDRESS;
+ end if;
+ end if;
+ end process Address_Assingment;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Syncronising : process (CLK_IN, RST_IN)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ STATE_CURRENT <= IDLE;
+ TEMP_DATA_OUT <= X"0000";
+ temp_data_out_int_mem <= X"0000";
+ OPT_DATA_OUT <= X"0000";
+ opt_data_out_int_mem <= X"0000";
+ byte_2_send_mem <= X"00";
+ reset_done_mem <= '0';
+ SCL <= 'Z';
+ SDA <= 'Z';
+ sda_int_mem <= '1';
+ DEBUG <= X"00000000";
+ else
+ STATE_CURRENT <= STATE_NEXT;
+ TEMP_DATA_OUT <= temp_data_out_int;
+ OPT_DATA_OUT <= opt_data_out_int;
+ temp_data_out_int_mem <= temp_data_out_int;
+ OPT_DATA_OUT <= opt_data_out_int;
+ opt_data_out_int_mem <= opt_data_out_int;
+ byte_2_send_mem <= byte_2_send;
+ reset_done_mem <= reset_done;
+ sda_int_mem <= sda_int;
+ SCL <= scl_int;
+ SDA <= sda_int;
+ DEBUG <= debug_int;
+ end if;
+ end if;
+ end process Syncronising;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+ Shift_Register : process (CLK_IN, RST_IN, en_shift_reg)
+ begin
+ if rising_edge(CLK_IN) then
+ if RST_IN = '1' then
+ byte_2_read <= X"0000";
+ elsif en_shift_reg = '1' then
+ byte_2_read <= byte_2_read(14 downto 0) & bit_read;
+ end if;
+ end if;
+ end process Shift_Register;
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- The generation of the state machine
+-------------------------------------------------------------------------------
+ State_Decoder : process (START_PULSE, STATE_CURRENT, reset_cnt, reset_done, reset_done_mem, bit_cnt, SDA, byte_2_send_mem, byte_2_read, temp_data_out_int_mem, en_FSM,
+ opt_data_out_int_mem, sda_int_mem, pulse_cnt, sfp_address_i)
+ begin
+ en_reset_cnt <= '0';
+ rst_reset_cnt <= '0';
+ en_bit_cnt <= '0';
+ rst_bit_cnt <= '0';
+ en_shift_reg <= '0';
+ sda_int <= 'Z';
+ scl_int <= 'Z';
+ bit_read <= '0';
+ byte_2_send <= byte_2_send_mem;
+ temp_data_out_int <= temp_data_out_int_mem;
+ opt_data_out_int <= opt_data_out_int_mem;
+ reset_done <= reset_done_mem;
+ STATE_NEXT <= STATE_CURRENT;
+ debug_int(15 downto 8) <= byte_2_send;
+
+ case (STATE_CURRENT) is
+--IDLE
+ when IDLE =>
+ debug_int(7 downto 0) <= x"01";
+ if START_PULSE = '1' and EN_RESET = '1' and reset_done = '0' then
+ STATE_NEXT <= RESET_A;
+ elsif START_PULSE = '1' and (reset_done = '1' or EN_RESET = '0') then
+ STATE_NEXT <= START_A;
+ else
+ STATE_NEXT <= IDLE;
+ end if;
+--RESET
+ when RESET_A =>
+ debug_int(7 downto 0) <= x"02";
+ scl_int <= '1';
+ sda_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= RESET_B;
+ end if;
+--
+ when RESET_B =>
+ debug_int(7 downto 0) <= x"03";
+ scl_int <= '1';
+ sda_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= RESET_C;
+ end if;
+--
+ when RESET_C =>
+ debug_int(7 downto 0) <= x"04";
+ scl_int <= '0';
+ sda_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= RESET_D;
+ end if;
+--
+ when RESET_D =>
+ debug_int(7 downto 0) <= x"05";
+ scl_int <= '0';
+ sda_int <= '1';
+ if reset_cnt = "1000" and en_FSM = '1' then
+ STATE_NEXT <= RESET_E;
+ rst_reset_cnt <= '1';
+ reset_done <= '1';
+ elsif en_FSM = '1' then
+ STATE_NEXT <= RESET_A;
+ en_reset_cnt <= '1';
+ end if;
+--
+ when RESET_E =>
+ debug_int(7 downto 0) <= x"06";
+ scl_int <= '0';
+ sda_int <= '1';
+ STATE_NEXT <= START_A;
+--START
+ when START_A_1 =>
+ scl_int <= '0';
+ sda_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= START_A;
+ end if;
+--
+ when START_A =>
+ debug_int(7 downto 0) <= x"07";
+ scl_int <= '1';
+ sda_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= START_B;
+ end if;
+--
+ when START_B =>
+ debug_int(7 downto 0) <= x"08";
+ scl_int <= '1';
+ sda_int <= '0';
+ if en_FSM = '1' then
+ STATE_NEXT <= START_C;
+ end if;
+--
+ when START_C =>
+ debug_int(7 downto 0) <= x"09";
+ scl_int <= '0';
+ sda_int <= '0';
+ if bit_cnt = "010011" and en_FSM = '1' then
+ byte_2_send <= sfp_address_i(6 downto 0) & '1';
+ STATE_NEXT <= SEND_BYTE_A;
+ en_bit_cnt <= '1';
+ elsif bit_cnt = "000000" and en_FSM = '1' then
+ byte_2_send <= sfp_address_i(6 downto 0) & '0';
+ STATE_NEXT <= SEND_BYTE_A;
+ en_bit_cnt <= '1';
+ elsif en_FSM = '0' then
+ STATE_NEXT <= STATE_CURRENT;
+ else
+ byte_2_send <= X"00";
+ STATE_NEXT <= IDLE;
+ end if;
+--STOP
+ when STOP_A =>
+ debug_int(7 downto 0) <= x"0A";
+ scl_int <= '0';
+ sda_int <= '0';
+ if en_FSM = '1' then
+ STATE_NEXT <= STOP_B;
+ end if;
+--
+ when STOP_B =>
+ debug_int(7 downto 0) <= x"0B";
+ scl_int <= '1';
+ sda_int <= '0';
+ if en_FSM = '1' then
+ STATE_NEXT <= STOP_C;
+ end if;
+--
+ when STOP_C =>
+ debug_int(7 downto 0) <= x"0D";
+ scl_int <= '1';
+ sda_int <= '1';
+ rst_bit_cnt <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= IDLE;
+ end if;
+--SEND_BYTE
+ when SEND_BYTE_A =>
+ debug_int(7 downto 0) <= x"0E";
+ scl_int <= '0';
+ sda_int <= byte_2_send(7);
+ if en_FSM = '1' then
+ STATE_NEXT <= SEND_BYTE_B;
+ end if;
+--
+ when SEND_BYTE_B =>
+ debug_int(7 downto 0) <= x"0F";
+ scl_int <= '1';
+ sda_int <= byte_2_send(7);
+ if en_FSM = '1' then
+ STATE_NEXT <= SEND_BYTE_C;
+ end if;
+--
+ when SEND_BYTE_C =>
+ debug_int(7 downto 0) <= x"10";
+ scl_int <= '1';
+ sda_int <= byte_2_send(7);
+ if en_FSM = '1' then
+ STATE_NEXT <= SEND_BYTE_D;
+ end if;
+--
+ when SEND_BYTE_D =>
+ debug_int(7 downto 0) <= x"11";
+ scl_int <= '0';
+ sda_int <= byte_2_send(7);
+ if (bit_cnt = "001000" or bit_cnt = "010001" or bit_cnt = "011011") and en_FSM = '1' then
+ STATE_NEXT <= READ_ACK_A;
+ byte_2_send <= byte_2_send_mem(6 downto 0) & byte_2_send_mem(7);
+ en_bit_cnt <= '1';
+ en_shift_reg <= '1';
+ elsif en_FSM = '1' then
+ STATE_NEXT <= SEND_BYTE_A;
+ byte_2_send <= byte_2_send_mem(6 downto 0) & byte_2_send_mem(7);
+ en_bit_cnt <= '1';
+ en_shift_reg <= '1';
+ else
+ STATE_NEXT <= STATE_CURRENT;
+ end if;
+--READ_BYTE
+ when READ_BYTE_A =>
+ debug_int(7 downto 0) <= x"12";
+ scl_int <= '0';
+ bit_read <= SDA;
+ if en_FSM = '1' then
+ STATE_NEXT <= READ_BYTE_B;
+ end if;
+--
+ when READ_BYTE_B =>
+ debug_int(7 downto 0) <= x"13";
+ scl_int <= '1';
+ bit_read <= SDA;
+ if en_FSM = '1' then
+ STATE_NEXT <= READ_BYTE_C;
+ end if;
+--
+ when READ_BYTE_C =>
+ debug_int(7 downto 0) <= x"14";
+ scl_int <= '1';
+ bit_read <= SDA;
+ if en_FSM = '1' then
+ STATE_NEXT <= READ_BYTE_D;
+ end if;
+--
+ when READ_BYTE_D =>
+ debug_int(7 downto 0) <= x"15";
+ scl_int <= '0';
+ bit_read <= SDA;
+ if (bit_cnt = "100100" or bit_cnt = "101100") and en_FSM = '1' then
+ STATE_NEXT <= SEND_ACK_A;
+ en_bit_cnt <= '1';
+ en_shift_reg <= '1';
+ elsif en_FSM = '1' then
+ STATE_NEXT <= READ_BYTE_A;
+ en_bit_cnt <= '1';
+ en_shift_reg <= '1';
+ else
+ STATE_NEXT <= STATE_CURRENT;
+ end if;
+--SEND_ACK
+ when SEND_ACK_A =>
+ debug_int(7 downto 0) <= x"16";
+ scl_int <= '0';
+ if bit_cnt = "101101" then
+ sda_int <= '1';
+ elsif bit_cnt = "100101" then
+ sda_int <= '0';
+ else
+ sda_int <= 'X';
+ end if;
+ if en_FSM = '1' then
+ STATE_NEXT <= SEND_ACK_B;
+ end if;
+--
+ when SEND_ACK_B =>
+ debug_int(7 downto 0) <= x"17";
+ scl_int <= '1';
+ if bit_cnt = "101101" then
+ sda_int <= '1';
+ elsif bit_cnt = "100101" then
+ sda_int <= '0';
+ else
+ sda_int <= 'X';
+ end if;
+ if en_FSM = '1' then
+ STATE_NEXT <= SEND_ACK_C;
+ end if;
+--
+ when SEND_ACK_C =>
+ debug_int(7 downto 0) <= x"18";
+ scl_int <= '1';
+ if bit_cnt = "101101" then
+ sda_int <= '1';
+ elsif bit_cnt = "100101" then
+ sda_int <= '0';
+ else
+ sda_int <= 'X';
+ end if;
+ if en_FSM = '1' then
+ STATE_NEXT <= SEND_ACK_D;
+ end if;
+--
+ when SEND_ACK_D =>
+ debug_int(7 downto 0) <= x"19";
+ scl_int <= '0';
+ if bit_cnt = "101101" and en_FSM = '1' and pulse_cnt = '0' then
+ sda_int <= '1';
+ STATE_NEXT <= STOP_A;
+ temp_data_out_int <= byte_2_read;
+ elsif bit_cnt = "101101" and en_FSM = '1' and pulse_cnt = '1' then
+ sda_int <= '1';
+ STATE_NEXT <= STOP_A;
+ opt_data_out_int <= byte_2_read;
+ elsif bit_cnt = "100101" and en_FSM = '1' then
+ sda_int <= '0';
+ STATE_NEXT <= READ_BYTE_A;
+ elsif en_FSM = '0' then
+ sda_int <= sda_int_mem;
+ STATE_NEXT <= STATE_CURRENT;
+ else
+ sda_int <= 'X';
+ STATE_NEXT <= IDLE;
+ end if;
+--READ_ACK
+ when READ_ACK_A =>
+ debug_int(7 downto 0) <= x"1A";
+ scl_int <= '0';
+ if en_FSM = '1' then
+ STATE_NEXT <= READ_ACK_B;
+ end if;
+--
+ when READ_ACK_B =>
+ debug_int(7 downto 0) <= x"1B";
+ scl_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= READ_ACK_C;
+ end if;
+--
+ when READ_ACK_C =>
+ debug_int(7 downto 0) <= x"1C";
+ scl_int <= '1';
+ if en_FSM = '1' then
+ STATE_NEXT <= READ_ACK_D;
+ end if;
+--
+ when READ_ACK_D =>
+ debug_int(7 downto 0) <= x"1D";
+ scl_int <= '0';
+ if bit_cnt = "001001" and en_FSM = '1' and pulse_cnt = '0' then
+ STATE_NEXT <= SEND_BYTE_A;
+ byte_2_send <= temp_start_add;
+ en_bit_cnt <= '1';
+ elsif bit_cnt = "001001" and en_FSM = '1' and pulse_cnt = '1' then
+ STATE_NEXT <= SEND_BYTE_A;
+ byte_2_send <= opt_pwr_start_add;
+ en_bit_cnt <= '1';
+ elsif bit_cnt = "010010" and en_FSM = '1' then
+ STATE_NEXT <= START_A_1;
+ en_bit_cnt <= '1';
+ elsif bit_cnt = "011100" and en_FSM = '1' then
+ STATE_NEXT <= READ_BYTE_A;
+ en_bit_cnt <= '1';
+ elsif en_FSM = '1' then
+ STATE_NEXT <= STOP_A;
+ en_bit_cnt <= '1';
+ elsif en_FSM = '0' then
+ STATE_NEXT <= STATE_CURRENT;
+ else
+ STATE_NEXT <= IDLE;
+ end if;
+--OTHERS
+ when others =>
+ debug_int(7 downto 0) <= x"1E";
+ scl_int <= '1';
+ sda_int <= '1';
+ byte_2_send <= X"00";
+ STATE_NEXT <= IDLE;
+
+ end case;
+end process State_Decoder;
+-------------------------------------------------------------------------------
+
+end behavioral;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+use work.trb_net16_hub_func.all;
+use ieee.std_logic_arith.all;
+
+entity sfp_simple is
+
+ generic (
+
+ )
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+
+ sfp_simple_STASUS_0UT_0 : out std_logic_vector(31 downto 0);
+ sfp_simple_CTRL_IN_0 : in std_logic_vector(31 downto 0);
+ );
+end sfp_simple;
+
+architecture sfp_simple of sfp_simple is
+
+begin
+ start
+ 10100010
+ ack
+ 01100000
+ ack
+ start
+ 10100011
+ ack
+ recive byte <= 'z'
+ ack
+
+ recive byte <= 'z'
+ we send '1'
+ stop
+
+end sfp_simple;
+
--- /dev/null
+----------------------------------------------------------------------------------
+-- Company: GSI
+-- Engineer: Davide Leoni,M.Palka
+--
+-- Create Date: 17:03:24 03/27/2007 , Modified 31.03.2010
+-- Design Name: vulom3
+-- Module Name: eco_delay - Behavioral
+-- Project Name: triggerbox
+-- Target Devices: XC4VLX25-10SF363
+-- Tool versions:
+-- Description: Fixed delayer with fixed output pulse shaper
+--
+----------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity shape_delay is
+ generic (
+ LENGTH : natural;
+ DELAY : natural
+ );
+ port (
+ CLK : in std_logic;
+ SIGNAL_IN : in std_logic;
+ SIGNAL_OUT : out std_logic);
+end shape_delay;
+
+architecture shape_delay of shape_delay is
+signal chain : std_logic_vector(47 downto 0);
+signal internal : std_logic;
+signal signal_out_s : std_logic:='0';
+
+begin
+
+ process (CLK)
+ begin
+ if rising_edge(CLK) then
+ internal <= SIGNAL_IN;
+ SIGNAL_OUT <= signal_out_s;
+ chain <= (chain (46 downto 0) & (not internal and signal_in));
+ if (chain(DELAY) = '1') then
+ signal_out_s <= '1';
+ elsif (chain(DELAY) = '0' and chain(DELAY+LENGTH) ='1') then
+ signal_out_s <= '0';
+ end if;
+ end if;
+ end process;
+end shape_delay;
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$53e\7f4g<,[o}e~g`n;"2*413&;$>"9 > %13?*nhel%fm\7fkd/robhiYaaoe$x`~ _be,whgkd<;9[b|ks/ldk4=712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123457<9:1:"=?;;079MKVR\3KOH_O31583:42<9<0BB][[:@FGVG:6<3:5=6<;;320444<;;0BB][[:EKB867=87;97><5IORVP?BNJ59:6=0>2:11>LHW]]0oec2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>115906?IR\Y__6iazt=12>5863=;:794FNQWW>AOWI591<3?>;58JJUSS2MC[N1=50?33?1<NFY__6ZKN<283:46<<3CE\XZ5WD@?7?699;1?6B[[PTV9@JVF4:0;2<<44;MVPUSS2ME[N1=50?680C2Dn2>}`u:?fg320=+68;1=99595222?=7<1=12<=7>e:;9MKVR\3zycjQjmqvz[qnumzb747>1249:>LHW]]0{~biPelrw}ZrozlycSl}|esv?<?69:<126D@_UU8svjaXmdz\7fuRzgrdqk[kc`i}o747>11e9:>LHW]]0{~biPftno[qnumzb747>1259:>LHW]]0{~biPftno[qnumzbTm~}jru>;>585<231EC^ZT;rqkbYa}efTxe|jsi]mabgsm521<3?7;88LQQVR\3njxlQlotlw8=<768h057AZTQWW>wbXlh~jSnaznu>;>586j231CXZ_UU8tvZbf|hUhcx`{<983:7=>I;1J>55NDEPB858>3HNO^L2>0?;8EABUI5;:245NDEPB844912KOH_O312<a?DBCZH6:87>19:CG@WG;9=437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF41437LJKR@>::==FLMXI0=06;@FGVG:68730MIJ]B=32:<=FLMXI0<<19:CG@WD;9:4i7LJKRC>20?6912KOH_L315<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK62245NSXL@[WC@:2HJ?6LZ299AQVYNFOE:7No4C@Q@EACNFHk0OL]LAEGJJGd<KHYHMIK@UUCa?FGTKHNNCXZM2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JI2:FJ2>BNI5:5;6JFA=33:2=CAH6:=394DHC?57803MCJ0<=17:FJE9736>1OEL2>5?58@LG;9?4<7IGN<05=3>BNI5;32:5KI@>2=;0<L@K7=394DHC?65803MCJ0??17:FJE9456>1OEL2=3?58@LG;:=4<7IGN<37=3>BNI58=2:5KI@>13;1<L@K7>508;EKB87?9>2NBM1<17:FJE957601OEL2<1;2=3>BNI59:2;5KI@>0:3=CAH6?2;5KI@>6:3=CAH6=2;5KI@>4:3=CAH632;5KI@>::3=CAK6;2:5KIC>24;1<L@H7=<08;EKA8449?2NBN1?<>69GMG:6<7=0HDL314<4?AOE48<5;6JFB=34:2=CAK6:4394DH@?5<813MCI0<08;EKA8769?2NBN1<>>69GMG:5:7=0HDL322<4?AOE4;>5;6JFB=06:2=CAK69:394DH@?62803MCI0?617:FJF94>6?1OEO2=>69GMG:48730HDL33083:2=CAK68=384DH@?7;0<L@H78384DH@?1;0<L@H7:384DH@?3;0<L@H74384DH@?=;1<L@ZJ0=08;EKSE979?2NB\L2=>89GMUG;;3:5;6JFP@>0:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=2>BHI5:5;6J@A=33:2=CGH6:=394DNC?57803MEJ0<=17:FLE9736>1OCL2>5?58@JG;9?4<7IAN<05=3>BHI5;32:5KO@>2=;0<LFK7=394DNC?65803MEJ0??17:FLE9456>1OCL2=3?58@JG;:=4<7IAN<37=3>BHI58=2:5KO@>13;1<LFK7>508;EMB87?9>2NDM1<17:FLE957601OCL2<1;2=3>BHI59:2;5KO@>0:3=CGH6?2;5KO@>6:3=CGH6=2;5KO@>4:3=CGH632;5KO@>::2=CGHUYIJ84DN@?4;1<LFH7==08;EMA8479?2NDN1?=>69GKG:6;7=0HBL315<4?AIE48?5;6J@B=35:2=CGK6:;394DN@?5=803MEI0<716:FLF979?2NDN1<?>69GKG:597=0HBL323<4?AIE4;95;6J@B=07:2=CGK699394DN@?63803MEI0?917:FLF94?6>1OCO2=9?48@JD;:7=0HBL331<:?AIE4:;1<394DN@?74813MEI0>09;EMA81813MEI0809;EMA83813MEI0:09;EMA8=813MEI0408;EMA[WC@?2ND\L2?>69GKUG;97=0HB^N<3<:?AIWI591<394DNRB86803ME[N1>17:FLTG:66>1OC]L32?;8@JVE4:0;2:5KOQ@?7;2<MHI;?6KAA29FJG3<NHFXI?5ID59E@FC43ON[86HKPD78Bdjtm81L?6IAD39J47=N9;1B>?5F399JJLRWW9:37D@FTQ]35==NF@^[S=<7;HLJPUY7;11BBDZ__16;?LHN\YU;955FNHVS[50?3@DBX]Q?789JJLRT\H^N;6GAIU]342=NF@^T<<94IOKW[5403@DBXR><7:KMMQY7<>1BBDZP0458MKOSW9<<7D@FT^243>OIA]U;4:5FNHV\4<1<AGC_S=O8;HLJPZ6E?2CEEYQ?C69JJLRX8M=0ECG[_1G4?LHN\V:M;6GAIU]242=NF@^T=<94IOKW[4403@DBXR?<7:KMMQY6<>1BBDZP1458MKOSW8<<7D@FT^343>OIA]U:4:5FNHV\5<1<AGC_S<O8;HLJPZ7E?2CEEYQ>C69JJLRX9M=0ECG[_0G4?LHN\V;M;6GAIU]142=NF@^T><94IOKW[7403@DBXR<<7:KMMQY5<>1BBDZP2458MKOSW;<<7D@FT^043>OIA]U94:5FNHV\6<1<AGC_S?O8;HLJPZ4E?2CEEYQ=C69JJLRX:M=0ECG[_3G4?LHN\V8M;6GAIU]042=NF@^T?<94IOKW[6403@DBXR=<7:KMMQY4<>1BBDZP3458MKOSW:<<7D@FT^143>OIA]U84:5FNHV\7<1<AGC_S>O8;HLJPZ5E?2CEEYQ<C69JJLRX;M=0ECG[_2G4?LHN\V9M:6GAIU]B2>OIA]UI56GAIU]EMIC6:2CEZH\[_BNH[AIFW[OL=?5FNWGQPZEKCVNDNR\JG29JKG4<D@80@B84LNCGAA1<DFMBOLB;;MWW51=K]]8=7A[[2^N7?ISS;?1GYY=PL59OQQ223DYLCC;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n29M440<F9Ubb{=4N027?K77:=1E===;;O3301=I99??7C??659M55133G;;495A11;0?K76<2D:==:4N0320>H69;>0B<?<4:L2512<F8;>86@>1768J470<2D:=5:4N03:7>H6:=1E=?>;;O3151=I9;8?7C?=359M57233G;9995A1347?K75?=1E=?6;;O31=6=I9:>0B<=?4:L2742<F89986@>3268J453<2D:?8:4N0150>H6;>>0B<=74:L27<5<F8>?7C?;059M51733G;?>95A1517?K73<:1E=8=4N040?K70;2D:4>5A1808J75<F;:87C<>3:L166=I::90B?:<;O067>H5>:1E>:=4N3:0?K4>;2D8<>5A3018J6443G98?6@<429M705<F:<87C=82:L56>H0:2D3>6@63:L:76=I1<90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB;2ZYI<5^6:PBIG71n2XJARKWTDLPMJHXIo1YM@QJXUGMWLIIWKi0^HOK_VKGPMYFk2XNMIQXIEVK[G0<ZLMHIL84RDE@AG7<[11XM[KKAUC27>UNEVID@AG\INL\GMCDC?1XCX\LE69PVSGKWH=0__XNL^@7?VUUI=1X__L;;U[SA7e<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(Eje~byQlotlw6a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov26a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov16a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov06a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov76a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov66a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov56a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov46a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov;6a=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov:77=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\g|:76:80Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7=3==;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRmv<3<06>S7'nxm"\7fh gm2-va)`zzy%kim!db-gg*Kdg|d\7fSnaznu]`}959;;1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXkp6?2><4U1-dvc(un&mg<#|k/fppw+ack'nh#im MbmvjqYdg|d\7fSnw35?11?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8384:2_;#j|i.sd,ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq5=5?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz30?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^nvp979;:1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXd|~7>3=<;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRbzt=1=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\hpr;<7987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vf~x1;1329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPltv?2;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZjr|5=5?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz38?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^zlv969;:1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXpfx7=3=<;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/LalqkrXkf\7fexRv`r=0=76=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)Jkf\7fexRm`uov\|jt;;7987X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#@m`uov\gjsi|Vrd~1:1329V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?1;543\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'DidyczPcnwmpZ~hz5<5?>5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|37?10?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^zlv9>9:>1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%hcx`{_104?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+firf}U:>:5Z0.eqb+ta'nf;"\7fj gsqp*bbd&mi$hn!lotlw[7403\:$k\7fh!rg-dh5(ul&my\7f~ hdb,gg*bd'je~byQ<269V4*aun'xm#jb?.sf,cwut&nnh"im db-`kphsW=8<7X> gsd-vc)`d9$yh"i}sr,d`f(ck&nh#naznu]662=R8&myj#|i/fn3*wb(o{yx"jjl.ea,`f)dg|d\7fS;<8;T2,cw`)zo%l`= }d.eqwv(`lj$oo"jl/bmvjqY0:>1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%hcx`{_90g?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+firf}Uecy>?000f?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+firf}Uecy>?0031=>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,gdtuqgo\7f0=0=9:W3+bta&{l$ka>!re-dvvu)zz~x#n? c`pq}kcs484956[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$ol|}yogw878512_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(khxyuck{<2<1b>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,chs\7f&ngP<P hm0,n57`<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(od\7fs"jcT2\,div(j{;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$k`{w.foX0X(`ez$f\7f?=4U1-dvc(un&mg<#|k/fppw+tt|z%h="x><1<10>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:7688=7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1>11^317>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,r4:66;>0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:0<0>279V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/w3?5;7X9;90Y=!hrg,qb*ak8'xo#j||s/pppv)d9&|:0?0=4:W3+bta&{l$ka>!re-dvvu)zz~x#n? v0>1:4413\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)q9585=R?=3:W3+bta&{l$ka>!re-dvvu)zz~x#n? v0>0:72<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*p64:4:>;5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#{?33?3\575<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*p64=4986[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2;>005?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-u59299V;956[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$ol|}yogw858512_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(khxyuck{<0<1=>S7'nxm"\7fh gm2-va)`zzy%~~z|/b0,gdtuqgo\7f0?0=9:W3+bta&{l$ka>!re-dvvu)zz~x#n< c`pq}kcs4:49j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#|k/fppw+tt|z%h>"ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!re-dvvu)zz~x#n< glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.enq}(`eR9V"jc|.lq1b>S7'nxm"\7fh gm2-va)`zzy%~~z|/b0,chs\7f&ngP8P hmr,nw75<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p64949?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<2>>318Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.t28785;2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(~8682?=4U1-dvc(un&mg<#|k/fppw+tt|z%h>"x><5<1f>S7'nxm"\7fh gm2-va)`zzy%~~z|/b0,r4Y7Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.t2[4Yffm:;<=?=b:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0]1[dhc89:;=?l4U1-dvc(un&mg<#|k/fppw+tt|z%h>"x>_2]bja6789;9n6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<Q;_omw45679;:0Y=!hrg,qb*ak8'xo#j||s/pppv)dgdz;>=5Z0.eqb+ta'nf;"\7fj gsqp*wus{&ida}?=9:W3+bta&{l$ka>!re-dvvu)zz~x#\7fob_dosp|YajVc8=6[?/fpe*w`(oe:%~i!hrrq-vvrt'{kfShc\7ftx]efZoXe|r;<=><0:W3+bta&{l$ka>!re-dvvu)zz~x#\7fob_dosp|YajVcTc\7f>?0117?P6(o{l%~k!hl1,q`*au{z$y\7fy} r`o\ahvsqVliSdQ`r1234ZUP8;<0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_h3g?P6(o{l%~k!hl1,q`*tfeVxoSh`Pi000?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by?=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp7433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f??:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov761=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}?986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at707?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz7289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}969W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8485k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<0<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw32?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7>3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp682?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:46Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}929:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=6=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<4<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir080Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7:3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;>7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:06;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>4:Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:36;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?1;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<7<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{632?j4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;07Uyx<h4U1-dvc(un&mg<#|k/srmpw)dg|d\7f0=0>f:W3+bta&{l$ka>!re-qtkru'je~by2>>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<3<2b>S7'nxm"\7fh gm2-va)uxg~y#naznu>0:4`<]9%l~k }f.eo4+tc'{zex\7f!lotlw8186n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:268l0Y=!hrg,qb*ak8'xo#\7f~ats-`kphs4?4:j6[?/fpe*w`(oe:%~i!}povq+firf}6<2<h4U1-dvc(un&mg<#|k/srmpw)dg|d\7f050>e:W3+bta&{l$ka>!re-qtkru'je~byQ?1d9V4*aun'xm#jb?.sf,vuhsz&idyczP10g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_33f?P6(o{l%~k!hl1,q`*twf}x$ob{at^12a>S7'nxm"\7fh gm2-va)uxg~y#naznu]75`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\14c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[37b3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ16m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY?:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86;2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?31?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28785:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9595>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2;>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?1;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64?49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=191239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>;:77<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7X8;;0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;T=??4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?P2338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\777<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7X<;;0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;T9??4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?P6338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\377<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7X0;30Y=!hrg,qb*ak8'}y#jy|s/uppv)d8&ij~\7fwaeu>3:7?<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`4*efz{seiy2>>3;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l0.abvw\7fim}692?74U1-dvc(un&mg<#y}/fupw+qt|z%h<"mnrs{maq:46;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d8&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$k`{w.foX5X(`ez$f\7f?h4U1-dvc(un&mg<#y}/fupw+qt|z%h<"ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l0.enq}(`eR>V"jc|.lq1=>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,gdtuqgo\7f0=0=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? c`pq}kcs484956[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$ol|}yogw878512_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(khxyuck{<2<1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,chs\7f&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(od\7fs"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$k`{w.foX0X(`ez$f\7f?=4U1-dvc(un&mg<#y}/fupw+qt|z%h="x><1<17>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,r4:66;90Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:0?0=3:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0>0:75<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p64=49n6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$z<Q?_`lg45679;h0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:S<Qnne234575j2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~8U9Sl`k012357d<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p6W:Ujbi>?0131f>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,r4Y3Wge\7f<=>?1328Q5)`zo$yj"ic0/uq+bqt{'}xx~!lolr365=R8&myj#|i/fn3*rt(o~yx"z}{s.aliu7502_;#j|i.sd,ci6)\7f{%l{~}!wrvp+wgjWo\7fg`Rm`mc0b?P6(o{l%~k!hl1,tv*ap{z$|\7fy} r`o\bpjkWjefn<;=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#\7fob_gwohZehek89m6[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSk{cl^alig43:01^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVl~`aQlol`:6==R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUmnRg=5:W3+bta&{l$ka>!ws-dsvu)\7fz~x#\7fob_gwohZo5<2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+rtXzz~Th<?k;T2,cw`)zo%l`= xr.pbiZquWldTe<<<;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw61=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf};986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at307?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs;;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz;259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq35<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex;<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw372<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~3>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}969:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=[wr512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<0<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7>3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;:7Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:46;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=6=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1:1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir080=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;>78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?2;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>4:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot28>^pw6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f2?>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7=3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4;49m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv959:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>7:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|35?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0;0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5=5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:?6;n0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?<;Yu|8l0Y=!hrg,qb*ak8'}y#z~ats-`kphs494:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}6:2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0?0>f:W3+bta&{l$ka>!ws-ttkru'je~by2<>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<5<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>6:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8386n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:068l0Y=!hrg,qb*ak8'}y#z~ats-`kphs414:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U;=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T=<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS??j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR=>e:W3+bta&{l$ka>!ws-ttkru'je~byQ;1d9V4*aun'xm#jb?.vp,suhsz&idyczP50g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_73f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^52a>S7'nxm"\7fh gm2-sw)pxg~y#naznu];67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7=3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><3<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86?2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?35?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28385:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc95=5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<27>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\477<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T>??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P3338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\077<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X=;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T:??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P7338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\<20<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX4X(uid$y#{:Pnnkmmq(uid%XH] \IOEOAZVUAD$XH]?m;T2,cw`)zo%fi`!{mq]qehYulVoe=n5Z0.eqb+ta'dof#yc\7f_scn[wbXmg;:?6[?/fpe*w`(zhgT{\7fQjn0f8Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f265=R8&myj#|i/sqwfim(zmUy\7fyQnssgd[a46k2_;#j|i.sd,vvredb%yhR||t^alig7c3\:$k\7fh!rg-qwqdkc&xoS\7f}{_bmnf47b3\:$k\7fh!rg-qwqdkc&xoS\7f}{_sgd8586m2_;#j|i.sd,vvredb%yhR||t^pfc9499m1^<"i}f/pe+wusjea$~iQ}su]qabY79m1^<"i}f/pe+wusjea$~iQ}su]qabY59m1^<"i}f/pe+wusjea${\7fQ}su]bwwc`:91^<"i}f/pe+wusjea${\7fQ}su]bwwc`Wm;9<6[?/fpe*w`(zz~i`f!xr^pppZgtzlmTh??l;T2,cw`)zo%y\7fylck.uq[wusWjefn<j4U1-dvc(un&xxxobd/vp\vvrXkfgi=<k4U1-dvc(un&xxxobd/vp\vvrXzlm7=3?k;T2,cw`)zo%y\7fylck.uq[wusW{olS<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\Fa=PZ@^NSZGKTI]B`>QUA]OT[DJ[H^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO79[`gYNlo1SheQ_rhoUawungg;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oy\7fdaac:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mk\7fmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkf\7fex%8&d:fbpdYdg|d\7f$:'k;ecweZeh}g~#4$j4d`vb[firf}6;2i5kauc\gjsi|5;5h6jnt`]`kphs4;4o7io{a^alqkr;;7n0hlzn_bmvjq:36m1omyoPcnwmp939l2njxlQlotlw838c3mk\7fmRm`uov?3;`<lh~jSnaznu>;>58c3mk\7fmRm`uov?<;1<lkc\7fi\7f|9;ekm,5/13mce$<'8;ekm,46.?2nbb%?>)69gmk.6: =0hd`'12+4?aoi 8>";6jfn)36-2=cag"::$94dhl+52/03mce$<6&7:fjj-7>!?1oec&=)69gmk.58 =0hd`'20+4?aoi ;8";6jfn)00-2=cag"98$94dhl+60/03mce$?8&7:fjj-40!>1oec&=8(58`lh/:0#=7iga(2+4?aoi ::";6jfn)12-3=cag"?%;5kio*6-3=cag"=%;5kio*4-3=cag"3%;5kio*:-3=cag6;2:5kio>24;1<l`d7=<08;ekm8449?2nbb1?<>69gmk:6<7=0hd`314<4?aoi48<5;6jfn=34:2=cag6:4394dhl?5<813mce0<08;ekm8769?2nbb1<>>69gmk:5:7=0hd`322<4?aoi4;>5;6jfn=06:2=cag69:394dhl?62803mce0?617:fjj94>6?1oec2=>69gmk:48730hd`33083:2=cag68=384dhl?7;0<l`d78384dhl?1;0<l`d7:384dhl?3;0<l`d74384dhl?=;1<lf\7f\7f$='8;emvp-7.02ndyy&>0(:8`jss 8;"46j`uu*26,><lf\7f\7f$<=&8:flqq.6< 20hb{{(07*<>bh}}"::$64dnww,41.02ndyy&>8(:8`jss 83";6j`uu*1-==cg|~#>='7;emvp-46!11ocxz'23+;?air|!88%55kotv+61/?3me~x%<:)99gkpr/:?#37iazt)04-==cg|~#>5'7;emvp-4>!>1ocxz'3(:8`jss ::"46j`uu*05,1<lf\7f\7f$9'8;emvp-3.?2ndyy&9)69gkpr/? =0hb{{(9+4?air|!3";6j`uu>3:==cg|~7==07;emvp976611ocxz313<;?air|5;8255kotv?518?3me~x1?:>99gkpr;9?437iazt=34:==cg|~7=507;emvp97>6>1ocxz31?:8`jss4;:546j`uu>15;><lf\7f\7f0?<18:flqq:5;720hb{{<36=<>bh}}699364dnww870902ndyy2=7?:8`jss4;2546j`uu>1=;1<lf\7f\7f0?07;emvp9576h1ocxz33083:==cg|~7?<08;emvp959?2ndyy2;>69gkpr;=7=0hb{{<7<4?air|5=5;6j`uu>;:2=cg|~75364eeke6kac=2of|yw<6:djbjY`mgoymya}_w6\0)&_aecet-M@RD"Dakcui}ey,<>!1/20[lv4<2l~`a94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq\7f6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-42<x{elShc\7ftx]wlwct`!8"=95\7frne\ahvsqV~c~h}g(2+20>vugnUna}zv_ujqavn/< ;?7}|`g^gntq\7fX|axn\7fe&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,713yxdkRkbpu{\pmtb{a636=0>b:rqkbYbey~rSyf}erj\evubz}";%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 8#:n6~}of]fiur~W}byi~fParqfvq.5!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,6/6j2zycjQjmqvz[qnumzbTm~}jru*7-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(4+2f>vugnUna}zv_ujqavnXizyn~y&9)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$:'>b:rqkbYbey~rSyf}erj\evubz}"3%<j4psmd[`kw|pU\7fd\7fk|h^cpw`ts410;2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb 9#:n6~}of]fiur~W}byi~fPndebp`.6!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,7/6j2zycjQjmqvz[qnumzbTbhintd*0-4d<x{elShc\7ftx]wlwct`Vdnklzj(5+2f>vugnUna}zv_ujqavnXflmjxh&:)0`8twi`Wlg{xtQ{hsgplZhboh~n$;'>b:rqkbYbey~rSyf}erj\j`af|l"<%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb 1#:h6~}of]fiur~W}byi~fPndebp`:?29427}|`g^dvhi743yxdkRhzlm]wlwct`!:"=>5\7frne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeU\7fd\7fk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=85\7frne\bpjkW}byi~f38;2=5d=wzfmTjxbc_ujqavnXizyn~y&?)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#=$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts ;#:m6~}of]eqijX|axn\7feQnsrgqp-5.9h1{~biPftno[qnumzbTm~}jru*7-4g<x{elSk{cl^vkv`uoWhyxi\7fz'5(3b?uthoVl~`aQ{hsgplZgt{lx\7f$;'>a:rqkbYa}efTxe|jsi]bwvcu|!="=l5\7frne\bpjkW}byi~fParqfvq.?!8i0|\7fah_gwohZrozlycSl}|esv?<?699h1{~biPftno[qnumzbTbhintd*3-4g<x{elSk{cl^vkv`uoWgolmyk'1(3b?uthoVl~`aQ{hsgplZhboh~n$?'>a:rqkbYa}efTxe|jsi]mabgsm!9"=l5\7frne\bpjkW}byi~fPndebp`.3!8k0|\7fah_gwohZrozlycSckhaug+1,7f3yxdkRhzlm]wlwct`Vdnklzj(7+2e>vugnUmyabPtipfwmYimnk\7fi%9&1`9svjaXn|fgSyf}erj\j`af|l"3%<m4psmd[cskdV~c~h}g_ogdeqc;03:5:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7\7fjPd`vb[firf}"9%<>4re]geqgXkf\7fex%=&119q`Zbf|hUhcx`{(5+24>tcWmk\7fmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d\7f$5'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>;>5823{nTic84re]qwq5<zz~<7~lftdpq`>ujief>=?Y`req1f>ujief>=?Y`req/bl`hWnoei\7fo{os]u0Z2+FFDN CAAE2`0?vkfde?:>Za}dr.emciXoldn~lz`r^t7[1*|kVbj\7fRgat^aoo86+kVbj\7fR}}su?3(fYulVlyi|3>,b]kevYulVnjxlQlotlw95*dWmceSzgkti?06)eX`hyT{\7fQkauc\gjsi|4:'oRhzlm]ehdatW{y\7fS{oc=0.`[lkwdlgnbyo{inl\p|vb59&hSx}j_doaaabblVxnk0>#c^uq[ctby4;'oR{|e^flqqYu{}7: nQznegqbiipWee|1="l_icp[jpbzofd{0>#c^rqaiiflVfjxh`ly<3/gZbh}}U|eizg=20/gZktofdTzlb21-a\vaYcmy~c18?=,b]kevYrfmoyjaax=1.`[mgtWhffc~zPftno95*dWakxS\7f}{=0.`[agsiVidyczPwhfwl8?+kVbj\7fRy}_`ah95*dW|ynShml=1.`[fijefdToaalk<3/gZquWhi`Saax=1.`[wbXxg~ySzgkti?:(fYr{lUm{kzPd`vb[firf}7; nQgar]bhhit|Vof|yw20-a\vaYci}kTob{at^uj`qn:1%iTc{k}fmmt[iip59&hSz|Ppovq[roc|a72 nQ\7frne\ahvsqV~r|h3?,b]tvZbf|hUhcx`{_vkgpm;>$jU{~hb`ae]qabu:8%iThb{{_sqw[sgk59&hSeo|_ecweZeh}g~6=!mPpsmd[cskdV~c~h}g_ogdeqcX~hf69=7#c^uq[acw|a7>=?"l_qplcZcjx}sTxe|jsi]mabgsmV|j`0=#c^rqkbYbey~rSyf}erj\evubz}U}ma3=,b]kevYqieco1="l_qplcZ`rdeU\7fd\7fk|h^cpw`tsW\7fkg18??,bmntjhbf}Uem`k2slcoh075_fxo\7f!mPv`nj`Zjh\7f4:'oR~}il]emciX|pzn18?=x24/gZvugnUmyabPtxrf95*dWdofc\7fwPtxrf94*z:>1xalbc500TkwbtWocmcRijndpbpjtX~=U?Su}{_068wwus<2~yyh74uoffvcjh\7f<1}magk6:uq[del>2}ySnbd119tvZbf|hUhcx`{(1+24>quWmk\7fmRm`uov+5,773~xThlzn_bmvjq.5!8:0{\7fQkauc\gjsi|!9"==5xr^fbpdYdg|d\7f$9'>0:uq[agsiVidycz'5(33?rtXlh~jSnaznu*5-46<\7f{UomyoPcnwmp-1.991|~Rjnt`]`kphs 1#:>6y}_ecweZeh}g~747>15:uq[`h13~xT~~zr@Ar4gf=GHq;1J7:51zQ6f?5e<3<1=><615695=1?;rd8=:4>;o12<?2<,:;>6>>i;|Q6=?5e<3<1=><615695=1?;2Y:944<b883>45518>?6<68859P1<<4j00;6<==9067>4>00?1o8=750;395~U2j39i8785120:512=91=3?6x[1b`94?7=93;;?v]:b;1a0?0=9:82=9:5195;7>"5no0:9l5Y30496~s6>:0:7x?94;28y!7ek3;27o:?9;290c<42=lpD?hk;[6g>6}683n1>84r$0f3>16>3-9:87=m6:k740<722c?=i4?::m0f2<722e8n84?::k05a<722c?<:4?::m0<f<72-;ii7=nb:l2fa<732e84o4?:%3aa?5fj2d:ni4>;:m0<<<72-;ii7=nb:l2fa<532e8454?:%3aa?5fj2d:ni4<;:m0<2<72-;ii7=nb:l2fa<332e84;4?:%3aa?5fj2d:ni4:;:m0<0<72-;ii7=nb:l2fa<132e8494?:%3aa?5fj2d:ni48;:m0<6<72-;ii7=nb:l2fa<?32e84?4?:%3aa?5fj2d:ni46;:m0<4<72-;ii7=nb:l2fa<f32e84=4?:%3aa?5fj2d:ni4m;:m03`<72-;ii7=nb:l2fa<d32e8;i4?:%3aa?5fj2d:ni4k;:m03f<72-;ii7=nb:l2fa<b32e8;o4?:%3aa?5fj2d:ni4i;:m03d<72-;ii7=nb:l2fa<6821d?:750;&2f`<4ik1e=oj51098k61?290/=ok53``8j4dc28807b=87;29 4db2:ki7c?md;30?>i4??0;6)?me;1bf>h6jm0:865`36794?"6jl08mo5a1cf950=<g:396=4+1cg97dd<f8ho6<84;n1:5?6=,8hn6>om;o3a`?7032e85=4?:%3aa?5fj2d:ni4>8:9l7=`=83.:nh4<ac9m5gb=9010c>6j:18'5gc=;hh0b<lk:0c8?j5?l3:1(<lj:2ca?k7el3;i76a<8`83>!7em39jn6`>be82g>=h;>l1<7*>bd80eg=i9kn1=i54o257>5<#9ko1?ll4n0`g>4c<3f9<?7>5$0`f>6ge3g;ih7?i;:k00g<72-;ii7=9a:l2fa<732c88l4?:%3aa?51i2d:ni4>;:k00=<72-;ii7=9a:l2fa<532c88:4?:%3aa?51i2d:ni4<;:k003<72-;ii7=9a:l2fa<332c8884?:%3aa?51i2d:ni4:;:k001<72-;ii7=9a:l2fa<132c88>4?:%3aa?51i2d:ni48;:k007<72-;ii7=9a:l2fa<?32c88<4?:%3aa?51i2d:ni46;:k005<72-;ii7=9a:l2fa<f32c8?k4?:%3aa?51i2d:ni4m;:k07a<72-;ii7=9a:l2fa<d32c8?n4?:%3aa?51i2d:ni4k;:k07g<72-;ii7=9a:l2fa<b32c8?l4?:%3aa?51i2d:ni4i;:k07<<72-;ii7=9a:l2fa<6821b?>650;&2f`<4>h1e=oj51098m650290/=ok537c8j4dc28807d=<6;29 4db2:<j7c?md;30?>o4;<0;6)?me;15e>h6jm0:865f32694?"6jl08:l5a1cf950=<a:?:6=4+1cg973g<f8ho6<84;h164?6=,8hn6>8n;o3a`?7032c88k4?:%3aa?51i2d:ni4>8:9j71c=83.:nh4<6`9m5gb=9010e>:k:18'5gc=;?k0b<lk:0c8?l53k3:1(<lj:24b?k7el3;i76g<4883>!7em39=m6`>be82g>=n;:o1<7*>bd802d=i9kn1=i54i210>5<#9ko1?;o4n0`g>4c<3`98>7>5$0`f>60f3g;ih7?i;:m063<72-;ii7==f:l2fa<732e8>84?:%3aa?55n2d:ni4>;:m061<72-;ii7==f:l2fa<532e8>>4?:%3aa?55n2d:ni4<;:m067<72-;ii7==f:l2fa<332e8><4?:%3aa?55n2d:ni4:;:m065<72-;ii7==f:l2fa<132e8=k4?:%3aa?55n2d:ni48;:m05`<72-;ii7==f:l2fa<?32h9jh4?:083>5}O:on0(<j?:3df?j7ej3:17pl>0283>4<729qC>kj4$0f3>4643f;;>7>5;|`7g?6=i00h<7??3zJ1ba=]<m0::v?i:31963<5838<6?<5118g>72=:<09=7<::35955<5>38;6i4=4;00>74=:80:j7s+1e2905e<,8?=6<>>;%77>16f3-?j69>m;%3a2?7ei2e8=44?::k743<722c8o94?:%3aa?5d=2d:ni4?;:k0g6<72-;ii7=l5:l2fa<632c8o?4?:%3aa?5d=2d:ni4=;:k0g4<72-;ii7=l5:l2fa<432c8o=4?:%3aa?5d=2d:ni4;;:k0fc<72-;ii7=l5:l2fa<232c8nh4?:%3aa?5d=2d:ni49;:k0fa<72-;ii7=l5:l2fa<032c8nn4?:%3aa?5d=2d:ni47;:m0`d<722c?<i4?::m755<722c8ii4?:%3aa?5bm2d:ni4?;:k0af<72-;ii7=je:l2fa<632c8io4?:%3aa?5bm2d:ni4=;:k0ad<72-;ii7=je:l2fa<432c8i44?:%3aa?5bm2d:ni4;;:k0a=<72-;ii7=je:l2fa<232c8i:4?:%3aa?5bm2d:ni49;:k0a3<72-;ii7=je:l2fa<032c8i84?:%3aa?5bm2d:ni47;:k740<722e8nl4?::m0fg<722c8=n4?::m747<72-;ii7:?4:l2fa<732e?<<4?:%3aa?27<2d:ni4>;:m745<72-;ii7:?4:l2fa<532e8jk4?:%3aa?27<2d:ni4<;:m0b`<72-;ii7:?4:l2fa<332e8ji4?:%3aa?27<2d:ni4:;:m0bf<72-;ii7:?4:l2fa<132e8jo4?:%3aa?27<2d:ni48;:m0bd<72-;ii7:?4:l2fa<?32c8oh4?:%3aa?5dn2d:ni4?;:k0ga<72-;ii7=lf:l2fa<632c8on4?:%3aa?5dn2d:ni4=;:k0gg<72-;ii7=lf:l2fa<432c8ol4?:%3aa?5dn2d:ni4;;:k0g<<72-;ii7=lf:l2fa<232c8o54?:%3aa?5dn2d:ni49;:k0g2<72-;ii7=lf:l2fa<032c8o;4?:%3aa?5dn2d:ni47;:k75a<722e?=o4?:%3aa?26k2d:ni4?;:m75d<72-;ii7:>c:l2fa<632e?=44?:%3aa?26k2d:ni4=;:m75=<72-;ii7:>c:l2fa<432e?=:4?:%3aa?26k2d:ni4;;:m753<72-;ii7:>c:l2fa<232e?=84?:%3aa?26k2d:ni49;:m751<72-;ii7:>c:l2fa<032e?=>4?:%3aa?26k2d:ni47;:m0f2<722e8n84?::k0a6<72-;ii7=j4:l2fa<732c8i?4?:%3aa?5b<2d:ni4>;:k0a4<72-;ii7=j4:l2fa<532c8i=4?:%3aa?5b<2d:ni4<;:k0`c<72-;ii7=j4:l2fa<332c8hh4?:%3aa?5b<2d:ni4:;:k0`a<72-;ii7=j4:l2fa<132c8hn4?:%3aa?5b<2d:ni48;:k0`g<72-;ii7=j4:l2fa<?32c?=?4?::k0f=<722c8=i4?::k75`<722e?<54?::m754<722e?<k4?::k742<722e84n4?:%3aa?5fj2d:ni4?;:m0<g<72-;ii7=nb:l2fa<632e8444?:%3aa?5fj2d:ni4=;:m0<=<72-;ii7=nb:l2fa<432e84:4?:%3aa?5fj2d:ni4;;:m0<3<72-;ii7=nb:l2fa<232e8484?:%3aa?5fj2d:ni49;:m0<1<72-;ii7=nb:l2fa<032e84>4?:%3aa?5fj2d:ni47;:m0<7<72-;ii7=nb:l2fa<>32e84<4?:%3aa?5fj2d:ni4n;:m0<5<72-;ii7=nb:l2fa<e32e8;h4?:%3aa?5fj2d:ni4l;:m03a<72-;ii7=nb:l2fa<c32e8;n4?:%3aa?5fj2d:ni4j;:m03g<72-;ii7=nb:l2fa<a32e8;l4?:%3aa?5fj2d:ni4>0:9l72?=83.:nh4<ac9m5gb=9810c>97:18'5gc=;hh0b<lk:008?j50?3:1(<lj:2ca?k7el3;876a<7783>!7em39jn6`>be820>=h;>?1<7*>bd80eg=i9kn1=854o2;1>5<#9ko1?ll4n0`g>40<3f92=7>5$0`f>6ge3g;ih7?8;:m0=5<72-;ii7=nb:l2fa<6021d?5h50;&2f`<4ik1e=oj51898k6>b290/=ok53``8j4dc28k07b=7d;29 4db2:ki7c?md;3a?>i40h0;6)?me;1bf>h6jm0:o65`36d94?"6jl08mo5a1cf95a=<g:=?6=4+1cg97dd<f8ho6<k4;n147?6=,8hn6>om;o3a`?7a32c88o4?:%3aa?51i2d:ni4?;:k00d<72-;ii7=9a:l2fa<632c8854?:%3aa?51i2d:ni4=;:k002<72-;ii7=9a:l2fa<432c88;4?:%3aa?51i2d:ni4;;:k000<72-;ii7=9a:l2fa<232c8894?:%3aa?51i2d:ni49;:k006<72-;ii7=9a:l2fa<032c88?4?:%3aa?51i2d:ni47;:k004<72-;ii7=9a:l2fa<>32c88=4?:%3aa?51i2d:ni4n;:k07c<72-;ii7=9a:l2fa<e32c8?i4?:%3aa?51i2d:ni4l;:k07f<72-;ii7=9a:l2fa<c32c8?o4?:%3aa?51i2d:ni4j;:k07d<72-;ii7=9a:l2fa<a32c8?44?:%3aa?51i2d:ni4>0:9j76>=83.:nh4<6`9m5gb=9810e>=8:18'5gc=;?k0b<lk:008?l54>3:1(<lj:24b?k7el3;876g<3483>!7em39=m6`>be820>=n;:>1<7*>bd802d=i9kn1=854i272>5<#9ko1?;o4n0`g>40<3`9><7>5$0`f>60f3g;ih7?8;:k00c<72-;ii7=9a:l2fa<6021b?9k50;&2f`<4>h1e=oj51898m62c290/=ok537c8j4dc28k07d=;c;29 4db2:<j7c?md;3a?>o4<00;6)?me;15e>h6jm0:o65f32g94?"6jl08:l5a1cf95a=<a:986=4+1cg973g<f8ho6<k4;h106?6=,8hn6>8n;o3a`?7a32e8=l4?::k05g<722c8j:4?:%3aa?5a02d:ni4?;:k0b3<72-;ii7=i8:l2fa<632c8j84?:%3aa?5a02d:ni4=;:k0b1<72-;ii7=i8:l2fa<432c8j>4?:%3aa?5a02d:ni4;;:k0b7<72-;ii7=i8:l2fa<232c8j<4?:%3aa?5a02d:ni49;:k0b5<72-;ii7=i8:l2fa<032c8ik4?:%3aa?5a02d:ni47;:m063<72-;ii7==f:l2fa<732e8>84?:%3aa?55n2d:ni4>;:m061<72-;ii7==f:l2fa<532e8>>4?:%3aa?55n2d:ni4<;:m067<72-;ii7==f:l2fa<332e8><4?:%3aa?55n2d:ni4:;:m065<72-;ii7==f:l2fa<132e8=k4?:%3aa?55n2d:ni48;:m05`<72-;ii7==f:l2fa<?32c8h54?:%3aa?5c12d:ni4?;:k0`2<72-;ii7=k9:l2fa<632c8h;4?:%3aa?5c12d:ni4=;:k0`0<72-;ii7=k9:l2fa<432c8h94?:%3aa?5c12d:ni4;;:k0`6<72-;ii7=k9:l2fa<232c8h?4?:%3aa?5c12d:ni49;:k0`4<72-;ii7=k9:l2fa<032c8h=4?:%3aa?5c12d:ni47;:m074<722c8j44?::`057<7280;6=u+1e29555<@:;:7E<id:m247<722wi?<=50;394?6|,8n;6?hj;I125>N5nm1d=ol50;9~f7cb29086=4?{%3g4?`c3A9:=6F=fe9K0d=#9?;18=k4$033>7=n<l0;66g:c;29?j7d83:17pl=f683>6<729q/=i>5fe9K747<@;lo7E:n;%355?27m2.:==4=;h6f>5<<a<i1<75`1b294?=zj;oo6=4<:183\7f!7c83lo7E=>1:J1ba=O<h1/=;?541g8 4772;1b8h4?::k6g?6=3f;h<7>5;|`1b0<72<0;6=u+1e29556<@:;:7E<id:J7e>"6>80?<h5+10296>o3m3:17d;<:188m0e=831b=oh50;9l5f6=831vn?h9:180>5<7s-;o<7hk;I125>N5nm1C8l5+173905c<,8;;6?5f4d83>>o2k3:17b?l0;29?xd5mj0;684?:1y'5a6=99:0D>?>;I0e`>N3i2.::<4;0d9'546=:2c?i7>5;h70>5<<a<i1<75f1cd94?=h9j:1<75rb3d7>5<3290;w)?k0;de?M5692B9ji5+10296>o3m3:17d;l:188m4da2900c<m?:188yg4a;3:187>50z&2`5<an2B8=<5G2gf8 4772;1b8h4?::k6g?6=3`;ij7>5;n3`4?6=3th9jo4?:583>5}#9m:1jk5G3038L7`c3-;:<7<4i5g94?=n=j0;66g>bg83>>i6k90;66sm23f94?2=83:p(<j?:gd8L6763A8mh6*>1186?l2b2900e8m50;9j5g`=831d=n>50;9~f756290?6=4?{%3g4?`a3A9:=6F=fe9'546==2c?i7>5;h7`>5<<a8hm6=44o0a3>5<<uk82j7>54;294~"6l90mj6F<109K6cb<,8;;685f4d83>>o2k3:17d?mf;29?j7d83:17pl=9d83>1<729q/=i>5fg9K747<@;lo7)?>0;08m1c=831b9n4?::k2fc<722e:o=4?::\7fa6<b=83>1<7>t$0f3>c`<@:;:7E<id:&255<53`>n6=44i4a94?=n9kl1<75`1b294?=zj8ni6=4;:183\7f!7c83;=>6F<109K6cb<a<?1<75f5d83>>o6k10;66a>b883>>{e9li1<7=50;2x 4b72:;;7E=>1:J1ba=n=<0;66g>5683>>i6j00;66sm1ea94?2=83:p(<j?:041?M5692B9ji5f5483>>o2m3:17d?l8;29?j7e13:17pl>ee83>6<729q/=i>53028L6763A8mh6g:5;29?l72?3:17b?m9;29?xd6lm0;694?:1y'5a6=9?80D>?>;I0e`>o2=3:17d;j:188m4e?2900c<l6:188yg7bm3:1?7>50z&2`5<4991C?<?4H3dg?l322900e<;8:188k4d>2900qo?ke;290?6=8r.:h=4>639K747<@;lo7d;::188m0c=831b=n650;9l5g?=831vn<ki:180>5<7s-;o<7=>0:J054=O:on0e8;50;9j501=831d=o750;9~f4ba290?6=4?{%3g4?71:2B8=<5G2gf8m03=831b9h4?::k2g=<722e:n44?::\7fa5c6=8391<7>t$0f3>6773A9:=6F=fe9j10<722c:9:4?::m2f<<722wi=h>50;694?6|,8n;6<8=;I125>N5nm1b984?::k6a?6=3`;h47>5;n3a=?6=3th:j<4?:283>5}#9m:1?<>4H232?M4al2c>97>5;h363?6=3f;i57>5;|`2a4<72=0;6=u+1e29534<@:;:7E<id:k61?6=3`?n6=44i0a;>5<<g8h26=44}c3e6?6=;3:1<v*>d18055=O;8;0D?hk;h76>5<<a8?<6=44o0`:>5<<uk;n>7>54;294~"6l90::?5G3038L7`c3`?>6=44i4g94?=n9j21<75`1c;94?=zj8l86=4<:183\7f!7c839:<6F<109K6cb<a<?1<75f14594?=h9k31<75rb0d7>5<4290;w)?k0;124>N4981C>kj4i4794?=n9<=1<75`1c;94?=zj8l>6=4::183\7f!7c83ln7E=>1:J1ba=#98:1>6g;e;29?l342900e8650;9j1f<722e:o=4?::\7fa5c0=83?1<7>t$0f3>cc<@:;:7E<id:&255<53`>n6=44i4194?=n=10;66g:c;29?j7d83:17pl>f683>0<729q/=i>5fd9K747<@;lo7)?>0;08m1c=831b9>4?::k6<?6=3`?h6=44o0a3>5<<uk;m47>55;294~"6l90mi6F<109K6cb<,8;;6?5f4d83>>o2;3:17d;7:188m0e=831d=n>50;9~f4`>290>6=4?{%3g4?`b3A9:=6F=fe9'546=:2c?i7>5;h70>5<<a<21<75f5b83>>i6k90;66sm1gc94?3=83:p(<j?:gg8L6763A8mh6*>1181?l2b2900e8=50;9j1=<722c>o7>5;n3`4?6=3th:jo4?:483>5}#9m:1jh5G3038L7`c3-;:<7<4i5g94?=n=:0;66g:8;29?l3d2900c<m?:188yg7ak3:197>50z&2`5<am2B8=<5G2gf8 4772;1b8h4?::k67?6=3`?36=44i4a94?=h9j:1<75rb0dg>5<2290;w)?k0;df?M5692B9ji5+10296>o3m3:17d;<:188m0>=831b9n4?::m2g5<722wi>=650;694?6|,8n;6<8=;I125>N5nm1b984?::k6a?6=3`;h47>5;n3a=?6=3th9<:4?:583>5}#9m:1=;<4H232?M4al2c>97>5;h7f>5<<a8i36=44o0`:>5<<uk8;:7>54;294~"6l90::?5G3038L7`c3`?>6=44i4g94?=n9j21<75`1c;94?=zj;:>6=4;:183\7f!7c83;=>6F<109K6cb<a<?1<75f5d83>>o6k10;66a>b883>>{e:9>1<7:50;2x 4b728<97E=>1:J1ba=n=<0;66g:e;29?l7d03:17b?m9;29?xd5:90;694?:1y'5a6=9?80D>?>;I0e`>o2=3:17d;j:188m4e?2900c<l6:188yg46n3:187>50z&2`5<6>;1C?<?4H3dg?l322900e8k50;9j5f>=831d=o750;9~f77b290?6=4?{%3g4?71:2B8=<5G2gf8m03=831b9h4?::k2g=<722e:n44?::\7fa64b=83>1<7>t$0f3>4053A9:=6F=fe9j10<722c>i7>5;h3`<?6=3f;i57>5;|`15f<72=0;6=u+1e29534<@:;:7E<id:k61?6=3`?n6=44i0a;>5<<g8h26=44}c0;6?6=<3:1<v*>d18227=O;8;0D?hk;h76>5<<a<o1<75f1b:94?=h9k31<75rb3:2>5<3290;w)?k0;356>N4981C>kj4i4794?=n=l0;66g>c983>>i6j00;66sm29294?2=83:p(<j?:041?M5692B9ji5f5483>>o2m3:17d?l8;29?j7e13:17pl=7g83>1<729q/=i>51708L6763A8mh6g:5;29?l3b2900e<m7:188k4d>2900qo<8e;290?6=8r.:h=4>639K747<@;lo7d;::188m0c=831b=n650;9l5g?=831vn?6m:187>5<7s-;o<7?92:J054=O:on0e8;50;9j1`<722c:o54?::m2f<<722wi>5o50;694?6|,8n;6<8=;I125>N5nm1b984?::k6a?6=3`;h47>5;n3a=?6=3th9444?:583>5}#9m:1=;<4H232?M4al2c>97>5;h7f>5<<a8i36=44o0`:>5<<uk8347>54;294~"6l90::?5G3038L7`c3`?>6=44i4g94?=n9j21<75`1c;94?=zj;2<6=4;:183\7f!7c83;=>6F<109K6cb<a<?1<75f5d83>>o6k10;66a>b883>>{e:?=1<7;50;2x 4b72oo0D>?>;I0e`>"699097d:j:188m05=831b954?::k6g?6=3f;h<7>5;|`123<72<0;6=u+1e29b`=O;8;0D?hk;%324?4<a=o1<75f5283>>o203:17d;l:188k4e72900qo<95;291?6=8r.:h=4ie:J054=O:on0(<??:39j0`<722c>?7>5;h7;>5<<a<i1<75`1b294?=zj;<?6=4::183\7f!7c83ln7E=>1:J1ba=#98:1>6g;e;29?l342900e8650;9j1f<722e:o=4?::\7fa635=83?1<7>t$0f3>cc<@:;:7E<id:&255<53`>n6=44i4194?=n=10;66g:c;29?j7d83:17pl=6383>0<729q/=i>5fd9K747<@;lo7)?>0;08m1c=831b9>4?::k6<?6=3`?h6=44o0a3>5<<uk8=<7>55;294~"6l90mi6F<109K6cb<,8;;6?5f4d83>>o2;3:17d;7:188m0e=831d=n>50;9~f73a290>6=4?{%3g4?7782B8=<5G2gf8 4772<1b8h4?::k67?6=3`?h6=44i0`e>5<<g8i;6=44}c055?6==3:1<v*>d18ea>N4981C>kj4$033>7=n<l0;66g:3;29?l3?2900e8m50;9l5f6=831vn?;;:180>5<7s-;o<7=>0:J054=O:on0e8;50;9j501=831d=o750;9~f73429086=4?{%3g4?5682B8=<5G2gf8m03=831b=8950;9l5g?=831vn?:n:187>5<7s-;o<7?92:J054=O:on0e8;50;9j1`<722c:o54?::m2f<<722wi>8<50;194?6|,8n;6>??;I125>N5nm1b984?::k212<722e:n44?::\7fa61>=83>1<7>t$0f3>4053A9:=6F=fe9j10<722c>i7>5;h3`<?6=3f;i57>5;|`114<72:0;6=u+1e29746<@:;:7E<id:k61?6=3`;>;7>5;n3a=?6=3th98;4?:583>5}#9m:1=;<4H232?M4al2c>97>5;h7f>5<<a8i36=44o0`:>5<<uk8><7>53;294~"6l908==5G3038L7`c3`?>6=44i074>5<<g8h26=44}c070?6=<3:1<v*>d18227=O;8;0D?hk;h76>5<<a<o1<75f1b:94?=h9k31<75rb36e>5<4290;w)?k0;124>N4981C>kj4i4794?=n9<=1<75`1c;94?=zj;>96=4;:183\7f!7c83;=>6F<109K6cb<a<?1<75f5d83>>o6k10;66a>b883>>{e:=o1<7=50;2x 4b72:;;7E=>1:J1ba=n=<0;66g>5683>>i6j00;66sm25294?2=83:p(<j?:041?M5692B9ji5f5483>>o2m3:17d?l8;29?j7e13:17pl=4e83>6<729q/=i>53028L6763A8mh6g:5;29?l72?3:17b?m9;29?xd5;l0;694?:1y'5a6=9?80D>?>;I0e`>o2=3:17d;j:188m4e?2900c<l6:188yg43k3:1?7>50z&2`5<4991C?<?4H3dg?l322900e<;8:188k4d>2900qo<<c;290?6=8r.:h=4>639K747<@;lo7d;::188m0c=831b=n650;9l5g?=831vn?96:186>5<7s-;o<7hj;I125>N5nm1/=<>52:k7a?6=3`?86=44i4:94?=n=j0;66a>c183>>{e:>21<7;50;2x 4b72oo0D>?>;I0e`>"699097d:j:188m05=831b954?::k6g?6=3f;h<7>5;|`132<72<0;6=u+1e29b`=O;8;0D?hk;%324?4<a=o1<75f5283>>o203:17d;l:188k4e72900qo<86;291?6=8r.:h=4ie:J054=O:on0(<??:39j0`<722c>?7>5;h7;>5<<a<i1<75`1b294?=zj;=>6=4::183\7f!7c83ln7E=>1:J1ba=#98:1>6g;e;29?l342900e8650;9j1f<722e:o=4?::\7fa622=83?1<7>t$0f3>cc<@:;:7E<id:&255<53`>n6=44i4194?=n=10;66g:c;29?j7d83:17pl=7283>0<729q/=i>5fd9K747<@;lo7)?>0;08m1c=831b9>4?::k6<?6=3`?h6=44o0a3>5<<uk8<>7>55;294~"6l90mi6F<109K6cb<,8;;6?5f4d83>>o2;3:17d;7:188m0e=831d=n>50;9~f716290>6=4?{%3g4?`b3A9:=6F=fe9'546=:2c?i7>5;h70>5<<a<21<75f5b83>>i6k90;66sm2e794?3=83:p(<j?:gg8L6763A8mh6*>1181?l2b2900e8=50;9j1=<722c>o7>5;n3`4?6=3th9h94?:483>5}#9m:1jh5G3038L7`c3-;:<7<4i5g94?=n=:0;66g:8;29?l3d2900c<m?:188yg4c;3:197>50z&2`5<am2B8=<5G2gf8 4772;1b8h4?::k67?6=3`?36=44i4a94?=h9j:1<75rb3f1>5<2290;w)?k0;df?M5692B9ji5+10296>o3m3:17d;<:188m0>=831b9n4?::m2g5<722wi>i?50;794?6|,8n;6kk4H232?M4al2.:==4=;h6f>5<<a<91<75f5983>>o2k3:17b?l0;29?xd5l90;684?:1y'5a6=nl1C?<?4H3dg?!768380e9k50;9j16<722c>47>5;h7`>5<<g8i;6=44}c0`a?6==3:1<v*>d18ea>N4981C>kj4$033>7=n<l0;66g:3;29?l3?2900e8m50;9l5f6=831vn?mk:186>5<7s-;o<7??0:J054=O:on0(<??:49j0`<722c>?7>5;h7`>5<<a8hm6=44o0a3>5<<uk8hj7>55;294~"6l90mi6F<109K6cb<,8;;6?5f4d83>>o2;3:17d;7:188m0e=831d=n>50;9~f7e529086=4?{%3g4?5682B8=<5G2gf8m03=831b=8950;9l5g?=831vn?m>:180>5<7s-;o<7=>0:J054=O:on0e8;50;9j501=831d=o750;9~f7d?290?6=4?{%3g4?71:2B8=<5G2gf8m03=831b9h4?::k2g=<722e:n44?::\7fa6f6=8391<7>t$0f3>6773A9:=6F=fe9j10<722c:9:4?::m2f<<722wi>o850;694?6|,8n;6<8=;I125>N5nm1b984?::k6a?6=3`;h47>5;n3a=?6=3th9nk4?:283>5}#9m:1?<>4H232?M4al2c>97>5;h363?6=3f;i57>5;|`1f1<72=0;6=u+1e29534<@:;:7E<id:k61?6=3`?n6=44i0a;>5<<g8h26=44}c0aa?6=;3:1<v*>d18055=O;8;0D?hk;h76>5<<a8?<6=44o0`:>5<<uk8i>7>54;294~"6l90::?5G3038L7`c3`?>6=44i4g94?=n9j21<75`1c;94?=zj;ho6=4<:183\7f!7c839:<6F<109K6cb<a<?1<75f14594?=h9k31<75rb3`3>5<3290;w)?k0;356>N4981C>kj4i4794?=n=l0;66g>c983>>i6j00;66sm2ca94?5=83:p(<j?:233?M5692B9ji5f5483>>o6=>0;66a>b883>>{e:ho1<7:50;2x 4b728<97E=>1:J1ba=n=<0;66g:e;29?l7d03:17b?m9;29?xd5jk0;6>4?:1y'5a6=;8:0D>?>;I0e`>o2=3:17d?:7;29?j7e13:17pl=ab83>1<729q/=i>51708L6763A8mh6g:5;29?l3b2900e<m7:188k4d>2900qo<ma;297?6=8r.:h=4<119K747<@;lo7d;::188m4302900c<l6:188yg4fi3:187>50z&2`5<6>;1C?<?4H3dg?l322900e8k50;9j5f>=831d=o750;9~f7c0290>6=4?{%3g4?`b3A9:=6F=fe9'546=:2c?i7>5;h70>5<<a<21<75f5b83>>i6k90;66sm2d494?3=83:p(<j?:gg8L6763A8mh6*>1181?l2b2900e8=50;9j1=<722c>o7>5;n3`4?6=3th9i84?:483>5}#9m:1jh5G3038L7`c3-;:<7<4i5g94?=n=:0;66g:8;29?l3d2900c<m?:188yg4b<3:197>50z&2`5<am2B8=<5G2gf8 4772;1b8h4?::k67?6=3`?36=44i4a94?=h9j:1<75rb3g0>5<2290;w)?k0;df?M5692B9ji5+10296>o3m3:17d;<:188m0>=831b9n4?::m2g5<722wi>h<50;794?6|,8n;6kk4H232?M4al2.:==4=;h6f>5<<a<91<75f5983>>o2k3:17b?l0;29?xd5m80;684?:1y'5a6=nl1C?<?4H3dg?!768380e9k50;9j16<722c>47>5;h7`>5<<g8i;6=44}c0f4?6==3:1<v*>d18ea>N4981C>kj4$033>7=n<l0;66g:3;29?l3?2900e8m50;9l5f6=831vn?ji:186>5<7s-;o<7hj;I125>N5nm1/=<>52:k7a?6=3`?86=44i4:94?=n=j0;66a>c183>>{e:o31<7=50;2x 4b728?h7E=>1:J1ba=#98:1=<5f11694?=n99?1<75`1c;94?=zj;l;6=4<:183\7f!7c83;>o6F<109K6cb<,8;;6<?4i027>5<<a8:>6=44o0`:>5<<uk8297>53;294~"6l90:9n5G3038L7`c3-;:<7?k;h330?6=3`;;97>5;n3a=?6=3th9>l4?:283>5}#9m:1=8m4H232?M4al2.:==4>d:k241<722c:<84?::m2f<<722wi>4:50;794?6|,8n;6<8?;I125>N5nm1/=<>51d9j552=831b==;50;9j550=831b==950;9l5g?=831vn?<6:186>5<7s-;o<7?90:J054=O:on0(<??:0g8m4632900e<>::188m4612900e<>8:188k4d>2900qo<63;291?6=8r.:h=4>619K747<@;lo7)?>0;3f?l77<3:17d??5;29?l77>3:17d??7;29?j7e13:17pl=2983>0<729q/=i>51728L6763A8mh6*>1182a>o68=0;66g>0483>>o68?0;66g>0683>>i6j00;66sm28094?3=83:p(<j?:043?M5692B9ji5+10295`=n99>1<75f11794?=n99<1<75f11594?=h9k31<75rb304>5<2290;w)?k0;354>N4981C>kj4$033>4c<a8:?6=44i026>5<<a8:=6=44i024>5<<g8h26=44}c0b4?6=;3:1<v*>d1821f=O;8;0D?hk;%324??<a8:?6=44i026>5<<g8h26=44}c0:5?6==3:1<v*>d18225=O;8;0D?hk;%324?7b3`;;87>5;h331?6=3`;;:7>5;h333?6=3f;i57>5;|`163<72<0;6=u+1e29536<@:;:7E<id:&255<6m2c:<94?::k240<722c:<;4?::k242<722e:n44?::\7fa640=8391<7>t$0f3>43d3A9:=6F=fe9'546=9m1b==:50;9j553=831d=o750;9~f774290>6=4?{%3g4?7182B8=<5G2gf8 47728o0e<>;:188m4622900e<>9:188m4602900c<l6:188yg4683:197>50z&2`5<6>91C?<?4H3dg?!7683;n7d??4;29?l77=3:17d??6;29?l77?3:17b?m9;29?xd58m0;684?:1y'5a6=9?:0D>?>;I0e`>"6990:i6g>0583>>o68<0;66g>0783>>o68>0;66a>b883>>{e:9k1<7;50;2x 4b728<;7E=>1:J1ba=#98:1=h5f11694?=n99?1<75f11494?=n99=1<75`1c;94?=zj;8m6=4::183\7f!7c83;=<6F<109K6cb<,8;;6<64i027>5<<a8:>6=44i025>5<<a8:<6=44o0`:>5<<uk8257>54;294~"6l90:9h5G3038L7`c3-;:<7<6;h330?6=3`;;97>5;h332?6=3f;i57>5;|`1=g<72<0;6=u+1e29536<@:;:7E<id:&255<a12c:<94?::k240<722c:<;4?::k242<722e:n44?::\7fa664=8391<7>t$0f3>43d3A9:=6F=fe9'546=12c:<94?::k240<722e:n44?::\7fa641=8391<7>t$0f3>43d3A9:=6F=fe9'546=9m1b==:50;9j553=831d=o750;9~f773290>6=4?{%3g4?7182B8=<5G2gf8 47728o0e<>;:188m4622900e<>9:188m4602900c<l6:188yg4693:197>50z&2`5<6>91C?<?4H3dg?!7683;n7d??4;29?l77=3:17d??6;29?l77?3:17b?m9;29?xd58l0;684?:1y'5a6=9?:0D>?>;I0e`>"6990:i6g>0583>>o68<0;66g>0783>>o68>0;66a>b883>>{e:9h1<7;50;2x 4b728<;7E=>1:J1ba=#98:1=h5f11694?=n99?1<75f11494?=n99=1<75`1c;94?=zj;>i6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb36:>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;><6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb366>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;>86=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb362>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;9m6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb31g>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;h26=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb3`4>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;h>6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb3`0>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;h:6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb3ce>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;ko6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb3ca>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj;?>6=4=:183\7f!7c83;>n6F<109K6cb<,8;;645f11694?=h9k31<75rb3a0>5<5290;w)?k0;36f>N4981C>kj4$033><=n99>1<75`1c;94?=zj8o86=4;:183\7f!7c83;>i6F<109K6cb<,8;;6<o4i027>5<<a8:>6=44i025>5<<g8h26=44}c3f0?6=<3:1<v*>d1821`=O;8;0D?hk;%324?4?3`;;87>5;h331?6=3`;;:7>5;n3a=?6=3th:i84?:583>5}#9m:1=8k4H232?M4al2.:==4=8:k241<722c:<84?::k243<722e:n44?::\7fa5`0=83>1<7>t$0f3>43b3A9:=6F=fe9'546=:11b==:50;9j553=831b==850;9l5g?=831vn<k8:187>5<7s-;o<7?:e:J054=O:on0(<??:3:8m4632900e<>::188m4612900c<l6:188yg7b03:187>50z&2`5<6=l1C?<?4H3dg?!7683837d??4;29?l77=3:17d??6;29?j7e13:17pl>e883>1<729q/=i>514g8L6763A8mh6*>1181<>o68=0;66g>0483>>o68?0;66a>b883>>{e9lk1<7:50;2x 4b728?n7E=>1:J1ba=#98:1>55f11694?=n99?1<75f11494?=h9k31<75rb3gb>5<3290;w)?k0;36a>N4981C>kj4$033>1d<a8:?6=44i026>5<<a8:=6=44o0`:>5<<uk;nn7>54;294~"6l90:9h5G3038L7`c3-;:<7<7;h330?6=3`;;97>5;h332?6=3f;i57>5;|`1=d<72<0;6=u+1e29536<@:;:7E<id:&255<f3`;;87>5;h331?6=3`;;:7>5;h333?6=3f;i57>5;|`2bc<72<0;6=u+1e29536<@:;:7E<id:&255<6j2c:<94?::k240<722c:<;4?::k242<722e:n44?::\7fa6`>=83i96=4?{%3g4?7d92B8=<5G2gf8^1b=kr=147l5c;31>45=9=0:97k5f;35>41=ugih6=5aed83?!729390(<;=:29'55>=<2.:<44;;%33e?2<,8:i695+11a90>"68m0?7)?l9;d`?!7dk390(<?>:59'544=<2.:=>4;;%320?2<,8;>695+10490>"69>0?7)?>8;68 47>2=1/=<o54:&25g<33-;:o7:4$03g>1=#98o186*>1g87?!7583>0(<<>:59'574=<2.:>>4;;%310?2<,88>695+13490>"6:>0?7)?=8;68 44>2=1/=?o54:&26g<33-;9o7:4$00g>1=#9;o186*>2g87?!7483>0(<=>:59'564=<2.:?>4;;%300?2<,89>695+12490>"6;>0?7)?<8;68 45>2=1/=>o54:&27g<33-;8o7:4$01g>1=#9:o186*>3g87?!7383>0(<:>:59'514=<2.:8>4;;%370?2<,8>>695+15490>"6<>0?7)?;8;68 42>2=1/=9o54:&20g<33-;?o7:4$06g>1=#9=o186*>4g87?!7283>0(<;<:0`;?!72<3lh7)?:5;d`?!7d:3;i46*>c282f==i9j>1>95a1b7961=#9jk1=o64$02f>1=#99l186*<0c804d=#;9i1?=o4n22g>72<f::n6?:4$0ag>6=n<o0;66g:0;29?l312900e8950;9jbd<722c:o;4?::k2g2<722cmn7>5;h3`a?6=3`;hj7>5;n72>5<<g<81<75f3183>!7em38m7c?md;28?l4b290/=ok52g9m5gb=921b>i4?:%3aa?4a3g;ih7<4;h0`>5<#9ko1>k5a1cf97>=n:k0;6)?me;0e?k7el3>07d=6:18'5gc=:o1e=oj55:9j7=<72-;ii7<i;o3a`?0<3`9<6=4+1cg96c=i9kn1;65f3783>!7em38m7c?md;:8?l52290/=ok52g9m5gb=121b?94?:%3aa?4a3g;ih7o4;h10>5<#9ko1>k5a1cf9f>=n;;0;6)?me;0e?k7el3i07d=>:18'5gc=:o1e=oj5d:9j6d<72-;ii7<i;o3a`?c<3`>;6=4+1cg97c=i9kn1<65f3d83>!7em39m7c?md;38?l5c290/=ok53g9m5gb=:21b?n4?:%3aa?5a3g;ih7=4;h1a>5<#9ko1?k5a1cf90>=n<00;6)?me;1e?k7el3?07d:7:18'5gc=;o1e=oj56:9j02<72-;ii7=i;o3a`?1<3`>=6=4+1cg97c=i9kn1465f4483>!7em39m7c?md;;8?l23290/=ok53g9m5gb=i21b8>4?:%3aa?5a3g;ih7l4;h61>5<#9ko1?k5a1cf9g>=n<80;6)?me;1e?k7el3n07d=n:18'5gc=;o1e=oj5e:9j3=<72-;ii798;o3a`?6<3`==6=4+1cg932=i9kn1=65f7583>!7em3=<7c?md;08?l14290/=ok5769m5gb=;21b;?4?:%3aa?103g;ih7:4;h52>5<#9ko1;:5a1cf91>=n?90;6)?me;54?k7el3<07d8i:18'5gc=?>1e=oj57:9j2`<72-;ii798;o3a`?><3`<o6=4+1cg932=i9kn1565f6b83>!7em3=<7c?md;c8?l0e290/=ok5769m5gb=j21b:44?:%3aa?103g;ih7m4;h4;>5<#9ko1;:5a1cf9`>=n>>0;6)?me;54?k7el3o07d89:18'5gc=?>1e=oj5f:9j20<72-;ii798;o3a`?7732c=87>5$0`f>21<f8ho6<?4;h40>5<#9ko1;:5a1cf957=<a?81<7*>bd843>h6jm0:?65f6083>!7em3=<7c?md;37?>o183:1(<lj:658j4dc28?07d9i:18'5gc=?>1e=oj51798m2c=83.:nh487:l2fa<6?21b;i4?:%3aa?103g;ih7?7;:k4g?6=,8hn6:94n0`g>4?<3`=i6=4+1cg932=i9kn1=l54i6c94?"6jl0<;6`>be82f>=n?00;6)?me;54?k7el3;h76g85;29 4db2>=0b<lk:0f8?l0f290/=ok5769m5gb=9l10e8h50;&2f`<0?2d:ni4>f:9j=<<72-;ii777;o3a`?6<3`3<6=4+1cg9===i9kn1=65f9483>!7em3337c?md;08?l?3290/=ok5999m5gb=;21b5>4?:%3aa???3g;ih7:4;h;1>5<#9ko1555a1cf91>=n180;6)?me;;;?k7el3<07d7?:18'5gc=111e=oj57:9j<c<72-;ii777;o3a`?><3`2n6=4+1cg9===i9kn1565f8e83>!7em3337c?md;c8?l>d290/=ok5999m5gb=j21b4l4?:%3aa???3g;ih7m4;h::>5<#9ko1555a1cf9`>=n010;6)?me;;;?k7el3o07d68:18'5gc=111e=oj5f:9j<3<72-;ii777;o3a`?7732c397>5$0`f><><f8ho6<?4;h:7>5<#9ko1555a1cf957=<a191<7*>bd8:<>h6jm0:?65f8383>!7em3337c?md;37?>o?93:1(<lj:8:8j4dc28?07do?:18'5gc=111e=oj51798m<`=83.:nh468:l2fa<6?21b5h4?:%3aa???3g;ih7?7;:k:`?6=,8hn6464n0`g>4?<3`3h6=4+1cg9===i9kn1=l54i8`94?"6jl0246`>be82f>=n1h0;6)?me;;;?k7el3;h76g66;29 4db2020b<lk:0f8?l>e290/=ok5999m5gb=9l10e5>50;&2f`<>02d:ni4>f:9je0<72-;ii7o;;o3a`?6<3`k86=4+1cg9e1=i9kn1=65fa383>!7em3k?7c?md;08?lg6290/=ok5a59m5gb=;21bml4?:%3aa?g>3g;ih7>4;hc;>5<#9ko1m45a1cf95>=ni>0;6)?me;c:?k7el3807do9:18'5gc=i01e=oj53:9j752=83.:nh4<029m5gb=821b?=<50;&2f`<48:1e=oj51:9j757=83.:nh4<029m5gb=:21b?=>50;&2f`<48:1e=oj53:9j75?=83.:nh4<099m5gb=821b?=950;&2f`<4811e=oj51:9j750=83.:nh4<099m5gb=:21b?=;50;&2f`<4811e=oj53:9lg1<72-;ii7m<;o3a`?6<3fi96=4+1cg9g6=i9kn1=65`c183>!7em3i87c?md;08?jda290/=ok5c29m5gb=;21dnh4?:%3aa?e43g;ih7:4;n`g>5<#9ko1o>5a1cf91>=hjj0;6)?me;a0?k7el3<07blm:18'5gc=k:1e=oj57:9lfd<72-;ii7m<;o3a`?><3fh26=4+1cg9g6=i9kn1565`b983>!7em3i87c?md;c8?jd0290/=ok5c29m5gb=j21dn84?:%3aa?e43g;ih7m4;n`7>5<#9ko1o>5a1cf9`>=hj:0;6)?me;a0?k7el3o07bl=:18'5gc=k:1e=oj5f:9lf4<72-;ii7m<;o3a`?7732ei<7>5$0`f>f5<f8ho6<?4;nce>5<#9ko1o>5a1cf957=<gho1<7*>bd8`7>h6jm0:?65`ae83>!7em3i87c?md;37?>ifk3:1(<lj:b18j4dc28?07bmm:18'5gc=k:1e=oj51798kfg=83.:nh4l3:l2fa<6?21do44?:%3aa?e43g;ih7?7;:m`<?6=,8hn6n=4n0`g>4?<3fi<6=4+1cg9g6=i9kn1=l54ob494?"6jl0h?6`>be82f>=hk<0;6)?me;a0?k7el3;h76al1;29 4db2j90b<lk:0f8?jd1290/=ok5c29m5gb=9l10cll50;&2f`<d;2d:ni4>f:9la3<72-;ii7k:;o3a`?6<3fo?6=4+1cg9a0=i9kn1=65`e383>!7em3o>7c?md;08?jc6290/=ok5e49m5gb=;21di=4?:%3aa?c23g;ih7:4;nfe>5<#9ko1i85a1cf91>=hll0;6)?me;g6?k7el3<07bjk:18'5gc=m<1e=oj57:9l`f<72-;ii7k:;o3a`?><3fni6=4+1cg9a0=i9kn1565`d`83>!7em3o>7c?md;c8?jb>290/=ok5e49m5gb=j21dh:4?:%3aa?c23g;ih7m4;nf5>5<#9ko1i85a1cf9`>=hl<0;6)?me;g6?k7el3o07bj;:18'5gc=m<1e=oj5f:9l`6<72-;ii7k:;o3a`?7732eo>7>5$0`f>`3<f8ho6<?4;nf2>5<#9ko1i85a1cf957=<gm:1<7*>bd8f1>h6jm0:?65`cg83>!7em3o>7c?md;37?>idm3:1(<lj:d78j4dc28?07bkk:18'5gc=m<1e=oj51798k`e=83.:nh4j5:l2fa<6?21dio4?:%3aa?c23g;ih7?7;:mfe?6=,8hn6h;4n0`g>4?<3fo26=4+1cg9a0=i9kn1=l54od:94?"6jl0n96`>be82f>=hm>0;6)?me;g6?k7el3;h76aj3;29 4db2l?0b<lk:0f8?jb?290/=ok5e49m5gb=9l10cnj50;&2f`<b=2d:ni4>f:9lb6<72-;ii7h=;o3a`?6<3fl:6=4+1cg9b7=i9kn1=65`f183>!7em3l97c?md;08?jca290/=ok5f39m5gb=;21dj54?:%3aa?`03g;ih7>4;nd5>5<#9ko1j:5a1cf95>=hn<0;6)?me;d4?k7el3807bh;:18'5gc=n>1e=oj53:9~f75729086=4?{%3g4?72l2B8=<5G2gf8 47728i0e<>;:188m4622900c<;7:188yg4>k3:187>50z&2`5<6=o1C?<?4H3dg?!7683?o7d??4;29?l77=3:17d??6;29?j7203:17p}<1e83>6c|V:;o70<je;6f?84a?3>n70<jd;6f?84a=3>n70<i6;6f?84bk3>n70<i4;6f?84a;3>n70<ib;6f?845l3>n70<<1;6f?84>n3>n70<6e;6f?84>l3>n70?i5;6f?87a>3>n70?i7;6f?87a03>n70?i9;6f?87ai3>n70?ib;6f?87ak3>n70?id;6f?841?3>n70<96;6f?841=3>n70<94;6f?841;3>n70<92;6f?84183>n70<:f;6f?84193>n70<89;6f?84003>n70<87;6f?840>3>n70<85;6f?840<3>n70<83;6f?840:3>n70<81;6f?84c=3>n70<k4;6f?84c;3>n70<k2;6f?84c93>n70<k0;6f?84dm3>n70<ld;6f?84dn3>n70<j7;6f?84b>3>n70<j5;6f?84b<3>n70<j3;6f?84b:3>n70<j1;6f?84b83>n70<kf;6f?84b03?=70<j8;74?xu38<0;6<>t^526?844:3;;863>e28243=:9l>1==84=0g6>46134;n:7??6:?2a2<68?16=h65114894c>28:=70?ja;332>;5mh0:<;521d`9550<5;3j6<>8;<3eb?77>279?=4>059>6<e=99>0q~:?3;29<1}Y;:;0R>?n;_625>X38o1U?<74^52;?[5ei2T?==5Q3c`8Z6bf3W>:n6P;1`9]04?<V=;37S:>7:\753=Y<8?0R9?;;_627>X38;1U8=?4^523?[5an2T8jh5Q3gf8Z6`d3W9mn6P<f`9>744=99801?h::4a897cd2<i01?h;:4a897`42<i01?hm:4a897?a2<i01?>7:4g897602<o01?>9:4g897622<o01?>;:4g897472<o01??i:4g8977b2<o01??k:4g8977d2<o01?6=:4g897>62<o01?6?:4g8971a2<o01?9j:4g897>e2<o01?6n:4g897>>2<o01?67:4g897>02<o01?:n:4g8972?2<o01?:9:4g897232<o01?:=:4g897272<o01?=j:4g8975d2<o01?l7:4g897d12<o01?l;:4g897d52<o01?l?:4g897gb2<o01?ol:4g897gf2<o01?k7:5d897c?2<:01?k7:0a5?84b03;h;63=e982g`=::l21?=522d:971=::l21?>522d:977=::l21?<522d:96d=::l218=522d:901=::l218>522d:907=::l218<522d:97d=::l2154522d:9=2=::l2158522d:9=1=::l215>522d:9=7=::l215<522d:9=5=::l214k522d:9<`=::l214i522d:9<f=::l214l522d:9<<=::l2145522d:9<2=::l214;522d:9<0=::l2149522d:9<6=::l214?522d:9<4=::l21m=522d:9=c=::l215h522d:9=a=::l215n522d:9=g=::l215l522d:9=3=::l214o522d:9<5=::l21m8522d:9e6=::l21m?522d:9e4=::l21ml522d:9e==::l21m:522d:9e3=::l21?=74=3g;>660348n47=?6:?1a=<48<1v\7f9>8:180\7f[27?279j84>bg9>6`e=9kl0q~=m5;296~X4j<16>>?51b28yv26l3:18vP;1e9>6d6=99>01?7n:025?87an3;;;6s|3c594?4|V:h<70<6e;3`4>{t;::1<7=t^205?87al3;h<63>ec8241=z{:8n6=4;{_111>;6m;0>i63>fb82g5=:9lk1==:4}r11`?6=<rT8>9521d391`=:9oh1=n>4=0g:>4633ty8>n4?:5y]775<58o;68k4=0db>4e734;n47??4:\7fp77d=83>pR><=;<3gb?3b34;m57?l0:?2a2<68=1v\7f><n:187\7f[55927:hh4:e:?2b=<6k916=h851168yv5513:18vP<219>5ab==l16=k951b2894c228:?7p}<2983>1}Y;8l01<jl:4g894`128i;70?j4;330>{t;;=1<7:t^23f?87cj3?n70?i5;3`4>;6m:0:<95rs2c`>5<5sW93o63=e98f2>{t;hk1<7<t^2:a?84b03o?7p}<a983>7}Y;1301?k7:d08yv5f?3:1>vP<899>6`>=m81v\7f>o9:181\7f[5??279i54j0:\7fp7d3=838pR>69;<0f<?ba3ty8m94?:3y]7=3<5;o36ik4}r1b7?6=:rT849522d:9`a=z{:k96=4={_1;7>;5m10oo6s|3`394?4|V:2970<j8;fa?xu4i90;6?uQ393897c?2mk0q~=6f;296~X40916>h65d89~w6?c2909wS=8e:?1a=<c?2wx?4m50;0xZ61c348n47j9;|q0=g<72;qU?:m4=3g;>a3<uz92m7>52z\03g=::l21h95rs2;:>5<5sW9<m63=e98g7>{t;021<7<t^25:?84b03n97p}<9683>7}Y;>201?k7:e38yv5>>3:1>vP<769>6`>=l91v\7f>7::181\7f[50>279i54lf:\7fp7<2=838pR>9:;<0f<?eb3ty8n>4?:3y]7<4<5;o36hj4}r1a6?6=:rT85<522d:9af=z{:h:6=4={_1:4>;5m10nn6s|3c294?4|V:2m70<j8;gb?xu4io0;6?uQ39g897c?2l30q~=ne;296~X40m16>h65e99~w6gc2909wS=7a:?1a=<b?2wx?l750;0xZ61a348n47k<;|q0=`<72;qU?::4=3g;>a><uz92?7>52z\036=::l21oi5rs24a>5<5sW9?n63=e984<>{t;?31<7<t^26b?84b03==7p}<6683>7}Y;=201?k7:668yv51>3:1>vP<469>6`>=?:1v\7f>8::181\7f[53>279i5482:\7fp732=838pR>::;<0f<?163ty8:>4?:3y]712<5;o36:>4}r156?6=:rT88>522d:92c=z{:<:6=4={_176>;5m10=i6s|37294?4|V:>:70<j8;4g?xu4=o0;6?uQ352897c?2?i0q~=:e;296~X4;o16>h656c9~w63d2909wS=<d:?1a=<112wx?8l50;0xZ65d348n4787;|q01d<72;qU?>l4=3g;>31<uz9>57>52z\07d=::l21:;5rs27;>5<5sW98563=e9851>{t;<=1<7<t^21;?84b03<?7p}<5783>7}Y;:=01?k7:718yv52=3:1>vP<379>6`>=>;1v\7f>;;:181\7f[54=279i5491:\7fp705=838pR>=;;<0f<?073ty8;?4?:3y]707<5;o36:h4}r145?6=:rT89=522d:93`=z{:=;6=4={_17b>;5m10<h6s|37d94?4|V:>n70<j8;5`?xu4>l0;6?uQ35f897c?2>h0q~=9d;296~X4<j16>h657`9~w60d2909wS=;9:?1a=<012wx?;650;0xZ65b348n479:;|q01a<72;qU?>=4=3g;>3g<uz9>>7>52z\077=::l219k5rs045>5<fs49:?7?mb:?141<2=279=n4:5:?13`<2=2794:4:5:?10f<2=279?n4:5:?1fd<2=279ml4:5:?1a=<ai2wx>hj50;1x97cb2<i01?kk:0a3?84bk3?87p}=ed83>7}::lo1=n>4=3d3>4633ty9j;4?:2y>6c1==j16>k;5529>6c0=9j:0q~<i7;296~;5n>0:o=522g;9552<uz8no7>53z?1aa<2k279in4>c19>6c6=99?0q~<i5;297~;5n<0:o=522g491f=::o31==;4}r0fb?6=;r79j94>bg9>6c5=9kl01?h?:0`:?xu5n;0;6<kt=3d7>4e73489h7?mf:?174<6jo16=k;5599>5c0==116=k95599>5c>==116=k75599>5cg==116=kl5599>5ce==116=kj5599>631==116>;85599>633==116>;:5599>635==116>;<5599>636==116>8h51cd897062<201?96:4:8971?2<201?98:4:897112<201?9::4:897132<201?9<:4:897152<201?9>:4:8yv4a93:1?v3=f282g5=::lk1==:4=3g;>4ea3ty9j54?:3y>6cd=9kl01?h6:0`:?xu5nh0;6<9t=3da>4e73482j7?mf:?1=`<6jo16>4j51cd897b22<201?j;:4:897b42<201?j=:4:897b62<201?j?:4:897eb2<201?mk:0`e?84dn3?370<j7;7;?84b>3?370<j5;7;?84b<3?370<j3;7;?84b:3?370<j1;7;?84b83?370<kf;7;?84>13;;:6s|23g94?5|5;8o68m4=312>0e<5;8m6<l6;|q16a<728:p1?<k:0a3?845n3;;963=338240=:9l91==;4=0g7>46234;n97??5:?2a3<68<16=h95117894c?28:>70?j9;331>;6mh0:<8522dc9553<58oi6<>:;<0:e?77<27:jk4>049>6<e=99?0q~<6f;296~;51o0:o=5228;9553<uz8247>53z?1=`<2k2795i4:c:?1=g<6j01v\7f?7k:186\7f84>l3;h<63=a18240=::031==:4=3;b>46234;mj7??4:\7fp5a7=83;>w0?kb;76?87bk3?>70<97;70?841>3?870<95;70?841<3?870<93;70?841:3?870<90;70?842n3?870<91;70?84013?870<88;70?840?3?870<86;70?840=3?870<84;70?840;3?870<82;70?84093?870<<2;3a=>{t9l91<7=t=0fa>4e?34;no7?:7:?2a6<6j01v\7f<jm:180\7f87cj3;i563>db861>;6mm0>96s|1e094?4|58oh6<l6;<3e1?3d3ty:i94?:2y>5ae=9j201<kk:074?87b<3;i56s|1ea94?5|58nh6<l6;<3g`?3234;ni7;:;|q2`6<72;q6=hj51c;894`12<i0q~?j5;297~;6lm0:o5521dg9501<58o>6<l6;|q2`a<72:q6=ij51c;894bb2<?01<ki:478yv7c<3:1>v3>ed82f<=:9o=19n5rs0g5>5<4s4;oi7?l8:?2ac<6=>16=h851c;8yv7cm3:1?v3>dd82f<=:9ml198521g2910=z{8n>6=4={<3fb?7e127:j54:c:\7fp5`1=839p1<ji:0a;?87a83;>;63>e682f<=z{8nm6=4<{<3gb?7e127:i=4:5:?2b4<2=2wx=i850;0x94`728h270?i9;7`?xu6m10;6>u21d295f><58l:6<;8;<3f<?7e12wx=h>50;1x94c728h270?j1;76?87a:3?>7p}>d683>7}:9o;1=o74=0db>0e<uz;n57>53z?2a4<6k116=k<5145894c>28h27p}>e083>6}:9l;1=o74=0g1>03<58l868;4}r3g<?6=:r7:j?4>b89>5cd==j1v\7f<kn:180\7f87b:3;h463>f28212=:9lk1=o74}r3f6?6=:r7:i?4>b89>5c2==<1v\7f<j6:181\7f87a;3;i563>fb86g>{t9lh1<7<t=0d7>43034;nn7?m9:\7fp5ag=838p1<h;:0`:?87al3?h7p}>fd83>d}:9o?19>521g4916=:9o=19>521g:916=:9o319>521gc916=:9oh19>521ga916=:9on19>521gd95g?<uz8;?7>52z?14=<2=279<:4>b89~w7722909w0<?8;3`<>;59?0:n45rs30a>5<5s48;47?m9:?16c<68=1v\7f?>=:181\7f847?3?>70<?6;3a=>{t:881<7<t=324>4e?348:?7?m9:\7fp657=838p1?>9:478976228h27p}=0g83>7}::9<1=n64=333>4d>3ty9<=4?:3y>653==<16>=:51c;8yv47k3:1>v3=0482g==::9n1=o74}r03=?6=:r79<94>c99>65g=9k30q~<>b;296~;5:90>963=1g82f<=z{;8>6=4={<014?7d0279>l4>b89~w74d2909w0<=0;3a=>;5;90:<85rs33b>5<5s48:j7;:;<02a?7e12wx>?:50;0x977a28i370<=9;3a=>{t:831<7<t=33f>03<5;;o6<l6;|q166<72;q6><k51b:8974?28h27p}=1983>7}::8n1985220a95g?<uz89>7>52z?15a<6k116>?951c;8yv4593:1>v3=1b82g==::;<1=o74}r04`?6=:r794?4:5:?1<4<6j01v\7f??8:181\7f84?:3;h463=1682f<=z{;3=6=4={<0;6?7e12795n4>079~w71d2909w0<71;76?84?83;i56s|20694?4|5;2:6<m7;<020?7e12wx>:l50;0x97>72<?01?9i:0`:?xu5980;6?u229295f><5;;:6<l6;|q13d<72;q6>:h5549>62c=9k30q~<?e;296~;5?o0:o55221g95g?<uz8;n7>52z?13`<6k116>=l51c;8yv4?>3:1>v3=8c861>;50h0:n45rs3;3>5<5s483n7?l8:?1=0<6j01v\7f?78:181\7f84?j3;i563=9c8243=z{;2>6=4={<0;e?32348357?m9:\7fp6=`=838p1?6n:0a;?84><3;i56s|29694?4|5;2268;4=3:;>4d>3ty94h4?:3y>6=?=9j201?7<:0`:?xu50:0;6?u229:910=::1=1=o74}r0;`?6=:r79454>c99>6<4=9k30q~<7c;296~;50>0:o55228395g?<uz88n7>52z?122<2k279994>b89~w73b290?w0<97;3`4>;5?00>o63=2`8240=::<?1==:4}r00e?6=:r79:;4:c:?116<6j01v\7f?;k:187\7f841>3;h<63=7986g>;5:00:<95225`9552<uz8857>52z?120<2k2799?4>b89~w73d290?w0<95;3`4>;5?>0>o63=288243=::=31==:4}r00<?6=:r79:94:c:?114<6j01v\7f?;m:187\7f841<3;h<63=7786g>;5:10:<9522559552<uz88;7>52z?126<2k2799=4>b89~w73f290?w0<93;3`4>;5?<0>o63=298243=::=?1==:4}r002?6=:r79:?4:c:?10c<6j01v\7f?;6:187\7f841:3;h<63=7586g>;5:>0:<9522519552<uz8887>52z?125<2k2798i4>b89~w730290?w0<90;3`4>;5?;0>o63=278241=:::l1==:4}r007?6=:r799k4:c:?10f<6j01v\7f?;9:187\7f842n3;h<63=7086g>;5:?0:<;5222f9552<uz8897>52z?124<2k2798h4>b89~w73?290?w0<91;3`4>;5?:0>o63=268243=::=;1==:4}r07e?6=:r79994:5:?10d<6j01v\7f?;::181\7f842<3;>;63=5482f<=z{;>36=4<{<067?32348?m7;:;<07<?7e12wx>9l50;1x973428?<70<;a;3`<>;5<k0:n45rs365>5<4s48>>7;:;<07<?32348?:7?m9:\7fp61?=839p1?;=:074?84303;h463=4882f<=z{;>?6=4<{<065?32348?:7;:;<070?7e12wx>9950;1x973628?<70<;6;3`<>;5<>0:n45rs361>5<4s48><7;:;<070?32348?>7?m9:\7fp613=839p1?;?:074?843<3;h463=4482f<=z{;>;6=4<{<07b?32348?>7;:;<074?7e12wx>9=50;1x972a28?<70<;2;3`<>;5<:0:n45rs31f>5<4s48?i7;:;<074?323488i7?m9:\7fp617=839p1?:j:074?84383;h463=4082f<=z{;9h6=4<{<07`?323488i7;:;<00g?7e12wx>>h50;1x972c28?<70<<e;3`<>;5;o0:n45rs31g>5<4s48?o7?:7:?17f<6k116>>j51c;8yv4083:19v3=7882g5=::0?1==;4=335>462348:;7??5:?1a=<4m2wx>;h50;7x971?28i;70<64;331>;59:0:<9522069552<5;o36>j4}r05a?6==r79;:4>c19>6<2=99=01??<:025?846<3;;:63=e980g>{t:?n1<7;t=355>4e73482?7??5:?155<68=16><?5116897c?2:h0q~<9c;291~;5?<0:o=522819551<5;;;6<>9;<025?77>279i54;9:\7fp63d=83?p1?9;:0a3?84>:3;;963=0e8241=::9o1==:4=3g;>1><uz8=m7>55z?136<6k916>4<51158976c28:=70<?e;332>;5m10?;6s|27;94?3|5;=96<m?;<0:5?77=279<l4>059>65d=99>01?k7:548yv4103:19v3=7082g5=::0;1==94=32b>461348;n7??6:?1a=<3=2wx>hl50;3;\7f84c=3?870<k4;70?84c;3?870<k2;70?84c93?870<k0;70?84dm3?870<ld;70?84dn3?870<j7;70?84b>3?870<j5;70?84b<3?870<j3;70?84b:3?870<j1;70?84b83?870<kf;70?84f83;i563=2g8243=::l21?=:4=3g;>665348n47=?1:?1a=<4891v\7f?o6:181\7f84c=3?h70<l2;3a=>{t:ji1<7:t=3f6>4e7348n;7;l;<0:1?77<279o>4>059~w7g?2909w0<k4;7`?84d93;i56s|2b`94?2|5;n?6<m?;<0f2?3d348287??4:?1f<<68=1v\7f?o8:181\7f84c;3?h70<l0;3a=>{t:jk1<7:t=3f0>4e7348n97;l;<0:0?77>279n:4>059~w7g12909w0<k2;7`?84en3;i56s|2b;94?2|5;n96<m?;<0f0?3d3482?7??4:?1f0<68=1v\7f?o::181\7f84c93?h70<me;3a=>{t:j21<7:t=3f2>4e7348n?7;l;<0:7?77>279n>4>059~w7g32909w0<k0;7`?84el3;i56s|2b594?2|5;n;6<m?;<0f6?3d3482>7??4:?1f4<68=1v\7f?o=:181\7f84dm3?h70<mb;3a=>{t:j?1<7:t=3af>4e7348n<7;l;<0:5?77<279mi4>059~w7g62909w0<ld;7`?84ei3;i56s|2b694?2|5;io6<m?;<0gb?3d3482=7??6:?1eg<68=1v\7f?o<:181\7f84dn3?h70<mc;3a=>{t:j<1<7:t=3ae>4e7348n=7;l;<0:6?77>279mk4>059~w7d?2909w0<l2;76?84e03;i56s|2b194?4|5;i96<;8;<0`7?7e12wx>o850;1x97e62<?01?l7:47897d128h27p}=b883>6}::j;1=894=3`;>4e?348i57?m9:\7fp6g2=839p1?m?:47897d12<?01?l;:0`:?xu5j>0;6>u22b29501<5;h=6<m7;<0a3?7e12wx>o<50;1x97da2<?01?l;:47897d528h27p}=b483>6}::kl1=894=3`7>4e?348i97?m9:\7fp6g6=839p1?lj:47897d52<?01?l?:0`:?xu5j:0;6>u22cg9501<5;h96<m7;<0a7?7e12wx>lk50;1x97dc2<?01?l?:47897gb28h27p}=b083>6}::kn1=894=3`3>4e?348i=7?m9:\7fp6de=839p1?ll:47897gb2<?01?ol:0`:?xu5io0;6>u22ca9501<5;kn6<m7;<0bb?7e12wx>lo50;1x97de2<?01?ol:47897gf28h27p}=ae83>6}::kh1=894=3c`>4e?348jh7?m9:\7fp6dd=839p1?ln:074?84fi3;h463=ac82f<=z{;nn6=4:{<0f3?7d8279>l4>059>640=99>01??8:027?84b038n7p}=de83>0}::l<1=n>4=30:>462348:?7??5:?151<68<16>h652e9~w7bd290>w0<j5;3`4>;5:00:<:522019551<5;;?6<>8;<0f<?4d3ty9ho4?:4y>6`2=9j:01?<7:026?84683;;963=108240=::l21>o5rs3fb>5<2s48n?7?l0:?16=<68>16><>51158977628:<70<j8;1:?xu5l00;68u22d095f6<5;8<6<>:;<03`?77=279<h4>049>6`>=;11v\7f?j7:186\7f84b93;h<63=268242=::9n1==94=32f>460348n47=8;|q1`2<72<q6>h>51b28974128:>70<?a;331>;58k0:<8522d:973=z{;n=6=4:{<0gb?7d8279>;4>069>65g=99=01?>m:024?84b039>7p}>6483>7}::;l1==94=313>43?3ty9544?:3y>6<?=9k301?7m:027?xu51h0;6?u228`9553<5;3j6<l6;|q1=f<72;q6>4l5115897?d28?37p}=e883>7}::lk1=o74=3g;>cd<uty8=i4?:3y]74b<5=i1?<j4$3d`>4?d3ty8:o4?:3y]71d<5=i1?9l4$3d`>4ga3ty8:44?:3y]71g<5=i1?9o4$3d`>4d73ty8::4?:3y]71><5=i1?964$3d`>4d63ty8:;4?:3y]711<5=i1?994$3d`>4d53ty8:84?:3y]710<5=i1?984$3d`>4003ty8:94?:3y]713<5=i1?9;4$3d`>4173ty8:>4?:3y]712<5=i1?9:4$3d`>41e3ty8:?4?:3y]715<5=i1?9=4$3d`>4>13ty8:<4?:3y]714<5=i1?9<4$3d`>4?63ty8:=4?:3y]717<5=i1?9?4$3d`>4?03ty89k4?:3y]716<5=i1?9>4$3d`>4??3ty89h4?:3y]76`<5=i1?>h4$3d`>4?>3ty89n4?:3y]76b<5=i1?>j4$3d`>4?f3ty89o4?:3y]76e<5=i1?>m4$3d`>4?e3ty89l4?:3y]76d<5=i1?>l4$3d`>4?c3ty8944?:3y]76g<5=i1?>o4$3d`>4?b3ty8954?:3y]76?<5=i1?>74$3d`>4?a3ty89:4?:3y]76><5=i1?>64$3d`>4g73ty89;4?:3y]761<5=i1?>94$3d`>4g63ty8984?:3y]760<5=i1?>84$3d`>4g53ty8994?:3y]763<5=i1?>;4$3d`>4g43ty89>4?:3y]762<5=i1?>:4$3d`>4g33ty8;?4?:3y]707<5=i1?8?4$3d`>4g23ty8;<4?:3y]706<5=i1?8>4$3d`>4g13ty8;=4?:3y]71`<5=i1?9h4$3d`>4g03ty8:k4?:3y]71c<5=i1?9k4$3d`>4g?3ty8:h4?:3y]71b<5=i1?9j4$3d`>4g>3ty8:i4?:3y]71e<5=i1?9m4$3d`>4gf3ty8:n4?:3y]71?<5=i1?974$3d`>4ge3ty8:54?:3y]76c<5=i1?>k4$3d`>4gd3ty89i4?:3y]765<5=i1?>=4$3d`>4gc3ty89?4?:3y]764<5=i1?><4$3d`>4gb3ty?<84?:3y]053<5=i18=;4$3d`>4d43ty?<:4?:3y]051<5=i18=94$3d`>4d33ty?=i4?:3y]04b<5=i18<j4$3d`>4d23ty8?=4?:3y]770<5=i1??84$3d`>40?3ty8>h4?:3y]773<5=i1??;4$3d`>40>3ty8>i4?:3y]772<5=i1??:4$3d`>40f3ty8>n4?:3y]775<5=i1??=4$3d`>40e3ty8>o4?:3y]774<5=i1??<4$3d`>40d3ty8>l4?:3y]777<5=i1???4$3d`>40c3ty8>44?:3y]776<5=i1??>4$3d`>40b3ty8>54?:3y]74`<5=i1?<h4$3d`>40a3ty8>:4?:3y]74c<5=i1?<k4$3d`>4163ty8mn4?:3y]7=e<5=i1?5m4$3d`>4153ty8ml4?:3y]7=d<5=i1?5l4$3d`>4143ty8m54?:3y]7=?<5=i1?574$3d`>4133ty8m:4?:3y]7=><5=i1?564$3d`>4123ty8m;4?:3y]7=1<5=i1?594$3d`>4113ty8m84?:3y]7=0<5=i1?584$3d`>4103ty8m94?:3y]7=3<5=i1?5;4$3d`>41?3ty8m>4?:3y]7=2<5=i1?5:4$3d`>41>3ty8m?4?:3y]7=5<5=i1?5=4$3d`>41f3ty8m<4?:3y]7=4<5=i1?5<4$3d`>41d3ty8m=4?:3y]7=7<5=i1?5?4$3d`>41c3ty85k4?:3y]7=6<5=i1?5>4$3d`>41b3ty85i4?:3y]72c<5=i1?:k4$3d`>41a3ty85n4?:3y]72b<5=i1?:j4$3d`>4>73ty85o4?:3y]72e<5=i1?:m4$3d`>4>63ty85l4?:3y]72d<5=i1?:l4$3d`>4>53ty8544?:3y]72g<5=i1?:o4$3d`>4>43ty8554?:3y]72?<5=i1?:74$3d`>4>33ty85:4?:3y]72><5=i1?:64$3d`>4>23ty85;4?:3y]721<5=i1?:94$3d`>4>03ty8584?:3y]720<5=i1?:84$3d`>4>?3ty8594?:3y]723<5=i1?:;4$3d`>4>>3ty8n>4?:3y]7<4<5=i1?4<4$3d`>4>f3ty8n?4?:3y]7<7<5=i1?4?4$3d`>4>e3ty8n<4?:3y]7<6<5=i1?4>4$3d`>4>d3ty8n=4?:3y]7=`<5=i1?5h4$3d`>4>c3ty8mk4?:3y]7=c<5=i1?5k4$3d`>4>b3ty8mh4?:3y]7=b<5=i1?5j4$3d`>4>a3ty8mi4?:3y]7=g<5=i1?5o4$3d`>4?73ty8m44?:3y]72`<5=i1?:h4$3d`>4?53ty85h4?:3y]722<5=i1?::4$3d`>4?43ty85>4?:3y]725<5=i1?:=4$3d`>4?33ty8n84?:3y]7g3<5=i1?o;4$3d`>4?23ty8n:4?:3y]7g1<5=i1?o94$3d`>4?13twe::o50;0xL7`c3td=;o4?:3yK6cb<ug<<o7>52zJ1ba=zf?=o6=4={I0e`>{i>>o1<7<tH3dg?xh1?o0;6?uG2gf8yk0?83:1>vF=fe9~j3>62909wE<id:\7fm2=4=838pD?hk;|l5<6<72;qC>kj4}o4;0?6=:rB9ji5rn7:6>5<5sA8mh6sa69494?4|@;lo7p`98683>7}O:on0qc878;296~N5nm1vb;66:181\7fM4al2we:5o50;0xL7`c3td=4o4?:3yK6cb<ug<3o7>52zJ1ba=zf?2o6=4={I0e`>{i>1o1<7<tH3dg?xh10o0;6?uG2gf8yk0>83:1>vF=fe9~j3?62909wE<id:\7fm2<4=838pD?hk;|l5=6<72;qC>kj4}o4:0?6=:rB9ji5rn7;6>5<5sA8mh6sa68494?4|@;lo7p`99683>7}O:on0qc868;296~N5nm1vb;76:181\7fM4al2we:4o50;0xL7`c3td=5o4?:3yK6cb<ug<2o7>52zJ1ba=zf?3o6=4={I0e`>{i>0o1<7<tH3dg?xh11o0;6?uG2gf8yk0f83:1>vF=fe9~j3g62909wE<id:\7fm2d4=838pD?hk;|l5e6<72;qC>kj4}o4b0?6=:rB9ji5rn7c6>5<5sA8mh6sa6`494?4|@;lo7p`9a683>7}O:on0qc8n8;296~N5nm1vb;o6:181\7fM4al2we:lo50;0xL7`c3td=mo4?:3yK6cb<ug<jo7>52zJ1ba=zf?ko6=4={I0e`>{i>ho1<7<tH3dg?xh1io0;6?uG2gf8yk0e83:1>vF=fe9~j3d62909wE<id:\7fm2g4=838pD?hk;|l5f6<72;qC>kj4}o4a0?6=:rB9ji5rn7`6>5<5sA8mh6sa6c494?4|@;lo7p`9b683>7}O:on0qc8m8;296~N5nm1vb;l6:181\7fM4al2we:oo50;0xL7`c3td=no4?:3yK6cb<ug<io7>52zJ1ba=zf?ho6=4={I0e`>{i>ko1<7<tH3dg?xh1jo0;6?uG2gf8yk0d83:1>vF=fe9~j3e62909wE<id:\7fm2f4=838pD?hk;|l5g6<72;qC>kj4}o4`0?6=:rB9ji5rn7a6>5<5sA8mh6sa6b494?4|@;lo7p`9c683>7}O:on0qc8l8;296~N5nm1vb896:182\7fM4al2we9n950;3xL7`c3td>o54?:0yK6cb<ug?h57>51zJ1ba=zf<ij6=4>{I0e`>{i=jh1<7?tH3dg?xh2kj0;6<uG2gf8yk3dl3:1=vF=fe9~j0eb290:wE<id:\7fm1f`=83;pD?hk;|l6`5<728qC>kj4}o7g5?6=9rB9ji5rn4f1>5<6sA8mh6sa5e194?7|@;lo7p`:d583>4}O:on0qc;k5;295~N5nm1vb8j9:182\7fM4al2we9i950;3xL7`c3td>h54?:0yK6cb<ug?o57>51zJ1ba=zf<nj6=4>{I0e`>{i=mh1<7?tH3dg?xh2lj0;6<uG2gf8yk3cl3:1=vF=fe9~j0bb290:wE<id:\7fm1a`=83;pD?hk;|l6a5<728qC>kj4}o7f5?6=9rB9ji5rn4g1>5<6sA8mh6sa5d194?7|@;lo7p`:e583>4}O:on0qc;j5;295~N5nm1vb8k9:182\7fM4al2we9h950;3xL7`c3td>i54?:0yK6cb<ug?n57>51zJ1ba=zf<oj6=4>{I0e`>{i=lh1<7?tH3dg?xh2mj0;6<uG2gf8yk3bl3:1=vF=fe9~j0cb290:wE<id:\7fm1``=83;pD?hk;|l6b5<728qC>kj4}o7e5?6=9rB9ji5rn4d1>5<6sA8mh6sa5g194?7|@;lo7p`:f583>4}O:on0qc;i5;295~N5nm1vb8h9:182\7fM4al2we9k950;3xL7`c3td>j54?:0yK6cb<ug?m57>51zJ1ba=zf<lj6=4>{I0e`>{i=oh1<7?tH3dg?xh2nj0;6<uG2gf8yk3al3:1=vF=fe9~j0`b290:wE<id:\7fm1c`=83;pD?hk;|l545<728qC>kj4}o435?6=9rB9ji5rn721>5<6sA8mh6sa61194?7|@;lo7p`90583>4}O:on0qc8?5;295~N5nm1vb;>9:182\7fM4al2we:=950;3xL7`c3td=<54?:0yK6cb<ug<;57>51zJ1ba=zf?:j6=4>{I0e`>{i>9h1<7?tH3dg?xh18j0;6<uG2gf8yk07l3:1=vF=fe9~j36b290:wE<id:\7fm25`=83;pD?hk;|l555<728qC>kj4}o425?6=9rB9ji5rn731>5<6sA8mh6sa60194?7|@;lo7p`91583>4}O:on0qc8>5;295~N5nm1vb;?9:182\7fM4al2we:<950;3xL7`c3td==54?:0yK6cb<ug<:57>51zJ1ba=zf?;j6=4>{I0e`>{i>8h1<7?tH3dg?xh19j0;6<uG2gf8yk06l3:1=vF=fe9~j37b290:wE<id:\7fm24`=83;pD?hk;|l565<728qC>kj4}o415?6=9rB9ji5rn701>5<6sA8mh6sa63194?7|@;lo7p`92583>4}O:on0qc8=5;295~N5nm1vb;<9:182\7fM4al2we:?950;3xL7`c3td=>54?:0yK6cb<ug<957>51zJ1ba=zf?8j6=4>{I0e`>{i>;h1<7?tH3dg?xh1:j0;6<uG2gf8yk05l3:1=vF=fe9~j34b290:wE<id:\7fm27`=83;pD?hk;|l575<728qC>kj4}o405?6=9rB9ji5rn711>5<6sA8mh6sa62194?7|@;lo7p`93583>4}O:on0qc8<5;295~N5nm1vb;=9:182\7fM4al2we:>950;3xL7`c3td=?54?:0yK6cb<ug<857>51zJ1ba=zf?9j6=4>{I0e`>{i>:h1<7?tH3dg?xh1;j0;6<uG2gf8yk04l3:1=vF=fe9~j35b290:wE<id:\7fm26`=83;pD?hk;|l505<728qC>kj4}o475?6=9rB9ji5rn761>5<6sA8mh6sa65194?7|@;lo7p`94583>4}O:on0qc8;5;295~N5nm1vb;:9:182\7fM4al2we:9950;3xL7`c3td=854?:0yK6cb<ug<?57>51zJ1ba=zf?>j6=4>{I0e`>{i>=h1<7?tH3dg?xh1<j0;6<uG2gf8yk03l3:1=vF=fe9~j32b290:wE<id:\7fm21`=83;pD?hk;|l515<728qC>kj4}o465?6=9rB9ji5rn771>5<6sA8mh6sa64194?7|@;lo7p`95583>4}O:on0qc8:5;295~N5nm1vb;;9:182\7fM4al2we:8950;3xL7`c3td=954?:0yK6cb<ug<>57>51zJ1ba=zf??j6=4>{I0e`>{i><h1<7?tH3dg?xh1=j0;6<uG2gf8yk02l3:1=vF=fe9~j33b290:wE<id:\7fm20`=83;pD?hk;|l525<728qC>kj4}o455?6=9rB9ji5rn741>5<6sA8mh6sa67194?7|@;lo7p`96583>4}O:on0qc895;295~N5nm1vb;89:182\7fM4al2we:;950;3xL7`c3td=:54?:0yK6cb<ug<=57>51zJ1ba=zf?<j6=4>{I0e`>{i>?h1<7?tH3dg?xh1>j0;6<uG2gf8yk01l3:1=vF=fe9~j30b290:wE<id:\7fm23`=83;pD?hk;|l535<728qC>kj4}o445?6=9rB9ji5rn751>5<6sA8mh6sa66194?7|@;lo7p`97583>4}O:on0qc885;295~N5nm1vb;99:182\7fM4al2we::950;3xL7`c3td=;54?:0yK6cb<ug<<57>51zJ1ba=zutwKLNu8cb8506gf8>9vLMLt0|BCT~{GH
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file small512Words.vhd when simulating
+-- the core, small512Words. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY small512Words IS
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(31 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(8 downto 0);
+ dout: OUT std_logic_VECTOR(31 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+END small512Words;
+
+ARCHITECTURE small512Words_a OF small512Words IS
+-- synthesis translate_off
+component wrapped_small512Words
+ port (
+ clk: IN std_logic;
+ din: IN std_logic_VECTOR(31 downto 0);
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_en: IN std_logic;
+ data_count: OUT std_logic_VECTOR(8 downto 0);
+ dout: OUT std_logic_VECTOR(31 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic);
+end component;
+
+-- Configuration specification
+ for all : wrapped_small512Words use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 0,
+ c_din_width => 32,
+ c_has_wr_data_count => 0,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 0,
+ c_family => "virtex4",
+ c_use_embedded_reg => 0,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 1,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 32,
+ c_msgon_val => 1,
+ c_rd_depth => 512,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 9,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 1,
+ c_rd_pntr_width => 9,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 9,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 9,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 9,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 1,
+ c_prog_full_thresh_negate_val => 509,
+ c_wr_depth => 512,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 510,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "512x36",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_small512Words
+ port map (
+ clk => clk,
+ din => din,
+ rd_en => rd_en,
+ rst => rst,
+ wr_en => wr_en,
+ data_count => data_count,
+ dout => dout,
+ empty => empty,
+ full => full);
+-- synthesis translate_on
+
+END small512Words_a;
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$4;f\7f4g<,[o}e~g`n;"2*413&;$>"9 > %0`?*nhel%fm\7fkd/robhiYaaoe$x`~ _be,whgkdV;`[#`ho093=>6789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?013856=6&9;m7<>5IORVP?vugnUna}zv_ujqavn;13:5>;5>0;KMTPR=x{elShc\7ftx]wlwct`Vkx\7fh|{<883:70<990BB][[:qplcZcjx}sTxe|jsi]mabgsm531<3?j;029MKVR\3zycjQiumn\pmtb{a626=0=5:33>LHW]]0{~biPftno[qnumzbTm~}jru>:>585=2;;6D@_UU8svjaXn|fgSyf}erj\j`af|l626=0>9:33>JSSX\^1hlzn_bmvjq:>294:o6??:NWWTPR=zmUomyoPcnwmp9?=87;h7<>5OTVSQQ<pzVnjxlQlotlw8<<768>0=84FNQWW>DBCZH6:87>115921?OIX\^1MIJ]B=37>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>113900?OIX\^1hd`33283:42<;=0DYY^ZT;flqq:4;3:5=6:>1:69MKVR\3NB\L2<:1<25>2=AGZ^X7JFPC>0>58682>1EC^ZT;UFE95=87;;794FNQWW>RCE4:0;2<<44;MVPUSS2ME[M1=50?31?1<H]]Z^X7J@PC>0>58a3=|gt9>if037<(7792<97;7;;7;:21=?<;;:74:4912:6>?1<23=:464AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE975601JHI\N<01=f>GCL[K7=94?>89B@ATF48>546OKDSC?5;><IMNYM1<18:CG@WG;;720MIJ]A=6=<>GCL[K79364AEFQE90902KOH_O37?:8EABUI52546OKDSC?=;><IMNYN1>19:CG@WD;99427LJKRC>25;?<IMNYN1?=>89B@ATE4895n6OKDS@?51<7601JHI\M<06=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;><IMNYN1818:CG@WD;?720MIJ]B=:=<>GCL[H75374AR[MGZTBO:1IY?64BTQ\MK@H92Ij7NO\C@FFMKGf3JKXOLJJIO@a?FGTKHNNCXZNb:ABWFGCMF__N?5LE008GMCDCVFBOH@\E^VZT@4<K@>0OAEN4:AOOG5<KEX27NABMHVWAA733JEYIRIJNDPBPLIIW@DMC:5LRDCWAA7<L;1OE;5KI@>3:2=CAH6:<394DHC?54803MCJ0<<17:FJE9746>1OEL2>4?58@LG;9<4<7IGN<04=3>BNI5;<2:5KI@>2<;1<L@K7=409;EKB84803MCJ0?>17:FJE9466>1OEL2=2?58@LG;::4<7IGN<36=3>BNI58>2:5KI@>12;1<L@K7>:08;EKB87>9?2NBM1<6>79GMD:56>1OEL2<0?;8@LG;;80;2:5KI@>05;0<L@K7?384DHC?0;0<L@K79384DHC?2;0<L@K7;384DHC?<;0<L@K75384DH@?4;1<L@H7==08;EKA8479?2NBN1?=>69GMG:6;7=0HDL315<4?AOE48?5;6JFB=35:2=CAK6:;394DH@?5=803MCI0<716:FJF979?2NBN1<?>69GMG:597=0HDL323<4?AOE4;95;6JFB=07:2=CAK699394DH@?63803MCI0?917:FJF94?6>1OEO2=9?48@LD;:7=0HDL331<:?AOE4:;1<394DH@?74813MCI0>09;EKA81813MCI0809;EKA83813MCI0:09;EKA8=813MCI0408;EKSE969?2NB\L2>>69GMUG;:730HD^N<283:2=CAYK7?394DHRA85803MC[N1?17:FJTG:5601OE]L33;2=3>BNXK682;5KO@>3:2=CGH6:<394DNC?54803MEJ0<<17:FLE9746>1OCL2>4?58@JG;9<4<7IAN<04=3>BHI5;<2:5KO@>2<;1<LFK7=409;EMB84803MEJ0?>17:FLE9466>1OCL2=2?58@JG;::4<7IAN<36=3>BHI58>2:5KO@>12;1<LFK7>:08;EMB87>9?2NDM1<6>79GKD:56>1OCL2<0?;8@JG;;80;2:5KO@>05;0<LFK7?384DNC?0;0<LFK79384DNC?2;0<LFK7;384DNC?<;0<LFK75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2NDNR\JG69GKUG;87=0HB^N<0<4?AIWI58556J@P@>0>5803ME[M1=17:FLTG:76>1OC]L31?58@JVE4;427IA_B=194;1<LFZI0>0<;DLB7>CIJ;1M485IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H;4F`npa4=@;2MEH?5F039J57=N:;1B?55FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37==NF@^[S=:7;HLJPUY7=11BBDZ__14;?LHN\YU;;45FNHVPPDRB?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1<AGC_S=K8;HLJPZ6A?2CEEYQ>069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1<AGC_S<K8;HLJPZ7A?2CEEYQ=069JJLRX:8=0ECG[_304?LHN\V88;6GAIU]102=NF@^T>894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A1<AGC_S?K8;HLJPZ4A?2CEEYQ<069JJLRX;8=0ECG[_204?LHN\V98;6GAIU]002=NF@^T?894IOKW[6003@DBXR=87:KMMQY40>1BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1<AGC_S>K8;HLJPZ5A>2CEEYQN6:KMMQYE12CEEYQIIMG26>OI^LX_SNBD_EMB[WC@9;1BB[K]T^AOOZBHJVXNK>5FOC08HL4<DF<0@BOKEE58HJANKHF?7A[[159OQQ413E__>RB;;MWW73=K]]9T@95CUU65?ISS<VF>7@]HOO78IP^DQj1Fmga}Vdppmjhd3Dcec\7fXjrrklj7=I9:1E==:4N0230>H688>0B<><4:L2402<F8:=?6@>129M572<F88;86@>2068J445<2D:>>:4N0070>H6:<>0B<<94:L2622<F88386@>2818J4533G;8<95A1237?K74:=1E=>=;;O3001=I9:??7C?<659M56133G;8495A12;0?K73<2D:8=:4N0620>H6<;>0B<:<4:L2012<F8>>86@>4768J420<2D:85:4N06:7>H6==1E=8>;;O3651=I9<8?7C?:359M50233G;>9>5A1768J407<2D::<:4N0410>H6>:>0B<8;4:L2202<F8<=86@>6668J40?<2D::4=4N057?K70:=1E=:=;;O3401=I9>??7C?8659M52133G;<495A16;0?K7?<2D:4=:4N0:27>H61:1E>==4N330?K45;2D9?>5A2518J7343G8=?6@=729M6=5<F;387C=?3:L056=I;;90B>=<;O177>H4=:1E?;=4N250?K5?;2D85>5A4118J1443G>??6@;629M0=5<F<:97C8=;O51?K>53G3m7CLPBTQSMKYWZFZX;6@JTVMQO1=IGGO:7B<4OJ38T1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF7>VUM81Z:6\NMC35b>TFEVOSXH@\INL\Ec=UIDUNTYKASHMM[Ge<ZLKOSZGKTI]Bg>TBIMU\EIZG_C48V@ADMH<0^HILEC38W==TI_OOMYO>3:QJIZEHDECXEB@PCIG@O3=TG\XHI:5\RWCO[D1<[[\J@RL;;RQQE1=T[[H?7YW_E208Q5)`zo$yj"ilx/aoo})JpfxT~iQ\7fnup\cfY\7fg{:;<=Q]erwop4553\:$k\7fh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?013\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd\7f~Ril_ymq4565W[oxyaz>339V4*aun'xm#jmw.bnh|*K\7fg{UyhR~ats]dgZ~hz9:;?R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc\7f>?05]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3453XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:=S_k|umv277=R8&myj#|i/fa{*fjlp&Gsc\7fQ}d^rmpwY`kVrd~=>?7^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos234=YUmz\7fgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789:T^h}zlu306>S7'nxm"\7fh gbz-gim\7f'Drd~Ry}_qlwvZadWqey<=>>_Sgpqir6;;1^<"i}f/pe+be\7f&jf`t"Cwos]tvZvi|{UloRv`r1236ZTb{|f\7f=><4U1-dvc(un&mht#mcky-N|jtX\7f{U{by|Pgb]{kw678:UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=:PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0126[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzex\7fQhc^zlv567>VXn\7fxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{\7fQ\7fnup\cfY\7fg{:;<:Q]erwop4553\:$k\7fh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?01:\V`urd};9=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0=0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<0<15>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm878592_;#j|i.sd,cf~)keas#\7fjPpovq[goi4:49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce090=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<4<15>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm838592_;#j|i.sd,cf~)keas#\7fjPpovq[goi4>49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce050=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<8<14>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[5473\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhX9;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU9>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR==0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_503?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\176<]9%l~k }f.e`|+ekcq%yhR~ats]amkY1:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfV=9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS5<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P9348Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw67898<7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?012263=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;=?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234475>2_;#j|i.sd,cf~)keas#\7fjPpovq[goiWqey<=>=269V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^zlv567:88=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01113>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[}iu89:8>?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2341403\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhXpfx;<=:>279V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^zlv567=;=0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc\7f>?04312>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[}iu89:=>n5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123247X[^:9o6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012554YT_88h7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01425ZUP:;=0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc\7f>?07012>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[}iu89:<>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12334413\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhXpfx;<=6=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq456?9;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5:5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0<0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm32?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>0:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg929:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZad4<49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7:3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn28>328Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc=:=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`8<86n2_;#j|i.sd,cf~)keas#\7fjPpovq[beX88l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV;:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT><h4U1-dvc(un&mht#mcky-q`Zvi|{UloR=>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP40d8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^72b>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\24`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ16n2_;#j|i.sd,cf~)keas#\7fjPpovq[beX08l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV39:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=2=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6:2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?6;413\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{ol0>0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc929:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyij2:>348Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`a;>78=7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh<6<12>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtbo525>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>::73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\573<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT>?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT4?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\=7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2?>3;8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl86:2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:56;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>0:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2;>3;8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl86>2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:16;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>4:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<27>3;8Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`aXl8622?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyijQk1^31<>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3\37><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q7299V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqabYc9V3996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01211>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01011>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt7899996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01611>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt789?996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01411>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\|jt789=996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01:15>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm8=8592_;#j|i.sd,cf~)keas#z|Ppovq[goi4049<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS=<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]165=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#z|Ppovq[goiW=8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ9219V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^514>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[=473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhX1;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?0104?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt789::>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123571<]9%l~k }f.e`|+ekcq%|~R~ats]amkY\7fg{:;<<?=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4565:>1^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfVrd~=>?2005?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt78999;6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0120670<]9%l~k }f.e`|+ekcq%|~R~ats]amkY\7fg{:;<9<8;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34526:?1^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfVrd~=>?5358Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]{kw678<;9:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}01256f=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;:<?PSV21g>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[}iu89:==<Q\W00`?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\|jt789<:=R]X2358Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]{kw678?89:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012462=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;;<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp345>5?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>71328Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc=2=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`848582_;#j|i.sd,cf~)keas#z|Ppovq[be;:78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj682?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1:1219V4*aun'xm#jmw.bnh|*quWyd\7f~Ril<4<14>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa?2;473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cf:06;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k525>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh040>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP00d8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^32b>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\64`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ56n2_;#j|i.sd,cf~)keas#z|Ppovq[beX<8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV?:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT:<h4U1-dvc(un&mht#mcky-tvZvi|{UloR9>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP80d8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^;12>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=:=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn622?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\473<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT=?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\<73<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT5?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:?6;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>::7><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q?299V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqabYc9V;946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[74?3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?P33:8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aXl8U?>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z3502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_70;?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;T;?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y?:11^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWhyyijQk1^;11>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\|jt789:996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01311>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\|jt7898996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01111>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\|jt789>996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01711>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\|jt789<996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01511>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\|jt78928m6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]`}969;h1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pcx>2:6g<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(Ez\7fiS\7fjPdb]j[vse89:;Snw32?1b?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vir0>0<a:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Ydq5>5?l5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\g|:26:k0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWz\7fi<=>?_b{?2;5f3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rmv<6<0e>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*Kt}kUyhRjl_h]pqg6789Uhu1613c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~7<3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Zjr|5;5?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\hpr;:79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^nvp959;k1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pltv?0;5e3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rbzt=7=7g=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:T`xz36?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vf~x1913c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~743=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Zjr|535?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\|jt;879i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv979;k1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%F\7fxlPre]ggZoX{|h;<=>Pxnp?6;5e3\:$k\7fh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;<Rv`r=1=7g=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Ttb|34?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vrd~1;13c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx7:3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/LqvfZtcWmiTeR}zb1234Z~hz5=5?o5Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!Bst`\vaYckVcT\7fxl?012\|jt;079i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv9?9:01^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]36<=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ>289V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`U9>45Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!}d^f`[lY4:01^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]76<=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ:289V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`U=>45Z0.eqb+ta'nf;"\7fj gscp*btck8$yhn!}d^f`[lY0:01^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h];6<=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ6339V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`Uxyo>?01>3:64<]9%l~k }f.eo4+tc'nxj\7f#i}db3-vae(zmUooRgPst`3456;97997X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#\7fjPdb]j[vse89:;0?0<2:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaVy~n=>?0=1=77=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ|uc2345:36:80Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$~iQkc^k\wpd789:793==;T2,cw`)zo%l`= }d.eqev(`zmi:"\7fjl/sf\`fYnWz\7fi<=>?<7<06>S7'nxm"\7fh gm2-va)`zhy%k\7fjl1/pgg*tcWmiTeR}zb1234919;;1^<"i}f/pe+bj7&{n$k\7fo|.fpgg4(ulj%yhRjl_h]pqg6789632><4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX{|h;<=>39?0:?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-`ewt~fl~7<3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;97827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm\7f|vndv?6;4>3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz33?0e?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+bkrp'mfW<S!glq-iv4a3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY7Y+aj{'gx>>5Z0.eqb+ta'nf;"\7fj gscp*wus{&i;#{?30?00?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-u5979::1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'\7f;7>3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=66=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+s7;<78i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R>Paof34566:k1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'\7f;T=Road123444e3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)q9V8Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+s7X;Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-u5Z2Xign;<=>>289V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/bcqv|hb|5:5>45Z0.eqb+ta'nf;"\7fj gscp*wus{&i:#no}rxlfp979:01^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'jky~t`jt=0=6<=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+fguzpdnx1=12g9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+bkrp'mfW?S!glq-iv4a3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1>1229V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/w3?5;443\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)q9585>>5Z0.eqb+ta'nf;"\7fj gscp*wus{&i:#{?33?00?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-u5929:k1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'\7f;T<Road123444e3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)q9V;Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+s7X:Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/w3\0Zgil9:;<<<?;T2,cw`)zo%l`= }d.eqev(u{}y$obc\7f0328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr26<=R8&myj#|i/fn3*wb(o{kx"\7f}{s.pbiZcjx}sTjoQf319V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"|nm^gntq\7fXnkUbSb|?01205>S7'nxm"\7fh gm2-va)`zhy%~~z|/scn[`kw|pUmnRgPos234575>2_;#j|i.sd,ci6)zm%l~l}!rrvp+wgjWlg{xtQf1e9V4*aun'xm#jb?.sf,vdkXzmUnbRg>229V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f=?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov161=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}9986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at507?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs=;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz9259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq15<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex5<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw=7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}979W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<3<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw33?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:36Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}939:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<7<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;?7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:?6;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>;:Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:36;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?1;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<7<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{632?o4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;178o7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8<8Xz};m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5:5=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=6=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?1;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9099o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;?7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|525=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~753?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR>>e:W3+bta&{l$ka>!re-qtkru'je~byQ>1d9V4*aun'xm#jb?.sf,vuhsz&idyczP20g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_23f?P6(o{l%~k!hl1,q`*twf}x$ob{at^62a>S7'nxm"\7fh gm2-va)uxg~y#naznu]65`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\24c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[27b3\:$k\7fh!rg-dh5(ul&x{by| cnwmpZ>6m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY>:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86;2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?31?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28785:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9595>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2;>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?1;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64?49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=191239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>;:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;178:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S=<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_002?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[7463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W:8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S9<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_402?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[3463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W>8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S5<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_861?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^az8583:2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Ydq5;58?5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:Tot2=>508Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_b{?7;253\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Ze~4=4?>6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Uhu1;1439V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX\7f{UomyoPcnwmpZoX{|h;<=>Pcx>5:14<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[f\7f;?7>97X> gsd-vc)`d9$|~"ixar,dsae7&~nh#@}zb^uq[agsiVidyczPi^qvf5678Vir050;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qcuu>3:15<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[iss484??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Ugyy2=>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_mww8683;2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Yk}}6?29=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sa{{<4<77>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]oqq:16=90Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567We\7f\7f0:0;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qcuu>;:15<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[iss404??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Usc\7f2?>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_ymq8483;2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|d\7fSdQ|uc2345Y\7fg{6929=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sua}<2<77>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]{kw:36=90Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi$A~{m_vp\`drfWje~byQf_rwa4567Wqey080;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qwos>5:15<]9%l~k }f.eo4+qu'n}j\7f#ixdb2-sae(Ez\7fiSz|Pd`vb[firf}UbS~{m0123[}iu4>4??6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Usc\7f27>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWz\7fi<=>?_ymq8<8492_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaV:8=6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR?<1:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^005>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*quWmk\7fmRm`uov\mZ5492_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaV>8=6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR;<1:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^405>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*quWmk\7fmRm`uov\mZ1492_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaV28=6[?/fpe*w`(oe:%{\7f!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR7<a:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^qvf56785:5?l5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!xr^fbpdYdg|d\7fSdQ|uc2345:66:k0Y=!hrg,qb*ak8'}y#jyns/et`f6)\7fmi${\7fQkauc\gjsi|VcT\7fxl?012?6;5f3\:$k\7fh!rg-dh5(pz&m|m~ hwea3*rbd'~xThlzn_bmvjqYnWz\7fi<=>?<2<0e>S7'nxm"\7fh gm2-sw)`\7fhy%kzjl0/ugg*quWmk\7fmRm`uov\mZurj9:;<1:13`9V4*aun'xm#jb?.vp,crgt&n}oo= xdb-tvZbf|hUhcx`{_h]pqg67896>2>o4U1-dvc(un&mg<#y}/fubw+aplj:%{im ws]geqgXkf\7fexRgPst`3456;>79j7X> gsd-vc)`d9$|~"ixar,dsae7&~nh#z|Pd`vb[firf}UbS~{m01238284i2_;#j|i.sd,ci6)\7f{%l{l}!gvf`4+qck&}ySio{a^alqkrXaVy~n=>?0=:=7d=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)pzVnjxlQlotlw[lYt}k:;<=26>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvw\7fim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~\7fwaeu>1:7?<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,chs\7f&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(od\7fs"jcT3\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j;$z<2?>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28485;2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(~8692?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><2<17>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,r4:36;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S=Qnne234575j2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f7(~8U:Sl`k012357d<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`5*p6W;Ujbi>?0131f>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b3,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[1Yffm:;<=?=9:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< c`pq}kcs494956[?/fpe*w`(oe:%{\7f!hw`q-svrt'j8$ol|}yogw848512_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f4(khxyuck{<3<1=>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,gdtuqgo\7f0>0=f:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR;V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,chs\7f&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f4(od\7fs"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< v0>2:75<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*p64;49?6[?/fpe*w`(oe:%{\7f!hw`q-svrt'j8$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28185j2_;#j|i.sd,ci6)\7f{%l{l}!wrvp+f4(~8U;Sl`k012357d<]9%l~k }f.eo4+qu'n}j\7f#y|tr-`6*p6W8Ujbi>?0131f>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/b0,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)\7fz~x#n< v0]7[dhc89:;=?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~>219V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m`mq01<>S7'nxm"\7fh gm2-sw)`\7fhy%{~z|/scn[cskdVliSd<:;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[l4d3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQ`r12347b<]9%l~k }f.eo4+qu'n}j\7f#y|tr-qehYa}efTeRa}012354b<]9%l~k }f.eo4+qu'{kfSz|Peo]j54`<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Z76n2_;#j|i.sd,ci6)\7f{%ym`Qxr^gm[l7X:8l0Y=!hrg,qb*ak8'}y#\7fob_vp\akYn9V9:j6[?/fpe*w`(oe:%{\7f!}al]tvZciW`;T8?=4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov10>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|88?7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{2368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr4:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by:=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp0433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f:?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov461=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}2986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at80:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7<3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;87Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:66;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>2:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=0=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1<1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0>0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4:4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;<78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?0;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>6:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2:>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1812b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5<5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4>49o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az828Xz}827X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?<;4d3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw38?]qp7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|30?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0<0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz585>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:46;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?0;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<4<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1812`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6<2?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;078j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8<85l2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=;=[wr6n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:768l0Y=!hrg,qb*ak8'}y#z~ats-`kphs484:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}692<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0>0>f:W3+bta&{l$ka>!ws-ttkru'je~by2;>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<4<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>5:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8286n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:?68l0Y=!hrg,qb*ak8'}y#z~ats-`kphs404:i6[?/fpe*w`(oe:%{\7f!xpovq+firf}U;=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T=<k4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fS??j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR=>e:W3+bta&{l$ka>!ws-ttkru'je~byQ;1d9V4*aun'xm#jb?.vp,suhsz&idyczP50g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_73f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^52a>S7'nxm"\7fh gm2-sw)pxg~y#naznu];5`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\=74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;87897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=0=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:46;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;783<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><4<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5909:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?38?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28<8592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9V:9=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=R?=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^015>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5Z5592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9V>9=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=R;=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^415>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5Z1592_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9V29=6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=R7=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=2=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7:66;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87>3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<2<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6929:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6>2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<36?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18285:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:525>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?26>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\477<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T>??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P3338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\077<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X=;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T:??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P7338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\<77<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X1><0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\8T$ym` }/w6\jjoia}$ym`!\DQ,PMKAKMVZYE@ \DQ55?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0_-vdk)z&|?Scafnhv-vdk([MZ%_D@HLD]SVLK)[MZ:n6[?/fpe*w`(elg$x`~Pr`o\vaYbf8i0Y=!hrg,qb*kbe&~f|R|nm^pg[`h69:1^<"i}f/pe+wgjW{nTic?<;T2,cw`)zo%ym`Qxr^gm5a=R8&myj#|i/sqwfim(zmUy\7fyQnssgd65=R8&myj#|i/sqwfim(zmUy\7fyQnssgd[a7582_;#j|i.sd,vvredb%yhR||t^cpv`aXl;;h7X> gsd-vc)u{}hgg"|k_sqw[fijj8n0Y=!hrg,qb*tt|kf`#\7fjPrrv\gjke98o0Y=!hrg,qb*tt|kf`#\7fjPrrv\v`a;87;n7X> gsd-vc)u{}hgg"|k_sqw[wc`484:i6[?/fpe*w`(zz~i`f!}d^pppZtbo585=i5Z0.eqb+ta'{y\7fnae re]qwqYumnU;=i5Z0.eqb+ta'{y\7fnae re]qwqYumnU:=i5Z0.eqb+ta'{y\7fnae re]qwqYumnU9=i5Z0.eqb+ta'{y\7fnae ws]qwqYf{{ol>=5Z0.eqb+ta'{y\7fnae ws]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*quW{y\7fSnabb0f8Q5)`zo$yj"||tcnh+rtXzz~Tobcm10g8Q5)`zo$yj"||tcnh+rtXzz~T~hi30?3f?P6(o{l%~k!}su`oo*quW{y\7fS\7fkh<0<2`>S7'nxm"\7fh rrvahn)pzVxxxR|jg^22`>S7'nxm"\7fh rrvahn)pzVxxxR|jg^3b?PUBZVKGEL]l;TQFVZPN[@HGI>5YCB;8RLCPW]S[I;5XE@>3:3=PMH6:2;5XE@>1:==PMH686=09;VGB86813^OI0=09;VGA84813^OI0?07;VGA86<76?1\IO2<>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWKn0[_G[E^UJ@QNXIm1\^DZJ_VKGPMYE9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ0<PmhTEih4Xej\Twoj^lxxeb`>0:ZgiZKfbfx]i\7f}foo33?]bjWDcec\7fXjrrkljf=fddexxRkbpu{a?djjgz~Tjxbc6:`bgnswl2hjof{\7f_lcqo`t43jf`h6jnt`]`kphs 9#o7io{a^alqkr/9 n0hlzn_bmvjq.5!m1omyoPcnwmp-5.l2njxlQlotlw,1/c3mk\7fmRm`uov+1,b<lh~jSnaznu*5-a=ci}kTob{at)5*`>bf|hUhcx`{(9+g?agsiVidycz'9(f8`drfWje~by2?>g9geqgXkf\7fex1750?58`gosm{x=7iga(1+5?aoi 8#<7iga(02*3>bnf!;:%:5kio*26,1<l`d#=>'8;ekm,42.?2nbb%?:)69gmk.6> =0hd`'16+4?aoi 82";6jfn)3:-3=cag"9%:5kio*14,1<l`d#><'8;ekm,74.?2nbb%<<)69gmk.5< =0hd`'24+4?aoi ;<";6jfn)04-2=cag"94$94dhl+6</13mce$>'8;ekm,66.?2nbb%=>)69gmk.4: =0hd`'32+5?aoi =#=7iga(4+5?aoi ?#=7iga(6+5?aoi 1#=7iga(8+5?aoi494<7iga<02=3>bnf5;:2:5kio>26;1<l`d7=>08;ekm8429?2nbb1?:>69gmk:6>7=0hd`316<4?aoi4825;6jfn=3::3=cag6:2:5kio>14;1<l`d7><08;ekm8749?2nbb1<<>69gmk:5<7=0hd`324<4?aoi4;<5;6jfn=04:2=cag694394dhl?6<813mce0?08;ekm8669?2nbb1=>>69gmk:4:730hd`33283:2=cag68?384dhl?7;0<l`d78384dhl?1;0<l`d7:384dhl?3;0<l`d74384dhl?=;1<lf\7f\7f$='8;emvp-7.02ndyy&>0(:8`jss 8;"46j`uu*26,><lf\7f\7f$<=&8:flqq.6< 20hb{{(07*<>bh}}"::$64dnww,41.02ndyy&>8(:8`jss 83";6j`uu*1-==cg|~#>='7;emvp-46!11ocxz'23+;?air|!88%55kotv+61/?3me~x%<:)99gkpr/:?#37iazt)04-==cg|~#>5'7;emvp-4>!>1ocxz'3(:8`jss ::"46j`uu*05,><lf\7f\7f$><&8:flqq.4; =0hb{{(5+4?air|!?";6j`uu*5-2=cg|~#;$94dnww,=/03me~x%7&7:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf\7f\7f0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf\7f\7f0?618:flqq:517=0hb{{<3<;?air|59;255kotv?748?3me~x1==>`9gkpr;;:0;255kotv?76803me~x1=17:flqq:36>1ocxz35?58`jss4?4<7iazt=5=3>bh}}632:5kotv?=;><mmcm>cik5:gntq\7f4>2lbjbQheogqeqiuW\7f>T8!.Wimkm|%EHZL*Lick}aumq$46)9':8Sd~<4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|\7fah_dosp|Ys`{oxd%8&159svjaXmdz\7fuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-40<x{elShc\7ftx]wlwct`531<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5\7frne\ahvsqV~c~h}g_`qpawr/9 ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-4.9k1{~biPelrw}ZrozlycSl}|esv+7,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)6*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'5(3a?uthoVof|ywPtipfwmYf{zoyx%8&1c9svjaXmdz\7fuRzgrdqk[dutm{~#;$?m;qplcZcjx}sTxe|jsi]bwvcu|!2"=o5\7frne\ahvsqV~c~h}g_`qpawr/1 ;o7}|`g^gntq\7fX|axn\7feQnsrgqp9?=87;i7}|`g^gntq\7fX|axn\7feQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnk\7fi%:&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5\7frne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntq\7fX|axn\7feQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7c3yxdkRkbpu{\pmtb{aUeijo{e=;94;?<x{elSk{cl018twi`Wo\7fg`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|\7fah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axn\7fe&8)018twi`Wo\7fg`Rzgrdqk,=/6;2zycjQiumn\pmtb{a"2%<;4psmd[cskdV~c~h}g<883:4g<x{elSk{cl^vkv`uoWhyxi\7fz'0(3b?uthoVl~`aQ{hsgplZgt{lx\7f$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5\7frne\bpjkW}byi~fParqfvq.4!8k0|\7fah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw,</6k2zycjQiumn\pmtb{aUj\7f~k}t=;94;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnk\7fi%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;j7}|`g^dvhiYs`{oxdR`jg`vf,1/6i2zycjQiumn\pmtb{aUeijo{e)7*5d=wzfmTjxbc_ujqavnXflmjxh&9)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#;$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 1#:m6~}of]eqijX|axn\7feQaefcwa-?.9j1{~biPftno[qnumzbTbhintd>:>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;;7\7fjPd`vb[firf}"2%<>4re]geqgXkf\7fex1>1119q`Zbf|hUhcx`{<0<24>tcWmk\7fmRm`uov?6;773{nThlzn_bmvjq:468:0~iQkauc\gjsi|5>5==5}d^fbpdYdg|d\7f080>0:pg[agsiVidycz36?33?wbXlh~jSnaznu>4:46<zmUomyoPcnwmp9>99;1yhRjnt`]`kphs400;2<>4re]geqgXkf\7fex1715:pg[`h13{nT~~z<;sqw3>uea}oy~45|m`no[4mP:>1xalbc_0iT(coagVmnbh|ntnp\r1Y3$GEEI!@@ND1a7>ujiefT=fY#fhdl[bcim{k\7fc\7fQy4^6/\7ffYoizUbbyQllj?3(fYoizUx~~z20-a\vaYazl{6=!mPh`q\vaYci}kTob{at<3/gZbnfV}bhyf235.`[mgtW~xThlzn_bmvjq;6$jUmyabPfmcdwZtt|V|j`0?#c^knticjmg~jxdaa_u{sa84+kV\7fxiRkbbdfgaaYumn7; nQxr^dqat;6$jU~\7fhQkotv\vvr:9%iTycjjrgnlsZjh\7f4:'oRfns^muaw`kg~7; nQ\7frdnleaYki}oeot3>,b]gkprX\7f`n\7fd0=;,b]nwbiiW\7fkg1<"l_sf\``vs`4;;>9"l_icp[phcm{lgcz3?,b]kevYfddexxRhzlm?3(fYoizUy\7fy3>,b]geqgXkf\7fexRyfduj>55*dWakxSz|Pabi>4)eX}zoTinm20-a\gjkjggUh`bmd=1.`[rtXijaT`by20-a\vaYwf}xT{dj{h<33(fYr{lUm{kzPd`vb[firf}7; nQgar]bhhit|Vof|yw20-a\vaYci}kTob{at^uj`qn:99&hSbxjrgnlsZjh\7f4:'oRy}_qlwvZqnl}b6=="l_qplcZcjx}sTxt~j=1.`[rtXlh~jSnaznu]tmaro58:'oR~}emmb`Ztboz7; nQkotv\vvrX~hf6<!mPh`q\`drfWje~by3?,b]svjaXn|fgSyf}erj\j`af|lU}ma3>032/gZquWmo{xe3>036/gZvugnUna}zv_ujqavnXflmjxhQyam?0(fYwzfmTi`~{y^vkv`uoWhyxi\7fzPv`n>6)eX`hyTzlbfd<2/gZvugnUmyabPtipfwmYf{zoyxRxnl<3364*dgdzdbh`{_ocna8ujiefT=fY#c^tbhlbXdf}6<!mPpskn[coagV~r|h3>ky15(fYwzfmTjxbc_u{sa86+kVgnab|v_u{sa87+u;90\7f`ocl^3hSZ`nnfUlick}aumq[s2X<VrxxR?;;rppp1=sz|o27x`kesdokr3<~hfbh;5xr^c`o3=pzVigg<>4ws]geqgXkf\7fex%>&119tvZbf|hUhcx`{(0+24>quWmk\7fmRm`uov+6,773~xThlzn_bmvjq.4!8:0{\7fQkauc\gjsi|!>"==5xr^fbpdYdg|d\7f$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<\7f{UomyoPcnwmp->.991|~Rjnt`]`kphs 0#:<6y}_ecweZeh}g~7<3??;vp\`drfWje~by2>>028swYci}kTob{at=0=55=pzVnjxlQlotlw868682}ySio{a^alqkr;<7;;7z|Pd`vb[firf}6>2<>4ws]geqgXkf\7fex181119tvZbf|hUhcx`{<6<24>quWmk\7fmRm`uov?<;753~xThlzn_bmvjq:>294:<6y}_ecweZeh}g~753;4ws]fj3=pzVxxxpNOpecb?EF\7f93L187?tS4;914>=>3;8>4?;a;3451c|f==j6<5a46`90>"3?10?;?5rS45914>=>3;8>4?;a;3451c<[8?<68?k:18277?6<h0:;<:i;R74>07c290:??7>4`8234363m?<h7>51;3xW0?==821:7?<2837e?709=o0zY?kf;295?7=9j;p_87550:92?74:0;?m7?815g8 10528?37[:89;0xq405281~=;=50:\7f'5a6=:91i9:j50;7e>6<2nrB?:=5U4c87\7f`<a2821=:4r$0g7>01c3-><;7;>a:k63<<722e>:i4?:%3g6?30?2d:h<4?;:m62f<72-;o>7;87:l2`4<632e>:o4?:%3g6?30?2d:h<4=;:m62d<72-;o>7;87:l2`4<432e>:44?:%3g6?30?2d:h<4;;:m62=<72-;o>7;87:l2`4<232e>::4?:%3g6?30?2d:h<49;:m623<72-;o>7;87:l2`4<032e>:84?:%3g6?30?2d:h<47;:m621<72-;o>7;87:l2`4<>32c>5n4?::m6=5<72-;o>7;6a:l2`4<732e>4k4?:%3g6?3>i2d:h<4>;:m6<`<72-;o>7;6a:l2`4<532e>4i4?:%3g6?3>i2d:h<4<;:m6<f<72-;o>7;6a:l2`4<332e>4o4?:%3g6?3>i2d:h<4:;:m6<d<72-;o>7;6a:l2`4<132e>444?:%3g6?3>i2d:h<48;:m6<=<72-;o>7;6a:l2`4<?32e>4:4?:%3g6?3>i2d:h<46;:m65g<722e>=44?::k6<3<722c>;o4?::m7a`<72-;o>7;?f:l2`4<732e?ii4?:%3g6?37n2d:h<4>;:m7af<72-;o>7;?f:l2`4<532e?io4?:%3g6?37n2d:h<4<;:m7a<<72-;o>7;?f:l2`4<332e?i54?:%3g6?37n2d:h<4:;:m7a2<72-;o>7;?f:l2`4<132e?i;4?:%3g6?37n2d:h<48;:m7a0<72-;o>7;?f:l2`4<?32e?i94?:%3g6?37n2d:h<46;:m7a6<72-;o>7;?f:l2`4<f32e?i?4?:%3g6?37n2d:h<4m;:m7a4<72-;o>7;?f:l2`4<d32e?i=4?:%3g6?37n2d:h<4k;:m7``<72-;o>7;?f:l2`4<b32e?hi4?:%3g6?37n2d:h<4i;:m7`f<72-;o>7;?f:l2`4<6821d8il50;&2`7<28o1e=i?51098k1bf290/=i<551d8j4b628807b:k9;29 4b52<:m7c?k1;30?>i3l10;6)?k2;73b>h6l80:865`4e594?"6l;0><k5a1e3950=<g=n=6=4+1e0915`<f8n:6<84;n6g1?6=,8n968>i;o3g5?7032e?j94?:%3g6?37n2d:h<4>8:9l0c5=83.:h?4:0g9m5a7=9010c9h=:18'5a4==9l0b<j>:0c8?j2a93:1(<j=:42e?k7c93;i76a;f183>!7c:3?;j6`>d082g>=h<ll1<7*>d3864c=i9m;1=i54o5gb>5<#9m819=h4n0f2>4c<3f>oj7>5$0f1>06a3g;o=7?i;:m7`1<72-;o>7;?f:l2`4<5821d8i=50;&2`7<28o1e=i?52098m1g>290/=i<54bc8j4b62910e9o7:18'5a4=<jk0b<j>:098m1g0290/=i<54bc8j4b62;10e9o9:18'5a4=<jk0b<j>:298m1g3290/=i<54bc8j4b62=10e9o<:18'5a4=<jk0b<j>:498m1g5290/=i<54bc8j4b62?10e9o>:18'5a4=<jk0b<j>:698m1g7290/=i<54bc8j4b62110e97i:18'5a4=<jk0b<j>:898m1?b290/=i<54bc8j4b62h10e97k:18'5a4=<jk0b<j>:c98m1?d290/=i<54bc8j4b62j10e97m:18'5a4=<jk0b<j>:e98m1?>290/=i<54bc8j4b62l10e977:18'5a4=<jk0b<j>:g98m1?0290/=i<54bc8j4b628:07d:66;29 4b52=ij7c?k1;32?>o31<0;6)?k2;6`e>h6l80:>65f48694?"6l;0?ol5a1e3956=<a=386=4+1e090fg<f8n:6<:4;h6:6?6=,8n969mn;o3g5?7232c?5<4?:%3g6?2di2d:h<4>6:9j0<6=83.:h?4;c`9m5a7=9>10e9oi:18'5a4=<jk0b<j>:0:8?l2fm3:1(<j=:5ab?k7c93;276g;ae83>!7c:3>hm6`>d082e>=n<hi1<7*>d387gd=i9m;1=o54i5ca>5<#9m818no4n0f2>4e<3`>jm7>5$0f1>1ef3g;o=7?k;:k7e0<72-;o>7:la:l2`4<6m21b84o50;&2`7<3kh1e=i?51g98m1>a290/=i<54bc8j4b62;:07d:7e;29 4b52=ij7c?k1;02?>o2>:0;66l;6083>4<729qC8;>4$0g7>1063f;hj7>5;|`244<7280;6=uG4728 4c328::7b??0;29?xd3i3:1n;4>7`82g4}O<?:0V9l517y4>g<b210m6l4>8;34>f<c200o6k4>8;g9<?702j0i6l46:68~ 4c32<2;7)?:4;de?!352<=n7);7:45e?!7di3;hi6a;7b83>>o2?h0;66g:2883>!7c:3?9m6`>d083?>o2:10;6)?k2;71e>h6l80:76g:2683>!7c:3?9m6`>d081?>o2:?0;6)?k2;71e>h6l80876g:2483>!7c:3?9m6`>d087?>o2:=0;6)?k2;71e>h6l80>76g:2283>!7c:3?9m6`>d085?>o2:;0;6)?k2;71e>h6l80<76g:2083>!7c:3?9m6`>d08;?>o2:90;6)?k2;71e>h6l80276a:4083>>o2080;66a:8583>>o2=?0;6)?k2;763>h6l80;76g:5483>!7c:3?>;6`>d082?>o2==0;6)?k2;763>h6l80976g:5283>!7c:3?>;6`>d080?>o2=;0;6)?k2;763>h6l80?76g:5083>!7c:3?>;6`>d086?>o2=90;6)?k2;763>h6l80=76g:4g83>!7c:3?>;6`>d084?>o2<l0;6)?k2;763>h6l80376g:4e83>!7c:3?>;6`>d08:?>o2?00;66a:1d83>>i29o0;66g;7g83>>i2>m0;6)?k2;743>h6l80;76a:6b83>!7c:3?<;6`>d082?>i2>k0;6)?k2;743>h6l80976a:6`83>!7c:3?<;6`>d080?>i2>00;6)?k2;743>h6l80?76a:6983>!7c:3?<;6`>d086?>i2>>0;6)?k2;743>h6l80=76a:6783>!7c:3?<;6`>d084?>i2><0;6)?k2;743>h6l80376a:6583>!7c:3?<;6`>d08:?>o2;=0;6)?k2;701>h6l80;76g:3283>!7c:3?896`>d082?>o2;;0;6)?k2;701>h6l80976g:3083>!7c:3?896`>d080?>o2;90;6)?k2;701>h6l80?76g:2g83>!7c:3?896`>d086?>o2:l0;6)?k2;701>h6l80=76g:2e83>!7c:3?896`>d084?>o2:j0;6)?k2;701>h6l80376g:2c83>!7c:3?896`>d08:?>o21j0;66a:9183>!7c:3?2m6`>d083?>i20o0;6)?k2;7:e>h6l80:76a:8d83>!7c:3?2m6`>d081?>i20m0;6)?k2;7:e>h6l80876a:8b83>!7c:3?2m6`>d087?>i20k0;6)?k2;7:e>h6l80>76a:8`83>!7c:3?2m6`>d085?>i2000;6)?k2;7:e>h6l80<76a:8983>!7c:3?2m6`>d08;?>i20>0;6)?k2;7:e>h6l80276a:1c83>>i2900;66g:4c83>!7c:3??o6`>d083?>o2<h0;6)?k2;77g>h6l80:76g:4883>!7c:3??o6`>d081?>o2<10;6)?k2;77g>h6l80876g:4683>!7c:3??o6`>d087?>o2<?0;6)?k2;77g>h6l80>76g:4483>!7c:3??o6`>d085?>o2<=0;6)?k2;77g>h6l80<76g:4283>!7c:3??o6`>d08;?>o2<;0;6)?k2;77g>h6l80276g:8783>>o29j0;66g;8183>>o21m0;66a:7b83>>i20<0;66a:8283>>o2?k0;66a;ed83>!7c:3?;j6`>d083?>i3mm0;6)?k2;73b>h6l80:76a;eb83>!7c:3?;j6`>d081?>i3mk0;6)?k2;73b>h6l80876a;e883>!7c:3?;j6`>d087?>i3m10;6)?k2;73b>h6l80>76a;e683>!7c:3?;j6`>d085?>i3m?0;6)?k2;73b>h6l80<76a;e483>!7c:3?;j6`>d08;?>i3m=0;6)?k2;73b>h6l80276a;e283>!7c:3?;j6`>d08b?>i3m;0;6)?k2;73b>h6l80i76a;e083>!7c:3?;j6`>d08`?>i3m90;6)?k2;73b>h6l80o76a;dd83>!7c:3?;j6`>d08f?>i3lm0;6)?k2;73b>h6l80m76a;db83>!7c:3?;j6`>d0824>=h<mh1<7*>d3864c=i9m;1=<54o5fb>5<#9m819=h4n0f2>44<3f>o57>5$0f1>06a3g;o=7?<;:m7`=<72-;o>7;?f:l2`4<6<21d8i950;&2`7<28o1e=i?51498k1b1290/=i<551d8j4b628<07b:k5;29 4b52<:m7c?k1;34?>i3n=0;6)?k2;73b>h6l80:465`4g194?"6l;0><k5a1e395<=<g=l96=4+1e0915`<f8n:6<o4;n6e5?6=,8n968>i;o3g5?7e32e?j=4?:%3g6?37n2d:h<4>c:9l0``=83.:h?4:0g9m5a7=9m10c9kn:18'5a4==9l0b<j>:0g8?j2cn3:1(<j=:42e?k7c93;m76a;d583>!7c:3?;j6`>d0814>=h<m91<7*>d3864c=i9m;1><54i5c:>5<#9m818no4n0f2>5=<a=k36=4+1e090fg<f8n:6<54i5c4>5<#9m818no4n0f2>7=<a=k=6=4+1e090fg<f8n:6>54i5c7>5<#9m818no4n0f2>1=<a=k86=4+1e090fg<f8n:6854i5c1>5<#9m818no4n0f2>3=<a=k:6=4+1e090fg<f8n:6:54i5c3>5<#9m818no4n0f2>==<a=3m6=4+1e090fg<f8n:6454i5;f>5<#9m818no4n0f2>d=<a=3o6=4+1e090fg<f8n:6o54i5;`>5<#9m818no4n0f2>f=<a=3i6=4+1e090fg<f8n:6i54i5;:>5<#9m818no4n0f2>`=<a=336=4+1e090fg<f8n:6k54i5;4>5<#9m818no4n0f2>46<3`>2:7>5$0f1>1ef3g;o=7?>;:k7=0<72-;o>7:la:l2`4<6:21b84:50;&2`7<3kh1e=i?51298m1?4290/=i<54bc8j4b628>07d:62;29 4b52=ij7c?k1;36?>o3180;6)?k2;6`e>h6l80::65f48294?"6l;0?ol5a1e3952=<a=km6=4+1e090fg<f8n:6<64;h6ba?6=,8n969mn;o3g5?7>32c?mi4?:%3g6?2di2d:h<4>a:9j0de=83.:h?4;c`9m5a7=9k10e9om:18'5a4=<jk0b<j>:0a8?l2fi3:1(<j=:5ab?k7c93;o76g;a483>!7c:3>hm6`>d082a>=n<0k1<7*>d387gd=i9m;1=k54i5:e>5<#9m818no4n0f2>76<3`>3i7>5$0f1>1ef3g;o=7<>;:m73a<722c?;h4?::k624<72-;o>7;92:l2`4<732c>:=4?:%3g6?31:2d:h<4>;:k61c<72-;o>7;92:l2`4<532c>9h4?:%3g6?31:2d:h<4<;:k61a<72-;o>7;92:l2`4<332c>9n4?:%3g6?31:2d:h<4:;:k61g<72-;o>7;92:l2`4<132c>9l4?:%3g6?31:2d:h<48;:k61<<72-;o>7;92:l2`4<?32c>954?:%3g6?31:2d:h<46;:m7<d<72-;o>7:7c:l2`4<732e?444?:%3g6?2?k2d:h<4>;:m7<=<72-;o>7:7c:l2`4<532e?4:4?:%3g6?2?k2d:h<4<;:m7<3<72-;o>7:7c:l2`4<332e?484?:%3g6?2?k2d:h<4:;:m7<1<72-;o>7:7c:l2`4<132e?4>4?:%3g6?2?k2d:h<48;:m7<7<72-;o>7:7c:l2`4<?32e?4<4?:%3g6?2?k2d:h<46;:k67c<72-;o>7;;0:l2`4<732c>?h4?:%3g6?3382d:h<4>;:k67a<72-;o>7;;0:l2`4<532c>?n4?:%3g6?3382d:h<4<;:k67g<72-;o>7;;0:l2`4<332c>?l4?:%3g6?3382d:h<4:;:k67<<72-;o>7;;0:l2`4<132c>?54?:%3g6?3382d:h<48;:k672<72-;o>7;;0:l2`4<?32c>?;4?:%3g6?3382d:h<46;:m7<a<722c>:>4?::`730<7280;6=u+1d69557<@==?7E:90:m245<722wi8:850;394?6|,8o?698>;I640>N3>91d=nh50;9~f12c29086=4?{%3f0?`f3A><86F;619K0<=#9?:195<4$02f>7=n<j0;66g:a;29?j7c<3:17pl;5983>6<729q/=h:5f`9K022<@=<;7E:6;%354?3?:2.:<h4=;h6`>5<<a<k1<75`1e694?=zj=>h6=4<:183\7f!7b<3lj7E:84:J725=O<01/=;>55908 46b2;1b8n4?::k6e?6=3f;o87>5;|`713<72<0;6=u+1d69b`=O<>>0D98?;I6:?!7183?3>6*>0d81?l2d2900e8?50;9j1d<722c:h>4?::m2`1<722wi88950;194?6|,8o?6ko4H557?M2182B?56*>6186<7=#99o1>6g;c;29?l3f2900c<j;:188yg23j3:197>50z&2a1<am2B?;95G4728L1?<,8<;686=;%33a?4<a=i1<75f5083>>o2i3:17d?k3;29?j7c<3:17pl;5483>1<729q/=h:5fe9K022<@=<;7)??e;08m1e=831b9l4?::k2`6<722e:h94?::\7fa002=83>1<7>t$0g7>cb<@==?7E:90:&24`<53`>h6=44i4c94?=n9m91<75`1e694?=zj=?86=4;:183\7f!7b<3lo7E:84:J725=#99o1>6g;c;29?l3f2900e<j<:188k4b32900qo::e;290?6=8r.:i94id:J731=O<?:0(<>j:39j0f<722c>m7>5;h3g7?6=3f;o87>5;|`71a<72=0;6=u+1d69ba=O<>>0D98?;%33a?4<a=i1<75f5`83>>o6l:0;66a>d583>>{e;;i1<7:50;2x 4c32on0D99;;I654>"68l0>7d:l:188m0g=831b=i=50;9l5a2=831vn><i:187>5<7s-;n87hk;I640>N3>91/==k55:k7g?6=3`?j6=44i0f0>5<<g8n?6=44}c0e4?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4a93:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8m>7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<i3;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0e0?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4a=3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8m:7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<i7;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0e<?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4a13:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk9om7>54;294~"6m=0mh6F;759K036<,8:n6?5f4b83>>o2i3:17d?k3;29?j7c<3:17pl<d883>1<729q/=h:5fe9K022<@=<;7)??e;08m1e=831b9l4?::k2`6<722e:h94?::\7fa7<b=83>1<7>t$0g7>cd<@==?7E:90:&24`<53`>h6=44i4494?=n=h0;66a>d583>>{e;0o1<7:50;2x 4c32oh0D99;;I654>"68l097d:l:188m00=831b9l4?::m2`1<722wi?4h50;694?6|,8o?6kl4H557?M2182.:<h4=;h6`>5<<a<<1<75f5`83>>i6l=0;66sm3`294?2=83:p(<k;:g`8L1133A>=<6*>0d81?l2d2900e8850;9j1d<722e:h94?::\7fa7d7=83>1<7>t$0g7>cd<@==?7E:90:&24`<53`>h6=44i4494?=n=h0;66a>d583>>{e;h81<7:50;2x 4c32oh0D99;;I654>"68l097d:l:188m00=831b9l4?::m2`1<722wi?l=50;694?6|,8o?6kl4H557?M2182.:<h4=;h6`>5<<a<<1<75f5`83>>i6l=0;66sm3`694?2=83:p(<k;:g`8L1133A>=<6*>0d81?l2d2900e8850;9j1d<722e:h94?::\7fa7d3=83>1<7>t$0g7>cd<@==?7E:90:&24`<53`>h6=44i4494?=n=h0;66a>d583>>{e;h<1<7:50;2x 4c32oh0D99;;I654>"68l097d:l:188m00=831b9l4?::m2`1<722wi>im50;194?6|,8o?6<;n;I640>N3>91/==k5249j554=831b===50;9l5fb=831vn?j<:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi>h850;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa6ab=8391<7>t$0g7>43f3A><86F;619'55c=:<1b==<50;9j555=831d=nj50;9~f7b3290?6=4?{%3f0?7192B?;95G4728m05=831b9o4?::k2`f<722e:oi4?::\7fa6`1=8391<7>t$0g7>1143A><86F;619j16<722c:984?::m2ga<722wi>ik50;194?6|,8o?6<;n;I640>N3>91/==k5249j554=831b===50;9l5fb=831vn?j::187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi>h650;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa6a`=8391<7>t$0g7>43f3A><86F;619'55c=:<1b==<50;9j555=831d=nj50;9~f7b1290?6=4?{%3f0?7192B?;95G4728m05=831b9o4?::k2`f<722e:oi4?::\7fa6`?=8391<7>t$0g7>1143A><86F;619j16<722c:984?::m2ga<722wi>h>50;194?6|,8o?6<;n;I640>N3>91/==k5249j554=831b===50;9l5fb=831vn?j8:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi>ho50;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa6`7=8391<7>t$0g7>43f3A><86F;619'55c=:<1b==<50;9j555=831d=nj50;9~f7b?290?6=4?{%3f0?7192B?;95G4728m05=831b9o4?::k2`f<722e:oi4?::\7fa6`d=8391<7>t$0g7>1143A><86F;619j16<722c:984?::m2ga<722wi>h<50;194?6|,8o?6<;n;I640>N3>91/==k5249j554=831b===50;9l5fb=831vn?j6:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi>hm50;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa6`5=8391<7>t$0g7>43f3A><86F;619'55c=:<1b==<50;9j555=831d=nj50;9~f7bf290?6=4?{%3f0?7192B?;95G4728m05=831b9o4?::k2`f<722e:oi4?::\7fa6`b=8391<7>t$0g7>1143A><86F;619j16<722c:984?::m2ga<722wi>h:50;194?6|,8o?6<;n;I640>N3>91/==k5249j554=831b===50;9l5fb=831vn?jm:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi>hk50;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa6`3=8391<7>t$0g7>43f3A><86F;619'55c=:<1b==<50;9j555=831d=nj50;9~f7ca29086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>66:180>5<7s-;n87?:a:J731=O<?:0(<>j:378m4652900e<><:188k4ec2900qo=70;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>7<:180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f6>f29086=4?{%3f0?72i2B?;95G4728 46b2;?0e<>=:188m4642900c<mk:188yg5?93:187>50z&2a1<6>81C8::4H543?l342900e8l50;9j5ae=831d=nj50;9~f6?329086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>6m:180>5<7s-;n87?:a:J731=O<?:0(<>j:378m4652900e<><:188k4ec2900qo=72;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>7::180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f6>d29086=4?{%3f0?72i2B?;95G4728 46b2;?0e<>=:188m4642900c<mk:188yg5?;3:187>50z&2a1<6>81C8::4H543?l342900e8l50;9j5ae=831d=nj50;9~f6?129086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>6k:180>5<7s-;n87?:a:J731=O<?:0(<>j:378m4652900e<><:188k4ec2900qo=74;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>78:180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f6>b29086=4?{%3f0?72i2B?;95G4728 46b2;?0e<>=:188m4642900c<mk:188yg5?=3:187>50z&2a1<6>81C8::4H543?l342900e8l50;9j5ae=831d=nj50;9~f6??29086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>6i:180>5<7s-;n87?:a:J731=O<?:0(<>j:378m4652900e<><:188k4ec2900qo=76;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>76:180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f6?729086=4?{%3f0?72i2B?;95G4728 46b2;?0e<>=:188m4642900c<mk:188yg5??3:187>50z&2a1<6>81C8::4H543?l342900e8l50;9j5ae=831d=nj50;9~f6?f29086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>7>:180>5<7s-;n87?:a:J731=O<?:0(<>j:378m4652900e<><:188k4ec2900qo=78;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>7m:180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f6?529086=4?{%3f0?72i2B?;95G4728 46b2;?0e<>=:188m4642900c<mk:188yg5>k3:1?7>50z&2a1<3?:1C8::4H543?l342900e<;::188k4ec2900qo=?c;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>>m:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi?=o50;694?6|,8o?6<8>;I640>N3>91b9>4?::k6f?6=3`;oo7>5;n3``?6=3th8<44?:583>5}#9l>1=;?4H557?M2182c>?7>5;h7a>5<<a8nh6=44o0ag>5<<uk9;47>54;294~"6m=0::<5G4668L1073`?86=44i4`94?=n9mi1<75`1bf94?=zj:;m6=4;:183\7f!7b<3;==6F;759K036<a<91<75f5c83>>o6lj0;66a>ce83>>{e;8o1<7:50;2x 4c328<:7E:84:J725=n=:0;66g:b;29?l7ck3:17b?ld;29?xd49m0;694?:1y'5`2=9?;0D99;;I654>o2;3:17d;m:188m4bd2900c<mk:188yg56k3:187>50z&2a1<6>81C8::4H543?l342900e8l50;9j5ae=831d=nj50;9~f67e290?6=4?{%3f0?7192B?;95G4728m05=831b9o4?::k2`f<722e:oi4?::\7fa7g?=83>1<7>t$0g7>4063A><86F;619j16<722c>n7>5;h3gg?6=3f;hh7>5;|`0f=<72=0;6=u+1d69537<@==?7E:90:k67?6=3`?i6=44i0f`>5<<g8io6=44}c1a3?6=<3:1<v*>e58224=O<>>0D98?;h70>5<<a<h1<75f1ea94?=h9jn1<75rb2`5>5<3290;w)?j4;355>N3?=1C8;>4i4194?=n=k0;66g>db83>>i6km0;66sm3c794?2=83:p(<k;:042?M20<2B?:=5f5283>>o2j3:17d?kc;29?j7dl3:17pl<cb83>1<729q/=h:51738L1133A>=<6g:3;29?l3e2900e<jl:188k4ec2900qo=lb;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>mn:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi?n750;694?6|,8o?6<8>;I640>N3>91b9>4?::k6f?6=3`;oo7>5;n3``?6=3th8o54?:583>5}#9l>1=;?4H557?M2182c>?7>5;h7a>5<<a8nh6=44o0ag>5<<uk8;m7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<?9;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c03<?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg47?3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8;:7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<?5;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c030?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg47;3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8;>7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<?1;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c04<?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg40?3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8<:7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<85;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c040?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg40;3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8<>7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<81;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c044?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg41n3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8h57>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<l8;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0`3?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4d>3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8h97>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<l4;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0`7?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4d:3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8h=7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<l0;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c06f?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg42i3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8>57>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<:8;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c063?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg42>3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8>97>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<:4;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c067?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg42:3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8i97>54;294~"6m=0mn6F;759K036<@=30(<8?:4:1?!77m380e9m50;9j13<722c>m7>5;n3g0?6=3th9n94?:583>5}#9l>1jo5G4668L1073A>27)?90;7;6>"68l097d:l:188m00=831b9l4?::m2`1<722wi>o=50;694?6|,8o?6kl4H557?M2182B?56*>6186<7=#99o1>6g;c;29?l312900e8o50;9l5a2=831vn?l=:187>5<7s-;n87hm;I640>N3>91C845+17291=4<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<m1;290?6=8r.:i94ib:J731=O<?:0D974$043>0>53-;;i7<4i5a94?=n=?0;66g:a;29?j7c<3:17pl=b183>1<729q/=h:5fc9K022<@=<;7E:6;%354?3?:2.:<h4=;h6`>5<<a<<1<75f5`83>>i6l=0;66sm2`d94?2=83:p(<k;:g`8L1133A>=<6F;9:&225<20;1/==k52:k7g?6=3`?=6=44i4c94?=h9m>1<75rb3cf>5<3290;w)?j4;da?M20<2B?:=5G489'536==180(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0b`?6=<3:1<v*>e58ef>N3?=1C8;>4H5;8 4072<297)??e;08m1e=831b9;4?::k6e?6=3f;o87>5;|`1ef<72=0;6=u+1d69bg=O<>>0D98?;I6:?!7183?3>6*>0d81?l2d2900e8850;9j1d<722e:h94?::\7fa611=83>1<7>t$0g7>cd<@==?7E:90:J7=>"6>90>4?5+11g96>o3k3:17d;9:188m0g=831d=i:50;9~f721290?6=4?{%3f0?`e3A><86F;619K0<=#9?:195<4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg43=3:187>50z&2a1<aj2B?;95G4728L1?<,8<;686=;%33a?4<a=i1<75f5783>>o2i3:17b?k4;29?xd5<=0;694?:1y'5`2=nk1C8::4H543?M2>3-;=<7;72:&24`<53`>h6=44i4494?=n=h0;66a>d583>>{e:=91<7:50;2x 4c32oh0D99;;I654>N312.::=4:839'55c=:2c?o7>5;h75>5<<a<k1<75`1e694?=zj;>96=4;:183\7f!7b<3li7E:84:J725=O<01/=;>55908 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8?=7>54;294~"6m=0mn6F;759K036<@=30(<8?:4:1?!77m380e9m50;9j13<722c>m7>5;n3g0?6=3th98=4?:583>5}#9l>1jo5G4668L1073A>27)?90;7;6>"68l097d:l:188m00=831b9l4?::m2`1<722wi>>h50;694?6|,8o?6kl4H557?M2182B?56*>6186<7=#99o1>6g;c;29?l312900e8o50;9l5a2=831vn?=j:187>5<7s-;n87hm;I640>N3>91C845+17291=4<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<<3;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c006?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4493:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk88<7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<=f;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c01a?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg45l3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk89o7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<=b;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c01e?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4f93:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8j<7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<6f;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0:a?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4>l3:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk82o7>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo<6b;290?6=8r.:i94ib:J731=O<?:0(<>j:39j0f<722c>:7>5;h7b>5<<g8n?6=44}c0:e?6=<3:1<v*>e58ef>N3?=1C8;>4$02f>7=n<j0;66g:6;29?l3f2900c<j;:188yg4>13:187>50z&2a1<aj2B?;95G4728 46b2;1b8n4?::k62?6=3`?j6=44o0f7>5<<uk8247>54;294~"6m=0mn6F;759K036<,8:n6?5f4b83>>o2>3:17d;n:188k4b32900qo=9b;291?6=8r.:i94ic:J731=O<?:0(<>j:39j0f<722c>=7>5;h75>5<<a<k1<75`1e694?=zj:<j6=4::183\7f!7b<3lh7E:84:J725=#99o1>6g;c;29?l362900e8850;9j1d<722e:h94?::\7fa73>=83?1<7>t$0g7>ce<@==?7E:90:&24`<53`>h6=44i4394?=n=?0;66g:a;29?j7c<3:17pl<6683>0<729q/=h:5fb9K022<@=<;7)??e;08m1e=831b9<4?::k62?6=3`?j6=44o0f7>5<<uk9=57>55;294~"6m=0mo6F;759K036<,8:n6?5f4b83>>o293:17d;9:188m0g=831d=i:50;9~f601290>6=4?{%3f0?`d3A><86F;619'55c=:2c?o7>5;h72>5<<a<<1<75f5`83>>i6l=0;66sm37794?3=83:p(<k;:ga8L1133A>=<6*>0d81?l2d2900e8?50;9j13<722c>m7>5;n3g0?6=3th8:>4?:483>5}#9l>1jn5G4668L1073-;;i7<4i5a94?=n=80;66g:6;29?l3f2900c<j;:188yg51:3:197>50z&2a1<am2B?;95G4728 46b2<1b8n4?::k65?6=3`?j6=44i0f0>5<<g8n?6=44}c150?6==3:1<v*>e58eg>N3?=1C8;>4$02f>7=n<j0;66g:1;29?l312900e8o50;9l5a2=831vn>;9:180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f63229086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>:m:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi?8:50;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa71?=83>1<7>t$0g7>4063A><86F;619j16<722c>n7>5;h3gg?6=3f;hh7>5;|`016<72:0;6=u+1d69025<@==?7E:90:k67?6=3`;>97>5;n3``?6=3th88:4?:583>5}#9l>1=;?4H557?M2182c>?7>5;h7a>5<<a8nh6=44o0ag>5<<uk9>>7>53;294~"6m=0?;>5G4668L1073`?86=44i076>5<<g8io6=44}c171?6=<3:1<v*>e58224=O<>>0D98?;h70>5<<a<h1<75f1ea94?=h9jn1<75rb272>5<4290;w)?j4;647>N3?=1C8;>4i4194?=n9<?1<75`1bf94?=zj:>86=4;:183\7f!7b<3;==6F;759K036<a<91<75f5c83>>o6lj0;66a>ce83>>{e;<:1<7=50;2x 4c32==87E:84:J725=n=:0;66g>5483>>i6km0;66sm35394?2=83:p(<k;:042?M20<2B?:=5f5283>>o2j3:17d?kc;29?j7dl3:17pl<4g83>6<729q/=h:54618L1133A>=<6g:3;29?l72=3:17b?ld;29?xd4;o0;694?:1y'5`2=9?;0D99;;I654>o2;3:17d;m:188m4bd2900c<mk:188yg53m3:1?7>50z&2a1<3?:1C8::4H543?l342900e<;::188k4ec2900qo=<d;290?6=8r.:i94>609K022<@=<;7d;<:188m0d=831b=im50;9l5fb=831vn>:k:180>5<7s-;n87:83:J731=O<?:0e8=50;9j503=831d=nj50;9~f65e290?6=4?{%3f0?7192B?;95G4728m05=831b9o4?::k2`f<722e:oi4?::\7fa72`=83?1<7>t$0g7>ce<@==?7E:90:&24`<53`>h6=44i4394?=n=?0;66g:a;29?j7c<3:17pl<7d83>0<729q/=h:5fb9K022<@=<;7)??e;08m1e=831b9<4?::k62?6=3`?j6=44o0f7>5<<uk9<h7>55;294~"6m=0mo6F;759K036<,8:n6?5f4b83>>o293:17d;9:188m0g=831d=i:50;9~f61d290>6=4?{%3f0?`d3A><86F;619'55c=:2c?o7>5;h72>5<<a<<1<75f5`83>>i6l=0;66sm36`94?3=83:p(<k;:ga8L1133A>=<6*>0d81?l2d2900e8?50;9j13<722c>m7>5;n3g0?6=3th8;l4?:483>5}#9l>1jn5G4668L1073-;;i7<4i5a94?=n=80;66g:6;29?l3f2900c<j;:188yg5013:197>50z&2a1<ak2B?;95G4728 46b2;1b8n4?::k65?6=3`?=6=44i4c94?=h9m>1<75rb25;>5<2290;w)?j4;d`?M20<2B?:=5+11g96>o3k3:17d;>:188m00=831b9l4?::m2`1<722wi?:950;794?6|,8o?6km4H557?M2182.:<h4=;h6`>5<<a<;1<75f5783>>o2i3:17b?k4;29?xd4??0;684?:1y'5`2=nj1C8::4H543?!77m380e9m50;9j14<722c>:7>5;h7b>5<<g8n?6=44}c62g?6==3:1<v*>e58eg>N3?=1C8;>4$02f>7=n<j0;66g:1;29?l312900e8o50;9l5a2=831vn9?m:186>5<7s-;n87hl;I640>N3>91/==k52:k7g?6=3`?:6=44i4494?=n=h0;66a>d583>>{e<831<7;50;2x 4c32oi0D99;;I654>"68l097d:l:188m07=831b9;4?::k6e?6=3f;o87>5;|`75=<72<0;6=u+1d69bf=O<>>0D98?;%33a?4<a=i1<75f5083>>o2>3:17d;n:188k4b32900qo:>a;291?6=8r.:i94ic:J731=O<?:0(<>j:39j0f<722c>=7>5;h75>5<<a<k1<75`1e694?=zj=;<6=4::183\7f!7b<3lh7E:84:J725=#99o1>6g;c;29?l362900e8850;9j1d<722e:h94?::\7fa040=83?1<7>t$0g7>ce<@==?7E:90:&24`<53`>h6=44i4394?=n=?0;66g:a;29?j7c<3:17pl;1583>0<729q/=h:5fd9K022<@=<;7)??e;78m1e=831b9<4?::k6e?6=3`;o?7>5;n3g0?6=3th?=>4?:483>5}#9l>1jn5G4668L1073-;;i7<4i5a94?=n=80;66g:6;29?l3f2900c<j;:188yg26=3:197>50z&2a1<ak2B?;95G4728 46b2;1b8n4?::k65?6=3`?=6=44i4c94?=h9m>1<75rb524>5<4290;w)?j4;647>N3?=1C8;>4i4194?=n9<?1<75`1bf94?=zj=:=6=4<:183\7f!7b<3><?6F;759K036<a<91<75f14794?=h9jn1<75rb2d`>5<3290;w)?j4;355>N3?=1C8;>4i4194?=n=k0;66g>db83>>i6km0;66sm41794?5=83:p(<k;:550?M20<2B?:=5f5283>>o6=<0;66a>ce83>>{e;ok1<7:50;2x 4c328<:7E:84:J725=n=:0;66g:b;29?l7ck3:17b?ld;29?xd38=0;6>4?:1y'5`2=<>90D99;;I654>o2;3:17d?:5;29?j7dl3:17pl<f983>1<729q/=h:51738L1133A>=<6g:3;29?l3e2900e<jl:188k4ec2900qo:?3;297?6=8r.:i94;729K022<@=<;7d;<:188m4322900c<mk:188yg5a>3:187>50z&2a1<6>81C8::4H543?l342900e8l50;9j5ae=831d=nj50;9~f16529086=4?{%3f0?20;2B?;95G4728m05=831b=8;50;9l5fb=831vn>h;:187>5<7s-;n87?91:J731=O<?:0e8=50;9j1g<722c:hn4?::m2ga<722wi8=?50;194?6|,8o?699<;I640>N3>91b9>4?::k210<722e:oi4?::\7fa7c4=83>1<7>t$0g7>4063A><86F;619j16<722c>n7>5;h3gg?6=3f;hh7>5;|`745<72:0;6=u+1d69025<@==?7E:90:k67?6=3`;>97>5;n3``?6=3th8j=4?:583>5}#9l>1=;?4H557?M2182c>?7>5;h7a>5<<a8nh6=44o0ag>5<<uk9mj7>53;294~"6m=0?;>5G4668L1073`?86=44i076>5<<g8io6=44}c1fa?6=<3:1<v*>e58224=O<>>0D98?;h70>5<<a<h1<75f1ea94?=h9jn1<75rb2df>5<4290;w)?j4;647>N3?=1C8;>4i4194?=n9<?1<75`1bf94?=zj:oh6=4;:183\7f!7b<3;==6F;759K036<a<91<75f5c83>>o6lj0;66a>ce83>>{e<;31<7;50;2x 4c32oi0D99;;I654>"68l097d:l:188m07=831b9;4?::k6e?6=3f;o87>5;|`762<72<0;6=u+1d69b`=O<>>0D98?;%33a?3<a=i1<75f5083>>o2i3:17d?k3;29?j7c<3:17pl;2983>0<729q/=h:5fb9K022<@=<;7)??e;08m1e=831b9<4?::k62?6=3`?j6=44o0f7>5<<uk>9m7>55;294~"6m=0mo6F;759K036<,8:n6?5f4b83>>o293:17d;9:188m0g=831d=i:50;9~f14e290>6=4?{%3f0?`d3A><86F;619'55c=:2c?o7>5;h72>5<<a<<1<75f5`83>>i6l=0;66sm43g94?3=83:p(<k;:ga8L1133A>=<6*>0d81?l2d2900e8?50;9j13<722c>m7>5;n3g0?6=3th?>n4?:483>5}#9l>1jn5G4668L1073-;;i7<4i5a94?=n=80;66g:6;29?l3f2900c<j;:188yg25l3:197>50z&2a1<ak2B?;95G4728 46b2;1b8n4?::k65?6=3`?=6=44i4c94?=h9m>1<75rb50e>5<2290;w)?j4;d`?M20<2B?:=5+11g96>o3k3:17d;>:188m00=831b9l4?::m2`1<722wi8>>50;794?6|,8o?6km4H557?M2182.:<h4=;h6`>5<<a<;1<75f5783>>o2i3:17b?k4;29?xd3<=0;684?:1y'5`2=nj1C8::4H543?!77m380e9m50;9j14<722c>:7>5;h7b>5<<g8n?6=44}c677?6==3:1<v*>e58eg>N3?=1C8;>4$02f>7=n<j0;66g:1;29?l312900e8o50;9l5a2=831vn9:=:186>5<7s-;n87hl;I640>N3>91/==k52:k7g?6=3`?:6=44i4494?=n=h0;66a>d583>>{e<=;1<7;50;2x 4c32oi0D99;;I654>"68l097d:l:188m07=831b9;4?::k6e?6=3f;o87>5;|`705<72<0;6=u+1d69bf=O<>>0D98?;%33a?4<a=i1<75f5083>>o2>3:17d;n:188k4b32900qo:<f;291?6=8r.:i94ic:J731=O<?:0(<>j:39j0f<722c>=7>5;h75>5<<a<k1<75`1e694?=zj=9n6=4::183\7f!7b<3lh7E:84:J725=#99o1>6g;c;29?l362900e8850;9j1d<722e:h94?::\7fa06b=83?1<7>t$0g7>ce<@==?7E:90:&24`<53`>h6=44i4394?=n=?0;66g:a;29?j7c<3:17pl;3b83>0<729q/=h:5fb9K022<@=<;7)??e;08m1e=831b9<4?::k62?6=3`?j6=44o0f7>5<<uk>8n7>55;294~"6m=0mo6F;759K036<,8:n6?5f4b83>>o293:17d;9:188m0g=831d=i:50;9~f13f29086=4?{%3f0?72i2B?;95G4728 46b2830e<>=:188m4642900c<mk:188yg23n3:1?7>50z&2a1<6=h1C8::4H543?!77m3;27d??2;29?l77;3:17b?ld;29?xd5?h0;6>4?:1y'5`2=9<k0D99;;I654>"68l09=6g>0383>>o68:0;66a>ce83>>{e:9i1<7=50;2x 4c328?j7E:84:J725=#99o1><5f11094?=n9991<75`1bf94?=zj8l=6=4<:183\7f!7b<3;>m6F;759K036<,8:n6??4i021>5<<a8:86=44o0ag>5<<uk;m97>53;294~"6m=0:9l5G4668L1073-;;i7<>;h336?6=3`;;?7>5;n3``?6=3th:j94?:283>5}#9l>1=8o4H557?M2182.:<h4=1:k247<722c:<>4?::m2ga<722wi=k=50;194?6|,8o?6<;n;I640>N3>91/==k5209j554=831b===50;9l5fb=831vn<h=:180>5<7s-;n87?:a:J731=O<?:0(<>j:338m4652900e<><:188k4ec2900qo?i1;297?6=8r.:i94>5`9K022<@=<;7)??e;02?l77:3:17d??3;29?j7dl3:17pl>f183>6<729q/=h:514c8L1133A>=<6*>0d815>o68;0;66g>0283>>i6km0;66sm1dd94?5=83:p(<k;:07b?M20<2B?:=5+11g964=n9981<75f11194?=h9jn1<75rb0gf>5<4290;w)?j4;36e>N3?=1C8;>4$02f>77<a8:96=44i020>5<<g8io6=44}c3f`?6=;3:1<v*>e5821d=O<>>0D98?;%33a?463`;;>7>5;h337?6=3f;hh7>5;|`2af<72:0;6=u+1d6950g<@==?7E:90:&24`<592c:<?4?::k246<722e:oi4?::\7fa5`d=8391<7>t$0g7>43f3A><86F;619'55c=:81b==<50;9j555=831d=nj50;9~f4cf29086=4?{%3f0?72i2B?;95G4728 46b2;;0e<>=:188m4642900c<mk:188yg7b13:1?7>50z&2a1<6=h1C8::4H543?!77m38:7d??2;29?l77;3:17b?ld;29?xd6m10;6>4?:1y'5`2=9<k0D99;;I654>"68l09=6g>0383>>o68:0;66a>ce83>>{e9l=1<7=50;2x 4c328?j7E:84:J725=#99o1><5f11094?=n9991<75`1bf94?=zj8o=6=4<:183\7f!7b<3;>m6F;759K036<,8:n6??4i021>5<<a8:86=44o0ag>5<<uk;n97>53;294~"6m=0:9l5G4668L1073-;;i7<>;h336?6=3`;;?7>5;n3``?6=3th9;n4?:583>5}#9l>1=8m4H557?M2182.:<h4=7:k247<722c:<>4?::k241<722e:oi4?::\7fa65c=83>1<7>t$0g7>43d3A><86F;619'55c=:>1b==<50;9j555=831b==:50;9l5fb=831vn?9j:186>5<7s-;n87?:e:J731=O<?:0(<>j:318m4652900e<><:188m4632900e<>::188k4ec2900qo<>0;291?6=8r.:i94>5d9K022<@=<;7)??e;00?l77:3:17d??3;29?l77<3:17d??5;29?j7dl3:17pl=8183>6<729q/=h:514c8L1133A>=<6*>0d815>o68;0;66g>0283>>i6km0;66sm20094?5=83:p(<k;:07b?M20<2B?:=5+11g964=n9981<75f11194?=h9jn1<75rb3:1>5<3290;w)?j4;36g>N3?=1C8;>4$02f>71<a8:96=44i020>5<<a8:?6=44o0ag>5<<uk8:87>54;294~"6m=0:9n5G4668L1073-;;i7<8;h336?6=3`;;?7>5;h330?6=3f;hh7>5;|`1<1<72<0;6=u+1d6950c<@==?7E:90:&24`<5;2c:<?4?::k246<722c:<94?::k240<722e:oi4?::\7fa640=83?1<7>t$0g7>43b3A><86F;619'55c=::1b==<50;9j555=831b==:50;9j553=831d=nj50;9~f6b1290>6=4?{%3f0?72m2B?;95G4728 46b2;<0e<>=:188m4642900e<>;:188m4622900c<mk:188yg5d;3:197>50z&2a1<6=l1C8::4H543?!77m38=7d??2;29?l77;3:17d??4;29?l77=3:17b?ld;29?xd4:00;684?:1y'5`2=9<o0D99;;I654>"68l09:6g>0383>>o68:0;66g>0583>>o68<0;66a>ce83>>{e;8<1<7;50;2x 4c328?n7E:84:J725=#99o1>95f11094?=n9991<75f11694?=n99?1<75`1bf94?=zj:n>6=4::183\7f!7b<3;>i6F;759K036<,8:n6?84i021>5<<a8:86=44i027>5<<a8:>6=44o0ag>5<<uk9h>7>55;294~"6m=0:9h5G4668L1073-;;i7<9;h336?6=3`;;?7>5;h330?6=3`;;97>5;n3``?6=3th8>54?:483>5}#9l>1=8k4H557?M2182.:<h4=6:k247<722c:<>4?::k241<722c:<84?::m2ga<722wi?<;50;794?6|,8o?6<;j;I640>N3>91/==k5259j554=831b===50;9j552=831b==;50;9l5fb=831vn>j;:186>5<7s-;n87?:e:J731=O<?:0(<>j:348m4652900e<><:188m4632900e<>::188k4ec2900qo=l1;291?6=8r.:i94>5d9K022<@=<;7)??e;05?l77:3:17d??3;29?l77<3:17d??5;29?j7dl3:17pl<2683>0<729q/=h:514g8L1133A>=<6*>0d812>o68;0;66g>0283>>o68=0;66g>0483>>i6km0;66sm30694?3=83:p(<k;:07f?M20<2B?:=5+11g961=n9981<75f11194?=n99>1<75f11794?=h9jn1<75rb2f0>5<2290;w)?j4;36a>N3?=1C8;>4$02f>70<a8:96=44i020>5<<a8:?6=44i026>5<<g8io6=44}c1`4?6==3:1<v*>e5821`=O<>>0D98?;%33a?413`;;>7>5;h337?6=3`;;87>5;h331?6=3f;hh7>5;|`063<72<0;6=u+1d6950c<@==?7E:90:&24`<5>2c:<?4?::k246<722c:<94?::k240<722e:oi4?::\7fa745=83?1<7>t$0g7>43b3A><86F;619'55c=:=1b==<50;9j555=831b==:50;9j553=831d=nj50;9~f65729086=4?{%3f0?72i2B?;95G4728 46b2880e<>=:188m4642900c<mk:188yg5c:3:197>50z&2a1<6=l1C8::4H543?!77m38=7d??2;29?l77;3:17d??4;29?l77=3:17b?ld;29?xd4jo0;684?:1y'5`2=9<o0D99;;I654>"68l09:6g>0383>>o68:0;66g>0583>>o68<0;66a>ce83>>{e;;?1<7;50;2x 4c328?n7E:84:J725=#99o1>;5f11094?=n9991<75f11694?=n99?1<75`1bf94?=zj:;96=4::183\7f!7b<3;>i6F;759K036<,8:n6?:4i021>5<<a8:86=44i027>5<<a8:>6=44o0ag>5<<uk9oo7>54;294~"6m=0:9n5G4668L1073-;;i7h7;h336?6=3`;;?7>5;h330?6=3f;hh7>5;|`06`<72=0;6=u+1d6950e<@==?7E:90:&24`<a02c:<?4?::k246<722c:<94?::m2ga<722wi?9m50;094?6|,8o?6<;6;I640>N3>91/==k5139j554=831d=nj50;9~f62f29096=4?{%3f0?7212B?;95G4728 46b2880e<>=:188k4ec2900qo=;8;296?6=8r.:i94>589K022<@=<;7)??e;31?l77:3:17b?ld;29?xd4<?0;6?4?:1y'5`2=9<30D99;;I654>"68l0:>6g>0383>>i6km0;66sm35694?4=83:p(<k;:07:?M20<2B?:=5+11g957=n9981<75`1bf94?=zj:>96=4=:183\7f!7b<3;>56F;759K036<,8:n6<<4i021>5<<g8io6=44}c174?6=:3:1<v*>e5821<=O<>>0D98?;%33a?753`;;>7>5;n3``?6=3th8?h4?:383>5}#9l>1=874H557?M2182.:<h4>2:k247<722e:oi4?::\7fa76e=8381<7>t$0g7>43>3A><86F;619'55c=9;1b==<50;9l5fb=831vn>hk:181>5<7s-;n87?:9:J731=O<?:0(<>j:008m4652900c<mk:188yg5aj3:1>7>50z&2a1<6=01C8::4H543?!77m3;97d??2;29?j7dl3:17pl<f883>7<729q/=h:514;8L1133A>=<6*>0d826>o68;0;66a>ce83>>{e;o=1<7<50;2x 4c328?27E:84:J725=#99o1=?5f11094?=h9jn1<75rb2d6>5<5290;w)?j4;36=>N3?=1C8;>4$02f>44<a8:96=44o0ag>5<<uk9m?7>52;294~"6m=0:945G4668L1073-;;i7?=;h336?6=3f;hh7>5;|`0b4<72;0;6=u+1d6950?<@==?7E:90:&24`<6:2c:<?4?::m2ga<722wi?hh50;094?6|,8o?6<;6;I640>N3>91/==k5139j554=831d=nj50;9~f6cc29096=4?{%3f0?7212B?;95G4728 46b2880e<>=:188k4ec2900qo=:7;296?6=8r.:i94>589K022<@=<;7)??e;31?l77:3:17b?ld;29?xd3810;6?4?:1y'5`2=9<30D99;;I654>"68l0:>6g>0383>>i6km0;66sm45:94?2=83:p(<k;:07`?M20<2B?:=5+11g914=n9981<75f11194?=n99>1<75`1bf94?=zj;;i6=4::183\7f!7b<3;>i6F;759K036<,8:n6?=4i021>5<<a8:86=44i027>5<<a8:>6=44o0ag>5<<uk8:h7>55;294~"6m=0:9h5G4668L1073-;;i7<7;h336?6=3`;;?7>5;h330?6=3`;;97>5;n3``?6=3th9=k4?:483>5}#9l>1=8k4H557?M2182.:<h4=3:k247<722c:<>4?::k241<722c:<84?::m2ga<722wi>5750;794?6|,8o?6<;j;I640>N3>91/==k5229j554=831b===50;9j552=831b==;50;9l5fb=831vn?6m:186>5<7s-;n87?:e:J731=O<?:0(<>j:3:8m4652900e<><:188m4632900e<>::188k4ec2900qo<7d;291?6=8r.:i94>5d9K022<@=<;7)??e;00?l77:3:17d??3;29?l77<3:17d??5;29?j7dl3:17pl<dd83>6<729q/=h:514c8L1133A>=<6*>0d826>o68;0;66g>0283>>i6km0;66sm3ed94?5=83:p(<k;:07b?M20<2B?:=5+11g957=n9981<75f11194?=h9jn1<75rb2g3>5<4290;w)?j4;36e>N3?=1C8;>4$02f>44<a8:96=44i020>5<<g8io6=44}c1f5?6=;3:1<v*>e5821d=O<>>0D98?;%33a?753`;;>7>5;h337?6=3f;hh7>5;|`700<72j81<7>t$0g7>4b23A><86F;619Y0g<ds8:1=<4>3;37>4g=9k0:o7?k:07953<6m3;m6p`l9;28j`d=82.:8k4<;%364?5<,8:=695+11590>"6810?7)??9;68 46f2=1/==l54:&2`a<a12.:i=4<;%33b?2<,8;;695+10390>"69;0?7)?>3;68 4732=1/=<;54:&253<33-;:;7:4$03;>1=#983186*>1`87?!76j3>0(<?l:59'54b=<2.:=h4;;%32b?2<,88;695+13390>"6:;0?7)?=3;68 4432=1/=?;54:&263<33-;9;7:4$00;>1=#9;3186*>2`87?!75j3>0(<<l:59'57b=<2.:>h4;;%31b?2<,89;695+12390>"6;;0?7)?<3;68 4532=1/=>;54:&273<33-;8;7:4$01;>1=#9:3186*>3`87?!74j3>0(<=l:59'56b=<2.:?h4;;%30b?2<,8>;695+15390>"6<;0?7)?;3;68 4232=1/=9;54:&203<33-;?;7:4$06;>1=#9=3186*>4`87?!73j3>0(<:l:59'51b=<2.:8h4;;%365?7dk2.:9?4i9:&216<a12.:h;4>cb9'5a1=9ji0b<j7:008j4b>2880(<jj:0a`?!77k3>0(<>k:59'03c=<?n0(98i:54g?k2083;97c:81;31?!7b9390e9j50;9j0`<722c>87>5;h76>5<<ao<1<75f1ec94?=n9mh1<75ff683>>o6m;0;66g>e283>>i3n3:17b;?:188m7`=83.:h?4=e:l2`4<732c9h7>5$0f1>7c<f8n:6<54i3a94?"6l;09i6`>d081?>o5j3:1(<j=:3g8j4b62:10e?o50;&2`7<5m2d:h<4;;:k0<?6=,8n96?k4n0f2>0=<a:=1<7*>d381a>h6l80=76g<6;29 4b52;o0b<j>:698m63=83.:h?4=e:l2`4<?32c887>5$0f1>7c<f8n:6454i2194?"6l;09i6`>d08b?>o4:3:1(<j=:3g8j4b62k10e>?50;&2`7<5m2d:h<4l;:k04?6=,8n96?k4n0f2>a=<a;31<7*>d381a>h6l80n76g<f;29 4b52:o0b<j>:198m6b=83.:h?4<e:l2`4<632c8o7>5$0f1>6c<f8n:6?54i2`94?"6l;08i6`>d080?>o4i3:1(<j=:2g8j4b62=10e9650;&2`7<4m2d:h<4:;:k73?6=,8n96>k4n0f2>3=<a=<1<7*>d380a>h6l80<76g;5;29 4b52:o0b<j>:998m12=83.:h?4<e:l2`4<>32c??7>5$0f1>6c<f8n:6l54i5094?"6l;08i6`>d08a?>o393:1(<j=:2g8j4b62j10e9>50;&2`7<4m2d:h<4k;:k0=?6=,8n96>k4n0f2>`=<a>?1<7*>d3840>h6l80;76g83;29 4b52>>0b<j>:098m27=83.:h?484:l2`4<532c<<7>5$0f1>22<f8n:6>54i7d94?"6l;0<86`>d087?>o1m3:1(<j=:668j4b62<10e;j50;&2`7<0<2d:h<49;:k5g?6=,8n96::4n0f2>2=<a?h1<7*>d3840>h6l80376g9a;29 4b52>>0b<j>:898m3?=83.:h?484:l2`4<f32c=47>5$0f1>22<f8n:6o54i7494?"6l;0<86`>d08`?>o1=3:1(<j=:668j4b62m10e;:50;&2`7<0<2d:h<4j;:k57?6=,8n96::4n0f2>c=<a?81<7*>d3840>h6l80:<65f6083>!7c:3=?7c?k1;32?>o183:1(<j=:668j4b628807d;i:18'5a4=?=1e=i?51298m0c=83.:h?484:l2`4<6<21b9i4?:%3g6?133g;o=7?:;:k4g?6=,8n96::4n0f2>40<3`=i6=4+1e0931=i9m;1=:54i6c94?"6l;0<86`>d082<>=n?00;6)?k2;57?k7c93;276g88;29 4b52>>0b<j>:0c8?l10290/=i<5759m5a7=9k10e:850;&2`7<0<2d:h<4>c:9j37<72-;o>79;;o3g5?7c32c=;7>5$0f1>22<f8n:6<k4;h7`>5<#9m81;95a1e395c=<a0<1<7*>d38:1>h6l80;76g64;29 4b520?0b<j>:098m<4=83.:h?465:l2`4<532c2=7>5$0f1><3<f8n:6>54i8294?"6l;0296`>d087?>o?n3:1(<j=:878j4b62<10e5k50;&2`7<>=2d:h<49;:k;`?6=,8n964;4n0f2>2=<a1i1<7*>d38:1>h6l80376g7b;29 4b520?0b<j>:898m=g=83.:h?465:l2`4<f32c357>5$0f1><3<f8n:6o54i9594?"6l;0296`>d08`?>o?>3:1(<j=:878j4b62m10e5;50;&2`7<>=2d:h<4j;:k;0?6=,8n964;4n0f2>c=<a191<7*>d38:1>h6l80:<65f8383>!7c:33>7c?k1;32?>o?93:1(<j=:878j4b628807d6?:18'5a4=1<1e=i?51298m2`=83.:h?465:l2`4<6<21b;h4?:%3g6??23g;o=7?:;:k:`?6=,8n964;4n0f2>40<3`3h6=4+1e09=0=i9m;1=:54i8`94?"6l;0296`>d082<>=n1h0;6)?k2;;6?k7c93;276g69;29 4b520?0b<j>:0c8?l??290/=i<5949m5a7=9k10e4950;&2`7<>=2d:h<4>c:9j=6<72-;o>77:;o3g5?7c32c347>5$0f1><3<f8n:6<k4;h5g>5<#9m81585a1e395c=<ah81<7*>d38b5>h6l80;76gn0;29 4b52h;0b<j>:098m<`=83.:h?4n1:l2`4<532c2i7>5$0f1>d7<f8n:6>54i`594?"6l;0j:6`>d083?>of=3:1(<j=:`48j4b62810el:50;&2`7<f>2d:h<4=;:kb7?6=,8n96l84n0f2>6=<a=<<6=4+1e09030<f8n:6=54i546>5<#9m818;84n0f2>4=<a=<?6=4+1e09030<f8n:6?54i540>5<#9m818;84n0f2>6=<a=<h6=4+1e0903d<f8n:6=54i54b>5<#9m818;l4n0f2>4=<a=<26=4+1e0903d<f8n:6?54i54;>5<#9m818;l4n0f2>6=<gj;1<7*>d38`4>h6l80;76amf;29 4b52j:0b<j>:098kgb=83.:h?4l0:l2`4<532eio7>5$0f1>f6<f8n:6>54oc`94?"6l;0h<6`>d087?>iei3:1(<j=:b28j4b62<10co750;&2`7<d82d:h<49;:ma<?6=,8n96n>4n0f2>2=<gk=1<7*>d38`4>h6l80376am6;29 4b52j:0b<j>:898kg3=83.:h?4l0:l2`4<f32ei87>5$0f1>f6<f8n:6o54oc094?"6l;0h<6`>d08`?>ie93:1(<j=:b28j4b62m10co>50;&2`7<d82d:h<4j;:mbb?6=,8n96n>4n0f2>c=<gho1<7*>d38`4>h6l80:<65`ae83>!7c:3i;7c?k1;32?>ifk3:1(<j=:b28j4b628807bom:18'5a4=k91e=i?51298kdg=83.:h?4l0:l2`4<6<21dm44?:%3g6?e73g;o=7?:;:m`<?6=,8n96n>4n0f2>40<3fi<6=4+1e09g5=i9m;1=:54ob494?"6l;0h<6`>d082<>=hk<0;6)?k2;a3?k7c93;276al4;29 4b52j:0b<j>:0c8?je4290/=i<5c19m5a7=9k10cn<50;&2`7<d82d:h<4>c:9lf`<72-;o>7m?;o3g5?7c32ei?7>5$0f1>f6<f8n:6<k4;nc;>5<#9m81o=5a1e395c=<gl91<7*>d38f6>h6l80;76aj1;29 4b52l80b<j>:098ka`=83.:h?4j2:l2`4<532eoi7>5$0f1>`4<f8n:6>54oef94?"6l;0n>6`>d087?>ick3:1(<j=:d08j4b62<10cil50;&2`7<b:2d:h<49;:mge?6=,8n96h<4n0f2>2=<gm31<7*>d38f6>h6l80376ak8;29 4b52l80b<j>:898ka1=83.:h?4j2:l2`4<f32eo:7>5$0f1>`4<f8n:6o54oe694?"6l;0n>6`>d08`?>ic;3:1(<j=:d08j4b62m10ci<50;&2`7<b:2d:h<4j;:mg5?6=,8n96h<4n0f2>c=<gm:1<7*>d38f6>h6l80:<65`cg83>!7c:3o97c?k1;32?>idm3:1(<j=:d08j4b628807bmk:18'5a4=m;1e=i?51298kfe=83.:h?4j2:l2`4<6<21doo4?:%3g6?c53g;o=7?:;:mfe?6=,8n96h<4n0f2>40<3fo26=4+1e09a7=i9m;1=:54od:94?"6l;0n>6`>d082<>=hm>0;6)?k2;g1?k7c93;276aj6;29 4b52l80b<j>:0c8?jc2290/=i<5e39m5a7=9k10ch:50;&2`7<b:2d:h<4>c:9la5<72-;o>7k=;o3g5?7c32eo97>5$0f1>`4<f8n:6<k4;nab>5<#9m81i?5a1e395c=<go:1<7*>d38fb>h6l80;76aje;29 4b52ll0b<j>:098k`b=83.:h?4jf:l2`4<532eno7>5$0f1>``<f8n:6>54og794?"6l;0m86`>d083?>ia;3:1(<j=:g68j4b62810ck<50;&2`7<a<2d:h<4=;:me5?6=,8n96k:4n0f2>6=<uk>?:7>5c383>5}#9l>1=i;4H557?M2182P?n7mt11825?7428>1=l4>b;3`>4b=9<0::7?j:0d9yke>291eio4?;%37b?5<,8?;6>5+11490>"68>0?7)??8;68 46>2=1/==o54:&24g<33-;oh7h6;%3f4?5<,8:m695+10290>"6980?7)?>2;68 4742=1/=<:54:&250<33-;::7:4$034>1=#982186*>1887?!76i3>0(<?m:59'54e=<2.:=i4;;%32a?2<,8;m695+13290>"6:80?7)?=2;68 4442=1/=?:54:&260<33-;9:7:4$004>1=#9;2186*>2887?!75i3>0(<<m:59'57e=<2.:>i4;;%31a?2<,88m695+12290>"6;80?7)?<2;68 4542=1/=>:54:&270<33-;8:7:4$014>1=#9:2186*>3887?!74i3>0(<=m:59'56e=<2.:?i4;;%30a?2<,89m695+15290>"6<80?7)?;2;68 4242=1/=9:54:&200<33-;?:7:4$064>1=#9=2186*>4887?!73i3>0(<:m:59'51e=<2.:8i4;;%37a?2<,8?:6<ml;%366?`>3-;>?7h6;%3g2?7dk2.:h:4>cb9m5a>=9;1e=i75139'5ac=9ji0(<>l:59'55b=<2.?:h4;6e9'03`=<?n0b99?:008j1162880(<k>:29j0a<722c?i7>5;h77>5<<a<?1<75ff783>>o6lh0;66g>dc83>>oa?3:17d?j2;29?l7b;3:17b:i:188k06=831b>k4?:%3g6?4b3g;o=7>4;h0g>5<#9m81>h5a1e395>=n:j0;6)?k2;0f?k7c93807d<m:18'5a4=:l1e=i?53:9j6d<72-;o>7<j;o3g5?2<3`936=4+1e096`=i9m;1965f3683>!7c:38n7c?k1;48?l51290/=i<52d9m5a7=?21b?84?:%3g6?4b3g;o=764;h17>5<#9m81>h5a1e39=>=n;:0;6)?k2;0f?k7c93k07d==:18'5a4=:l1e=i?5b:9j74<72-;o>7<j;o3g5?e<3`9;6=4+1e096`=i9m;1h65f2883>!7c:38n7c?k1;g8?l5a290/=i<53d9m5a7=821b?i4?:%3g6?5b3g;o=7?4;h1`>5<#9m81?h5a1e396>=n;k0;6)?k2;1f?k7c93907d=n:18'5a4=;l1e=i?54:9j0=<72-;o>7=j;o3g5?3<3`><6=4+1e097`=i9m;1:65f4783>!7c:39n7c?k1;58?l22290/=i<53d9m5a7=021b894?:%3g6?5b3g;o=774;h60>5<#9m81?h5a1e39e>=n<;0;6)?k2;1f?k7c93h07d:>:18'5a4=;l1e=i?5c:9j05<72-;o>7=j;o3g5?b<3`926=4+1e097`=i9m;1i65f7483>!7c:3=?7c?k1;28?l14290/=i<5759m5a7=921b;<4?:%3g6?133g;o=7<4;h53>5<#9m81;95a1e397>=n>o0;6)?k2;57?k7c93>07d8j:18'5a4=?=1e=i?55:9j2a<72-;o>79;;o3g5?0<3`<h6=4+1e0931=i9m;1;65f6c83>!7c:3=?7c?k1;:8?l0f290/=i<5759m5a7=121b:44?:%3g6?133g;o=7o4;h4;>5<#9m81;95a1e39f>=n>?0;6)?k2;57?k7c93i07d8::18'5a4=?=1e=i?5d:9j21<72-;o>79;;o3g5?c<3`<86=4+1e0931=i9m;1j65f6383>!7c:3=?7c?k1;33?>o193:1(<j=:668j4b628;07d8?:18'5a4=?=1e=i?51398m0`=83.:h?484:l2`4<6;21b9h4?:%3g6?133g;o=7?;;:k6`?6=,8n96::4n0f2>43<3`=h6=4+1e0931=i9m;1=;54i6`94?"6l;0<86`>d0823>=n?h0;6)?k2;57?k7c93;376g89;29 4b52>>0b<j>:0;8?l1?290/=i<5759m5a7=9h10e:950;&2`7<0<2d:h<4>b:9j33<72-;o>79;;o3g5?7d32c<>7>5$0f1>22<f8n:6<j4;h44>5<#9m81;95a1e395`=<a<i1<7*>d3840>h6l80:j65f9783>!7c:33>7c?k1;28?l?3290/=i<5949m5a7=921b5?4?:%3g6??23g;o=7<4;h;2>5<#9m81585a1e397>=n190;6)?k2;;6?k7c93>07d6i:18'5a4=1<1e=i?55:9j<`<72-;o>77:;o3g5?0<3`2o6=4+1e09=0=i9m;1;65f8b83>!7c:33>7c?k1;:8?l>e290/=i<5949m5a7=121b4l4?:%3g6??23g;o=7o4;h::>5<#9m81585a1e39f>=n0>0;6)?k2;;6?k7c93i07d69:18'5a4=1<1e=i?5d:9j<0<72-;o>77:;o3g5?c<3`2?6=4+1e09=0=i9m;1j65f8283>!7c:33>7c?k1;33?>o?:3:1(<j=:878j4b628;07d6>:18'5a4=1<1e=i?51398m=6=83.:h?465:l2`4<6;21b;k4?:%3g6??23g;o=7?;;:k4a?6=,8n964;4n0f2>43<3`3o6=4+1e09=0=i9m;1=;54i8a94?"6l;0296`>d0823>=n1k0;6)?k2;;6?k7c93;376g6a;29 4b520?0b<j>:0;8?l?>290/=i<5949m5a7=9h10e4650;&2`7<>=2d:h<4>b:9j=2<72-;o>77:;o3g5?7d32c2?7>5$0f1><3<f8n:6<j4;h:;>5<#9m81585a1e395`=<a>n1<7*>d38:1>h6l80:j65fa383>!7c:3k:7c?k1;28?lg7290/=i<5a09m5a7=921b5k4?:%3g6?g63g;o=7<4;h;f>5<#9m81m<5a1e397>=ni>0;6)?k2;c5?k7c93:07do::18'5a4=i?1e=i?51:9je1<72-;o>7o9;o3g5?4<3`k86=4+1e09e3=i9m;1?65f47594?"6l;0?:;5a1e394>=n<??1<7*>d38723=i9m;1=65f47694?"6l;0?:;5a1e396>=n<?91<7*>d38723=i9m;1?65f47a94?"6l;0?:o5a1e394>=n<?k1<7*>d3872g=i9m;1=65f47;94?"6l;0?:o5a1e396>=n<?21<7*>d3872g=i9m;1?65`c083>!7c:3i;7c?k1;28?jda290/=i<5c19m5a7=921dni4?:%3g6?e73g;o=7<4;n``>5<#9m81o=5a1e397>=hjk0;6)?k2;a3?k7c93>07bln:18'5a4=k91e=i?55:9lf<<72-;o>7m?;o3g5?0<3fh36=4+1e09g5=i9m;1;65`b683>!7c:3i;7c?k1;:8?jd1290/=i<5c19m5a7=121dn84?:%3g6?e73g;o=7o4;n`7>5<#9m81o=5a1e39f>=hj;0;6)?k2;a3?k7c93i07bl>:18'5a4=k91e=i?5d:9lf5<72-;o>7m?;o3g5?c<3fkm6=4+1e09g5=i9m;1j65`ad83>!7c:3i;7c?k1;33?>ifl3:1(<j=:b28j4b628;07bol:18'5a4=k91e=i?51398kdd=83.:h?4l0:l2`4<6;21dml4?:%3g6?e73g;o=7?;;:mb=?6=,8n96n>4n0f2>43<3fi36=4+1e09g5=i9m;1=;54ob594?"6l;0h<6`>d0823>=hk?0;6)?k2;a3?k7c93;376al5;29 4b52j:0b<j>:0;8?je3290/=i<5c19m5a7=9h10cn=50;&2`7<d82d:h<4>b:9lg7<72-;o>7m?;o3g5?7d32eii7>5$0f1>f6<f8n:6<j4;n`0>5<#9m81o=5a1e395`=<gh21<7*>d38`4>h6l80:j65`e283>!7c:3o97c?k1;28?jc6290/=i<5e39m5a7=921dhk4?:%3g6?c53g;o=7<4;nff>5<#9m81i?5a1e397>=hlm0;6)?k2;g1?k7c93>07bjl:18'5a4=m;1e=i?55:9l`g<72-;o>7k=;o3g5?0<3fnj6=4+1e09a7=i9m;1;65`d883>!7c:3o97c?k1;:8?jb?290/=i<5e39m5a7=121dh:4?:%3g6?c53g;o=7o4;nf5>5<#9m81i?5a1e39f>=hl=0;6)?k2;g1?k7c93i07bj<:18'5a4=m;1e=i?5d:9l`7<72-;o>7k=;o3g5?c<3fn:6=4+1e09a7=i9m;1j65`d183>!7c:3o97c?k1;33?>idn3:1(<j=:d08j4b628;07bmj:18'5a4=m;1e=i?51398kfb=83.:h?4j2:l2`4<6;21don4?:%3g6?c53g;o=7?;;:m`f?6=,8n96h<4n0f2>43<3foj6=4+1e09a7=i9m;1=;54od;94?"6l;0n>6`>d0823>=hm10;6)?k2;g1?k7c93;376aj7;29 4b52l80b<j>:0;8?jc1290/=i<5e39m5a7=9h10ch;50;&2`7<b:2d:h<4>b:9la1<72-;o>7k=;o3g5?7d32en<7>5$0f1>`4<f8n:6<j4;nf6>5<#9m81i?5a1e395`=<gjk1<7*>d38f6>h6l80:j65`f183>!7c:3om7c?k1;28?jcb290/=i<5eg9m5a7=921dii4?:%3g6?ca3g;o=7<4;ng`>5<#9m81ik5a1e397>=hn<0;6)?k2;d7?k7c93:07bh<:18'5a4=n=1e=i?51:9lb7<72-;o>7h;;o3g5?4<3fl:6=4+1e09b1=i9m;1?65rb2fg>5<4290;w)?j4;36f>N3?=1C8;>4$02f>44<a8:96=44i020>5<<g8?=6=44}c02<?6=;3:1<v*>e5821g=O<>>0D98?;%33a?463`;;>7>5;h337?6=3f;>:7>5;|`15<<72=0;6=u+1d6950b<@==?7E:90:&24`<5:2c:<?4?::k246<722c:<94?::m213<722wi><o50;794?6|,8o?6<;i;I640>N3>91/==k5229j554=831b===50;9j552=831b==;50;9l500=831vn?69:180>5<7s-;n87?:b:J731=O<?:0(<>j:338m4652900e<><:188k4312900qo<77;290?6=8r.:i94>5e9K022<@=<;7)??e;01?l77:3:17d??3;29?l77<3:17b?:6;29?xd5010;684?:1y'5`2=9<l0D99;;I654>"68l09?6g>0383>>o68:0;66g>0583>>o68<0;66a>5783>>{t=>31<7=t^45:?85483;;>63;498247=z{=2i6=4k6z\7<a=Y<>n0R86:;_7;7>X3?j1U9:m4^43f?[3?<2T>=k5Q5538Z1>f3W>356P;899]0=1<V=2=7S:75:\7<1=Y<190R96=;_6;5>;3?<0:<=5244491d=:<=h19l5244791d=:<<>19l5244191d=:<<o19l5244f91d=:;9i19o5231`91g=:;9k19o5231;91g=:;9219o5230d91g=:;8o19o5230f91g=:;8i19o5230`91g=:;k319o523c:91g=:;k=19o523c491g=:;k?19o523ba91g=:;jh19o523bc91g=:;j319o523b:91g=:;=h19o5235;91g=:;==19o5235791g=:;=919o5235391g=:;:l19o5232f91g=:;:h19o523ga91g=:;ok19o523g:91g=:;o<19o523g691g=:;o819o523g291g=:;lo19o523da91g=:<=?18i5245790`=:<=?1=io4=566>4be34>?97?j2:?700<5n27?884<2:?700<4927?884<0:?700<5127?884<f:?700<3:27?884;1:?700<3827?884<9:?700<0=27?88483:?700<0927?88480:?700<1n27?8849e:?700<1l27?8849c:?700<1j27?8849a:?700<1127?88498:?700<1>27?88495:?700<1<27?88493:?700<>>27?88464:?700<>:27?88461:?700<>827?8847f:?700<?m27?8847d:?700<?k27?8847b:?700<?i27?88479:?700<??27?88476:?700<?=27?88474:?700<?;27?88472:?700<?927?88470:?700<0n27?8848e:?700<>l27?8846c:?700<>j27?8846a:?700<>127?88468:?700<>?27?88463:?700<?027?8848d:?700<f:27?884n0:?700<f?27?884n5:?700<f<27?884n3:?700<3>j1689;547c891222=<270:;5;65<>;3<?0?h63;4787a>;3<?0:hl5245495ad<5=>=6<k=;<672?4a34>?:7==;<672?5634>?:7=?;<672?4>34>?:7=i;<672?2534>?:7:>;<672?2734>?:7=6;<672?1234>?:79<;<672?1634>?:79?;<672?0a34>?:78j;<672?0c34>?:78l;<672?0e34>?:78n;<672?0>34>?:787;<672?0134>?:78:;<672?0334>?:78<;<672??134>?:77;;<672??534>?:77>;<672??734>?:76i;<672?>b34>?:76k;<672?>d34>?:76m;<672?>f34>?:766;<672?>034>?:769;<672?>234>?:76;;<672?>434>?:76=;<672?>634>?:76?;<672?1a34>?:79j;<672??c34>?:77l;<672??e34>?:77n;<672??>34>?:777;<672??034>?:77<;<672?>?34>?:79k;<672?g534>?:7o?;<672??a34>?:77j;<672?g034>?:7o:;<672?g334>?:7o<;<672?21k27?8;4;6`9>010=<?3019:9:54;?xu2?k0;6>uQ56`8913128n870:;b;3g7>{t=831<7<t^43:?855n3;o86s|58a94?0|V<3h70=ke;336>;4lo0:<?523d29554<5:o:6<>=;<1g`?77:2wx9;=50;72\7f[31;27?8i4;c:?70f<3k27?8o4;c:?710<3k27?994;c:?716<3k278>n4;c:?06c<3k279j=4;c:?1b4<3k279j?4;c:?1b6<3k279j94;c:?1b0<3k279j;4;c:?1b2<3k279j54;c:?1b<<3k279;54;c:?132<3k279;;4;c:?130<3k279;94;c:?136<3k279;?4;c:?134<3k279;=4;c:?12c<3k279o44;c:?1g=<3k279o:4;c:?1g3<3k279o84;c:?1g1<3k279o>4;c:?1g7<3k279o<4;c:?1g5<3k279n84;c:?1f1<3k279n>4;c:?1f7<3k279n<4;c:?1f5<3k279mk4;c:?1e`<3k279mi4;c:?1ef<3k279?>4;c:?177<3k279?<4;c:?175<3k279>k4;c:?16`<3k279>i4;c:?16f<3k279>o4;c:?16d<3k278:o4;c:?02d<3k278:54;c:?022<3k278:44;c:?023<3k278:84;c:?026<3k278:?4;c:?021<3k278;k4;c:?03`<3k278;i4;c:?03f<3k278;o4;c:?03d<3k278;44;c:?03=<3k278;:4;c:?033<3k27?884:5:?703<2=2wx9<l50;0xZ07e349om7?k4:\7fp1=0=83?jwS;76:?71=<3k27?9;4;c:?712<3k27?9h4;c:?71a<3k278hl4;c:?0`<<3k2785i4;c:?0=`<3k2785k4;c:?0e5<3k278m<4;c:?0e7<3k278m>4;c:?0e1<3k278m84;c:?0e3<3k279<l4;c:?14<<3k279<54;c:?142<3k279<;4;c:?140<3k279<94;c:?146<3k279<?4;c:?144<3k2799o4;c:?11d<3k279944;c:?11=<3k2799:4;c:?113<3k279984;c:?111<3k2799>4;c:?117<3k2798:4;c:?103<3k279884;c:?101<3k2798>4;c:?107<3k2798<4;c:?105<3k279?k4;c:?17`<3k279m<4;c:?1e5<3k2795k4;c:?1=`<3k2795i4;c:?1=f<3k2795o4;c:?1=d<3k279544;c:?1==<3k27?=n4;c:?75g<3k27?=44;c:?75=<3k27?=l4;c:?752<3k27?=;4;c:?751<3k27?=>4;c:?750<3k27?>44;c:?762<3k27?>54;c:?76d<3k27?>o4;c:?76`<3k27?>n4;c:?76a<3k27?>k4;c:?775<3k27?894;c:?706<3k27?8?4;c:?704<3k27?8=4;c:?77c<3k27??h4;c:?77a<3k27??n4;c:?77g<3k27?884:4:?703<2<2wx94l50;0xZ0?7349j:7?k4:\7fp1<?=838pR86i;<1b1?7c<2wx94650;0xZ0>b349j87?k4:\7fp1<1=838pR86k;<1b7?7c<2wx94850;0xZ0>d349j>7?k4:\7fp1<3=838pR86m;<1b5?7c<2wx94:50;0xZ0>f349j<7?k4:\7fp1<5=838pR866;<1:b?7c<2wx94<50;0xZ0>?3492i7?k4:\7fp1<7=838pR868;<1:`?7c<2wx9<>50;0xZ1cb34>?:7j?;|q64`<72;qU8hj4=565>f`<uz?;h7>52z\7af=:<=<1oh5rs42`>5<5sW>nn63;478``>{t=9k1<7<t^5g:?823>3ih7p}:0883>7}Y<l2019:9:b`8yv3703:1>vP;e69>010=mh1v\7f8>8:181\7f[2b>27?8;4j9:\7fp150=838pR9k:;<672?c?3ty><84?:3y]0`2<5=>=6h94}r730?6=:rT?i>524549a3=z{<:86=4={_6f6>;3<?0n96s|51094?4|V=o:70:;6;g7?xu2880;6?uQ4d2891212l:0q~:if;296~X3ll168985d49~w1`b2909wS:kd:?703<di2wx8kj50;0xZ1bd34>?97h=;|q7bf<72;qU8il4=566>a6<uz>mn7>52z\7`d=:<=?1ok5rs5db>5<5sW>o563;448`a>{t<o31<7<t^5f;?823=3io7p};f983>7}Y<m=019:::ba8yv2a?3:1>vP;d79>013=kk1v\7f9h9:181\7f[2c=27?884ja:\7fp141=838pR9h;;<671?c>3ty>=;4?:3y]0c5<5=>>6k?4}r721?6=:rT?j?524579a==z{<;?6=4={_6e5>;3<<0n;6s|50194?4|V=l;70:;5;g5?xu29;0;6?uQ4dd891222l?0q~;>1;296~X3mh1689;5e59~w06e2909wS:kf:?700<b82wx9=>50;0xZ1b334>?97j:;|q7b0<72;qU8i=4=566>fg<uz?<47>52z\62a=::o31=i:4}r742?6=:rT>:n522g:95a2<uz?<97>52z\62g=::o=1=i:4}r740?6=:rT>:l522g495a2<uz?<?7>52z\62<=::o?1=i:4}r746?6=:rT>:5522g695a2<uz?<=7>52z\622=::o91=i:4}r744?6=:rT>:;522g095a2<uz?=j7>52z\620=::o;1=i:4}r75a?6=:rT>:9522g295a2<uz>hn7>52z\7e<=:<=<1:?5rs5a:>5<5sW>j463;47855>{t<j21<7<t^5c4?823>3<;7p};c683>7}Y<h<019:9:4d8yv2d=3:1>vP;a59>010==l1v\7f9m;:181\7f[2f;27?8;4:d:\7fp0f5=838pR9o=;<672?1d3ty?o?4?:3y]0d7<5=>=6:l4}r6`5?6=:rT?m=5245493d=z{=i;6=4={_6:b>;3<?0<56s|4cd94?4|V=3n70:;6;5;?xu3jl0;6?uQ48f891212>=0q~:md;296~X31j168985779~w1dd2909wS:6b:?703<0:2wx8oo50;0xZ1?>34>?:788;|q7f<<72;qU8464=565>0e<uz>i47>52z\7=2=:<=?15k5rs5`4>5<5sW>2:63;44856>{t<k<1<7<t^5;6?823=3<:7p};b483>7}Y<0>019:::728yv2e<3:1>vP;929>013==o1v\7f9l<:181\7f[2>:27?884:e:\7fp0g4=838pR97>;<671?3c3ty?n<4?:3y]0<6<5=>>6:m4}r6g6?6=:rT?mk5245793g=z{=n:6=4={_6ba>;3<<02i6s|4e294?4|V=ko70:;5;5b?xu3ko0;6?uQ4`a891222>30q~:le;296~X3ik1689;5799~w1ec2909wS:na:?700<0?2wx8nm50;0xZ1g234>?9799;|q7g3<72;qU84o4=566>24<uz>in7>52z\7<c=:<=?1::5rs5`3>5<5sW>3i63;4486g>{t9?>1<7ht=555>4ea348o?7;<;<0f2?343493<7;<;<1:7?34349;47;<;<12f?34349i97;<;<1`<?34349?h7;<;<10f?34349mi7;<;<1fg?3434>?97h9;<672?`13ty?8n4?:2y>01b==h1689m51e68912e2<;0q~:;d;296~;3<m0:h95245d9554<uz>>;7>53z?71=<2i27?9;4:1:?712<6l=1v\7f9;7:181\7f82203;o863;5`8247=z{=>i6=4<{<67g?3f34>?n7?k4:?70c<68:1v\7f9;9:180\7f822>3;o863;5686e>;3=h0:<>5rs56f>5<3s4>>97?k3:?711<6l:1688=51e18912a28io7p};5383>77|5=?>6<j;;<11g?7c;278>k4>d29>6c6==?16>k?5579>6c4==?16>k=5579>6c2==?16>k;5579>6c0==?16>k95579>6c>==?16>k75579>73d==?16?;o5579>73>==?16?;95579>73?==?16?;85579>733==?16?;=5579>734=9m901>8;:448961a2<<01>9j:448961c2<<01>9l:448961e2<<01>9n:448961>2<<01>97:44896102<<01>99:448yv2293:1>4u244695a2<5;=36884=354>00<5;==6884=356>00<5;=?6884=350>00<5;=96884=352>00<5;=;6884=34e>00<5;i26884=3a;>00<5;i<6884=3a5>00<5;i>6884=3a7>00<5;i86884=3a1>00<5;i:6884=3a3>00<5;h>6884=3`7>00<5;h86884=3`1>00<5;h:6884=3`3>00<5;km6884=3cf>00<5;ko6884=3c`>00<5;986884=311>00<5;9:6884=313>00<5;8m6884=30f>00<5;8o6884=30`>00<5;8i6884=30b>00<uz>><7>54z?716<6l=1689651118912228o870:;6;3f7>{t<<31<7=t=57f>4b434>>h7?k3:?71d<6km1v\7f9;l:181f~;3=l0:h9523ec95a5<5:n26<j<;<1:`?313492i7;9;<1:b?31349j<7;9;<1b5?31349j>7;9;<1b7?31349j87;9;<1b1?31349j:7;9;<62g?3134>:n7;9;<62=?3134>:47;9;<62e?3134>:;7;9;<622?3134>:87?k3:?756<2>27?=84:6:?76<<2>27?>:4>d29>07>==?168?o5579>07d==?168?k5579>07e==?168?j5579>07`==?168>>5579>012==?1689=5579>014==?1689?5579>016==?168>h5579>06c==?168>j5579>06e==?168>l5579~w13e29095v3;5e82`1=::9k19;5221;913=::9219;52215913=::9<19;52217913=::9>19;52211913=::9819;52213913=::<h19;5224c913=::<319;5224:913=::<=19;52244913=::<?19;52246913=::<919;52240913=::==19;52254913=::=?19;52256913=::=919;52250913=::=;19;52252913=:::l19;5222g913=::h;19;522`2913=::0l19;5228g913=::0n19;5228a913=::0h19;5228c913=::0319;5228:913=z{:8o6=4<{<11g?3f3499j7;n;<11a?7dl2wx??m50;1x964d28n?70=<0;337>;3<10:<95rs3db>5<5s48m<7;n;<0f2?7dl2wx>kl50;0x97`62<k01?k8:0ag?xu5nj0;6?u22g091d=::l21=nj4}r0e`?6=:r79j>4:a:?1a<<6km1v\7f?hj:181\7f84a<3?j70<ja;3``>{t:ol1<7<t=3d6>0g<5;oi6<mk;|q045<72;q6>k855`9>6`e=9jn0q~=?1;296~;5n>0>m63=ee82ga=z{::96=4={<0e<?3f348ni7?ld:\7fp755=838p1?h6:4c897ca28io7p}<dc83>6}:;mk19l523e;91d=:;mi1=nj4}r1g=?6=>r78h44>d59>7ac=99901>ji:020?85b83;;?63<e08246=:;mn1===4}r1b3?6=:r785i4:a:?0=6<6km1v\7f>o7:181\7f85>m3?j70=64;3``>{t;h31<7<t=2;e>0g<5:3>6<mk;|q0ed<72;q6?l>55`9>7<0=9jn0q~=nb;296~;4i80>m63<9682ga=z{:kh6=4={<1b6?3f349247?ld:\7fp7db=838p1>o<:4c896?>28io7p}<ad83>7}:;h>19l5238c95fb<uz9jj7>52z?0e0<2i2785o4>ce9~w6d72909w0=n6;7b?85>k3;hh6s|27794?3|5;nh6<>=;<0g7?3e348=j7?k4:?060<68<16?<<51108yv51k3:1:v3=db8246=:;><1=i:4=0gg>464349:>7??4:?700<3;27?8;4;3:\7fp6ae=839p1?jl:0ag?84c;3;oo63=e78210=z{;n86=4<{<0g7?7dl279h94:3:?1a2<2;2wx>;850;7x97bc28:970<k4;7a?84083;o863<248246=:;881===4}r15`?6=?r79hi4>029>721=9m>01<kk:021?87bk3;;?63<138240=:<=?18952454901=z{;no6=4<{<0g`?7dl279h94>db9>6`1=9<?0q~<k4;297~;5l=0:oi522e7916=::l219>5rs344>5<2s48oi7??2:?1`0<2j279;<4>d59>770=99?01>?<:021?xu4>l0;6:u22eg9555<5:=36<j;;<3fg?77:27:io4>029>745=99>019:::57891212=?0q~<ke;297~;5ll0:oi522e795ae<5;o36<;:;|q1`0<72:q6>i;51bf897b12<901?k6:418yv4103:19v3=dg8247=::m<19o5226095a2<5:8=6<><;<127?77;2wx?;h50;5x97ba28:870=89;3g0>;6mk0:<?521dc9555<5:;86<>:;<671?2134>?:7:9;|q1`c<72:q6>ih51bf897b128nh70<j9;361>{t:m<1<7=t=3f5>4ec348o;7;<;<0fe?343ty9:44?:4y>6`6=99801?j8:4`8971428n?70==7;331>;49=0:<?5rs253>5<0s48n<7??3:?03d<6l=16=ho5110894c>28:870=>4;330>;3<<0?;63;47873>{t:l:1<7=t=3g3>4ec348o;7?kc:?1ad<6=<1v\7f?j8:180\7f84c?3;hh63=d9867>;5mk0>?6s|27c94?3|5;o:6<>=;<0g<?3e348<87?k4:?062<68:16?<:51118yv5093:1;v3=e08246=:;>h1=i:4=0g:>46534;n47??3:?051<68<1689;5499>010=<11v\7f?k>:180\7f84b93;hh63=d982`f=::lh1=8;4}r0g<?6=;r79h54>ce9>6a?==:16>hm5529~w70e290>w0<j2;336>;5l00>n63=7482`1=:;;21==;4=236>4653ty8;?4?:6y>6`4=99901>9l:0f7?87b03;;>63>e68246=:;8?1==:4=566>6g<5=>=6>o4}r0f6?6=;r79i?4>ce9>6a?=9mi01?kl:076?xu5l00;6>u22e;95fb<5;nj68=4=3gg>05<uz8=o7>55z?1a6<68;16>io55c9>620=9m>01><7:020?856=3;;?6s|36194?1|5;o86<><;<14`?7c<27:i:4>039>5`0=99901>?::026?823=39i70:;6;1a?xu5m:0;6>u22d195fb<5;nj6<jl;<0f`?72=2wx>io50;1x97bf28io70<kb;70?84bm3?87p}=6e83>0}::l>1==<4=3fa>0d<5;=<6<j;;<11=?77=278=;4>039~w613290<w0<j4;337>;4?l0:h9521d49554<58o>6<><;<122?77<27?884<c:?703<4k2wx>h:50;1x97c328io70<kb;3gg>;5ml0:985rs3fa>5<5s48on7?ld:?1ac<2;2wx>;k50;6x97c228:970<88;3g0>;4:00:<>523049555<uz9<97>57z?1a0<68:16>>=55`9>72`=9m>01<k::021?856>3;;963;4480`>;3<?08h6s|2d794?4|5;o>6<mk;<0fb?72=2wx8>?50;4x96>>28:970=70;7a?824j3;o863>f78246=:<=?1?>52454976=z{8l<6=4;{<1;=?77;279<<4>d59>7a4=99?01>li:026?xu4000;6>u239;95fb<5:2;6<jl;<1:7?72=2wx?5>50;1x96>728io70=71;70?85><3?87p};3383>2}:;1k1==<4=2:2>0d<5=9h6<j;;<3e2?77:27:j84>029>013=;=168985359~w4`?290?w0=7a;337>;58;0:h9523e09555<5:hm6<><;|q0<d<72:q6?5o51bf896>628nh70=64;361>{t;1;1<7=t=2:2>4ec3493>7;<;<1:1?343ty??>4?:6y>7=d=99801>6=:4`8915c28n?70?i5;336>;6n=0:<>52457970=:<=<1?85rs0d:>5<3s493n7??3:?146<6l=16?i=5117896e728:>7p}<8c83>6}:;1h1=nj4=2:1>4bd349297?:5:\7fp7=4=839p1>6=:0ag?85?;3?870=66;70?xu3;=0;6:u239a9554<5:2868l4=51f>4b334;m87??2:?2b6<68:1689;5379>010=;?1v\7f<hn:187\7f85?k3;;?63=0582`1=:;m91===4=2a3>4643ty84n4?:2y>7=e=9jn01>6<:0f`?85>>3;>96s|39194?5|5:286<mk;<1;0?343492;7;<;|q770<72>q6?5j5110896>32<h019=i:0f7?87a;3;;>63>f38246=:<=?1?:52454972=z{8li6=4;{<1;`?77;279<84>d59>7a2=99?01>m>:026?xu40m0;6>u239f95fb<5:2?6<jl;<1:3?72=2wx?5:50;1x96>328io70=75;70?85>03?87p};3783>2}:;1o1==<4=2:6>0d<5=>;6<j;;<3e6?77:27:j<4>029>013=;1168985399~w4`d290?w0=7e;337>;58?0:h9523e69555<5:i:6<><;|q0<`<72:q6?5k51bf896>228nh70=68;361>{t;1?1<7=t=2:6>4ec3493:7;<;<1:=?343ty??:4?:6y>7=`=99801>69:4`8912628n?70?i1;336>;6n90:<>5245796d=:<=<1>l5rs0dg>5<3s493j7??3:?142<6l=16?i;5117896e528:>7p}<8g83>6}:;1l1=nj4=2:5>4bd349257?:5:\7fp7=0=839p1>69:0ag?85??3?870=6a;70?xu3;10;6:u23829554<5:2<68l4=561>4b334;m<7??2:?2ac<68:1689;52c9>010=:k1v\7f<hj:187\7f85>83;;?63=0982`1=:;m?1===4=2a1>4643ty85=4?:2y>7<6=9jn01>68:0f`?85>i3;>96s|39594?5|5:2<6<mk;<1;<?343492n7;<;|q77<<72>q6?4?5110896>?2<h019:<:0f7?87bn3;;>63>ed8246=:<=?1>n5245496f=z{8lm6=4;{<1:5?77;279<44>d59>7a0=99?01>m<:026?xu4180;6>u238395fb<5:236<jl;<1:f?72=2wx?5650;0x96>?28io70=6c;70?xu3;h0;6;u23809554<5;k:68o4=567>4b334;ni7??2:?700<5l27?8;4=d:\7fp656=83>p1>7=:020?847i3;o863<d78246=:;j91===4}r1:6?6=:r785?4>ce9>7<e=9<?0q~=?7;296~;48j0>?63<0c82ga=z{:;:6=4={<13g?7ck278=;4>ce9~w64f2909w0=?c;3``>;4:l0:<95rs225>5<5s49;n7;<;<13e?7dl2wx?<>50;0x966e28nh70=>5;3``>{t;9?1<7<t=22b>05<5::26<mk;|q04c<72;q6?=o51ea8967328io7p}<0583>7}:;9319>5231:95fb<uz9;i7>52z?04<<6lj16?<=51bf8yv57l3:1>v3<0982`f=:;881=nj4}r12e?6=:r78=k4:3:?05`<6km1v\7f><;:181\7f856n3;oo63<2882ga=z{:8i6=4={<12b?7dl278>h4>029~w67>2909w0=>e;70?856l3;hh6s|33194?4|5:;n6<jl;<11<?7dl2wx?<650;0x967c2<901>?l:0ag?xu4:;0;6?u230f95ae<5:8<6<mk;|q052<72;q6?<m5529>74d=9jn0q~==1;296~;49j0:hn5233495fb<uz99<7>52z?05g<6lj16??;51bf8yv5e<3:1>v3<b8867>;4j10:oi5rs2`f>5<5s49i57?kc:?0g6<6km1v\7f>j8:181\7f85e13;hh63<db8241=z{:h86=4={<1a<?34349i;7?ld:\7fp7gb=838p1>l7:0f`?85d:3;hh6s|3c094?4|5:h<68=4=2`5>4ec3ty8nn4?:3y>7g1=9mi01>m>:0ag?xu4j80;6?u23c4916=:;k?1=nj4}r1af?6=:r78n;4>db9>7f6=9jn0q~=ma;296~;4j<0:hn523cd95fb<uz9h;7>52z?0gf<2;278oo4>ce9~w6b62909w0=lc;3gg>;4l?0:oi5rs2f;>5<5s49ho7?ld:?0`f<68:1v\7f>m9:181\7f85dj3?870=la;3``>{t;m:1<7<t=2aa>4bd349o97?ld:\7fp7f3=838p1>mn:41896e>28io7p}<cg83>7}:;jk1=im4=2f7>4ec3ty8o94?:3y>7f?==:16?n651bf8yv5dm3:1>v3<c882`f=:;m91=nj4}r1``?6=:r78o54>db9>7a4=9jn0q~<:1;291~;58h0>m63=5c82`1=::9i1===4=32f>465348:<7??3:\7fp65d=838p1?>6:4c8976d28io7p}=0e83>7}::9219l5221g95fb<uz8;j7>58z?142<2i279==4>ce9>644=99901??;:027?846>3;;963=1c8240=::8n1==;4=33e>4623ty9=<4?:3y>650==h16><<51bf8yv46;3:1>v3=0486e>;59=0:oi5rs336>5<5s48;87;n;<022?7dl2wx><950;0x97642<k01??m:0ag?xu59j0;6?u221091d=::8n1=nj4}r02a?6=:r79<<4:a:?15c<6km1v\7f?li:186\7f84003?j70<l9;3g0>;5?h0:<>5226a9554<5;=n6<><;|q13<<72;q6>:955`9>62g=9jn0q~<8b;296~;5??0>m63=7b82ga=z{;=o6=47{<041?3f348<i7?ld:?1<5<68:16>5<5116897>328:>70<79;331>;50k0:<85229f9553<uz8<j7>52z?131<2i2794=4>ce9~w7>62909w0<83;7b?84?:3;hh6s|29194?4|5;=968o4=3:7>4ec3ty9484?:3y>627==h16>5751bf8yv4?i3:1>v3=7186e>;50k0:oi5rs3:`>5<5s48=j7;n;<0;`?7dl2wx>ll50;0x97e>2<k01?l::0f7?xu5ih0;6?u22b:91d=::k>1=i:4}r0aa?6=<r79o54>d59>62g=99801?9l:020?840m3;;86s|2`;94?4|5;i<68o4=3`0>4b33ty9ni4?:2y>6f1=9m>01?9l:027?840m3;;96s|2`:94?4|5;i=68o4=3`1>4b33ty9nn4?:3y>6f0=9m>01?9j:021?xu5i>0;6?u22b791d=::k;1=i:4}r0af?6=?r79o84>d59>6=6=99801?6=:020?84?<3;;863=888246=::1h1===4=3:g>4643ty9m;4?:3y>6f2==h16>o>51e68yv4ei3:1:v3=c582`1=::181==<4=3:7>464348357??2:?1<g<68;16>5j51108yv4f=3:1>v3=c286e>;5io0:h95rs3`:>5<2s48h?7?k4:?1<1<68;16>585110897>028:970<78;336>{t:h>1<7<t=3a1>0g<5;kn6<j;;|q1f=<72=q6>n<51e6897>128:870<77;337>;5010:<>5rs3c0>5<5s48h=7;n;<0b`?7c<2wx>o950;1x97e628n?70<77;330>;5010:<95rs3c1>5<5s48h<7;n;<0bg?7c<2wx>o850;0x97e728n?70<78;331>{t::n1<7<t=37a>0g<5;><6<j;;|q17f<72;q6>8o55`9>610=9m>0q~<:0;290~;5=h0:h95221a9554<5;:n6<><;<024?77<2wx>>l50;0x973>2<k01?:::0f7?xu5<o0;6>u224;95a2<5;:n6<>;;<024?77=2wx>>o50;0x973?2<k01?:;:0f7?xu5<l0;6?u224:95a2<5;;;6<>=;|q17<<72;q6>8955`9>615=9m>0q~<;d;293~;5=>0:h9522009554<5;;?6<><;<022?77<279=o4>029>64b=99901??i:020?xu5;10;6?u224491d=::=81=i:4}r07g?6=>r799;4>d59>642=99801??9:020?846j3;;>63=1e8247=::8l1==<4}r003?6=:r79984:a:?104<6l=1v\7f?:m:186\7f842=3;o863=178247=::821==<4=33:>465348:m7??2:\7fp660=838p1?;;:4c8972728n?7p}=4`83>1}::<>1=i:4=33;>464348:57??3:?15d<68:1v\7f?=::181\7f842;3?j70<<f;3g0>{t:=31<7=t=370>4b3348:57??4:?15d<68=1v\7f?=;:181\7f842:3?j70<<e;3g0>{t:=21<7<t=371>4b3348:m7??5:\7fp6<1=838p1?l::4c897g628n?7p}=9783>7}::k>19l522`295a2<uz8297>52z?1f6<2i2795k4>d59~w7?32909w0<m2;7b?84>m3;o86s|28194?4|5;h:68o4=3;g>4b33ty95?4?:3y>6g6==h16>4m51e68yv4>93:1>v3=ag86e>;51k0:h95rs3;3>5<5s48ji7;n;<0:e?7c<2wx>5h50;0x97gc2<k01?76:0f7?xu50l0;6?u22`a91d=::021=i:4}r01=?6=:r798:4:a:?176<6l=1v\7f?<7:181\7f843>3?j70<<2;3g0>{t:;=1<7<t=366>0g<5;9:6<j;;|q163<72;q6>9:55`9>666=9m>0q~<=5;296~;5<:0>m63=2g82`1=z{;8?6=4={<076?3f3489i7?k4:\7fp675=838p1?:>:4c8974c28n?7p}=2383>7}::=:19l5223a95a2<uz89=7>52z?17c<2i279>o4>d59~w7472909w0<<e;7b?845i3;o86s|24a94?4|5;9968o4=0g6>4ec3ty99i4?:3y>667==h16=h851bf8yv42m3:1>v3=3186e>;6m>0:oi5rs37e>5<5s489j7;n;<3f<?7dl2wx>;>50;0x974b2<k01<k6:0ag?xu5>80;6?u223f91d=:9lk1=nj4}r056?6=:r79>n4:a:?2ag<6km1v\7f?8<:181\7f845j3?j70?jc;3``>{t:?>1<7<t=30b>0g<58oo6<mk;|q1gd<72;q6>l>55`9>5`c=9jn0q~<lb;296~;51o0>m63>eg82ga=z{;ih6=4={<0:a?3f34;m<7?ld:\7fp6fb=838p1?7k:4c894`628io7p}=cd83>7}::0i19l521g095fb<uz8hj7>52z?1=g<2i27:j>4>ce9~w7b72909w0<6a;7b?87a<3;hh6s|2e394?4|5;3268o4=0d6>4ec3ty9h?4?:3y>6<>==h16=k851bf8yv2313:1=;u237`914=:;?k19<5237:914=:;?=19<5237;914=:;?<19<52377914=:;?919<52370914=:;?>19<5236d914=:;>o19<5236f914=:;>i19<5236`914=:;>k19<5236;914=:;>219<52365914=:;><19<5232295fb<5:8n6<>=;|q07d<72;q6?;l55`9>700=9jn0q~=91;290~;4>k0:h95236d91d=:;;31==<4=274>4653ty8?44?:3y>73g==h16?8;51bf8yv5183:18v3<6`82`1=:;>o19l5233;9552<5:>h6<>=;|q072<72;q6?;655`9>705=9jn0q~=:e;290~;4>10:h95236a91d=:;;21==:4=26;>4653ty8?;4?:3y>731==h16?8<51bf8yv52l3:18v3<6682`1=:;>h19l523359554<5:>=6<>=;|q07=<72;q6?;755`9>702=9jn0q~=:f;290~;4>00:h95236f91d=:;;21==<4=26b>4653ty8?84?:3y>730==h16?8?51bf8yv52k3:18v3<6782`1=:;>k19l523359552<5:>?6<>=;|q071<72;q6?;;55`9>706=9jn0q~=:b;290~;4><0:h95236;91d=:;;<1==<4=261>4653ty8??4?:3y>735==h16?9k51bf8yv5213:18v3<6282`1=:;>=19l523379554<5:9n6<>=;|q074<72;q6?;<55`9>71b=9jn0q~=:8;290~;4>;0:h95236491d=:;;?1==:4=21`>4653ty8?>4?:3y>732==h16?9h51bf8yv52i3:18v3<6582`1=:;>219l523349552<5:>;6<>=;|q00g<72;q6?885529>71d=9jn0q~=:7;296~;4=?0:985234595fb<uz9?57>53z?010<2;2788o4:3:?00<<6km1v\7f>:l:180\7f852=3;>963<4c82`f=:;=i1=nj4}r173?6=;r78994:3:?00<<2;2788:4>ce9~w62f2908w0=:4;361>;4<00:hn5235c95fb<uz9?97>53z?016<2;2788:4:3:?000<6km1v\7f>:7:180\7f852;3;>963<4682`f=:;=21=nj4}r177?6=;r789?4:3:?000<2;2788>4>ce9~w6212908w0=:2;361>;4<<0:hn5235495fb<uz9?=7>53z?014<2;2788>4:3:?004<6km1v\7f>:;:180\7f85293;>963<4282`f=:;=>1=nj4}r10b?6=;r789=4:3:?004<2;278?k4>ce9~w6252908w0=:0;361>;4<80:hn5235095fb<uz98h7>53z?00c<2;278?k4:3:?07a<6km1v\7f>:?:180\7f853n3;>963<3g82`f=:;=:1=nj4}r10f?6=;r788h4:3:?07a<2;278?o4>ce9~w65b2908w0=;e;361>;4;m0:hn5232g95fb<uz98o7>53z?00a<6=<16?>l51ea8965d28io7p}<e183>g}:<8i19<5240`914=:<8319<5240:914=:<8k19<52405914=:<8<19<52406914=:<8919<52407914=:;l;1=nj4}r1ff?6=:r7?=n4:a:?742<6km1v\7f9?=:187\7f826k3;o863;3186e>;4l?0:<?5241:9554<uz9nm7>52z?75g<2i27?<;4>ce9~w176290?w0:>b;3g0>;3:o0>m63<d78241=:;on1==<4}r1f<?6=:r7?=44:a:?741<6km1v\7f9>i:187\7f82613;o863;2e86e>;4l<0:<9523g;9554<uz9n;7>52z?75=<2i27?<>4>ce9~w16b290?w0:>8;3g0>;3:j0>m63<d58247=:;o=1==<4}r1f=?6=:r7?=l4:a:?740<6km1v\7f9??:187\7f826i3;o863;2d86e>;4l<0:<?523g`9554<uz9n:7>52z?752<2i27?<?4>ce9~w16c290?w0:>7;3g0>;3:k0>m63<d58241=:;o?1==<4}r1f1?6=:r7?=;4:a:?744<6km1v\7f9>l:187\7f826>3;o863;2`86e>;4l:0:<?523g19554<uz9n?7>52z?751<2i278jk4>ce9~w16f290?w0:>4;3g0>;3:10>m63<d38247=:;ll1==<4}r1f6?6=:r7?=>4:a:?0b`<6km1v\7f9>6:187\7f826;3;o863;2686e>;4l;0:<9523df9554<uz9n87>52z?750<2i27?<=4>ce9~w16e290?w0:>5;3g0>;3:00>m63<d28241=:;o;1==<4}r1eg?6=:r7?<:4:3:?0bf<6km1v\7f9>7:181\7f827?3;>963;0982ga=z{:lj6=4<{<632?34349mo7;<;<1ee?7dl2wx?kj50;1x916128?>70=ic;3gg>;4nm0:oi5rs2d;>5<4s4>;97;<;<1ee?34349m47?ld:\7fp7cd=839p19>::076?85ai3;oo63<fc82ga=z{:l=6=4<{<630?34349m47;<;<1e2?7dl2wx?k750;1x916328?>70=i8;3gg>;4n00:oi5rs2d7>5<4s4>;?7;<;<1e2?34349m87?ld:\7fp7c1=839p19><:076?85a>3;oo63<f682ga=z{:l96=4<{<636?34349m87;<;<1e6?7dl2wx?k;50;1x916528?>70=i4;3gg>;4n<0:oi5rs2d3>5<4s4>;=7;<;<1e6?34349m<7?ld:\7fp7c5=839p19>>:076?85a:3;oo63<f282ga=z{:on6=4<{<634?34349m<7;<;<1fa?7dl2wx?k?50;1x916728?>70=i0;3gg>;4n80:oi5rs2g`>5<4s49mj7;<;<1fa?34349no7?ld:\7fp7``=839p1>hi:076?85bm3;oo63<eg82ga=z{:oo6=4<{<1ea?72=278in4>db9>7`b=9jn0q~=kf;2950}:<;319<52435914=:<;219<5243c914=:<;h19<5243g914=:<;i19<5243f914=:<;l19<52422914=:<=>19<52451914=:<=819<52453914=:<=:19<5242d914=:<:o19<5242f914=:<:i19<5242`914=:;l:1=nj4}r62b?6=;r7?>44>d59>06b==h16?n>51168yv26l3:1?v3;2682`1=:<:h19l523cd9552<uz>:i7>53z?76=<6l=168>m55`9>7g`=9980q~:=0;297~;3:h0:h95242g91d=:;j:1==<4}r615?6=;r7?>o4>d59>06`==h16?n?51168yv25<3:1?v3;2d82`1=:<=819l523b09554<uz>9>7>53z?76f<6l=1689>55`9>7f7=9980q~:=3;297~;3:m0:h95245391d=:;j81==:4}r611?6=;r7?>k4>d59>015==h16?n=51168yv25>3:1?v3;3182`1=:<=>19l523b19554<uz>?m7>52z?0`f<68;16?ij51448yv23?3:1?v3;4982ga=:<=?1j:524549b2=z{8i;6=4={<02f?77<279=54>579~w4e62909w0<>d;330>;5900:9;5rs0a1>5<5s48:j7??4:?15d<6=?1v\7f<m<:181\7f84?13;;863=878213=z{8i?6=4={<0;f?77<2794:4>579~w4e22909w0<7d;330>;5010:9;5rs2fg>5<2s49oi7?ld:?700<3>>1689;5477891222=<?70:;5;657>{t;mo1<7;t=2fe>4ec34>?:7:97:?703<3><168985476891212=<87ps|4b`94?4|V=k270:n:5c:?!22n3;h:6s|4b;94?4|V=k370:n:5c;?!22n3;h;6s|4b:94?4|V=k<70:n:5c4?!22n3;h46s|4b594?4|V=k=70:n:5c5?!22n3;h56s|4b794?4|V=k?70:n:5c7?!22n3;=96s|4b694?4|V=k870:n:5c0?!22n3;=n6s|4b194?4|V=k970:n:5c1?!22n3;=o6s|4b094?4|V=k:70:n:5c2?!22n3;<;6s|4b394?4|V=k;70:n:5c3?!22n3;3>6s|4b294?4|V=3m70:n:5;e?!22n3;3h6s|4cd94?4|V=3n70:n:5;f?!22n3;286s|4cg94?4|V=3o70:n:5;g?!22n3;2j6s|4cf94?4|V=3h70:n:5;`?!22n3;j46s|4ca94?4|V=3i70:n:5;a?!22n3;jn6s|4cc94?4|V=3270:n:5;:?!22n3;jo6s|4c;94?4|V=3370:n:5;;?!22n3;jh6s|4c:94?4|V=3<70:n:5;4?!22n3;ji6s|4c594?4|V=3=70:n:5;5?!22n3;jj6s|4c494?4|V=3>70:n:5;6?!22n3;i<6s|4c794?4|V=3?70:n:5;7?!22n3;i=6s|4c694?4|V=3870:n:5;0?!22n3;i>6s|4c194?4|V=3970:n:5;1?!22n3;i?6s|4c094?4|V=3:70:n:5;2?!22n3;i86s|4c394?4|V=3;70:n:5;3?!22n3;i96s|4e094?4|V=km70:n:5ce?!22n3;i:6s|4e394?4|V=kn70:n:5cf?!22n3;i;6s|4e294?4|V=ko70:n:5cg?!22n3;i46s|4bd94?4|V=kh70:n:5c`?!22n3;i56s|4bg94?4|V=ki70:n:5ca?!22n3;im6s|4bf94?4|V=kj70:n:5cb?!22n3;in6s|4ba94?4|V=k>70:n:5c6?!22n3;io6s|4b494?4|V=3j70:n:5;b?!22n3;ih6s|4c`94?4|V=2m70:n:5:e?!22n3;ii6s|4c294?4|V=2n70:n:5:f?!22n3;ij6s|57194?4|V<<870:n:440?!22n3;=:6s|56;94?4|V<=270:n:45:?!22n3;=;6s|56`94?4|V<=i70:n:45a?!22n3;=46s|59494?4|V<2=70:n:4:5?!22n3;=56s|58a94?4|V<3h70:n:4;`?!22n3;=m6s|50294?4|V=on70:n:5gf?!22n3;=h6s|51g94?4|V=oo70:n:5gg?!22n3;=i6s|51f94?4|V=oh70:n:5g`?!22n3;=j6s|51a94?4|V=oi70:n:5ga?!22n3;<<6s|51c94?4|V=o270:n:5g:?!22n3;<=6s|51;94?4|V=o370:n:5g;?!22n3;<>6s|51:94?4|V=o<70:n:5g4?!22n3;<?6s|51594?4|V=o=70:n:5g5?!22n3;<86s|51494?4|V=o>70:n:5g6?!22n3;<96s|51794?4|V=o?70:n:5g7?!22n3;<:6s|51694?4|V=o870:n:5g0?!22n3;<46s|51194?4|V=o970:n:5g1?!22n3;<56s|51094?4|V=o:70:n:5g2?!22n3;<m6s|51394?4|V=o;70:n:5g3?!22n3;<n6s|4gd94?4|V=nn70:n:5ff?!22n3;<o6s|4gg94?4|V=no70:n:5fg?!22n3;<h6s|4gf94?4|V=nh70:n:5f`?!22n3;<i6s|4ga94?4|V=ni70:n:5fa?!22n3;<j6s|4g`94?4|V=nj70:n:5fb?!22n3;3<6s|4gc94?4|V=n270:n:5f:?!22n3;3=6s|4g;94?4|V=n370:n:5f;?!22n3;3?6s|4g:94?4|V=n<70:n:5f4?!22n3;386s|4g594?4|V=n=70:n:5f5?!22n3;396s|4g494?4|V=n>70:n:5f6?!22n3;3:6s|50594?4|V=l?70:n:5d7?!22n3;3;6s|50494?4|V=l870:n:5d0?!22n3;346s|50794?4|V=l970:n:5d1?!22n3;356s|50694?4|V=l:70:n:5d2?!22n3;3m6s|50194?4|V=l;70:n:5d3?!22n3;3n6s|50094?4|V=om70:n:5ge?!22n3;3o6s|50394?4|V=oj70:n:5gb?!22n3;3i6s|51`94?4|V=nm70:n:5fe?!22n3;3j6s|51294?4|V=n?70:n:5f7?!22n3;2<6s|4g794?4|V=n870:n:5f0?!22n3;2=6s|50;94?4|V<;270:n:43:?!22n3;2>6s|50`94?4|V<;i70:n:43a?!22n3;2?6s|56:94?4|V<<o70:n:44g?!22n3;296s|56494?4|V<<h70:n:44`?!22n3;2:6s|56794?4|V<<i70:n:44a?!22n3;2;6s|56694?4|V<<j70:n:44b?!22n3;246s|56194?4|V<<270:n:44:?!22n3;256s|56094?4|V<<370:n:44;?!22n3;2m6s|56394?4|V<<<70:n:444?!22n3;2n6s|56294?4|V<<=70:n:445?!22n3;2o6s|57d94?4|V<<>70:n:446?!22n3;2h6s|57g94?4|V<<?70:n:447?!22n3;2i6s|58`94?4|V<3;70:n:4;3?!22n3;j<6s|58;94?4|V<2m70:n:4:e?!22n3;j=6s|58:94?4|V<2n70:n:4:f?!22n3;j>6s|58594?4|V<2o70:n:4:g?!22n3;j?6s|58494?4|V<2h70:n:4:`?!22n3;j86s|58794?4|V<2i70:n:4:a?!22n3;j96s|58694?4|V<2j70:n:4:b?!22n3;j:6s|58194?4|V<2270:n:4::?!22n3;j;6s|58094?4|V<2370:n:4:;?!22n3;j56s|58394?4|V<2<70:n:4:4?!22n3;jm6srnc:b>5<5sA>=<6sab9`94?4|@=<;7p`m8b83>7}O<?:0qcl7d;296~N3>91vbo6j:181\7fM2182wen5h50;0xL1073tdi5=4?:3yK036<ugh2=7>52zJ725=zfk396=4={I654>{ij091<7<tH543?xhe1=0;6?uG4728ykd>=3:1>vF;619~jg?12909wE:90:\7fmf<1=838pD98?;|la==<72;qC8;>4}o`:=?6=:rB?:=5rnc;b>5<5sA>=<6sab8`94?4|@=<;7p`m9b83>7}O<?:0qcl6d;296~N3>91vbo7j:181\7fM2182wen4h50;0xL1073tdim=4?:3yK036<ughj=7>52zJ725=zfkk96=4={I654>{ijh91<7<tH543?xhei=0;6?uG4728ykdf=3:1>vF;619~jgg12909wE:90:\7fmfd1=838pD98?;|lae=<72;qC8;>4}o`b=?6=:rB?:=5rnccb>5<5sA>=<6sab``94?4|@=<;7p`mab83>7}O<?:0qclnd;296~N3>91vbooj:181\7fM2182wenlh50;0xL1073tdin=4?:3yK036<ughi=7>52zJ725=zfkh96=4={I654>{ijk91<7<tH543?xhej=0;6?uG4728ykde=3:1>vF;619~jgd12909wE:90:\7fmfg1=838pD98?;|laf=<72;qC8;>4}o`a=?6=:rB?:=5rnc`b>5<5sA>=<6sabc`94?4|@=<;7p`mbb83>7}O<?:0qclmd;296~N3>91vbolj:181\7fM2182wenoh50;0xL1073tdio=4?:3yK036<ughh=7>52zJ725=zfki96=4={I654>{ijj91<7<tH543?xhek=0;6?uG4728ykdd=3:1>vF;619~jge12909wE:90:\7fmff1=838pD98?;|lag=<72;qC8;>4}o``=?6=:rB?:=5rncab>5<5sA>=<6sabb`94?4|@=<;7p`mcb83>7}O<?:0qclld;296~N3>91vbomj:181\7fM2182wennh50;0xL1073tdih=4?:3yK036<ugho=7>52zJ725=zfkn96=4={I654>{ijm91<7<tH543?xhel=0;6?uG4728ykdc=3:1>vF;619~jgb12909wE:90:\7fmfa1=838pD98?;|la`=<72;qC8;>4}o`g=?6=:rB?:=5rncfb>5<5sA>=<6sabe`94?4|@=<;7p`mdb83>7}O<?:0qclkd;296~N3>91vbojj:181\7fM2182wenih50;0xL1073tdii=4?:3yK036<ughn=7>52zJ725=zfko96=4={I654>{ijl91<7<tH543?xhem=0;6?uG4728ykdb=3:1>vF;619~jgc12909wE:90:\7fmf`1=838pD98?;|laa=<72;qC8;>4}o;`e?6=9rB?:=5rn`0:>5<6sA>=<6saa3c94?7|@=<;7p`n2c83>4}O<?:0qco=c;295~N3>91vbl<k:182\7fM2182wem?k50;3xL1073tdj>k4?:0yK036<ugk8<7>51zJ725=zfh9:6=4>{I654>{ii:81<7?tH543?xhf;:0;6<uG4728ykg4<3:1=vF;619~jd52290:wE:90:\7fme60=83;pD98?;|lb72<728qC8;>4}oc0<?6=9rB?:=5rn`1:>5<6sA>=<6saa2c94?7|@=<;7p`n3c83>4}O<?:0qco<c;295~N3>91vbl=k:182\7fM2182wem>k50;3xL1073tdj?k4?:0yK036<ugk?<7>51zJ725=zfh>:6=4>{I654>{ii=81<7?tH543?xhf<:0;6<uG4728ykg3<3:1=vF;619~jd22290:wE:90:\7fme10=83;pD98?;|lb02<728qC8;>4}oc7<?6=9rB?:=5rn`6:>5<6sA>=<6saa5c94?7|@=<;7p`n4c83>4}O<?:0qco;c;295~N3>91vbl:k:182\7fM2182wem9k50;3xL1073tdj8k4?:0yK036<ugk><7>51zJ725=zfh?:6=4>{I654>{ii<81<7?tH543?xhf=:0;6<uG4728ykg2<3:1=vF;619~jd32290:wE:90:\7fme00=83;pD98?;|lb12<728qC8;>4}oc6<?6=9rB?:=5rn`7:>5<6sA>=<6saa4c94?7|@=<;7p`n5c83>4}O<?:0qco:c;295~N3>91vbl;k:182\7fM2182wem8k50;3xL1073tdj9k4?:0yK036<ugk=<7>51zJ725=zfh<:6=4>{I654>{ii?81<7?tH543?xhf>:0;6<uG4728ykg1<3:1=vF;619~jd02290:wE:90:\7fme30=83;pD98?;|lb22<728qC8;>4}oc5<?6=9rB?:=5rn`4:>5<6sA>=<6saa7c94?7|@=<;7p`n6c83>4}O<?:0qco9c;295~N3>91vbl8k:182\7fM2182wem;k50;3xL1073tdj:k4?:0yK036<ugk<<7>51zJ725=zfh=:6=4>{I654>{ii>81<7?tH543?xhf?:0;6<uG4728ykg0<3:1=vF;619~jd12290:wE:90:\7fme20=83;pD98?;|lb32<728qC8;>4}oc4<?6=9rB?:=5rn`5:>5<6sA>=<6saa6c94?7|@=<;7p`n7c83>4}O<?:0qco8c;295~N3>91vbl9k:182\7fM2182wem:k50;3xL1073tdj;k4?:0yK036<ugk3<7>51zJ725=zfh2:6=4>{I654>{ii181<7?tH543?xhf0:0;6<uG4728ykg?<3:1=vF;619~jd>2290:wE:90:\7fme=0=83;pD98?;|lb<2<728qC8;>4}oc;<?6=9rB?:=5rn`::>5<6sA>=<6saa9c94?7|@=<;7p`n8c83>4}O<?:0qco7c;295~N3>91vbl6k:182\7fM2182wem5k50;3xL1073tdj4k4?:0yK036<ugk2<7>51zJ725=zfh3:6=4>{I654>{ii081<7?tH543?xhf1:0;6<uG4728ykg><3:1=vF;619~jd?2290:wE:90:\7fme<0=83;pD98?;|lb=2<728qC8;>4}oc:<?6=9rB?:=5rn`;:>5<6sA>=<6saa8c94?7|@=<;7p`n9c83>4}O<?:0qco6c;295~N3>91vbl7k:182\7fM2182wem4k50;3xL1073tdj5k4?:0yK036<ugkj<7>51zJ725=zfhk:6=4>{I654>{iih81<7?tH543?xhfi:0;6<uG4728ykgf<3:1=vF;619~jdg2290:wE:90:\7fmed0=83;pD98?;|lbe2<728qC8;>4}ocb<?6=9rB?:=5rn`c:>5<6sA>=<6saa`c94?7|@=<;7p`nac83>4}O<?:0qconc;295~N3>91vblok:182\7fM2182wemlk50;3xL1073tdjmk4?:0yK036<ugki<7>51zJ725=zfhh:6=4>{I654>{iik81<7?tH543?xhfj:0;6<uG4728ykge<3:1=vF;619~jdd2290:wE:90:\7fmeg0=83;pD98?;|lbf2<728qC8;>4}oca<?6=9rB?:=5rn``:>5<6sA>=<6saacc94?7|@=<;7p`nbc83>4}O<?:0qcomc;295~N3>91vbllk:182\7fM2182wemok50;3xL1073tdjnk4?:0yK036<ugkh<7>51zJ725=zfhi:6=4>{I654>{iij81<7?tH543?xhfk:0;6<uG4728ykgd<3:1=vF;619~jde2290:wE:90:\7fmef0=83;pD98?;|lbg2<728qC8;>4}oc`<?6=9rB?:=5rn`a:>5<6sA>=<6saabc94?7|@=<;7p`ncc83>4}O<?:0qcolc;295~N3>91vblmk:182\7fM2182wemnk50;3xL1073tdjok4?:0yK036<ugko<7>51zJ725=zfhn:6=4>{I654>{iim81<7?tH543?xhfl:0;6<uG4728ykgc<3:1=vF;619~jdb2290:wE:90:\7fmea0=83;pD98?;|lb`2<728qC8;>4}ocg<?6=9rB?:=5rn`f:>5<6sA>=<6saaec94?7|@=<;7p`ndc83>4}O<?:0qcokc;295~N3>91vbljk:182\7fM2182wemik50;3xL1073tdjhk4?:0yK036<ugkn<7>51zJ725=zfho:6=4>{I654>{iil81<7?tH543?xhfm:0;6<uG4728ykgb<3:1=vF;619~jdc2290:wE:90:\7fme`0=83;pD98?;|lba2<728qC8;>4}ocf<?6=9rB?:=5rn`g:>5<6sA>=<6saadc94?7|@=<;7p`nec83>4}O<?:0qcojc;295~N3>91vblkk:182\7fM2182wemhk50;3xL1073tdjik4?:0yK036<ugkm<7>51zJ725=zfhl:6=4>{I654>{iio81<7?tH543?xhfn:0;6<uG4728ykga<3:1=vF;619~jd`2290:wE:90:\7fmec0=83;pD98?;|lbb2<728qC8;>4}oce<?6=9rB?:=5rn`d:>5<6sA>=<6saagc94?7|@=<;7p`nfc83>4}O<?:0qcoic;295~N3>91vblhk:182\7fM2182wemkk50;3xL1073tdjjk4?:0yK036<ugh;<7>51zJ725=zfk::6=4>{I654>{ij981<7?tH543?xhe8:0;6<uG4728ykd7<3:1=vF;619~jg62290:wE:90:\7fmf50=83;pD98?;|la42<728qC8;>4}o`3<?6=9rB?:=5rnc2:>5<6sA>=<6sab1c94?7|@=<;7p`m0c83>4}O<?:0qcl?c;295~N3>91vbo>k:182\7fM2182wen=k50;3xL1073tdi<k4?:0yK036<ugh:<7>51zJ725=zfk;:6=4>{I654>{ij881<7?tH543?xhe9:0;6<uG4728ykd6<3:1=vF;619~jg72290:wE:90:\7fmf40=83;pD98?;|la52<728qC8;>4}o`2<?6=9rB?:=5rnc3:>5<6sA>=<6sab0c94?7|@=<;7p`m1c83>4}O<?:0qcl>c;295~N3>91vbo?k:182\7fM2182wen<k50;3xL1073tdi=k4?:0yK036<ugh9<7>51zJ725=zfk8:6=4>{I654>{ij;81<7?tH543?xhe::0;6<uG4728ykd5<3:1=vF;619~jg42290:wE:90:\7fmf70=83;pD98?;|la62<728qC8;>4}o`1<?6=9rB?:=5rnc0:>5<6sA>=<6sab3c94?7|@=<;7p`m2c83>4}O<?:0qcl=c;295~N3>91vbo<k:182\7fM2182wen?k50;3xL1073tdi>k4?:0yK036<ugh8<7>51zJ725=zfk9:6=4>{I654>{ij:81<7?tH543?xhe;:0;6<uG4728ykd4<3:1=vF;619~jg52290:wE:90:\7fmf60=83;pD98?;|la72<728qC8;>4}o`0<?6=9rB?:=5rnc1:>5<6sA>=<6sab2c94?7|@=<;7p`m3c83>4}O<?:0qcl<c;295~N3>91vbo=k:182\7fM2182wen>k50;3xL1073tdi?k4?:0yK036<ugh?<7>51zJ725=zfk>:6=4>{I654>{ij=81<7?tH543?xhe<:0;6<uG4728ykd3<3:1=vF;619~jg22290:wE:90:\7fmf10=83;pD98?;|la02<728qC8;>4}o`7<?6=9rB?:=5rnc6:>5<6sA>=<6sab5c94?7|@=<;7p`m4c83>4}O<?:0qcl;c;295~N3>91vbo:k:182\7fM2182wen9k50;3xL1073tdi8k4?:0yK036<ugh><7>51zJ725=zfk?:6=4>{I654>{ij<81<7?tH543?xhe=:0;6<uG4728ykd2<3:1=vF;619~jg32290:wE:90:\7fmf00=83;pD98?;|la12<728qC8;>4}o`6<?6=9rB?:=5rnc7:>5<6sA>=<6sab4c94?7|@=<;7p`m5c83>4}O<?:0qcl:c;295~N3>91vbo;k:182\7fM2182wen8k50;3xL1073tdi9k4?:0yK036<ugh=<7>51zJ725=zfk<:6=4>{I654>{ij?81<7?tH543?xhe>:0;6<uG4728ykd1<3:1=vF;619~jg02290:wE:90:\7fmf30=83;pD98?;|la22<728qC8;>4}o`5<?6=9rB?:=5rnc4:>5<6sA>=<6sab7c94?7|@=<;7p`m6c83>4}O<?:0qcl9c;295~N3>91vbo8k:182\7fM2182wen;k50;3xL1073tdi:k4?:0yK036<ugh<<7>51zJ725=zfk=:6=4>{I654>{ij>81<7?tH543?xhe?:0;6<uG4728ykd0<3:1=vF;619~jg12290:wE:90:\7fmf20=83;pD98?;|la32<728qC8;>4}o`4<?6=9rB?:=5rnc5:>5<6sA>=<6sab6c94?7|@=<;7p`m7c83>4}O<?:0qcl8c;295~N3>91vbo9k:182\7fM2182wen:k50;3xL1073tdi;k4?:0yK036<ugh3<7>51zJ725=zfk2:6=4>{I654>{ij181<7?tH543?xhe0:0;6<uG4728ykd?<3:1=vF;619~jg>2290:wE:90:\7fmf=0=83;pD98?;|la<2<728qC8;>4}o`;<?6=9rB?:=5rnc::>5<6sA>=<6sr}|BCG~bfi3<in=983`\7fCDG}7uIJ[wpNO
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file small_1kW.vhd when simulating
+-- the core, small_1kW. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY small_1kW IS
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(9 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(9 downto 0));
+END small_1kW;
+
+ARCHITECTURE small_1kW_a OF small_1kW IS
+-- synthesis translate_off
+component wrapped_small_1kW
+ port (
+ din: IN std_logic_VECTOR(33 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ dout: OUT std_logic_VECTOR(33 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(9 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(9 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_small_1kW use entity XilinxCoreLib.fifo_generator_v4_4(behavioral)
+ generic map(
+ c_has_int_clk => 0,
+ c_rd_freq => 1,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 34,
+ c_has_wr_data_count => 1,
+ c_full_flags_rst_val => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_use_embedded_reg => 0,
+ c_has_wr_rst => 0,
+ c_wr_freq => 1,
+ c_use_dout_rst => 1,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 34,
+ c_msgon_val => 1,
+ c_rd_depth => 1024,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 0,
+ c_has_rst => 1,
+ c_data_count_width => 10,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 10,
+ c_use_fwft_data_count => 0,
+ c_has_almost_empty => 0,
+ c_rd_data_count_width => 10,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 10,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 10,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 1020,
+ c_wr_depth => 1024,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 1021,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "1kx36",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_small_1kW
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count,
+ wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END small_1kW_a;
+
--- /dev/null
+TEST_FSM_CLOCK : process (CLK, RESET)
+begin
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ TEST_FSM_CURRENT <= IDLE;
+ else
+ TEST_FSM_CURRENT <= TEST_FSM_NEXT;
+ end if;
+ end if;
+end process TEST_FSM_CLK;
+
+TEST_FSM_PROC : process (CLK)
+begin
+ case (TEST_FSM) is
+ when IDLE =>
+ if = 1 then
+ ;
+ else
+ ;
+ end if;
+ when TEST_FSM_A =>
+ if = 1 then
+ ;
+ else
+ ;
+ end if;
+ when TEST_FSM_B =>
+ if = 1 then
+ ;
+ else
+ ;
+ end if;
+ when TEST_FSM_C =>
+ if = 1 then
+ ;
+ else
+ ;
+ end if;
+ when others =>
+ TEST_FSM_NEXT <= IDLE;
+ end case;
+end process TEST_FSM_PROC;
--- /dev/null
+-- std package
+library ieee;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.std_logic_ARITH.ALL;
+USE IEEE.std_logic_UNSIGNED.ALL;
+
+package trb_net_std is
+
+ type channel_config_t is array(0 to 3) of integer;
+ type array_32_t is array(integer range <>) of std_logic_vector(31 downto 0);
+
+
+-- some basic definitions for the whole network
+-----------------------------------------------
+
+ constant c_DATA_WIDTH : integer := 16;
+ constant c_NUM_WIDTH : integer := 3;
+ constant c_MUX_WIDTH : integer := 3; --!!!
+
+
+--assigning channel names
+ constant c_TRG_LVL1_CHANNEL : integer := 0;
+ constant c_DATA_CHANNEL : integer := 1;
+ constant c_IPU_CHANNEL : integer := 1;
+ constant c_UNUSED_CHANNEL : integer := 2;
+ constant c_SLOW_CTRL_CHANNEL : integer := 3;
+
+--api_type generic
+ constant c_API_ACTIVE : integer := 1;
+ constant c_API_PASSIVE : integer := 0;
+
+--sbuf_version generic
+ constant c_SBUF_FULL : integer := 0;
+ constant c_SBUF_FAST : integer := 0;
+ constant c_SBUF_HALF : integer := 1;
+ constant c_SBUF_SLOW : integer := 1;
+ constant c_SECURE_MODE : integer := 1;
+ constant c_NON_SECURE_MODE : integer := 0;
+
+--fifo_depth
+ constant c_FIFO_NONE : integer := 0;
+ constant c_FIFO_2PCK : integer := 1;
+ constant c_FIFO_SMALL : integer := 1;
+ constant c_FIFO_4PCK : integer := 2;
+ constant c_FIFO_MEDIUM : integer := 2;
+ constant c_FIFO_8PCK : integer := 3;
+ constant c_FIFO_BIG : integer := 3;
+ constant c_FIFO_BRAM : integer := 6;
+ constant c_FIFO_BIGGEST : integer := 6;
+ constant c_FIFO_INFTY : integer := 7;
+
+--simple logic
+ constant c_YES : integer := 1;
+ constant c_NO : integer := 0;
+ constant c_MONITOR : integer := 2;
+
+
+--standard values
+ constant std_SBUF_VERSION : integer := c_SBUF_FULL;
+ constant std_IBUF_SECURE_MODE : integer := c_SECURE_MODE;
+ constant std_USE_ACKNOWLEDGE : integer := c_YES;
+ constant std_USE_REPLY_CHANNEL: integer := c_YES;
+ constant std_FIFO_DEPTH : integer := c_FIFO_BRAM;
+ constant std_DATA_COUNT_WIDTH : integer := 7; --max 7
+ constant std_TERM_SECURE_MODE : integer := c_NO;
+ constant std_MUX_SECURE_MODE : integer := c_NO;
+ constant std_FORCE_REPLY : integer := c_YES;
+ constant cfg_USE_CHECKSUM : channel_config_t := (c_NO,c_YES,c_YES,c_YES);
+ constant cfg_USE_ACKNOWLEDGE : channel_config_t := (c_YES,c_YES,c_YES,c_YES);
+ constant cfg_FORCE_REPLY : channel_config_t := (c_YES,c_YES,c_YES,c_YES);
+ constant cfg_USE_REPLY_CHANNEL : channel_config_t := (c_YES,c_YES,c_YES,c_YES);
+ constant c_MAX_IDLE_TIME_PER_PACKET : integer := 24;
+
+--packet types
+ constant TYPE_DAT : std_logic_vector(2 downto 0) := "000";
+ constant TYPE_HDR : std_logic_vector(2 downto 0) := "001";
+ constant TYPE_EOB : std_logic_vector(2 downto 0) := "010";
+ constant TYPE_TRM : std_logic_vector(2 downto 0) := "011";
+ constant TYPE_ACK : std_logic_vector(2 downto 0) := "101";
+ constant TYPE_ILLEGAL : std_logic_vector(2 downto 0) := "111";
+
+--Media interface error codes
+ constant ERROR_OK : std_logic_vector(2 downto 0) := "000"; --transmission ok
+ constant ERROR_ENCOD : std_logic_vector(2 downto 0) := "001"; --transmission error by encoding
+ constant ERROR_RECOV : std_logic_vector(2 downto 0) := "010"; --transmission error, reconstructed
+ constant ERROR_FATAL : std_logic_vector(2 downto 0) := "011"; --transmission error, fatal
+ constant ERROR_WAIT : std_logic_vector(2 downto 0) := "110"; --link awaiting initial response
+ constant ERROR_NC : std_logic_vector(2 downto 0) := "111"; --media not connected
+
+
+--special addresses
+ constant ILLEGAL_ADDRESS : std_logic_vector(15 downto 0) := x"0000";
+ constant BROADCAST_ADDRESS : std_logic_vector(15 downto 0) := x"ffff";
+
+--command definitions
+ constant LINK_STARTUP_WORD : std_logic_vector(15 downto 0) := x"e110";
+ constant SET_ADDRESS : std_logic_vector(15 downto 0) := x"5EAD";
+ constant ACK_ADDRESS : std_logic_vector(15 downto 0) := x"ACAD";
+ constant READ_ID : std_logic_vector(15 downto 0) := x"5E1D";
+
+--common registers
+ --maximum: 4, because of regio implementation
+ constant std_COMSTATREG : integer := 2;
+ constant std_COMCTRLREG : integer := 2;
+ --needed address width for common registers
+ constant std_COMneededwidth : integer := 2;
+ constant c_REGIO_ADDRESS_WIDTH : integer := 16;
+ constant c_REGIO_REGISTER_WIDTH : integer := 32;
+ constant c_REGIO_REG_WIDTH : integer := 32;
+ constant c_regio_timeout_bit : integer := 5;
+
+--RegIO operation dtype
+ constant c_network_control_type : std_logic_vector(3 downto 0) := x"F";
+ constant c_read_register_type : std_logic_vector(3 downto 0) := x"8";
+ constant c_write_register_type : std_logic_vector(3 downto 0) := x"9";
+ constant c_read_multiple_type : std_logic_vector(3 downto 0) := x"A";
+ constant c_write_multiple_type : std_logic_vector(3 downto 0) := x"B";
+
+ constant c_BUS_HANDLER_MAX_PORTS : integer := 32;
+ type c_BUS_HANDLER_ADDR_t is array(0 to c_BUS_HANDLER_MAX_PORTS) of std_logic_vector(15 downto 0);
+ type c_BUS_HANDLER_WIDTH_t is array(0 to c_BUS_HANDLER_MAX_PORTS) of integer range 0 to 16;
+
+
+--Names of 16bit words
+ constant c_H0 : std_logic_vector(2 downto 0) := "100";
+ constant c_F0 : std_logic_vector(2 downto 0) := "000";
+ constant c_F1 : std_logic_vector(2 downto 0) := "001";
+ constant c_F2 : std_logic_vector(2 downto 0) := "010";
+ constant c_F3 : std_logic_vector(2 downto 0) := "011";
+
+ constant c_H0_next : std_logic_vector(2 downto 0) := "011";
+ constant c_F0_next : std_logic_vector(2 downto 0) := "100";
+ constant c_F1_next : std_logic_vector(2 downto 0) := "000";
+ constant c_F2_next : std_logic_vector(2 downto 0) := "001";
+ constant c_F3_next : std_logic_vector(2 downto 0) := "010";
+
+ constant c_max_word_number : std_logic_vector(2 downto 0) := "100";
+ --constant VERSION_NUMBER_TIME : std_logic_vector(31 downto 0) := conv_std_logic_vector(1234567890,32);
+
+
+
+
+--function declarations
+ function and_all (arg : std_logic_vector)
+ return std_logic;
+ function or_all (arg : std_logic_vector)
+ return std_logic;
+ function all_zero (arg : std_logic_vector)
+ return std_logic;
+ function xor_all (arg : std_logic_vector)
+ return std_logic;
+
+ function get_bit_position (arg : std_logic_vector)
+ return integer;
+
+ function is_time_reached (timer : integer; time : integer; period : integer)
+ return std_logic;
+
+ function MAX(x : integer; y : integer)
+ return integer;
+
+ function Log2( input:integer ) return integer;
+ function count_ones( input:std_logic_vector ) return integer;
+
+
+
+end package trb_net_std;
+
+package body trb_net_std is
+
+ function and_all (arg : std_logic_vector)
+ return std_logic is
+ variable tmp : std_logic := '1';
+ begin
+ tmp := '1';
+ for i in arg'range loop
+ tmp := tmp and arg(i);
+ end loop; -- i
+ return tmp;
+ end function and_all;
+
+ function or_all (arg : std_logic_vector)
+ return std_logic is
+ variable tmp : std_logic := '1';
+ begin
+ tmp := '0';
+ for i in arg'range loop
+ tmp := tmp or arg(i);
+ end loop; -- i
+ return tmp;
+ end function or_all;
+
+ function all_zero (arg : std_logic_vector)
+ return std_logic is
+ variable tmp : std_logic := '1';
+ begin
+ for i in arg'range loop
+ tmp := not arg(i);
+ exit when tmp = '0';
+ end loop; -- i
+ return tmp;
+ end function all_zero;
+
+ function xor_all (arg : std_logic_vector)
+ return std_logic is
+ variable tmp : std_logic := '0';
+ begin
+ tmp := '0';
+ for i in arg'range loop
+ tmp := tmp xor arg(i);
+ end loop; -- i
+ return tmp;
+ end function xor_all;
+
+ function get_bit_position (arg : std_logic_vector)
+ return integer is
+ variable tmp : integer := 0;
+ begin
+ tmp := 0;
+ for i in arg'range loop
+ if arg(i) = '1' then
+ return i;
+ end if;
+ --exit when arg(i) = '1';
+ end loop; -- i
+ return 0;
+ end get_bit_position;
+
+ function is_time_reached (timer : integer; time : integer; period : integer)
+ return std_logic is
+ variable i : integer range 0 to 1 := 0;
+ variable t : std_logic_vector(27 downto 0) := conv_std_logic_vector(timer,28);
+ begin
+ i := 0;
+ if period = 10 then
+ case time is
+ when 130000000 => if t(27) = '1' then i := 1; end if;
+ when 640000 => if t(16) = '1' then i := 1; end if;
+ when 80000 => if t(13) = '1' then i := 1; end if;
+ when 10000 => if t(10) = '1' then i := 1; end if;
+ when 1200 => if t(7) = '1' then i := 1; end if;
+ when others => if timer = time/period then i := 1; end if;
+ end case;
+ else
+ if timer = time/period then i := 1; end if;
+ end if;
+ if i = 1 then return '1'; else return '0'; end if;
+ end is_time_reached;
+
+ function MAX(x : integer; y : integer)
+ return integer is
+ begin
+ if x > y then
+ return x;
+ else
+ return y;
+ end if;
+ end MAX;
+
+
+ function Log2( input:integer ) return integer is
+ variable temp,log:integer;
+ begin
+ temp:=input;
+ log:=0;
+ while (temp /= 0) loop
+ temp:=temp/2;
+ log:=log+1;
+ end loop;
+ return log;
+ end function log2;
+
+ function count_ones( input:std_logic_vector ) return integer is
+ variable temp:std_logic_vector(input'range);
+ begin
+ temp := (others => '0');
+ for i in input'range loop
+-- if input(i) = '1' then
+ temp := temp + input(i);
+-- end if;
+ end loop;
+ return conv_integer(temp);
+ end function count_ones;
+
+
+end package body trb_net_std;
+
--- /dev/null
+library IEEE;
+use ieee.std_logic_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+use ieee.numeric_std.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library work;
+use work.all;
+use work.version.all;
+use work.config_type.all;
+use work.trb_net_std.all;
+
+
+entity trb_v2b_fpga is
+ generic (
+ RW_SYSTEM : integer range 1 to 2 := 1; --1 -trb, 2 -addon with portE 10 9 as rw
+ TRBV2_TYPE : integer range 0 to 8 := 0;
+ -- 0 - trbv2 + RPC or TOF or FWALL or Start or
+ -- Veto (one board !),
+ -- 1 - MDC,
+ -- 2 - SHOWER,
+ -- 3 - CTS+Trigger logic
+ -- 4 - CTS+VULOM (trbent)
+ -- 5 - CTS only, lvl2 is automatic (trbent)
+ -- 6 - CTS plus TDC readout and waits for
+ -- external busy without trbnet
+ -- 7 - '6' is the source of the triggers
+ -- 8 - for tdc EUGEN readout
+ TRBNET_ENABLE : integer range 0 to 3 := 1; -- 0 - disable,
+ -- 1 - end point
+ -- 2 - cts
+ -- 3 - slow ctrl
+ DTU_ENABLE : integer range 0 to 2 := 0; -- 0 - disable, 1 - old
+ -- HADES bus, 2 - opt
+ -- without trbnet
+ CTU_ENABLE : integer range 0 to 1 := 0;
+ HADES_OLD_BUS_ENABLE : integer range 0 to 1 := 0;
+ DSP_INT_ENABLE : integer range 0 to 1 := 0; -- dsp interface enable
+ SDRAM_INT_ENABLE : integer range 0 to 1 := 0; -- sdram interface enable
+ SCALERS_ENABLE : integer range 0 to 1 := 1;
+ RW_REGISTERS_NUMBER : integer range 0 to 40 := 29; --32 bit registers
+ --accesed by trbnet or
+ --etrax (read/write) -
+ --control
+ R_REGISTERS_NUMBER : integer range 0 to 80 := 72; --only read - status
+ ENABLE_DMA : integer range 1 to 2 := 2; --1- DMA , 2 - no DMA
+ --2- also for trbnet
+ --end point !
+ NUMBER_OFF_ADD_DATA : integer range 0 to 255 := 19;
+ REGIO_NUM_STAT_REGS : integer range 0 to 255 := 2;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 255 := 3;
+ DEBUG_OPTION : integer range 0 to 8 := 7; --0 no debug
+ --1 trbnet enpoit
+ --2 trbent cts
+ --3 sfp
+ --4 stand alone
+ --5 sdram
+ --6 trbnet regio
+ --7 trbnet data handler
+
+
+ TRIGGER_RW_REGISTERS_NUMBER : integer range 0 to 40 := 4;
+ TRIGGER_R_REGISTERS_NUMBER : integer range 0 to 40 := 4;
+ TRIGGER_INPUTS_NUMBER : integer range 0 to 60 := 32;
+ USE_EXTERNAL_SDRAM : integer range 0 to 1 := 0;
+ CHECK_REF_TIME : integer range 0 to 2 :=0; --0 dont check, 1- check if its
+ --there(rpc) and calc diff
+ --2-check if its there but tof
+ --is different!
+ CTS_NUMBER_IPU_DATA: integer range 0 to 9 :=2
+ );
+ port (
+ -------------------------------------------------------------------------
+ -- GENERAL
+ -------------------------------------------------------------------------
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic; --diode
+ DGOOD : out std_logic; --diode
+ DINT : out std_logic; --diode
+ DWAIT : out std_logic; --diode
+ A_RESERVED : in std_logic; --TDC connector 75,76(line 3 from end)
+ TEMP_SENS : inout std_logic_vector(4 downto 0); -- on the schematics
+ -- A_TEMP,B_TEMP ...
+
+ B_RESERVED : in std_logic;
+ C_RESERVED : in std_logic;
+ D_RESERVED : in std_logic;
+ VIR_TRIG : in std_logic; --fast trigger
+ VIR_TRIGB : in std_logic;
+ -------------------------------------------------------------------------
+ -- TDC connections
+ -------------------------------------------------------------------------
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic; --turn on TDC -should be one ?
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ -- TDC_BU_RESET : out std_logic;
+ -- TDC_BU_RESETB : out std_logic;
+ -- TDC_EV_RESET : out std_logic;
+ -- TDC_EV_RESETB : out std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- ETRAX connections
+ -------------------------------------------------------------------------
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic; --_vector (16 downto 0);
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SPI
+ -------------------------------------------------------------------------
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- RPC TEST SIGNALS - for RPC
+ -------------------------------------------------------------------------
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TIGER SHARC
+ -------------------------------------------------------------------------
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic; --LOC = AF15;
+ DSP_BOFF : out std_logic; -- LOC = AK14;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ --link0
+-- DSP_L0DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L0DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L0DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L0DATON : in std_logic_vector (3 downto 0);
+-- DSP_L0CLKINP : out std_logic;
+-- DSP_L0CLKINN : out std_logic;
+-- DSP_L0CLKOUTP : in std_logic;
+-- DSP_L0CLKOUTN : in std_logic;
+-- DSP_L0BCMPO : in std_logic;
+-- DSP_L0ACKO : in std_logic;
+-- DSP_L0BCMPI : out std_logic;
+-- DSP_L0ACKI : out std_logic;
+-- --link1
+-- DSP_L1DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L1DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L1DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L1DATON : in std_logic_vector (3 downto 0);
+-- DSP_L1CLKINP : out std_logic;
+-- DSP_L1CLKINN : out std_logic;
+-- DSP_L1CLKOUTP : in std_logic;
+-- DSP_L1CLKOUTN : in std_logic;
+-- DSP_L1ACKO : in std_logic;
+-- DSP_L1BCMPO : in std_logic;
+-- DSP_L1BCMPI : out std_logic;
+-- DSP_L1ACKI : out std_logic;
+ --DSP_TMROE : LOC = AP20; --link data widt now is 4 bit --pullup
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ -- DSP_MSH LOC = AL14;
+ -- DSP_IOEN LOC = AL18;
+ -- DSP_IORD LOC = AB16;
+ -- DSP_IOWR LOC = AB17;
+ -- DSP_BUSLOCK LOC = AC19;
+ --DSP_DMAR : std_logic_vector (3 downto 0);
+ --DSP_FLAG : std_logic_vector (3 downto 0);
+
+ -------------------------------------------------------------------------
+ -- SDRAM
+ -------------------------------------------------------------------------
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TLK
+ -------------------------------------------------------------------------
+
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SFP
+ -------------------------------------------------------------------------
+ SFP_LOS : in std_logic;
+ -- SFP_MOD<0> LOC = R23;
+ -- SFP_MOD<1> LOC = K32;
+ -- SFP_MOD<2> LOC = K33;
+ -- SFP_RATE_SEL : out std_logic;
+ SFP_MOD_LOW : out std_logic;
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+
+ -------------------------------------------------------------------------
+ -- ADDON board
+ -------------------------------------------------------------------------
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ ADO_LVDS_IN : in std_logic_vector(61 downto 0); --lvds signal
+-- ADO_LVDS_OUT : out std_logic_vector(7 downto 0); --lvds signal
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+ -- ADO_TTL : inout std_logic_vector(15 downto 0);
+-- ADO_TTL : in std_logic;
+
+ --simk--SIM_MED_DATA_IN : in std_logic_vector(c_DATA_WIDTH-1 downto 0);
+ --simk--SIM_MED_PACKET_NUM_IN : in std_logic_vector(c_NUM_WIDTH-1 downto 0);
+ --simk--SIM_MED_DATAREADY_IN : in std_logic;
+ --simk--SIM_MED_READ_OUT : out std_logic;
+ --simk--SIM_MED_DATA_OUT : out std_logic_vector(c_DATA_WIDTH-1 downto 0);
+ --simk--SIM_MED_PACKET_NUM_OUT : out std_logic_vector(c_NUM_WIDTH-1 downto 0);
+ --simk--SIM_MED_DATAREADY_OUT : out std_logic;
+ --simk--SIM_MED_READ_IN : in std_logic;
+
+ -------------------------------------------------------------------------------
+ --TDC JTAG
+ -------------------------------------------------------------------------------
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic
+ );
+
+end trb_v2b_fpga;
+
+architecture trb_v2b_fpga of trb_v2b_fpga is
+
+ component clk_300
+ port (
+ CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKFX_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component clock200
+ port (
+ CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component Sfp_Interface
+ generic (
+ I2C_SPEED : std_logic_vector(15 downto 0));
+ port (
+ CLK_IN : in std_logic;
+ RST_IN : in std_logic;
+ START_PULSE : in std_logic;
+ DEVICE_ADDRESS : in std_logic_vector(7 downto 0);
+ DATA_OUT : out std_logic_vector(15 downto 0);
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ EN_RESET : in std_logic;
+ READ_DONE : out std_logic;
+ DEBUG : out std_logic_vector(31 downto 0);
+ SFP_ADDRESS : in std_logic_vector(31 downto 0));
+ end component;
+
+
+ component cts
+ generic (
+ TRBNET_ENABLE : natural);
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLK40_IN : in std_logic;
+ LVL1_LVDS_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_TTL_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_RCTS_TYPE_IN : in std_logic_vector(3 downto 0);
+ LVL1_FAST_TRIGG_IN : in std_logic;
+ LVL1_TIMING_TRIGGER_OUT : out std_logic;
+ LVL1_TIMING_TEST_SIGNAL_OUT : out std_logic;
+ LVL1_APV_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGBOX_TRIGGER_IN : in std_logic;
+ LVL1_TRIGBOX_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL1_TRIGBOX_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL1_TRIGBOX_BUSY_IN : in std_logic;
+ LVL1_LOCAL_BUSY : in std_logic;
+ LVL1_TRBNET_BUSY : in std_logic;
+ LVL1_CTS_BUSY_OUT : out std_logic;
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ LVL2_LVDS_TRIGGER_IN : in std_logic;
+ LVL2_LVDS_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LVDS_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL2_LOCAL_TRIGGER_IN : in std_logic;
+ LVL2_LOCAL_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LOCAL_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL2_LOCAL_BUSY : in std_logic;
+ LVL2_TRBNET_BUSY : in std_logic;
+ LVL2_CTS_BUSY_OUT : out std_logic;
+ LVL2_TRIGGER_OUT : out std_logic;
+ LVL2_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL2_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL2_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ CTS_STASUS_0UT_0 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_1 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_2 : out std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_0 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_1 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_2 : in std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component tdc_interfacev2
+ generic (
+ ENABLE_DMA : natural;
+ NUMBER_OFF_ADD_DATA : natural;
+ TRBV2_TYPE : natural;
+ USE_EXTERNAL_SDRAM : natural;
+ CHECK_REF_TIME : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ TDC_CLK : in std_logic;
+ RESET : in std_logic;
+ TDC_DATA_IN : in std_logic_vector (31 downto 0);
+ START_TDC_READOUT : in std_logic;
+ SAVE_TRBNET_HEADERS : in std_logic;
+ A_TDC_READY : in std_logic;
+ B_TDC_READY : in std_logic;
+ C_TDC_READY : in std_logic;
+ D_TDC_READY : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ SEND_TDC_TOKEN : out std_logic;
+ RECEIVED_TDC_TOKEN : in std_logic;
+ GET_TDC_DATA : out std_logic;
+ LVL2_READOUT_COMPLETED : out std_logic;
+ LVL1_TAG : in std_logic_vector(15 downto 0);
+ LVL1_RND_CODE : in std_logic_vector(7 downto 0);
+ LVL1_CODE : in std_logic_vector(3 downto 0);
+ LVL2_TAG : in std_logic_vector(7 downto 0);
+ HOW_MANY_ADD_DATA : in std_logic_vector(7 downto 0);
+ ADDITIONAL_DATA : in std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+ LVL2_TRIGGER : in std_logic;
+ TDC_DATA_OUT : out std_logic_vector (31 downto 0);
+ TDC_DATA_VALID : out std_logic;
+ ETRAX_IS_READY_TO_READ : in std_logic;
+ ETRAX_IS_BUSY : in std_logic;
+ LVL1_BUSY : out std_logic;
+ LVL2_BUSY : out std_logic;
+ TDC_REGISTER_00 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_01 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_02 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_03 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_04 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_05 : in std_logic_vector(31 downto 0);
+ BUNCH_RESET : out std_logic;
+ EVENT_RESET : out std_logic;
+ DELAY_TRIGGER : in std_logic_vector(7 downto 0);
+ DELAY_TOKEN : in std_logic_vector(7 downto 0);
+ TDC_START : out std_logic;
+ TRIGGER_WITH_GEN_EN : in std_logic;
+ SELF_TAG_COUNT_EN : in std_logic;
+ TRIGGER_WITH_GEN : in std_logic;
+ TRB_ID : in std_logic_vector(31 downto 0);
+ LVL1_FINISHED : out std_logic;
+ LVL2_FINISHED : out std_logic;
+ TRBNET_HEADER_BUILD : in std_logic;
+ EVENT_SIZE : out std_logic_vector(15 downto 0);
+ RD_EN : out std_logic;
+ WR_EN : out std_logic;
+ WR_READY : in std_logic;
+ RD_READY : in std_logic;
+ DATA_IN : in std_logic_vector(31 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ SDRAM_BUSY : in std_logic;
+ TDC_DATA_DOWNSCALE_IN : in std_logic;
+ TRBNET_DATA_FINISHED_OUT : out std_logic;
+ TRBNET_DATA_WRITE_OUT : out std_logic;
+ TRBNET_DATA_OUT : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+
+ component trb_net_onewire is
+ generic(
+ USE_TEMPERATURE_READOUT : integer range 0 to 1 := 1;
+ CLK_PERIOD : integer := 10 --clk period in ns
+ );
+ port(
+ CLK : in std_logic;
+ RESET : in std_logic;
+ --connection to 1-wire interface
+ ONEWIRE : inout std_logic;
+ --connection to id ram, according to memory map in TrbNetRegIO
+ DATA_OUT : out std_logic_vector(15 downto 0);
+ ADDR_OUT : out std_logic_vector(2 downto 0);
+ WRITE_OUT : out std_logic;
+ TEMP_OUT : out std_logic_vector(11 downto 0);
+ STAT : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+
+ component etrax_interfacev2
+ generic (
+ ENABLE_DMA : natural;
+ RW_SYSTEM : natural;
+ RW_REGISTERS_NUMBER : natural;
+ R_REGISTERS_NUMBER : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic; --_vector(17 downto 0);
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ IPU_READY_IN : in std_logic;
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ RW_REGISTER : out std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ R_REGISTER : in std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ LVL2_VALID : in std_logic;
+ TRB_LVL2_BUSY : in std_logic;
+ REGIO_ADDR_IN : in std_logic_vector(15 downto 0);
+ REGIO_READ_ENABLE_IN : in std_logic;
+ REGIO_WRITE_ENABLE_IN : in std_logic;
+ REGIO_DATA_IN : in std_logic_vector(31 downto 0);
+ REGIO_DATA_OUT : out std_logic_vector(31 downto 0);
+ REGIO_DATAREADY_OUT : out std_logic;
+ REGIO_NO_MORE_DATA_OUT : out std_logic;
+ REGIO_WRITE_ACK_OUT : out std_logic;
+ REGIO_UNKNOWN_ADDR_OUT : out std_logic;
+ DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component sdram_controller
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_CLK_IN : in std_logic;
+ DATA_WRITE : in std_logic;
+ WRITE_READY : out std_logic;
+ WRITE_DATA_IN : in std_logic_vector(31 downto 0);
+ WRITE_BUSY : out std_logic;
+ DATA_READ : in std_logic;
+ DATA_READ_REQUEST : in std_logic;
+ READ_READY : out std_logic;
+ READ_DATA_OUT : out std_logic_vector(31 downto 0);
+ READ_BUSY : out std_logic;
+ RAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ RAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ RAM_DEBUG_02 : out std_logic_vector(31 downto 0);
+ SDARM_CLK : out std_logic;
+ CKE : out std_logic;
+ CE : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ BA : out std_logic_vector(1 downto 0);
+ ADDR : out std_logic_vector(12 downto 0);
+ DATA : inout std_logic_vector(31 downto 0);
+ DQMH : out std_logic;
+ DQML : out std_logic);
+ end component;
+
+ component sdram_fpga_interface
+ generic (
+ USE_EXTERNAL_SDRAM : natural);
+ port (
+ CLK : in std_logic;
+ READOUT_CLK : in std_logic;
+ RESET : in std_logic;
+ RD_EN : in std_logic;
+ WR_EN : in std_logic;
+ WR_READY : out std_logic;
+ RD_READY : out std_logic;
+ DATA_IN : in std_logic_vector(31 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ SDRAM_BUSY : out std_logic;
+ INTERNAL_STROBE : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ INTERNAL_VALID : out std_logic;
+ SDRAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_02 : out std_logic_vector(31 downto 0);
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+ DQMH : out std_logic;
+ DQML : out std_logic
+ );
+ end component;
+
+ component dsp_interface
+ port (
+ -- signal to/from dsp
+ HBR_OUT : out std_logic; -- Host Bus Request to DSP
+ HBG_IN : in std_logic; -- Host Bus Grant from DSP
+ RD_OUT : out std_logic; -- read/write enable of DSP
+ DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+ DSP_DATA_IN : in std_logic_vector(31 downto 0);
+ ADDRESS_DSP : out std_logic_vector(31 downto 0);
+ WRL : out std_logic; --when dsp slave is output
+ WRH : out std_logic; --when dsp slave is output
+ BM_IN : in std_logic; --Bus Master. For debug
+ DSP_RESET : out std_logic;
+ BRST : inout std_logic;
+ ACK : in std_logic;
+ --internal data and address bus
+ CLK : in std_logic;
+ RESET : in std_logic;
+ R_W_ENABLE : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ ACKNOWLEDGE : in std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component dtu_interface
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL1_ERROR_BUS : out std_logic;
+ LVL1_BUSY_BUS : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL2_ERROR_BUS : out std_logic;
+ LVL2_BUSY_BUS : out std_logic;
+ LVL2_TRIGGER : out std_logic;
+ LVL2_CODE : out std_logic_vector(3 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_TRB_ACK : in std_logic;
+ DTU_DEBUG_00 : out std_logic_vector(31 downto 0));
+ end component;
+
+ component sdram_interface
+ port (
+--connection with sdram
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+--internal signals
+ CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component ctu
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGG : in std_logic_vector(7 downto 0);
+ LVL1_START : out std_logic;
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGG : in std_logic_vector(1 downto 0);
+ LVL2_START : out std_logic_vector(1 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_DOWNSCALING : in std_logic_vector(7 downto 0);
+ CTU_CONTROL : in std_logic_vector(31 downto 0);
+ LVL1_CTU_STATUS : out std_logic_vector(31 downto 0);
+ LVL2_CTU_STATUS : out std_logic_vector(31 downto 0));
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ component DCM_100MHz_to_200MHz
+ port (
+ CLKIN_N_IN : in std_logic;
+ CLKIN_P_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKDV_OUT : out std_logic;
+ CLKIN_IBUFGDS_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component media_interface
+ generic (
+ HOW_MANY_CHANNELS : positive;
+ SYSTEM : positive
+ );
+ port (
+ RESET : in std_logic;
+ SYSTEM_CLK : in std_logic;
+ TX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)-1 downto 0);
+ RX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+ RXD : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+ RX_K : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ RX_RST : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+ CV : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ TXD : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+ TX_K : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ MEDIA_STATUS : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MEDIA_CONTROL : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_DATAREADY_IN : in std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_DATA_IN : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_READ_OUT : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_DATA_OUT : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_DATAREADY_OUT : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_READ_IN : in std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ MED_STAT_OP : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_CTRL_OP : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ LINK_DEBUG : out std_logic_vector(HOW_MANY_CHANNELS*32-1 downto 0);
+ TX_DIS : out std_logic_vector(HOW_MANY_CHANNELS*16 downto 1);
+ SFP_INP_N : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_INP_P : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_OUT_N : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_OUT_P : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0)
+ );
+ end component;
+-------------------------------------------------------------------------------
+-- components for trbnet - cts
+-------------------------------------------------------------------------------
+ component trb_net16_endpoint_hades_cts
+ generic (
+ USE_CHANNEL : channel_config_t;
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ INIT_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_RECEIVE_DATA : channel_config_t;
+ USE_CHECKSUM : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0);
+ REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USE_DAT_PORT : integer range 0 to 1;
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_VAR_ENDPOINT_ID : integer;
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ CLOCK_FREQUENCY : integer range 1 to 200);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ TRG_SEND_IN : in std_logic;
+ TRG_TYPE_IN : in std_logic_vector (3 downto 0);
+ TRG_NUMBER_IN : in std_logic_vector (15 downto 0);
+ TRG_INFORMATION_IN : in std_logic_vector (23 downto 0);
+ TRG_RND_CODE_IN : in std_logic_vector (7 downto 0);
+ TRG_STATUS_BITS_OUT : out std_logic_vector (31 downto 0);
+ TRG_BUSY_OUT : out std_logic;
+ IPU_SEND_IN : in std_logic;
+ IPU_TYPE_IN : in std_logic_vector (3 downto 0);
+ IPU_NUMBER_IN : in std_logic_vector (15 downto 0);
+ IPU_INFORMATION_IN : in std_logic_vector (7 downto 0);
+ IPU_RND_CODE_IN : in std_logic_vector (7 downto 0);
+ IPU_DATA_OUT : out std_logic_vector (31 downto 0);
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_READ_IN : in std_logic;
+ IPU_STATUS_BITS_OUT : out std_logic_vector (31 downto 0);
+ IPU_BUSY_OUT : out std_logic;
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_REGISTERS_IN : in std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ REGIO_REGISTERS_OUT : out std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ COMMON_STAT_REG_STROBE : out std_logic_vector(std_COMSTATREG-1 downto 0);
+ COMMON_CTRL_REG_STROBE : out std_logic_vector(std_COMCTRLREG-1 downto 0);
+ STAT_REG_STROBE : out std_logic_vector(2**(REGIO_NUM_STAT_REGS)-1 downto 0);
+ CTRL_REG_STROBE : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ REGIO_READ_ENABLE_OUT : out std_logic;
+ REGIO_WRITE_ENABLE_OUT : out std_logic;
+ REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ REGIO_DATAREADY_IN : in std_logic := '0';
+ REGIO_NO_MORE_DATA_IN : in std_logic := '0';
+ REGIO_WRITE_ACK_IN : in std_logic := '0';
+ REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
+ REGIO_TIMEOUT_OUT : out std_logic;
+ REGIO_ONEWIRE_INOUT : inout std_logic;
+ REGIO_ONEWIRE_MONITOR_OUT : out std_logic;
+ REGIO_ONEWIRE_MONITOR_IN : in std_logic;
+ TRIGGER_MONITOR_IN : in std_logic;
+ GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0);
+ LOCAL_TIME_OUT : out std_logic_vector(7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector(31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector(31 downto 0));
+ end component;
+
+-- -----------------------------------------------------------------------------
+-- -- components for trbnet - hades end point
+-- -----------------------------------------------------------------------------
+
+ component trb_net16_endpoint_hades_full
+ generic (
+ USE_CHANNEL : channel_config_t;
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ IBUF_SECURE_MODE : channel_config_t;
+ API_SECURE_MODE_TO_APL : channel_config_t;
+ API_SECURE_MODE_TO_INT : channel_config_t;
+ OBUF_DATA_COUNT_WIDTH : integer range 0 to 7;
+ INIT_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_RECEIVE_DATA : channel_config_t;
+ USE_CHECKSUM : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0);
+ REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USE_DAT_PORT : integer range 0 to 1;
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ CLOCK_FREQUENCY : integer range 1 to 200);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_TYPE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRG_RECEIVED_OUT : out std_logic;
+ LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
+ LVL1_ERROR_PATTERN_IN : in std_logic_vector(31 downto 0) := x"00000000";
+ LVL1_TRG_RELEASE_IN : in std_logic := '0';
+ IPU_NUMBER_OUT : out std_logic_vector (15 downto 0);
+ IPU_INFORMATION_OUT : out std_logic_vector (7 downto 0);
+ IPU_START_READOUT_OUT : out std_logic;
+ IPU_DATA_IN : in std_logic_vector (31 downto 0);
+ IPU_DATAREADY_IN : in std_logic;
+ IPU_READOUT_FINISHED_IN : in std_logic;
+ IPU_READ_OUT : out std_logic;
+ IPU_LENGTH_IN : in std_logic_vector (15 downto 0);
+ IPU_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0);
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_REGISTERS_IN : in std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ REGIO_REGISTERS_OUT : out std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ REGIO_READ_ENABLE_OUT : out std_logic;
+ REGIO_WRITE_ENABLE_OUT : out std_logic;
+ REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ REGIO_DATAREADY_IN : in std_logic := '0';
+ REGIO_NO_MORE_DATA_IN : in std_logic := '0';
+ REGIO_WRITE_ACK_IN : in std_logic := '0';
+ REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
+ REGIO_TIMEOUT_OUT : out std_logic;
+ REGIO_IDRAM_DATA_IN : in std_logic_vector(15 downto 0) := (others => '0');
+ REGIO_IDRAM_DATA_OUT : out std_logic_vector(15 downto 0);
+ REGIO_IDRAM_ADDR_IN : in std_logic_vector(2 downto 0) := "000";
+ REGIO_IDRAM_WR_IN : in std_logic := '0';
+ REGIO_ONEWIRE_INOUT : inout std_logic;
+ REGIO_ONEWIRE_MONITOR_IN : in std_logic;
+ REGIO_ONEWIRE_MONITOR_OUT : out std_logic;
+ TRIGGER_MONITOR_IN : in std_logic;
+ GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0);
+ LOCAL_TIME_OUT : out std_logic_vector(7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector (31 downto 0);
+ MED_STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_MPLEX : in std_logic_vector (31 downto 0);
+ IOBUF_CTRL_GEN : in std_logic_vector (4*32-1 downto 0);
+ STAT_ONEWIRE : out std_logic_vector (31 downto 0);
+ STAT_ADDR_DEBUG : out std_logic_vector (15 downto 0));
+ end component;
+
+-- -----------------------------------------------------------------------------
+-- -- components from trbnet - media ineterface
+-- -----------------------------------------------------------------------------
+
+ component trb_net16_endpoint_hades_full_handler
+ generic (
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ ADDRESS_MASK : std_logic_vector(15 downto 0);
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ BROADCAST_SPECIAL_ADDR : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(16*32-1 downto 0);
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES;
+ CLOCK_FREQUENCY : integer range 1 to 200;
+ TIMING_TRIGGER_RAW : integer range 0 to 1;
+ DATA_INTERFACE_NUMBER : integer range 1 to 16;
+ DATA_BUFFER_DEPTH : integer range 9 to 15;
+ DATA_BUFFER_WIDTH : integer range 1 to 32;
+ DATA_BUFFER_FULL_THRESH : integer range 0 to 2**15-2;
+ TRG_RELEASE_AFTER_DATA : integer range 0 to 1;
+ HEADER_BUFFER_DEPTH : integer range 9 to 15;
+ HEADER_BUFFER_FULL_THRESH : integer range 2**8 to 2**15-2);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic := '1';
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ TRG_TIMING_TRG_RECEIVED_IN : in std_logic;
+ LVL1_TRG_DATA_VALID_OUT : out std_logic;
+ LVL1_VALID_TIMING_TRG_OUT : out std_logic;
+ LVL1_VALID_NOTIMING_TRG_OUT : out std_logic;
+ LVL1_INVALID_TRG_OUT : out std_logic;
+ LVL1_TRG_TYPE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
+ LVL1_INT_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ TRG_MULTIPLE_TRG_OUT : out std_logic;
+ TRG_TIMEOUT_DETECTED_OUT : out std_logic;
+ TRG_SPURIOUS_TRG_OUT : out std_logic;
+ TRG_MISSING_TMG_TRG_OUT : out std_logic;
+ TRG_SPIKE_DETECTED_OUT : out std_logic;
+ FEE_TRG_RELEASE_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_TRG_STATUSBITS_IN : in std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
+ FEE_DATA_IN : in std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
+ FEE_DATA_WRITE_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_DATA_FINISHED_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_DATA_ALMOST_FULL_OUT : out std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_COMMON_STAT_STROBE_OUT : out std_logic_vector(std_COMSTATREG-1 downto 0);
+ REGIO_COMMON_CTRL_STROBE_OUT : out std_logic_vector(std_COMCTRLREG-1 downto 0);
+ REGIO_STAT_REG_IN : in std_logic_vector(2**(REGIO_NUM_STAT_REGS)*32-1 downto 0) := (others => '0');
+ REGIO_CTRL_REG_OUT : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)*32-1 downto 0);
+ REGIO_STAT_STROBE_OUT : out std_logic_vector(2**(REGIO_NUM_STAT_REGS)-1 downto 0);
+ REGIO_CTRL_STROBE_OUT : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ BUS_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ BUS_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ BUS_READ_ENABLE_OUT : out std_logic;
+ BUS_WRITE_ENABLE_OUT : out std_logic;
+ BUS_TIMEOUT_OUT : out std_logic;
+ BUS_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ BUS_DATAREADY_IN : in std_logic := '0';
+ BUS_WRITE_ACK_IN : in std_logic := '0';
+ BUS_NO_MORE_DATA_IN : in std_logic := '0';
+ BUS_UNKNOWN_ADDR_IN : in std_logic := '0';
+ ONEWIRE_INOUT : inout std_logic;
+ ONEWIRE_MONITOR_IN : in std_logic := '0';
+ ONEWIRE_MONITOR_OUT : out std_logic;
+ REGIO_VAR_ENDPOINT_ID : in std_logic_vector (15 downto 0) := (others => '0');
+ TIME_GLOBAL_OUT : out std_logic_vector (31 downto 0);
+ TIME_LOCAL_OUT : out std_logic_vector (7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0);
+ TIME_TICKS_OUT : out std_logic_vector (1 downto 0);
+ STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_DATA_HANDLER_OUT : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_IPU_HANDLER_OUT : out std_logic_vector (31 downto 0);
+ CTRL_MPLEX : in std_logic_vector (31 downto 0) := (others => '0');
+ IOBUF_CTRL_GEN : in std_logic_vector (4*32-1 downto 0) := (others => '0');
+ STAT_ONEWIRE : out std_logic_vector (31 downto 0);
+ STAT_ADDR_DEBUG : out std_logic_vector (15 downto 0);
+ DEBUG_LVL1_HANDLER_OUT : out std_logic_vector (15 downto 0));
+ end component;
+
+ component trb_net16_med_tlk
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector(15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector(15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_READ_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_DATAREADY_OUT : out std_logic;
+ MED_READ_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ STAT : out std_logic_vector (63 downto 0);
+ STAT_MONITOR : out std_logic_vector (100 downto 0);
+ STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_OP : in std_logic_vector (15 downto 0));
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- SFP optical power and temperature --component !!!
+ -----------------------------------------------------------------------------
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- trigger logic interface
+ -----------------------------------------------------------------------------
+
+ component trigger_logic
+ generic (
+ TRIGGER_INPUTS_NUMBER : natural;
+ CTS_NUMBER_IPU_DATA : natural);
+ port (
+ CLK : in std_logic;
+ HIGH_FREQ_CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER_IN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ SIGNAL_IN : in std_logic_vector(7 downto 0);
+ SIGNAL_OUT : out std_logic_vector(15 downto 0);
+ INPUT_ENABLE : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ DOWNSCALE_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ DELAY_TRIGGER_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ WIDTH_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ TS_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ MDC_TOF_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ DOWNSCALE_REGISTER_CLOCK : in std_logic_vector(3 downto 0);
+ TRIGGER_OUT_EN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ MULTIPLEXER_SELECT : in std_logic_vector(8*3-1 downto 0);
+ TRIGGER_LOGIC_CTRL_IN : in std_logic_vector(31 downto 0);
+ SCALER_OUT : out std_logic_vector(62*32-1 downto 0);
+ BEAM_INHIBIT_IN : in std_logic;
+ NO_TIMING_OUT : out std_logic;
+ LVL1_BUSY_IN : in std_logic;
+ LVL1_TRIGGER_ACCEPTED_IN : in std_logic;
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_BUSY_OUT : out std_logic;
+ TRIGGER_LOGIC_DEBUG_OUT : out std_logic_vector(31 downto 0);
+ IPU_DATA_IN : in std_logic_vector(31 downto 0);
+ IPU_DATA_VALID_IN : in std_logic;
+ TRBNET_LVL1_STATUS_IN : in std_logic_vector(31 downto 0);
+ TRBNET_BUSY_IN : in std_logic;
+ TOKEN_IN : in std_logic;
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID_OUT : out std_logic;
+ TOKEN_OUT : out std_logic;
+ TRANSMIT_NO_DATA_IN : in std_logic
+ );
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- test bench for slow control
+ -----------------------------------------------------------------------------
+
+ component command_sender
+ port (
+ CLK : in std_logic;
+ DATA : out std_logic_vector(15 downto 0);
+ DATAREADY : out std_logic;
+ PACKET_NUM : out std_logic_vector(2 downto 0);
+ REF_TIME_OUT : out std_logic
+ );
+ end component;
+
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+
+-- constant VERSION_NUMBER_TIME : integer := 1245150983; --interface
+ constant HOW_MANY_CHANNELS : integer := 1;
+
+ --clk
+ signal clk_lvds : std_logic;
+ signal addon_clk : std_logic;
+ signal clk : std_logic;
+ signal clk50 : std_logic;
+ signal clk200 : std_logic;
+ signal locked_out : std_logic;
+ attribute period : string;
+ attribute period of clk : signal is "10 ns";
+
+ --reset
+ signal global_reset_counter : std_logic_vector(3 downto 0) := x"0";
+ signal reset_i : std_logic := '0';
+
+ --TDC
+ signal tdc_clk : std_logic;
+ signal tdc_clk_i : std_logic;
+ signal tdc_data_in_i : std_logic_vector(31 downto 0);
+ signal save_trbnet_headers_i : std_logic;
+ signal a_data_ready_i : std_logic;
+ signal b_data_ready_i : std_logic;
+ signal c_data_ready_i : std_logic;
+ signal d_data_ready_i : std_logic;
+ signal a_trigg : std_logic;
+ signal b_trigg : std_logic;
+ signal c_trigg : std_logic;
+ signal d_trigg : std_logic;
+ signal reference_signal : std_logic;
+ signal tdc_readout_completed_i : std_logic;
+ signal tdc_data_out_i : std_logic_vector(31 downto 0);
+ signal tdc_data_valid_i : std_logic;
+ signal lvl2_readout_completed_i : std_logic;
+ signal tdc_register_00_i : std_logic_vector(31 downto 0);
+ signal tdc_register_01_i : std_logic_vector(31 downto 0);
+ signal tdc_register_02_i : std_logic_vector(31 downto 0);
+ signal tdc_register_03_i : std_logic_vector(31 downto 0);
+ signal tdc_register_04_i : std_logic_vector(31 downto 0);
+ signal tdc_register_05_i : std_logic_vector(31 downto 0);
+ signal bunch_reset_i : std_logic;
+ signal event_reset_i : std_logic;
+ signal trigger_to_tdc_i : std_logic;
+ signal token_out_i : std_logic;
+ signal token_out_long_a : std_logic;
+ signal token_out_long_b : std_logic;
+ signal fast_ref_trigger : std_logic;
+ signal fast_ref_trigger_synch : std_logic;
+ signal fast_ref_trigger_pulse : std_logic;
+ signal fast_ref_trigger_pulse_synch : std_logic;
+ signal token_in_i : std_logic;
+ signal not_hades_trigger : std_logic;
+ signal trigger_miss_match : std_logic;
+ signal additional_data_i : std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+ signal NUMBER_OFF_ADD_DATA_RANGE : integer := 0;
+ signal self_trigg : std_logic;
+ signal lvl1_finished_i : std_logic;
+ signal lvl2_finished_i : std_logic;
+ signal start_tdc_readout_i : std_logic;
+
+ --common signals for triggers
+ signal lvl1_busy_i : std_logic;
+ signal lvl2_busy_i : std_logic;
+ signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_tag_i : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_i : std_logic;
+ signal lvl2_trigger_synch : std_logic;
+ signal lvl1_trigger_i : std_logic;
+ signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0";
+ signal lvl2_trigger_tag_i : std_logic_vector(15 downto 0) := x"0000";
+ signal lvl2_local_busy_i : std_logic:='0';
+ signal lvl1_local_busy_i : std_logic:='0';
+ signal lvl1_external_busy_i : std_logic;
+ signal lvl2_external_busy_i : std_logic;
+
+ --etrax
+ signal etrax_debug_reg : std_logic_vector(31 downto 0);
+ signal etrax_bus_busy_i : std_logic; --should go to busy logic !?
+ signal etrax_is_ready_to_read_i : std_logic;
+ signal fpga_register_01_i : std_logic_vector(31 downto 0);
+ signal fpga_register_02_i : std_logic_vector(31 downto 0);
+ signal fpga_register_03_i : std_logic_vector(31 downto 0);
+ signal fpga_register_04_i : std_logic_vector(31 downto 0);
+ signal fpga_register_05_i : std_logic_vector(31 downto 0);
+ signal fpga_register_06_i : std_logic_vector(31 downto 0);
+ signal fpga_register_07_i : std_logic_vector(31 downto 0);
+ signal fpga_register_08_i : std_logic_vector(31 downto 0);
+ signal fpga_register_09_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0e_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0f_i : std_logic_vector(31 downto 0);
+-- signal r_register_i : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+-- signal rw_register_i : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ type r_register_array is array(0 to R_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ signal r_register_i : r_register_array;
+ type rw_register_array is array(0 to RW_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ signal rw_register_i : rw_register_array;
+ signal fs_pc_i : std_logic_vector(17 downto 0);
+ signal fs_pb_i : std_logic_vector(16 downto 0);
+ signal fs_pb_17i : std_logic;
+ -- tlk
+ signal tlk_rx_clk_r : std_logic_vector(3 downto 0);
+ signal tlk_clk_r : std_logic_vector(0 downto 0);
+ signal tlk_register_00_i : std_logic_vector(31 downto 0);
+ signal tlk_register_01_i : std_logic_vector(31 downto 0);
+ signal cv_i : std_logic_vector(7 downto 0);
+ signal rx_k_i : std_logic_vector(7 downto 0);
+ signal tx_k_i : std_logic_vector(7 downto 0);
+ signal media_status_i : std_logic_vector(15 downto 0);
+ signal media_control_i : std_logic_vector(15 downto 0);
+ signal link_debug_i : std_logic_vector(31 downto 0);
+ signal sfp_los_vect : std_logic_vector(0 downto 0);
+ signal tlk_rxd_i : std_logic_vector(63 downto 0);
+ signal tlk_txd_i : std_logic_vector(63 downto 0);
+
+ --sfp
+ signal sfp_data_out : std_logic_vector(15 downto 0);
+ signal sfp_external_valid : std_logic;
+
+ --rw external interaface
+ signal external_address_i : std_logic_vector(31 downto 0);
+ signal external_data_in_i : std_logic_vector(31 downto 0);
+ signal external_data_out_i : std_logic_vector(31 downto 0);
+ signal external_ack_i : std_logic;
+ signal external_valid_i : std_logic;
+ signal external_mode_i : std_logic_vector(15 downto 0);
+
+ --dsp
+ signal dsp_strobe_i : std_logic;
+ signal dsp_external_valid_i : std_logic;
+ signal dsp_hbr_i : std_logic;
+ signal dspdat_out_i : std_logic_vector(31 downto 0);
+ signal dspdat_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_out_i : std_logic_vector(31 downto 0);
+ signal dspaddr_i : std_logic_vector(31 downto 0);
+ signal dsp_register_00_i : std_logic_vector(31 downto 0);
+ signal DSP_WRL_i : std_logic;
+ signal DSP_RD_i : std_logic;
+ signal dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+ signal dsp_bm_reg : std_logic;
+ signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_0 : std_logic;
+ signal dsp_link_clk_out_0 : std_logic;
+ signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_1 : std_logic;
+ signal dsp_link_clk_out_1 : std_logic;
+
+ --sdram
+ signal sdram_register_00_i : std_logic_vector(31 downto 0);
+ signal sdram_external_valid_i : std_logic:='0';
+ signal sdram_data_out_i : std_logic_vector(31 downto 0);
+ signal vsd_cs_i : std_logic;
+ signal dqmh_i : std_logic;
+ signal dqml_i : std_logic;
+ signal rd_en_i : std_logic;
+ signal wr_en_i : std_logic;
+ signal wr_ready_i : std_logic;
+ signal rd_ready_i : std_logic;
+ signal data_in_i : std_logic_vector(31 downto 0);
+ signal data_out_i : std_logic_vector(31 downto 0);
+ signal sdram_busy_i : std_logic;
+ signal sdram_debug_i : std_logic_vector(31 downto 0);
+ signal readout_sdram_int_clk : std_logic;
+ signal sdram_data_ready_i : std_logic;
+ --scalers
+ type scaler_counter_arr is array(0 to NUMBER_OFF_ADD_DATA-1) of std_logic_vector(31 downto 0);
+ signal scaler_counter : scaler_counter_arr;
+ signal scaler_pulse : std_logic_vector(NUMBER_OFF_ADD_DATA-1 downto 0);
+ signal number_of_rpc_add_data : std_logic_vector(7 downto 0);
+ signal scaler_reset : std_logic;
+
+ --ctu
+ signal lvl1_ctu_status_i : std_logic_vector(31 downto 0);
+ signal lvl2_ctu_status_i : std_logic_vector(31 downto 0);
+
+ --dtu
+ signal dtu_debug_00_i : std_logic_vector(31 downto 0);
+ signal busy_or_error : std_logic;
+ signal optical_tdc_trigger_reg_1 : std_logic;
+ signal optical_tdc_trigger_reg_2 : std_logic;
+ signal optical_tdc_trigger_reg_3 : std_logic;
+ signal optical_tdc_trigger : std_logic;
+
+ --other
+ signal check_pulse : std_logic;
+ signal check_counter : std_logic_vector(16 downto 0);
+ signal lvds_add_on_data : std_logic_vector(31 downto 0);
+ signal ado_lv_out_i : std_logic_vector(3 downto 0);
+
+ signal self_trigg_counter : std_logic_vector(7 downto 0);
+ signal r_register_vector : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ signal rw_register_vector : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ type temp_sens_array is array (3 downto 0) of std_logic_vector(11 downto 0);
+ signal temp_sens_i : temp_sens_array;
+ type temp_sens_status_array is array (3 downto 0) of std_logic_vector(31 downto 0);
+ signal temp_sens_status_i : temp_sens_status_array;
+
+ -- media interface
+ signal med_dataready_out_i : std_logic_vector(0 downto 0);
+ signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_packet_num_out_i : std_logic_vector (HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ signal med_read_in_i : std_logic_vector(0 downto 0);
+ signal med_dataready_in_i : std_logic_vector(0 downto 0);
+ signal med_data_in_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_read_out_i : std_logic_vector(0 downto 0);
+ signal med_stat_op_in_i : std_logic_vector (15 downto 0);
+ signal med_ctrl_op_out_i : std_logic_vector (15 downto 0);
+ signal med_packet_num_in_i : std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+
+ --trbnet -cts
+ signal not_ipu_dataready_out_i : std_logic;
+ signal ipu_dataready_out_end_pulse : std_logic;
+ signal dummy_lvl1_trigger : std_logic;
+ signal dummy_lvl2_trigger : std_logic;
+ signal clk40_a : std_logic;
+ signal clk40_b : std_logic;
+ signal clk40_c : std_logic;
+ signal not_tdc_clk : std_logic;
+ signal counter_for_clk : std_logic_vector(7 downto 0);
+ signal lvl2_valid_i : std_logic;
+ signal timing_signal : std_logic;
+ signal apv_signal : std_logic;
+ signal no_timing : std_logic;
+ --lvl1
+ signal trg_send_in_i : std_logic;
+ signal trg_type_in_i : std_logic_vector (3 downto 0);
+ signal trg_number_in_i : std_logic_vector (15 downto 0);
+ signal trg_information_in_i : std_logic_vector (23 downto 0);
+ signal trg_status_bits_out_i : std_logic_vector (31 downto 0);
+ signal trg_timing_trg_received_in_i : std_logic;
+ signal data_valid_pulse,lvl1_valid_timing_trg_out_i,lvl1_valid_timing_trg_out_pulse,lvl1_valid_notiming_trg_out_i,lvl1_valid_notiming_trg_out_pulse,lvl1_invalid_trg_out_i,lvl1_invalid_trg_out_pulse : std_logic;
+ signal ref_time_cntr,trigg_data_valid_cntr,valid_timing_trg_cntr,valid_notiming_trg_cntr,invalid_trg_cntr : std_logic_vector(7 downto 0);
+
+
+ --lvl2
+ signal trg_busy_out_i : std_logic := '0';
+ signal ipu_send_in_i : std_logic;
+ signal ipu_type_in_i : std_logic_vector (3 downto 0);
+ signal ipu_number_in_i : std_logic_vector (15 downto 0);
+ signal ipu_information_in_i : std_logic_vector (7 downto 0);
+ signal ipu_data_out_i : std_logic_vector (31 downto 0);
+ signal ipu_dataready_out_i : std_logic;
+ signal ipu_read_in_i : std_logic;
+ signal ipu_status_bits_out_i : std_logic_vector (31 downto 0);
+ signal ipu_busy_out_i : std_logic := '0';
+
+ --reg io
+ signal regio_common_stat_reg_in_i : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ signal regio_common_ctrl_reg_out_i : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+-- constant REGIO_NUM_STAT_REGS : integer := R_REGISTERS_NUMBER;
+-- constant REGIO_NUM_CTRL_REGS : integer := RW_REGISTERS_NUMBER;
+ signal regio_registers_in_i : std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ signal regio_registers_out_i : std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ signal regio_addr_out_i : std_logic_vector(16-1 downto 0);
+ signal regio_read_enable_out_i : std_logic;
+ signal regio_write_enable_out_i : std_logic;
+ signal regio_data_out_i : std_logic_vector(32-1 downto 0);
+ signal regio_data_in_i : std_logic_vector(32-1 downto 0) := (others => '0');
+ signal regio_dataready_in_i : std_logic := '0';
+ signal regio_no_more_data_in_i : std_logic := '0';
+ signal regio_write_ack_in_i : std_logic := '0';
+ signal regio_unknown_addr_in_i : std_logic := '0';
+ signal regio_timeout_out_i : std_logic;
+ signal regio_onewire_inout_i : std_logic;
+ signal regio_onewire_monitor_out_i : std_logic;
+ signal regio_onewire_monitor_in_i : std_logic;
+
+--trbnet endpoint
+ signal trigger_monitor_in_i : std_logic;
+ signal global_time_out_i : std_logic_vector(31 downto 0);
+ signal local_time_out_i : std_logic_vector(7 downto 0);
+ signal time_since_last_trg_out_i : std_logic_vector(31 downto 0);
+ signal timer_us_tick_out_i : std_logic;
+ signal stat_debug_1_i : std_logic_vector(31 downto 0);
+ signal stat_debug_2_i : std_logic_vector(31 downto 0);
+ signal regio_idram_data_in_i : std_logic_vector(15 downto 0) := (others => '0');
+ signal regio_idram_data_out_i : std_logic_vector(15 downto 0);
+ signal regio_idram_addr_in_i : std_logic_vector(2 downto 0) := "000";
+ signal regio_idram_wr_in_i : std_logic := '0';
+ signal stat_debug_ipu_i : std_logic_vector (31 downto 0);
+ signal ipu_read_out_i : std_logic := '0';
+ signal ipu_dataready_in_i : std_logic;
+ signal ipu_length_in_i : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_i_pulse : std_logic;
+ signal cntr_for_dummy_header : std_logic_vector(1 downto 0) := "00";
+ signal ipu_data_in_i : std_logic_vector(31 downto 0);
+ signal fee_trg_statusbits_in_buf : std_logic_vector(31 downto 0);
+
+ --vulom
+ signal vulom_event : std_logic_vector(31 downto 0);
+ signal vulom_event_valid : std_logic;
+ signal vulom_readout_start : std_logic;
+ signal vulom_readout_end : std_logic;
+ signal vulom_busy : std_logic;
+ signal vulom_lvl1_trigger_i : std_logic;
+ signal vulom_lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal vulom_lvl1_trigger_tag_i : std_logic_vector(15 downto 0);
+ signal ext_lvl1_trigger_i : std_logic := '0';
+ signal ext_tag : std_logic_vector(7 downto 0) := x"00";
+ signal ext_lvl1_trigger_pulse : std_logic;
+ signal lvl1_vulom_trigger : std_logic;
+ signal trbnet_data_finished_out_i : std_logic_vector(0 downto 0);
+ signal trbnet_data_write_out_i : std_logic_vector(0 downto 0);
+ signal trbnet_data_out_i : std_logic_vector(31 downto 0);
+ --opt ctu
+ signal opt_lvl1_busy_i : std_logic;
+ signal opt_lvl2_busy_i : std_logic;
+ signal not_lvl1_busy_opt : std_logic;
+ signal opt_ctu_debug_i : std_logic_vector(31 downto 0);
+ signal opt_busy_lvl1_or_lvl2 : std_logic;
+ signal lvl2_opt_busy_pulse : std_logic;
+ signal not_lvl2_opt_busy : std_logic;
+
+ --spi
+ --a
+ signal spi_sck_a : std_logic;
+ signal spi_cs_a : std_logic;
+ signal spi_sdi_a : std_logic;
+ signal spi_sdo_a : std_logic;
+ --b
+ signal spi_sck_b : std_logic;
+ signal spi_cs_b : std_logic;
+ signal spi_sdi_b : std_logic;
+ signal spi_sdo_b : std_logic;
+ --c
+ signal spi_sck_c : std_logic;
+ signal spi_cs_c : std_logic;
+ signal spi_sdi_c : std_logic;
+ signal spi_sdo_c : std_logic;
+ --d
+ signal spi_sck_d : std_logic;
+ signal spi_cs_d : std_logic;
+ signal spi_sdi_d : std_logic;
+ signal spi_sdo_d : std_logic;
+
+ --test signals
+ signal test_a1 : std_logic;
+ signal test_a2 : std_logic;
+ signal test_b1 : std_logic;
+ signal test_b2 : std_logic;
+ signal test_c1 : std_logic;
+ signal test_c2 : std_logic;
+ signal test_d1 : std_logic;
+ signal test_d2 : std_logic;
+ signal test_counter_1 : std_logic_vector(31 downto 0);
+ signal test_counter_2 : std_logic_vector(31 downto 0);
+ signal trigger_for_test_signal : std_logic;
+ signal ref_time_counter : std_logic_vector(7 downto 0);
+
+
+ signal lvl1_cts_busy_out_i : std_logic;
+ signal lvl2_cts_busy_out_i : std_logic;
+ signal lvl1_rnd_number_out_i : std_logic_vector(7 downto 0);
+ signal lvl2_rnd_number_out_i : std_logic_vector(7 downto 0);
+ signal lvl1_in_chain_busy : std_logic;
+ signal lvl2_in_chain_busy : std_logic;
+ signal lvl1_in_chain_busy_end : std_logic;
+ signal lvl2_in_chain_busy_end : std_logic;
+ signal lvl1_all_busy_or : std_logic;
+ signal lvl2_all_busy_or : std_logic;
+
+ --lvl1 trigger logic
+ signal trigger_rw_valid_out_i : std_logic;
+ signal trigger_rw_data_out_i : std_logic_vector(31 downto 0);
+
+ signal save_lvl2_finished : std_logic;
+
+ --trb tester
+ signal trb_tester_busy : std_logic;
+
+ --event builder id
+ signal counter_for_event_builder_id : std_logic_vector(31 downto 0);
+ signal event_builder_id_switch : std_logic_vector(3 downto 0);
+ signal event_builder_id : std_logic_vector(3 downto 0);
+
+ --trigger logic
+ signal trigger_in_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal signal_in_i : std_logic_vector(7 downto 0);
+ signal signal_out_i : std_logic_vector(15 downto 0);
+ signal input_enable_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal downscale_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal delay_trigger_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal width_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal ts_gating_disable_i : std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+-- signal mdc_tof_gating_disable_i : std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+-- signal downscale_register_clock_i : std_logic_vector(3 downto 0);
+ signal trigger_out_en_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal multiplexer_select_i : std_logic_vector(8*3-1 downto 0);
+ signal dtu_code_select_i : std_logic_vector(4 downto 0);
+ signal beam_inhibit_in_i : std_logic;
+ signal lvl1_busy_in_i : std_logic;
+ signal lvl1_trigger_tag_out_i : std_logic_vector(15 downto 0);
+ signal lvl1_trigger_code_out_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_out_i : std_logic;
+ signal trigger_logic_debug_out_i : std_logic_vector(31 downto 0);
+ signal triggbox_token_in : std_logic;
+ signal triggbox_data_out : std_logic_vector(31 downto 0);
+ signal triggbox_data_valid_out : std_logic;
+ signal triggbox_token_out : std_logic;
+ signal trigbox_busy_out : std_logic;
+ signal scaler_out_i : std_logic_vector(62*32 -1 downto 0);
+ signal fee_trg_release_in_i : std_logic_vector(0 downto 0);
+ signal how_many_add_data_i : std_logic_vector(7 downto 0);
+ signal rcts_code_in, rcts_code_in_sync : std_logic_vector(3 downto 0);
+ signal test_timing_signal : std_logic;
+
+ type MDC_DELAY_TIMING is (IDLE, MDC_DELAY_TIMING_A, MDC_DELAY_TIMING_B, MDC_DELAY_TIMING_C);
+ signal MDC_DELAY_TIMING_CURRENT, MDC_DELAY_TIMING_NEXT : MDC_DELAY_TIMING;
+
+ --mdc delay
+ signal mdc_delay_cntr_rst, mdc_delay_cntr_rst_fsm, mdc_delay_cntr_up, mdc_delay_cntr_up_fsm, mdc_width_cntr_rst, mdc_width_cntr_rst_fsm, mdc_width_cntr_up, mdc_width_cntr_up_fsm, mdc_timing_out, mdc_timing_out_fsm : std_logic;
+ signal mdc_delay_cntr : std_logic_vector(7 downto 0);
+ signal mdc_width_cntr : std_logic_vector(3 downto 0);
+
+ signal lvl1_trg_information_buf, lvl1_trg_information_saved : std_logic_vector(23 downto 0);
+
+begin
+
+
+
+
+ ----------------------------------------------------------------------------
+ -- Global reset
+ ----------------------------------------------------------------------------
+ MAKE_START_RESET : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ if global_reset_counter < x"e" then
+ global_reset_counter <= global_reset_counter + 1;
+ reset_i <= '1';
+ elsif med_stat_op_in_i(13) = '1' and TRBNET_ENABLE > 0 then
+ global_reset_counter <= x"0";
+ reset_i <= '0';
+ else
+ global_reset_counter <= global_reset_counter;
+ reset_i <= '0';
+ end if;
+ end if;
+ end process MAKE_START_RESET;
+
+ -- add reseet from etrax and from trbnet( . . .)
+
+ ----------------------------------------------------------------------------
+ -- LVDS signals
+ ----------------------------------------------------------------------------
+
+ -- CLK ----------------------------------------------------------------------
+ IBUFGDS_CLK : IBUFDS
+ generic map (
+ DIFF_TERM => true)
+ port map (
+ O => CLK,
+ I => VIRT_CLK,
+ IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+-- here !!!!
+-- DCM_INST: DCM_100MHz_to_200MHz
+-- -- generic map (
+-- -- IOSTANDARD => "LVDS_25_DCI")
+-- port map (
+-- CLKIN_N_IN => VIRT_CLKB,
+-- CLKIN_P_IN => VIRT_CLK,
+-- RST_IN => '0',
+-- CLKDV_OUT => clk50,
+-- CLKIN_IBUFGDS_OUT => open,
+-- CLK0_OUT => clk,
+-- CLK2X_OUT => clk200,
+-- LOCKED_OUT => locked_out);
+
+ -- AddOn clk ----------------------------------------------------------------
+ IBUFGDS_ADDCLK : IBUFDS
+ generic map (
+ DIFF_TERM => true)
+ port map (
+ O => addon_clk, --CLK,
+ I => ADDON_TO_TRB_CLKINP,
+ IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+ -- TDC ----------------------------------------------------------------------
+ IBUFGDS_TDC_CLK : IBUFGDS
+ generic map (
+ DIFF_TERM => true) --
+ port map (
+ O => tdc_clk,
+ I => REF_TDC_CLK,
+ IB => REF_TDC_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+ IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg);
+ IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg);
+ IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg);
+ IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg);
+ OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_BU_RESET, OB => A_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_EV_RESET, OB => A_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_BU_RESET, OB => B_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_EV_RESET, OB => B_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_BU_RESET, OB => C_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_EV_RESET, OB => C_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_BU_RESET, OB => D_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_EV_RESET, OB => D_TDC_EV_RESETB, I => event_reset_i);
+
+ --fast trigg-----------------------------------------------------------------
+ IBUFDS_FAST_TRIGGER : IBUFDS generic map (DIFF_TERM => true) port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger);
+
+ -- SPI ----------------------------------------------------------------------
+
+ --A
+ OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SCK, OB => A_SCKB, I => spi_sck_a);
+ OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SDO, OB => A_SDOB, I => spi_sdo_a);
+ OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_CS, OB => A_CSB, I => spi_cs_a);
+ IBUFDS_SDI_A : IBUFDS generic map (DIFF_TERM => true) port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a);
+ spi_sck_a <= fpga_register_07_i(0);
+ spi_sdo_a <= fpga_register_07_i(1);
+ spi_cs_a <= fpga_register_07_i(2);
+ fpga_register_08_i(0) <= spi_sdi_a;
+
+ --B
+ OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SCK, OB => B_SCKB, I => spi_sck_b);
+ OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SDO, OB => B_SDOB, I => spi_sdo_b);
+ OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_CS, OB => B_CSB, I => spi_cs_b);
+ IBUFDS_SDI_B : IBUFDS generic map (DIFF_TERM => true) port map (I => B_SDI, IB => B_SDIB, O => spi_sdi_b);
+ spi_sck_b <= fpga_register_07_i(3);
+ spi_sdo_b <= fpga_register_07_i(4);
+ spi_cs_b <= fpga_register_07_i(5);
+ fpga_register_08_i(1) <= spi_sdi_b;
+
+ --C
+ OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SCK, OB => C_SCKB, I => spi_sck_c);
+ OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SDO, OB => C_SDOB, I => spi_sdo_c);
+ OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_CS, OB => C_CSB, I => spi_cs_c);
+ IBUFDS_SDI_C : IBUFDS generic map (DIFF_TERM => true) port map (I => C_SDI, IB => C_SDIB, O => spi_sdi_c);
+ spi_sck_c <= fpga_register_07_i(6);
+ spi_sdo_c <= fpga_register_07_i(7);
+ spi_cs_c <= fpga_register_07_i(8);
+ fpga_register_08_i(2) <= spi_sdi_c;
+
+ --D
+ OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SCK, OB => D_SCKB, I => spi_sck_d);
+ OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SDO, OB => D_SDOB, I => spi_sdo_d);
+ OBUFDS_CS_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_CS, OB => D_CSB, I => spi_cs_d);
+ IBUFDS_SDI_D : IBUFDS generic map (DIFF_TERM => true) port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d);
+ spi_sck_d <= fpga_register_07_i(9);
+ spi_sdo_d <= fpga_register_07_i(10);
+ spi_cs_d <= fpga_register_07_i(11);
+ fpga_register_08_i(3) <= spi_sdi_d;
+
+
+ -----------------------------------------------------------------------------
+ -- Test signals
+ -----------------------------------------------------------------------------
+ OBUFDS_TEST_A1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST1, OB => A_TEST1B, I => test_a1);
+ OBUFDS_TEST_A2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST2, OB => A_TEST2B, I => test_a2);
+ OBUFDS_TEST_B1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST1, OB => B_TEST1B, I => test_b1);
+ OBUFDS_TEST_B2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST2, OB => B_TEST2B, I => test_b2);
+ OBUFDS_TEST_C1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST1, OB => C_TEST1B, I => test_c1);
+ OBUFDS_TEST_C2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST2, OB => C_TEST2B, I => test_c2);
+ OBUFDS_TEST_D1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST1, OB => D_TEST1B, I => test_d1);
+ OBUFDS_TEST_D2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST2, OB => D_TEST2B, I => test_d2);
+ --i should be able to switch on off 1 or 2
+ COUNTER_FOR_TEST_1 : process (CLK, reset_i, test_counter_1)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_1 > x"F4240"then --0.1kHz
+-- if reset_i = '1' or test_counter_1 = x"00140"then --for sim
+ test_counter_1 <= (others => '0');
+ else
+ test_counter_1 <= test_counter_1 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_1;
+ COUNTER_FOR_TEST_2 : process (CLK, reset_i, test_counter_2)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_2 = x"F4240" then --0.1kHz
+ test_counter_2 <= (others => '0');
+ else
+ test_counter_2 <= test_counter_2 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_2;
+ --lenght of signal depend on this condition: test_counter_1 < x". ."
+ --frequency in process:. . or test_counter_x > x". ."
+ test_a1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(0) = '1') else '1';
+ test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2) = '1') else '1';
+ test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4) = '1') else '1';
+ test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6) = '1') else '1';
+ test_a2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(1) = '1') else '1';
+ test_b2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(3) = '1') else '1';
+ test_c2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(5) = '1') else '1';
+ test_d2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(7) = '1') else '1';
+
+ trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1) = '1' or fpga_register_06_i(2) = '1')) else '0';
+-------------------------------------------------------------------------------
+-- rpc temperature
+-------------------------------------------------------------------------------
+ GENERAT_ONE_WIRE_CONNECTION : for i in 0 to 3 generate
+
+ onewire_interface : trb_net_onewire
+ generic map(
+ USE_TEMPERATURE_READOUT => 1,
+ CLK_PERIOD => 10
+ )
+ port map(
+ CLK => CLK,
+ RESET => reset_i,
+ --connection to 1-wire interface
+ ONEWIRE => TEMP_SENS(i),
+ --connection to id ram, according to memory map in TrbNetRegIO
+ DATA_OUT => open,
+ ADDR_OUT => open,
+ WRITE_OUT => open,
+ TEMP_OUT => temp_sens_i(i),
+ STAT => temp_sens_status_i(i)
+ );
+ end generate GENERAT_ONE_WIRE_CONNECTION;
+
+ r_register_i(15)(11 downto 0) <= temp_sens_i(0);
+ r_register_i(15)(23 downto 12) <= temp_sens_i(1);
+ r_register_i(16)(11 downto 0) <= temp_sens_i(2);
+ r_register_i(16)(23 downto 12) <= temp_sens_i(3);
+
+-- ADD_LVDS IN------------------------------------------------------------------
+
+ ADO_LVDS_IN_PROC : for line in 0 to 30 generate --62 lines in total
+ IBUFDS_LVDS : IBUFDS
+ generic map (
+ DIFF_TERM => true
+ )
+ port map (
+ I => ADO_LVDS_IN(line*2),
+ IB => ADO_LVDS_IN(line*2+1),
+ O => lvds_add_on_data(line)
+ );
+ end generate ADO_LVDS_IN_PROC;
+
+-- ADD_LVDS OUT------------------------------------------------------------------
+
+-- ADO_LVDS_OUT_PROC : for line in 0 to 3 generate
+-- OBUFDS_LVDS_LINE : OBUFDS
+-- generic map (
+-- IOSTANDARD => "LVDS_25"
+-- )
+-- port map (
+-- O => ADO_LVDS_OUT(line*2),
+-- OB => ADO_LVDS_OUT(line*2+1),
+-- I => ado_lv_out_i(line)
+-- );
+-- end generate ADO_LVDS_OUT_PROC;
+
+
+-------------------------------------------------------------------------------
+-- tiger sharc dma
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- TRBnet - cts
+-------------------------------------------------------------------------------
+
+ TRBnet_CTS : if TRBNET_ENABLE = 2 generate
+
+
+ THE_TRBNET_CTS : trb_net16_endpoint_hades_cts
+ generic map (
+ USE_CHANNEL => (c_YES, c_YES, c_NO, c_YES),
+ IBUF_DEPTH => (6, 6, 6, 6),
+ FIFO_TO_INT_DEPTH => (6, 6, 6, 6),
+ FIFO_TO_APL_DEPTH => (6, 6, 6, 6),
+ INIT_CAN_SEND_DATA => (c_YES, c_YES, c_NO, c_NO),
+ REPLY_CAN_SEND_DATA => (c_NO, c_NO, c_NO, c_YES),
+ REPLY_CAN_RECEIVE_DATA => (c_YES, c_YES, c_NO, c_NO),
+ USE_CHECKSUM => (c_NO, c_YES, c_YES, c_YES),
+ APL_WRITE_ALL_WORDS => (c_NO, c_NO, c_NO, c_NO),
+ BROADCAST_BITMASK => broadcast_bitmask_i,
+ REGIO_NUM_STAT_REGS => 2, --log2 of number of status registers
+ REGIO_NUM_CTRL_REGS => 4, --log2 of number of ctrl registers
+ --standard values for out
+ REGIO_INIT_CTRL_REGS => (others => '0'),
+ --set to 0 for unused ctr
+ REGIO_USED_CTRL_REGS => "0000000000000001",
+ --set to 0 for each unuse
+ REGIO_USED_CTRL_BITMASK => (others => '1'),
+ REGIO_USE_DAT_PORT => c_YES, --internal data port
+ REGIO_INIT_ADDRESS => x"FFFF",
+ REGIO_INIT_UNIQUE_ID => x"0000_0000_0000_0001",
+ REGIO_INIT_BOARD_INFO => x"0000_0000",
+ REGIO_INIT_ENDPOINT_ID => x"0001",
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ REGIO_COMPILE_VERSION => regio_compile_version_i,
+ REGIO_HARDWARE_VERSION => regio_hardware_version_i,
+ REGIO_USE_1WIRE_INTERFACE => c_YES, --c_YES,c_NO,c_MONITOR
+ REGIO_USE_VAR_ENDPOINT_ID => c_NO,
+ CLOCK_FREQUENCY => 100
+ )
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i(0),
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => '1', --med_read_out_i(0),
+ MED_DATAREADY_IN => med_dataready_out_i(0),
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i(0),
+ MED_STAT_OP_IN => med_stat_op_in_i,
+ MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+ TRG_SEND_IN => lvl1_trigger_i,
+ TRG_TYPE_IN => lvl1_trigger_code_i,
+ TRG_NUMBER_IN => lvl1_trigger_tag_i,
+ TRG_INFORMATION_IN => trg_information_in_i,--rw_register_i(8)(23 downto 0),--(others => '0'),
+ TRG_RND_CODE_IN => lvl1_rnd_number_out_i,
+ TRG_STATUS_BITS_OUT => trg_status_bits_out_i,
+ TRG_BUSY_OUT => trg_busy_out_i,
+ IPU_SEND_IN => lvl2_trigger_i,
+ IPU_TYPE_IN => lvl2_trigger_code_i,
+ IPU_NUMBER_IN => lvl2_trigger_tag_i,
+ IPU_INFORMATION_IN => ipu_information_in_i,
+ IPU_RND_CODE_IN => lvl2_rnd_number_out_i,
+ IPU_DATA_OUT => ipu_data_out_i,
+ IPU_DATAREADY_OUT => ipu_dataready_out_i,
+ IPU_READ_IN => '1', --ipu_read_in_i,
+ IPU_STATUS_BITS_OUT => ipu_status_bits_out_i,
+ IPU_BUSY_OUT => ipu_busy_out_i,
+ REGIO_COMMON_STAT_REG_IN => regio_common_stat_reg_in_i,--(others => '0'), --regio_common_stat_reg_in_i,
+ REGIO_COMMON_CTRL_REG_OUT => open, --regio_common_ctrl_reg_out_i,
+ REGIO_REGISTERS_IN => regio_registers_in_i,
+ REGIO_REGISTERS_OUT => open,--regio_registers_out_i,
+ COMMON_STAT_REG_STROBE => open,
+ COMMON_CTRL_REG_STROBE => open,
+ STAT_REG_STROBE => open,
+ CTRL_REG_STROBE => open,
+ REGIO_ADDR_OUT => regio_addr_out_i,
+ REGIO_READ_ENABLE_OUT => regio_read_enable_out_i,
+ REGIO_WRITE_ENABLE_OUT => regio_write_enable_out_i,
+ REGIO_DATA_OUT => regio_data_out_i,
+ REGIO_DATA_IN => regio_data_in_i,
+ REGIO_DATAREADY_IN => regio_dataready_in_i,
+ REGIO_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+ REGIO_WRITE_ACK_IN => regio_write_ack_in_i,
+ REGIO_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+ REGIO_TIMEOUT_OUT => regio_timeout_out_i,
+ REGIO_ONEWIRE_INOUT => TEMP_SENS(4),--regio_onewire_inout_i,
+ REGIO_ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+ REGIO_ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+ TRIGGER_MONITOR_IN => trigger_monitor_in_i,
+ GLOBAL_TIME_OUT => global_time_out_i,
+ LOCAL_TIME_OUT => local_time_out_i,
+ TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+ TIMER_TICKS_OUT => open,
+ STAT_DEBUG_1 => stat_debug_1_i,
+ STAT_DEBUG_2 => stat_debug_2_i);
+
+ lvl2_local_busy_i <= lvl1_busy_i or lvl2_busy_i;
+
+ UPDATE_EB_CNTR : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or (counter_for_event_builder_id = (rw_register_i(9)-1) and lvl1_finished_i = '1') then
+ counter_for_event_builder_id <= (others => '0');
+ elsif lvl1_finished_i = '1' then
+ counter_for_event_builder_id <= counter_for_event_builder_id + 1;
+ else
+ counter_for_event_builder_id <= counter_for_event_builder_id;
+ end if;
+ end if;
+ end process UPDATE_EB_CNTR;
+
+ UPDATE_EB_ID : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ event_builder_id_switch <= (others => '0');
+ elsif counter_for_event_builder_id = 0 and rw_register_i(9) /= x"00000000" and lvl1_finished_i = '1' then
+ event_builder_id_switch <= event_builder_id_switch + 1;
+ else
+ event_builder_id_switch <= event_builder_id_switch;
+ end if;
+ end if;
+ end process UPDATE_EB_ID;
+
+ SWITCH_EB_ID : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ case event_builder_id_switch is
+ when x"0" => event_builder_id <= rw_register_i(10)(3 downto 0);
+ when x"1" => event_builder_id <= rw_register_i(10)(7 downto 4);
+ when x"2" => event_builder_id <= rw_register_i(10)(11 downto 8);
+ when x"3" => event_builder_id <= rw_register_i(10)(15 downto 12);
+ when x"4" => event_builder_id <= rw_register_i(10)(19 downto 16);
+ when x"5" => event_builder_id <= rw_register_i(10)(23 downto 20);
+ when x"6" => event_builder_id <= rw_register_i(10)(27 downto 24);
+ when x"7" => event_builder_id <= rw_register_i(10)(31 downto 28);
+ when x"8" => event_builder_id <= rw_register_i(11)(3 downto 0);
+ when x"9" => event_builder_id <= rw_register_i(11)(7 downto 4);
+ when x"a" => event_builder_id <= rw_register_i(11)(11 downto 8);
+ when x"b" => event_builder_id <= rw_register_i(11)(15 downto 12);
+ when x"c" => event_builder_id <= rw_register_i(11)(19 downto 16);
+ when x"d" => event_builder_id <= rw_register_i(11)(23 downto 20);
+ when x"e" => event_builder_id <= rw_register_i(11)(27 downto 24);
+ when x"f" => event_builder_id <= rw_register_i(11)(31 downto 28);
+ when others => event_builder_id <= rw_register_i(10)(3 downto 0);
+ end case;
+ end if;
+ end process SWITCH_EB_ID;
+
+ trg_information_in_i(23 downto 14) <= (others => '0');
+ trg_information_in_i(13 downto 8) <= rw_register_i(8)(13 downto 8);
+ trg_information_in_i(7) <= no_timing;
+ trg_information_in_i(6 downto 0) <= rw_register_i(8)(6 downto 0);
+
+ ipu_information_in_i(3 downto 0) <= event_builder_id(3 downto 0);
+ r_register_i(22)(3 downto 0) <= ipu_information_in_i(3 downto 0);
+
+ end generate TRBnet_CTS;
+
+-------------------------------------------------------------------------------
+-- cts
+-------------------------------------------------------------------------------
+
+ CTS_GENERATE : if TRBNET_ENABLE /= 1 and TRBV2_TYPE /=7 and DTU_ENABLE = 0 generate
+ THE_CTS : cts
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ CLK40_IN => TDC_CLK,
+ LVL1_LVDS_TRIGGER_IN => lvds_add_on_data(4 downto 0),
+ LVL1_TTL_TRIGGER_IN => ADO_TTL(20 downto 16),
+ LVL1_RCTS_TYPE_IN => rcts_code_in_sync,
+ LVL1_FAST_TRIGG_IN => fast_ref_trigger,
+ LVL1_TIMING_TRIGGER_OUT => timing_signal,--ado_lv_out_i(0),
+ LVL1_TIMING_TEST_SIGNAL_OUT => test_timing_signal,
+ LVL1_APV_TRIGGER_OUT => apv_signal,--ado_lv_out_i(1),
+ LVL1_TRIGBOX_TRIGGER_IN => lvl1_trigger_out_i,
+ LVL1_TRIGBOX_TRIGGER_CODE_IN => lvl1_trigger_code_out_i,
+ LVL1_TRIGBOX_TRIGGER_TAG_IN => lvl1_trigger_tag_out_i,
+ LVL1_TRIGBOX_BUSY_IN => trigbox_busy_out,
+ LVL1_LOCAL_BUSY => lvl1_local_busy_i, --lvl1_busy_i,
+ LVL1_TRBNET_BUSY => trg_busy_out_i,
+ LVL1_CTS_BUSY_OUT => lvl1_cts_busy_out_i,
+ LVL1_TRIGGER_OUT => lvl1_trigger_i,
+ LVL1_TRIGGER_CODE_OUT => lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG_OUT => lvl1_trigger_tag_i,
+ LVL1_RND_NUMBER_OUT => lvl1_rnd_number_out_i,
+ LVL2_LVDS_TRIGGER_IN => '0', --lvds_add_on_data(6),
+ LVL2_LVDS_TRIGGER_CODE_IN => x"0", --lvds_add_on_data(10 downto 7),
+ LVL2_LVDS_TRIGGER_TAG_IN => x"0000", --lvds_add_on_data(26 downto 11),
+ LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i,
+ LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i,
+ LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i,
+ LVL2_LOCAL_BUSY => lvl2_local_busy_i,
+ LVL2_TRBNET_BUSY => ipu_busy_out_i,
+ LVL2_CTS_BUSY_OUT => lvl2_cts_busy_out_i,
+ LVL2_TRIGGER_OUT => lvl2_trigger_i,
+ LVL2_TRIGGER_CODE_OUT => lvl2_trigger_code_i,
+ LVL2_TRIGGER_TAG_OUT => lvl2_trigger_tag_i,
+ LVL2_RND_NUMBER_OUT => lvl2_rnd_number_out_i,
+ CTS_STASUS_0UT_0 => r_register_i(17), --91
+ CTS_STASUS_0UT_1 => r_register_i(18), --92
+ CTS_STASUS_0UT_2 => r_register_i(19), --93
+ CTS_CTRL_IN_0 => rw_register_i(5),
+ CTS_CTRL_IN_1 => rw_register_i(6),
+ CTS_CTRL_IN_2 => rw_register_i(7)
+ );
+
+ --ado_lv_out_i <= (others => '0');
+ ENABLE_TIMING_TRIGGER_OUT: if TRBV2_TYPE = 5 or TRBV2_TYPE = 3 generate
+ ado_lv_out_i(0) <= timing_signal;
+ ado_lv_out_i(1) <= apv_signal;
+ end generate ENABLE_TIMING_TRIGGER_OUT;
+
+ ADO_TTL(13 downto 10) <= (others => 'Z');
+ SYNC_SIGNALS : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ rcts_code_in_sync <= x"1";
+ else
+ rcts_code_in_sync <= ADO_TTL(13 downto 10);
+ end if;
+ end if;
+ end process SYNC_SIGNALS;
+
+ end generate CTS_GENERATE;
+
+
+ MAKE_SILENT_LVDS_OUT: if TRBNET_ENABLE /= 2 generate
+ ado_lv_out_i <= (others => '0');
+ end generate MAKE_SILENT_LVDS_OUT;
+
+ ENABLE_CTS_SIGNALS: if TRBNET_ENABLE = 2 generate
+
+ ado_lv_out_i(2) <= lvl1_cts_busy_out_i;
+ ado_lv_out_i(3) <= lvl2_cts_busy_out_i;
+-- ado_lv_out_i(4) <= tdc_clk;--counter_for_clk(0);-- xor clk40_a;
+
+ end generate ENABLE_CTS_SIGNALS;
+
+
+ ENABLE_DEBUG_CTS : if DEBUG_OPTION = 2 generate
+
+-- ADO_TTL(0) <= lvl1_trigger_i;--TDC_CLK;
+-- ADO_TTL(1) <= lvl1_cts_busy_out_i;
+-- ADO_TTL(2) <= lvl2_local_busy_i;
+ ADO_TTL(3) <= lvl1_finished_i;
+-- ADO_TTL(4) <= lvl2_trigger_i;
+ ADO_TTL(4) <= lvl1_trigger_i;
+-- ADO_TTL(5) <= lvl2_finished_i;
+ ADO_TTL(5) <= lvl1_cts_busy_out_i;
+ ADO_TTL(6) <= trg_busy_out_i;--ipu_read_in_i;
+ ADO_TTL(7) <= ipu_dataready_out_i;
+-- ADO_TTL(8) <= tdc_register_01_i(0);
+-- trigger_register_00_i
+ ADO_TTL(11 downto 8) <= tdc_register_01_i(23 downto 20);
+ ADO_TTL(15 downto 12) <= ipu_data_out_i(31 downto 28);
+ end generate ENABLE_DEBUG_CTS;
+
+
+ STAND_ALONE_TRB: if TRBV2_TYPE = 0 and TRBNET_ENABLE = 0 generate
+ lvl1_local_busy_i <= lvl1_busy_i;
+ lvl2_local_busy_i <= lvl2_busy_i;
+ end generate STAND_ALONE_TRB;
+
+ ADDON_PLUS_TRB: if TRBV2_TYPE = 1 and TRBNET_ENABLE = 0 generate
+ lvl1_local_busy_i <= lvl1_busy_i;
+ lvl2_local_busy_i <= lvl2_busy_i;
+ end generate ADDON_PLUS_TRB;
+
+
+ RATE_DIODS_EN : if TRBNET_ENABLE = 1 or TRBV2_TYPE = 7 generate
+
+ THE_CTS : cts
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ CLK40_IN => TDC_CLK,
+ LVL1_LVDS_TRIGGER_IN => "00000",
+ LVL1_TTL_TRIGGER_IN => "00000",
+ LVL1_RCTS_TYPE_IN => x"1",
+ LVL1_FAST_TRIGG_IN => lvl1_trigger_i,
+ LVL1_TIMING_TRIGGER_OUT => open,
+ LVL1_TIMING_TEST_SIGNAL_OUT => open,
+ LVL1_APV_TRIGGER_OUT => open,
+ LVL1_TRIGBOX_TRIGGER_IN => '0',
+ LVL1_TRIGBOX_TRIGGER_CODE_IN => (others => '0'),
+ LVL1_TRIGBOX_TRIGGER_TAG_IN => (others => '0'),
+ LVL1_TRIGBOX_BUSY_IN => '0',
+ LVL1_LOCAL_BUSY => dummy_lvl1_trigger,
+ LVL1_TRBNET_BUSY => '0',
+ LVL1_CTS_BUSY_OUT => open,
+ LVL1_TRIGGER_OUT => dummy_lvl1_trigger,
+ LVL1_TRIGGER_CODE_OUT => open,
+ LVL1_TRIGGER_TAG_OUT => open,
+ LVL1_RND_NUMBER_OUT => open,
+ LVL2_LVDS_TRIGGER_IN => '0', --lvds_add_on_data(6),
+ LVL2_LVDS_TRIGGER_CODE_IN => x"0", --lvds_add_on_data(10 downto 7),
+ LVL2_LVDS_TRIGGER_TAG_IN => x"0000", --lvds_add_on_data(26 downto 11),
+ LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i,
+ LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i,
+ LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i,
+ LVL2_LOCAL_BUSY => dummy_lvl2_trigger,
+ LVL2_TRBNET_BUSY => '0',
+ LVL2_CTS_BUSY_OUT => open,
+ LVL2_TRIGGER_OUT => dummy_lvl2_trigger,
+ LVL2_TRIGGER_CODE_OUT => open,
+ LVL2_TRIGGER_TAG_OUT => open,
+ LVL2_RND_NUMBER_OUT => open,
+ CTS_STASUS_0UT_0 => r_register_i(17), --91
+ CTS_STASUS_0UT_1 => r_register_i(18), --92
+ CTS_STASUS_0UT_2 => r_register_i(19), --93
+ CTS_CTRL_IN_0 => x"00000400",
+ CTS_CTRL_IN_1 => (others => '0'),
+ CTS_CTRL_IN_2 => (others => '0')
+ );
+
+ end generate RATE_DIODS_EN;
+
+
+ -- simple dtu without trbnet
+
+ SIMPLE_DTU_SYSTEM: if TRBNET_ENABLE = 0 and TRBV2_TYPE = 7 generate
+
+ LVL1_TRIGGER_GENERATE : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_tag_i <= (others => '0');
+ lvl1_trigger_code_i <= (others => '0');
+ elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"1" then
+ lvl1_trigger_i <= '1';
+ lvl1_trigger_tag_i <= x"00" & med_data_out_i(7 downto 0);
+ lvl1_trigger_code_i <= med_data_out_i(11 downto 8);
+ else
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_tag_i <= lvl1_trigger_tag_i;
+ lvl1_trigger_code_i <= lvl1_trigger_code_i;
+ end if;
+ end if;
+ end process LVL1_TRIGGER_GENERATE;
+
+ LVL2_TRIGGER_GENERATE : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl2_trigger_i <= '0';
+ elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"2" then
+ lvl2_trigger_i <= '1';
+ else
+ lvl2_trigger_i <= '0';
+ end if;
+ end if;
+ end process LVL2_TRIGGER_GENERATE;
+
+ SEND_LVL1_LVL2_ACK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '0';
+ elsif lvl1_finished_i = '1' and lvl2_finished_i = '1' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"1000";
+ save_lvl2_finished <= '1';
+ elsif lvl1_finished_i = '1' and lvl2_finished_i = '0' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"1000";
+ save_lvl2_finished <= '0';
+ elsif lvl1_finished_i = '0' and lvl2_finished_i = '1' then
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '1';
+ elsif lvl1_finished_i = '0' and lvl2_finished_i = '0' and save_lvl2_finished = '1' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"2000";
+ save_lvl2_finished <= '0';
+ else
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '0';
+ end if;
+ end if;
+ end process SEND_LVL1_LVL2_ACK;
+ med_read_in_i(0) <= '1';
+ ado_lv_out_i <= (others => '0');
+
+ end generate SIMPLE_DTU_SYSTEM;
+
+
+ -- trbnet end point
+
+ TRBnet_END_POINT : if TRBNET_ENABLE = 1 generate
+
+ --debug triggers
+ --ref
+ HOW_MANY_REF_TIMES_IN: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => ref_time_cntr,
+ UP_IN => trg_timing_trg_received_in_i,
+ DOWN_IN => '0');
+ --data valid
+ DATA_VALID_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_trigger_i,
+ pulse => data_valid_pulse);
+
+ HOW_MANY_TRIGG_DATA_VALID: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => trigg_data_valid_cntr,
+ UP_IN => data_valid_pulse,
+ DOWN_IN => '0');
+
+ --valid timing
+ VALID_TIMING_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_valid_timing_trg_out_i,
+ pulse => lvl1_valid_timing_trg_out_pulse);
+
+ HOW_MANY_TRIGG_VALID_TIMING: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => valid_timing_trg_cntr,
+ UP_IN => lvl1_valid_timing_trg_out_pulse,
+ DOWN_IN => '0');
+
+ --valid_notiming
+ VALID_NOTIMING_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_valid_notiming_trg_out_i,
+ pulse => lvl1_valid_notiming_trg_out_pulse);
+
+ HOW_MANY_TRIGG_VALID_NOTIMING: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => valid_notiming_trg_cntr,
+ UP_IN => lvl1_valid_notiming_trg_out_pulse,
+ DOWN_IN => '0');
+
+ --invalid_trg
+ INVALID_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_invalid_trg_out_i,
+ pulse => lvl1_invalid_trg_out_pulse);
+
+ HOW_MANY_TRIGG_INVALID: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => invalid_trg_cntr,
+ UP_IN => lvl1_invalid_trg_out_pulse,
+ DOWN_IN => '0');
+ r_register_i(27) <= ref_time_cntr & trigg_data_valid_cntr & valid_timing_trg_cntr & valid_notiming_trg_cntr;
+ r_register_i(28) <= x"dbeaca" & invalid_trg_cntr;
+
+
+ --handler component and logic
+ EXT_TRIGGER_1 : edge_to_pulse --no_sim--
+ port map ( --no_sim--
+ clock => CLK, --no_sim--
+ en_clk => '1', --no_sim--
+ signal_in => fast_ref_trigger, --no_sim--
+ pulse => trg_timing_trg_received_in_i); --no_sim--
+ --sim-- med_stat_op_in_i <= (others => '0');
+
+
+
+ THE_TRB_NET16_ENDPOINT_HADES_FULL_HANDLER : trb_net16_endpoint_hades_full_handler
+ generic map (
+ IBUF_DEPTH => (6,6,6,6),
+ FIFO_TO_INT_DEPTH => (6,6,6,6),
+ FIFO_TO_APL_DEPTH => (1,1,1,1),
+ APL_WRITE_ALL_WORDS => (c_NO,c_NO,c_NO,c_NO),
+ ADDRESS_MASK => x"FFFF",
+ BROADCAST_BITMASK => broadcast_bitmask_i,
+ BROADCAST_SPECIAL_ADDR => x"FF",
+ REGIO_NUM_STAT_REGS => 3,
+ REGIO_NUM_CTRL_REGS => 3,
+ REGIO_INIT_CTRL_REGS => (others => '0'),
+ REGIO_INIT_ADDRESS => x"FFFF",
+ REGIO_INIT_BOARD_INFO => x"1111_2222",
+ REGIO_INIT_ENDPOINT_ID => x"0001",
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ REGIO_COMPILE_VERSION => regio_compile_version_i,
+ REGIO_HARDWARE_VERSION => regio_hardware_version_i,
+ REGIO_USE_1WIRE_INTERFACE => c_YES,
+ REGIO_USE_VAR_ENDPOINT_ID => c_NO,
+ CLOCK_FREQUENCY => 100,
+ TIMING_TRIGGER_RAW => c_YES,
+ DATA_INTERFACE_NUMBER => 1,
+ DATA_BUFFER_DEPTH => 14,
+ DATA_BUFFER_WIDTH => 32,
+ DATA_BUFFER_FULL_THRESH => 2**14-2048,
+ TRG_RELEASE_AFTER_DATA => c_YES,
+ HEADER_BUFFER_DEPTH => 9,
+ HEADER_BUFFER_FULL_THRESH => 2**9-16)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i(0),
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => '1',
+ MED_DATAREADY_IN => med_dataready_out_i(0),
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i(0),
+ MED_STAT_OP_IN => med_stat_op_in_i, --no_sim--
+
+
+--sim-- MED_STAT_OP_IN => (others => '0') ,
+ MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+ TRG_TIMING_TRG_RECEIVED_IN => trg_timing_trg_received_in_i,--TRG_TIMING_TRG_RECEIVED_IN,
+ LVL1_TRG_DATA_VALID_OUT => lvl1_trigger_i,
+ LVL1_VALID_TIMING_TRG_OUT => lvl1_valid_timing_trg_out_i,
+ LVL1_VALID_NOTIMING_TRG_OUT => lvl1_valid_notiming_trg_out_i,
+ LVL1_INVALID_TRG_OUT => lvl1_invalid_trg_out_i,
+ LVL1_TRG_TYPE_OUT => lvl1_trigger_code_i,
+ LVL1_TRG_NUMBER_OUT => lvl1_trigger_tag_i,
+ LVL1_TRG_CODE_OUT => open,--lvl1_trigger_code_i,
+ LVL1_TRG_INFORMATION_OUT => lvl1_trg_information_buf,--open,--LVL1_TRG_INFORMATION_OUT,
+ LVL1_INT_TRG_NUMBER_OUT => open,--
+ TRG_MULTIPLE_TRG_OUT => open,--
+ TRG_TIMEOUT_DETECTED_OUT => open,--
+ TRG_SPURIOUS_TRG_OUT => open,--
+ TRG_MISSING_TMG_TRG_OUT => open,--
+ TRG_SPIKE_DETECTED_OUT => open,--
+ FEE_TRG_RELEASE_IN => fee_trg_release_in_i,--
+ FEE_TRG_STATUSBITS_IN => fee_trg_statusbits_in_buf,--(others => '0'),
+ FEE_DATA_IN => ipu_data_in_i,
+ FEE_DATA_WRITE_IN => trbnet_data_write_out_i,
+ FEE_DATA_FINISHED_IN => trbnet_data_finished_out_i,
+ FEE_DATA_ALMOST_FULL_OUT => open,
+ REGIO_COMMON_STAT_REG_IN => (others => '0'),
+ REGIO_COMMON_CTRL_REG_OUT => open,
+ REGIO_COMMON_STAT_STROBE_OUT => open,
+ REGIO_COMMON_CTRL_STROBE_OUT => open,
+ REGIO_STAT_REG_IN => (others => '0'),
+ REGIO_CTRL_REG_OUT => regio_registers_out_i,
+ REGIO_STAT_STROBE_OUT => open,
+ REGIO_CTRL_STROBE_OUT => open,
+ BUS_ADDR_OUT => regio_addr_out_i,
+ BUS_DATA_OUT => regio_data_out_i,
+ BUS_READ_ENABLE_OUT => regio_read_enable_out_i,
+ BUS_WRITE_ENABLE_OUT => regio_write_enable_out_i ,
+ BUS_TIMEOUT_OUT => regio_timeout_out_i,
+ BUS_DATA_IN => regio_data_in_i,
+ BUS_DATAREADY_IN => regio_dataready_in_i,
+ BUS_WRITE_ACK_IN => regio_write_ack_in_i,
+ BUS_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+ BUS_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+ ONEWIRE_INOUT => TEMP_SENS(4),
+ ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+ ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+ REGIO_VAR_ENDPOINT_ID => open,
+ TIME_GLOBAL_OUT => global_time_out_i,
+ TIME_LOCAL_OUT => local_time_out_i,
+ TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+ TIME_TICKS_OUT => open,
+ STAT_DEBUG_IPU => stat_debug_ipu_i,
+ STAT_DEBUG_1 => stat_debug_1_i,
+ STAT_DEBUG_2 => stat_debug_2_i,
+ STAT_DEBUG_DATA_HANDLER_OUT => open,
+ STAT_DEBUG_IPU_HANDLER_OUT => open,
+ CTRL_MPLEX => (others => '0'),
+ IOBUF_CTRL_GEN => (others => '0'),
+ STAT_ONEWIRE => open,
+ STAT_ADDR_DEBUG => open,
+ DEBUG_LVL1_HANDLER_OUT => open
+ );
+
+ trbnet_data_write_out_i(0) <= ipu_dataready_in_i;
+ trbnet_data_finished_out_i(0) <= lvl2_finished_i;
+ fee_trg_release_in_i(0) <= lvl1_finished_i;
+ ipu_read_out_i <= '1';
+
+ DEBUG_TRBNET_HANDLER : if DEBUG_OPTION = 7 generate
+-- ADO_TTL(0) <= lvl1_trigger_i;
+-- ADO_TTL(1) <= fee_trg_release_in_i(0);
+-- ADO_TTL(2) <= trbnet_data_write_out_i(0);
+-- ADO_TTL(3) <= trbnet_data_finished_out_i(0);
+-- ADO_TTL(7 downto 4) <= ipu_data_in_i(31 downto 28);
+-- ADO_TTL(11 downto 8) <= tdc_register_01_i(27 downto 24);
+-- ADO_TTL(12) <= tdc_register_02_i(12);
+-- ADO_TTL(13) <= tdc_register_02_i(13);
+-- ADO_TTL(14) <= tdc_register_02_i(14);
+-- ADO_TTL(15) <= tdc_register_02_i(29);
+
+ ADO_TTL(15 downto 0) <= med_data_out_i;
+ -- ADO_TTL(18 downto 16) <= med_packet_num_out_i;
+ ADO_TTL(16) <= med_dataready_out_i(0);
+ ADO_TTL(35 downto 20) <= med_data_in_i;
+ -- ADO_TTL(38 downto 36) <= med_packet_num_in_i;
+ ADO_TTL(36) <= med_dataready_in_i(0);
+-- ADO_TTL(4) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+
+ end generate DEBUG_TRBNET_HANDLER;
+
+ ENABLE_DEBUG_ENDPOINT : if DEBUG_OPTION = 1 generate
+
+ ADO_TTL(3) <= lvl1_finished_i;
+ ADO_TTL(4) <= lvl1_trigger_i;
+ ADO_TTL(5) <= fee_trg_release_in_i(0);
+ ADO_TTL(6) <= trbnet_data_finished_out_i(0);
+ ADO_TTL(7) <= ipu_dataready_in_i;
+ ADO_TTL(15 downto 8) <= ipu_data_in_i(31 downto 24);
+ end generate ENABLE_DEBUG_ENDPOINT;
+
+ EXT_LVL2_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl2_trigger_i,
+ pulse => lvl2_trigger_i_pulse);
+
+ end generate TRBnet_END_POINT;
+
+-- -----------------------------------------------------------------------------
+-- -- media to api, api to media
+-- -----------------------------------------------------------------------------
+
+ TRBnet_MEDIA : if TRBNET_ENABLE > 0 or TRBV2_TYPE = 7 or (TRBV2_TYPE=0 and DTU_ENABLE=2)generate
+
+ TLK_RX_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r(0)
+ );
+
+ TLK_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r(0)
+ );
+
+--sim-- COMMAND_SENDER_INS: command_sender
+--sim-- port map (
+--sim-- CLK => CLK,
+--sim-- DATA => med_data_out_i,
+--sim-- DATAREADY => med_dataready_out_i(0),
+--sim-- PACKET_NUM => med_packet_num_out_i,
+--sim-- REF_TIME_OUT => trg_timing_trg_received_in_i
+--sim-- );
+
+
+ TLK_API_INT : trb_net16_med_tlk
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ TLK_CLK => tlk_clk_r(0),
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => tlk_rx_clk_r(0),
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ MED_DATAREADY_IN => med_dataready_in_i(0), --no_sim--
+ MED_READ_IN => med_read_in_i(0), --no_sim--
+ MED_DATA_IN => med_data_in_i, --no_sim--
+ MED_PACKET_NUM_IN => med_packet_num_in_i, --no_sim--
+ MED_DATAREADY_OUT => med_dataready_out_i(0), --no_sim--
+ MED_READ_OUT => med_read_out_i(0), --no_sim--
+ MED_DATA_OUT => med_data_out_i, --no_sim--
+ MED_PACKET_NUM_OUT => med_packet_num_out_i, --no_sim--
+
+--sim-- MED_DATAREADY_IN => '0',
+--sim-- MED_READ_IN => '0',
+--sim-- MED_DATA_IN => (others =>'0'),
+--sim-- MED_PACKET_NUM_IN => (others =>'0'),
+--sim-- MED_DATAREADY_OUT => open,
+--sim-- MED_READ_OUT => open,
+--sim-- MED_DATA_OUT => open,
+--sim-- MED_PACKET_NUM_OUT => open,
+
+ STAT => open,
+ STAT_MONITOR => open,
+ STAT_OP => med_stat_op_in_i, --no_sim--
+--sim-- STAT_OP => open,
+ CTRL_OP => x"0000");
+ DGOOD <= not med_stat_op_in_i(9);
+ end generate TRBnet_MEDIA;
+
+ DINT <= lvds_add_on_data(0) and
+ lvds_add_on_data(1) and
+ lvds_add_on_data(2) and
+ lvds_add_on_data(3) and
+ lvds_add_on_data(4) and
+ lvds_add_on_data(5) and
+ lvds_add_on_data(6) and
+ lvds_add_on_data(7) and
+ lvds_add_on_data(8) and
+ lvds_add_on_data(9) and
+ lvds_add_on_data(10) and
+ lvds_add_on_data(11) and
+ lvds_add_on_data(12) and
+ lvds_add_on_data(13) and
+ lvds_add_on_data(14) and
+ lvds_add_on_data(15) and
+ lvds_add_on_data(16) and
+ lvds_add_on_data(17) and
+ lvds_add_on_data(18) and
+ lvds_add_on_data(19) and
+ lvds_add_on_data(20) and
+ lvds_add_on_data(21) and
+ lvds_add_on_data(22) and
+ lvds_add_on_data(23) and
+ lvds_add_on_data(24) and
+ lvds_add_on_data(25) and
+ lvds_add_on_data(26) and
+ lvds_add_on_data(27) and
+ lvds_add_on_data(28) and
+ lvds_add_on_data(29) and
+ lvds_add_on_data(30) and
+ addon_clk ;
+
+-------------------------------------------------------------------------------
+-- lvl1 trigger logic
+-------------------------------------------------------------------------------
+ENBLE_TRIGGER_LOGIC: if TRBV2_TYPE = 3 generate
+
+-- THE_CLK_300: clk_300
+-- port map (
+-- CLKIN_IN => CLK,
+-- RST_IN => reset_i,
+-- CLKFX_OUT => clk200,
+-- CLK0_OUT => open,
+-- LOCKED_OUT => LOCKED_OUT);
+
+
+ THE_CLOCK200: clock200
+ port map (
+ CLKIN_IN => CLK,
+ RST_IN => reset_i,
+ CLK0_OUT => open,--CLK0_OUT,
+ CLK2X_OUT => clk200,
+ LOCKED_OUT => LOCKED_OUT);
+
+ trigger_in_i <= ADO_TTL(31 downto 30) & ADO_TTL(23 downto 22) & ADO_TTL(29 downto 24) & ADO_TTL(21 downto 16) & lvds_add_on_data(15 downto 0);
+ ADO_TTL(29 downto 24) <= (others => 'Z');
+ ADO_TTL(21 downto 16) <= (others => 'Z');
+ signal_in_i <= (others => '0') ;
+-- ADO_TTL(35 downto 32) <= signal_out_i(3 downto 0);
+
+
+ ADO_TTL(1) <= signal_out_i(0);
+ ADO_TTL(2) <= '0';
+ ADO_TTL(3) <= signal_out_i(1);
+ ADO_TTL(4) <= '0';
+
+ ADO_TTL(6) <= trg_busy_out_i;
+ ADO_TTL(7) <= lvl2_cts_busy_out_i;
+
+
+ --ADO_TTL(35 downto 34) <= signal_out_i(1 downto 0);
+
+ ADO_TTL(36) <= timing_signal or (rw_register_i(28)(31) and test_timing_signal);
+ ADO_TTL(37) <= mdc_timing_out or (rw_register_i(28)(31) and test_timing_signal);
+ MDC_DELAY_TIMING_CLK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ MDC_DELAY_TIMING_CURRENT <= IDLE;
+ mdc_delay_cntr_rst <= '1';
+ mdc_delay_cntr_up <= '0';
+ mdc_width_cntr_rst <= '1';
+ mdc_width_cntr_up <= '0';
+ mdc_timing_out <= '0';
+ else
+ MDC_DELAY_TIMING_CURRENT <= MDC_DELAY_TIMING_NEXT;
+ mdc_delay_cntr_rst <= mdc_delay_cntr_rst_fsm;
+ mdc_delay_cntr_up <= mdc_delay_cntr_up_fsm;
+ mdc_width_cntr_rst <= mdc_width_cntr_rst_fsm;
+ mdc_width_cntr_up <= mdc_width_cntr_up_fsm;
+ mdc_timing_out <= mdc_timing_out_fsm;
+ end if;
+ end if;
+ end process MDC_DELAY_TIMING_CLK;
+
+ MDC_DELAY_TIMING_PROC : process (CLK)
+ begin
+
+ mdc_delay_cntr_rst_fsm <= '1';
+ mdc_delay_cntr_up_fsm <= '0';
+ mdc_width_cntr_rst_fsm <= '1';
+ mdc_width_cntr_up_fsm <= '0';
+ mdc_timing_out_fsm <= '0';
+
+ case (MDC_DELAY_TIMING_CURRENT) is
+
+ when IDLE =>
+ if timing_signal = '1' then
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_A ;
+ else
+ MDC_DELAY_TIMING_NEXT <= IDLE;
+ end if;
+
+ when MDC_DELAY_TIMING_A =>
+ mdc_delay_cntr_rst_fsm <= '0';
+ mdc_delay_cntr_up_fsm <= '1';
+ if mdc_delay_cntr(6 downto 2) = rw_register_i(28)(28 downto 24) then
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_B;
+ else
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_A;
+ end if;
+
+ when MDC_DELAY_TIMING_B =>
+ mdc_width_cntr_rst_fsm <= '0';
+ mdc_width_cntr_up_fsm <= '1';
+ mdc_timing_out_fsm <= '1';
+ if mdc_width_cntr = x"a" then
+ MDC_DELAY_TIMING_NEXT <= IDLE;
+ else
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_B;
+ end if;
+
+ when others =>
+ MDC_DELAY_TIMING_NEXT <= IDLE;
+
+ end case;
+ end process MDC_DELAY_TIMING_PROC;
+
+ MDC_DELAY: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => mdc_delay_cntr_rst,
+ COUNT_OUT => mdc_delay_cntr,
+ UP_IN => mdc_delay_cntr_up,
+ DOWN_IN => '0');
+ MDC_TIMING_WIDTH: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 4)
+ port map (
+ CLK => CLK,
+ RESET => mdc_width_cntr_rst,
+ COUNT_OUT => mdc_width_cntr,
+ UP_IN => mdc_width_cntr_up,
+ DOWN_IN => '0');
+
+
+
+-- SCALER_REGISTER: for i in 0 to 31 generate
+ SCALER_REGISTER: for i in 0 to 45 generate
+ r_register_i(i+27) <= scaler_out_i ((i+1)*32 -1 downto i*32);
+ end generate SCALER_REGISTER;
+
+
+
+ ADO_TTL(0) <= 'Z';
+ beam_inhibit_in_i <= ADO_TTL(0);
+ downscale_register_i <= rw_register_i(16) & rw_register_i(15) & rw_register_i(14) & rw_register_i(13);--
+ --16 -d0 ; 15 - cf ; 14 - ce ; 13 -cd
+ delay_trigger_register_i <= rw_register_i(20) & rw_register_i(19) & rw_register_i(18) & rw_register_i(17);
+ --20 -d4 ; 19 - d3 ; 18 - d2 ; 17 -d1
+ width_register_i <= rw_register_i(24) & rw_register_i(23) & rw_register_i(22) & rw_register_i(21);
+ --24 -d8 ; 23 - d7 ; 22 - d6 ; 21 -d5
+ THE_TRIGGER_LOGIC: trigger_logic
+ generic map (
+ TRIGGER_INPUTS_NUMBER => TRIGGER_INPUTS_NUMBER,
+ CTS_NUMBER_IPU_DATA => CTS_NUMBER_IPU_DATA)
+ port map (
+ CLK => CLK,
+ HIGH_FREQ_CLK => clk200,
+ RESET => reset_i,
+ TRIGGER_IN => trigger_in_i,
+ SIGNAL_IN => signal_in_i,
+ SIGNAL_OUT => signal_out_i,
+ INPUT_ENABLE => rw_register_i(12),
+ DOWNSCALE_REGISTER => downscale_register_i,
+ DELAY_TRIGGER_REGISTER => delay_trigger_register_i,
+ WIDTH_REGISTER => width_register_i,
+ TS_GATING_DISABLE => rw_register_i(25), --d9
+ MDC_TOF_GATING_DISABLE => (others => '0'),
+ DOWNSCALE_REGISTER_CLOCK => x"0",
+ TRIGGER_OUT_EN => rw_register_i(26), --da
+ MULTIPLEXER_SELECT => rw_register_i(27)(23 downto 0), --db
+ TRIGGER_LOGIC_CTRL_IN => rw_register_i(28), --dc
+ SCALER_OUT => scaler_out_i,
+ BEAM_INHIBIT_IN => beam_inhibit_in_i,
+ NO_TIMING_OUT => no_timing,
+ LVL1_BUSY_IN => lvl1_cts_busy_out_i,
+ LVL1_TRIGGER_ACCEPTED_IN => lvl1_trigger_i,
+ LVL1_TRIGGER_TAG_OUT => lvl1_trigger_tag_out_i,
+ LVL1_TRIGGER_CODE_OUT => lvl1_trigger_code_out_i,
+ LVL1_TRIGGER_OUT => lvl1_trigger_out_i,
+ LVL1_BUSY_OUT => trigbox_busy_out,
+ TRIGGER_LOGIC_DEBUG_OUT => r_register_i(25),
+ IPU_DATA_IN => ipu_data_out_i,
+ IPU_DATA_VALID_IN => ipu_dataready_out_i,
+ TRBNET_LVL1_STATUS_IN => trg_status_bits_out_i,
+ TRBNET_BUSY_IN => trg_busy_out_i,
+ TOKEN_IN => triggbox_token_in,
+ DATA_OUT => triggbox_data_out,
+ DATA_VALID_OUT => triggbox_data_valid_out,
+ TOKEN_OUT => triggbox_token_out,
+ TRANSMIT_NO_DATA_IN => rw_register_i(5)(30)
+-- IPU_DATA_IN => ...
+ );
+ end generate ENBLE_TRIGGER_LOGIC;
+ DUMMY_TRIGGER_LOGIC: if TRBV2_TYPE /= 3 generate
+ trigbox_busy_out <= '0';
+ lvl1_trigger_out_i <= '0';
+ end generate DUMMY_TRIGGER_LOGIC;
+---------------------------------------------------------------------------
+-- tdc interface
+---------------------------------------------------------------------------
+
+
+ VIRT_TRST <= not fpga_register_06_i(5); --'1';
+ TDC_RESET <= '0'; --fpga_register_06_i(5);--'0';
+
+ TDC_INT_FOR_DIFF_PLATFORMSa : if (TRBV2_TYPE = 0 or TRBV2_TYPE = 6 or TRBV2_TYPE = 7) and TRBNET_ENABLE = 0 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ save_trbnet_headers_i <= '1';
+ tdc_clk_i <= TDC_CLK;
+ readout_sdram_int_clk <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ ADO_TTL(46) <= 'Z'; --for the hub on the veto
+ end generate TDC_INT_FOR_DIFF_PLATFORMSa;
+
+ TDC_INT_FOR_DIFF_PLATFORMSatrbnet : if TRBV2_TYPE = 0 and TRBNET_ENABLE = 1 generate
+ start_tdc_readout_i <= lvl1_valid_timing_trg_out_i or lvl1_valid_notiming_trg_out_i; --if
+ save_trbnet_headers_i <= lvl1_trigger_i;
+ tdc_clk_i <= TDC_CLK;
+ readout_sdram_int_clk <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ ADO_TTL(46) <= 'Z'; --for the hub on the veto
+ end generate TDC_INT_FOR_DIFF_PLATFORMSatrbnet;
+
+
+ --Shower or MDC
+ TDC_INT_FOR_DIFF_PLATFORMSb : if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ save_trbnet_headers_i <= '1';
+ tdc_clk_i <= addon_clk;
+ readout_sdram_int_clk <= addon_clk;
+ tdc_data_in_i <= ADO_TTL(38 downto 7);
+ a_data_ready_i <= ADO_TTL(3);
+ b_data_ready_i <= ADO_TTL(3);
+ c_data_ready_i <= ADO_TTL(3);
+ d_data_ready_i <= ADO_TTL(3);
+ token_in_i <= ADO_TTL(2);
+ ADO_TTL(1) <= token_out_long_b; --longer token !?
+ TOKEN_OUT <= '0';
+
+ MAKE_LONGER : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ token_out_long_a <= '0';
+ token_out_long_b <= '0';
+ else
+ token_out_long_a <= token_out_i;
+ token_out_long_b <= token_out_long_a or token_out_i;
+ end if;
+ end if;
+ end process MAKE_LONGER;
+
+ end generate TDC_INT_FOR_DIFF_PLATFORMSb;
+
+ --CTS plus Vulom
+ TDC_INT_FOR_DIFF_PLATFORMSc : if TRBV2_TYPE = 4 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ save_trbnet_headers_i <= '1';
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= vulom_event;
+ a_data_ready_i <= vulom_event_valid; --start_readout_i;
+ b_data_ready_i <= vulom_event_valid; --start_readout_i;
+ c_data_ready_i <= vulom_event_valid; --start_readout_i;
+ d_data_ready_i <= vulom_event_valid; --start_readout_i;
+ token_in_i <= vulom_readout_end;
+ vulom_readout_start <= token_out_i; --longer token !?
+ TOKEN_OUT <= '0';
+ end generate TDC_INT_FOR_DIFF_PLATFORMSc;
+
+ --Only CTS plus IPU readout
+ TDC_INT_FOR_DIFF_PLATFORMSd : if TRBV2_TYPE = 5 generate
+ start_tdc_readout_i <= lvl2_trigger_i;
+ not_ipu_dataready_out_i <= not ipu_busy_out_i;
+ save_trbnet_headers_i <= '1';
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_ipu_dataready_out_i,
+ pulse => ipu_dataready_out_end_pulse);
+
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= ipu_data_out_i;
+ a_data_ready_i <= ipu_dataready_out_i;
+ b_data_ready_i <= ipu_dataready_out_i;
+ c_data_ready_i <= ipu_dataready_out_i;
+ d_data_ready_i <= ipu_dataready_out_i;
+ token_in_i <= ipu_dataready_out_end_pulse;
+ TOKEN_OUT <= '0';
+
+ end generate TDC_INT_FOR_DIFF_PLATFORMSd;
+
+ TDC_INT_FOR_DIFF_PLATFORMSe : if TRBV2_TYPE = 3 generate
+ save_trbnet_headers_i <= '1';
+ start_tdc_readout_i <= lvl1_trigger_i;
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= triggbox_data_out;
+ a_data_ready_i <= triggbox_data_valid_out;
+ b_data_ready_i <= triggbox_data_valid_out;
+ c_data_ready_i <= triggbox_data_valid_out;
+ d_data_ready_i <= triggbox_data_valid_out;
+ token_in_i <= triggbox_token_out;
+ triggbox_token_in <= token_out_i;
+ TOKEN_OUT <= '0';
+ end generate TDC_INT_FOR_DIFF_PLATFORMSe;
+
+
+
+ DEBUG_STAND_ALONE: if DEBUG_OPTION = 4 generate
+ ADO_TTL(0) <= lvl1_trigger_i;
+ ADO_TTL(1) <= lvl1_finished_i;
+ MAKE_BUSY_FOR_TRB_TESTER : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or lvl2_finished_i = '1' then
+ trb_tester_busy <= '0';
+ elsif lvl1_busy_i = '1' then
+ trb_tester_busy <= '1';
+ end if;
+ end if;
+ end process MAKE_BUSY_FOR_TRB_TESTER;
+
+ ADO_TTL(2) <= trb_tester_busy;--lvl1_busy_i;
+ ADO_TTL(3) <= A_DATA_READY;
+ ADO_TTL(4) <= B_DATA_READY;
+ ADO_TTL(5) <= C_DATA_READY;
+ ADO_TTL(6) <= D_DATA_READY;
+ ADO_TTL(7) <= token_out_i;
+ ADO_TTL(8) <= TOKEN_IN;
+ ADO_TTL(9) <= lvl2_trigger_i;
+ ADO_TTL(10) <= bunch_reset_i;
+ ADO_TTL(11) <= event_reset_i;
+ ADO_TTL(12) <= TDC_CLK;
+ end generate DEBUG_STAND_ALONE;
+
+ CHOOSE_NUMBER_OF_ADD_DATA_A: if TRBV2_CONFIG_TYPE = 2 generate
+ how_many_add_data_i <= number_of_rpc_add_data;
+ end generate CHOOSE_NUMBER_OF_ADD_DATA_A;
+
+ CHOOSE_NUMBER_OF_ADD_DATA_B: if TRBV2_CONFIG_TYPE /= 2 generate
+ how_many_add_data_i <= fpga_register_06_i(23 downto 16);
+ end generate CHOOSE_NUMBER_OF_ADD_DATA_B;
+
+
+ TDC_INT : tdc_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ NUMBER_OFF_ADD_DATA => NUMBER_OFF_ADD_DATA,
+ TRBV2_TYPE => TRBV2_TYPE,
+ USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM,
+ CHECK_REF_TIME => CHECK_REF_TIME,
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ TDC_CLK => tdc_clk_i,
+ RESET => reset_i,
+ TDC_DATA_IN => tdc_data_in_i,
+ START_TDC_READOUT => start_tdc_readout_i, --lvl1_trigger_i,
+ SAVE_TRBNET_HEADERS => save_trbnet_headers_i,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_READY => a_data_ready_i,
+ B_TDC_READY => b_data_ready_i,
+ C_TDC_READY => c_data_ready_i,
+ D_TDC_READY => d_data_ready_i,
+ SEND_TDC_TOKEN => token_out_i,
+ RECEIVED_TDC_TOKEN => token_in_i,
+ GET_TDC_DATA => GET_DATA,
+ LVL2_READOUT_COMPLETED => lvl2_readout_completed_i,
+ LVL1_TAG => lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_RND_CODE => lvl1_rnd_number_out_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i(7 downto 0), --apl_seqnr_out_i, --tdc_tag_i,
+ HOW_MANY_ADD_DATA => how_many_add_data_i,--
+ ADDITIONAL_DATA => additional_data_i,
+ LVL2_TRIGGER => lvl2_trigger_i,
+ TDC_DATA_OUT => tdc_data_out_i,
+ TDC_DATA_VALID => tdc_data_valid_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ ETRAX_IS_BUSY => FS_PB_17,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ TDC_REGISTER_00 => tdc_register_00_i,
+ TDC_REGISTER_01 => tdc_register_01_i,
+ TDC_REGISTER_02 => tdc_register_02_i,
+ TDC_REGISTER_03 => tdc_register_03_i,
+ TDC_REGISTER_04 => tdc_register_04_i,
+ TDC_REGISTER_05 => fpga_register_0e_i,
+ BUNCH_RESET => bunch_reset_i,
+ EVENT_RESET => event_reset_i,
+ DELAY_TRIGGER => fpga_register_06_i(31 downto 24),
+ DELAY_TOKEN => fpga_register_0e_i(23 downto 16),
+ TDC_START => trigger_to_tdc_i,
+ TRIGGER_WITH_GEN_EN => fpga_register_06_i(8),
+ SELF_TAG_COUNT_EN => rw_register_i(3)(0),
+ TRIGGER_WITH_GEN => not_hades_trigger,
+ TRB_ID => rw_register_i(4),
+ LVL1_FINISHED => lvl1_finished_i,
+ LVL2_FINISHED => lvl2_finished_i,
+ TRBNET_HEADER_BUILD => rw_register_i(3)(31),
+ EVENT_SIZE => ipu_length_in_i,
+ RD_EN => rd_en_i,
+ WR_EN => wr_en_i,
+ WR_READY => wr_ready_i,
+ RD_READY => rd_ready_i,
+ DATA_IN => data_out_i,
+ DATA_OUT => data_in_i,
+ SDRAM_BUSY => sdram_busy_i,
+ TDC_DATA_DOWNSCALE_IN => lvl1_trg_information_saved(17),
+ TRBNET_DATA_FINISHED_OUT => open,-- trbnet_data_finished_out_i(0),
+ TRBNET_DATA_WRITE_OUT => open,
+ TRBNET_DATA_OUT => open--trbnet_data_out_i
+ );
+
+ fee_trg_statusbits_in_buf(3 downto 0) <= tdc_register_00_i(3 downto 0);
+ fee_trg_statusbits_in_buf(4) <= tdc_register_00_i(12);
+
+ SAVE_LVL1_INFO : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl1_trg_information_saved <= (others => '0');
+ elsif lvl1_trigger_i = '1' then
+ lvl1_trg_information_saved <= lvl1_trg_information_buf;
+ else
+ lvl1_trg_information_saved <= lvl1_trg_information_saved;
+ end if;
+ end if;
+ end process SAVE_LVL1_INFO;
+
+ -----------------------------------------------------------------------------
+ -- sdram to tdc interface
+ -----------------------------------------------------------------------------
+-- THE_SDRAM_FPGA_INTERFACE : sdram_fpga_interface
+-- generic map
+-- (
+-- USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM
+-- )
+-- port map (
+-- CLK => CLK,
+-- READOUT_CLK => TDC_CLK, --readout_sdram_int_clk,
+-- RESET => reset_i,
+-- RD_EN => rd_en_i,
+-- WR_EN => wr_en_i,
+-- WR_READY => wr_ready_i,
+-- RD_READY => rd_ready_i,
+-- DATA_IN => data_in_i,
+-- DATA_OUT => data_out_i,
+-- SDRAM_BUSY => sdram_busy_i,
+-- INTERNAL_STROBE => external_ack_i,
+-- INTERNAL_DATA_IN => external_data_out_i,
+-- INTERNAL_DATA_OUT => sdram_data_out_i,
+-- INTERNAL_ADDRESS => external_address_i,
+-- INTERNAL_MODE => external_mode_i,
+-- INTERNAL_VALID => sdram_external_valid_i,
+-- SDRAM_DEBUG_00 => r_register_i(22),
+-- SDRAM_DEBUG_01 => r_register_i(23),
+-- SDRAM_DEBUG_02 => r_register_i(24),
+-- CLK_SDRAM => VSD_CLOCK,
+-- CKE => VSD_CKE,
+-- CS => vsd_cs_i,
+-- RAS => VSD_RAS,
+-- CAS => VSD_CAS,
+-- WE => VSD_WE,
+-- BA => VSD_BA,
+-- A => VSD_A,
+-- DQ => VSD_D,
+-- DQMH => dqmh_i,
+-- DQML => dqml_i
+-- );
+--DISABLE_EXTERNAL_SDRAM_IN_READOUT: if USE_EXTERNAL_SDRAM = 0 generate
+-- sdram_busy_i <= '0';
+--end generate DISABLE_EXTERNAL_SDRAM_IN_READOUT;
+
+ENABLE_EXTERNAL_SDRAM_IN_READOUT: if USE_EXTERNAL_SDRAM = 1 generate
+
+
+ THE_SDRAM_CONTROLLER: sdram_controller
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_CLK_IN => TDC_CLK,
+ DATA_WRITE => wr_en_i,
+ WRITE_READY => open,
+ WRITE_DATA_IN => data_in_i,
+ WRITE_BUSY => open,
+ DATA_READ => sdram_data_ready_i,
+ DATA_READ_REQUEST => rd_en_i,
+ READ_READY => sdram_data_ready_i,
+ READ_DATA_OUT => data_out_i,
+ READ_BUSY => open,
+ RAM_DEBUG_00 => r_register_i(22),
+ RAM_DEBUG_01 => r_register_i(23),
+ RAM_DEBUG_02 => r_register_i(24),
+ SDARM_CLK => VSD_CLOCK,
+ CKE => VSD_CKE,
+ CE => vsd_cs_i,
+ RAS => VSD_RAS,
+ CAS => VSD_CAS,
+ WE => VSD_WE,
+ BA => VSD_BA,
+ ADDR => VSD_A,
+ DATA => VSD_D,
+ DQMH => dqmh_i,
+ DQML => dqml_i);
+
+ sdram_busy_i <= '0';
+ VSD_DQML(0) <= dqml_i;
+ VSD_DQML(1) <= dqmh_i;
+ VSD_DQML(2) <= dqml_i;
+ VSD_DQML(3) <= dqmh_i;
+
+ VSD_CSEH <= vsd_cs_i;
+ VSD_CSEL <= vsd_cs_i;
+
+ ENABLE_DEBUG_SDRAM_READOUT : if DEBUG_OPTION = 5 generate
+
+ ADO_TTL(0) <= r_register_i(23)(4); --write data from tdc
+ ADO_TTL(1) <= r_register_i(23)(1); --read fifo to sdram
+ ADO_TTL(3) <= r_register_i(23)(0); --read fifo from sdram
+ ADO_TTL(4) <= r_register_i(22)(28); --write fifo from sdram
+ ADO_TTL(5) <= r_register_i(23)(6); -- read state
+ ADO_TTL(6) <= r_register_i(23)(7); --write state
+
+-- ADO_TTL(8) <= r_register_i(23)(6);
+-- ADO_TTL(11 downto 9) <= r_register_i(22)(15 downto 13);
+ ADO_TTL(15 downto 8) <= r_register_i(22)(23 downto 16);
+-- ADO_TTL(11 downto 8) <= r_register_i(22)(27 downto 24);
+-- ADO_TTL(9) <= r_register_i(23)(3);
+-- ADO_TTL(10) <= r_register_i(22)(30);
+-- ADO_TTL(11) <= r_register_i(23)(2);
+---- ADO_TTL(15 downto 8) <= r_register_i(23)(7 downto 0);
+-- ADO_TTL(8) <= r_register_i(22)(19);
+-- ADO_TTL(9) <= r_register_i(22)(29);
+-- ADO_TTL(10) <= r_register_i(22)(21);
+-- ADO_TTL(11) <= r_register_i(22)(25);
+-- ADO_TTL(12) <= r_register_i(22)(8);
+-- --ADO_TTL(12) <= lvl1_trigger_i;
+-- --ADO_TTL(13) <= lvl2_trigger_i;
+
+-- ADO_TTL(13) <= r_register_i(23)(0);
+-- ADO_TTL(14) <= r_register_i(24)(0);
+-- ADO_TTL(15) <= r_register_i(23)(25);
+
+ end generate ENABLE_DEBUG_SDRAM_READOUT;
+
+end generate ENABLE_EXTERNAL_SDRAM_IN_READOUT;
+
+ -----------------------------------------------------------------------------
+ -- lvl1 trigger logic
+ -----------------------------------------------------------------------------
+
+
+
+ OMIT_PROBLEM_WITH_MISS_REF : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ optical_tdc_trigger_reg_1 <= '0';
+ optical_tdc_trigger_reg_2 <= '0';
+ optical_tdc_trigger_reg_2 <= '0';
+ optical_tdc_trigger <= '0';
+ else
+ optical_tdc_trigger_reg_1 <= lvl1_trigger_i;
+ optical_tdc_trigger_reg_2 <= optical_tdc_trigger_reg_1;
+ optical_tdc_trigger_reg_3 <= optical_tdc_trigger_reg_2;
+ optical_tdc_trigger <= optical_tdc_trigger_reg_1 or optical_tdc_trigger_reg_2 or optical_tdc_trigger_reg_3;
+ end if;
+ end if;
+ end process OMIT_PROBLEM_WITH_MISS_REF;
+
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => TDC_CLK,
+ en_clk => '1',
+ signal_in => optical_tdc_trigger, --fast_ref_trigger_synch,
+ pulse => fast_ref_trigger_pulse);
+
+ SYNCH_WITHC_TDC_CLK : process (TDC_CLK, reset_i)
+ begin -- process SYNCH_WITHC_TDC_CLK
+ if rising_edge(TDC_CLK) then
+ if reset_i = '1' then -- asynchronous reset (active low)
+ fast_ref_trigger_pulse_synch <= '0';
+ else
+ fast_ref_trigger_pulse_synch <= fast_ref_trigger_pulse;
+ end if;
+ end if;
+ end process SYNCH_WITHC_TDC_CLK;
+
+ a_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ b_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ c_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ d_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+
+ BLINK_TDC_DIODS_ON_TRBv2c : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ A_TDC_POWERUP <= '1'; --in trbv2c this is a diod in trbv2b real!!!
+ B_TDC_POWERUP <= '1'; -- -//-
+ C_TDC_POWERUP <= '1'; -- -//-
+ D_TDC_POWERUP <= '1'; -- -//-
+ elsif rw_register_i(3)(8) = '0' then --trbv2b deafoult '0'
+ A_TDC_POWERUP <= '1';
+ B_TDC_POWERUP <= '1';
+ C_TDC_POWERUP <= '1';
+ D_TDC_POWERUP <= '1';
+ else
+ A_TDC_POWERUP <= not r_register_i(18)(22);
+ B_TDC_POWERUP <= not r_register_i(18)(23);
+ C_TDC_POWERUP <= not r_register_i(18)(24);
+ D_TDC_POWERUP <= not r_register_i(18)(25);
+ end if;
+ end if;
+ end process BLINK_TDC_DIODS_ON_TRBv2c;
+
+ DBAD <= lvl1_busy_i;
+ DWAIT <= lvl2_busy_i;
+
+ LVL1_TAG_COUNT : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => TDC_CLK,
+ RESET => reset_i,
+ COUNT_OUT => ref_time_counter,
+ UP_IN => fast_ref_trigger_pulse,
+ DOWN_IN => '0');
+
+ CHECK_REF_AND_DIGITAL_TRIGGER : process (CLK, reset_i, lvl1_trigger_i, ref_time_counter, lvl1_trigger_tag_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ trigger_miss_match <= '0';
+ elsif lvl1_trigger_i = '1' and (ref_time_counter /= lvl1_trigger_tag_i(7 downto 0)) then
+ trigger_miss_match <= '1';
+ elsif fast_ref_trigger_pulse = '1' and (ref_time_counter /= lvl1_trigger_tag_i(7 downto 0)) then
+ trigger_miss_match <= '0'; --trigger_miss_match;
+ end if;
+ end if;
+ end process CHECK_REF_AND_DIGITAL_TRIGGER;
+
+ fpga_register_0a_i(15 downto 0) <= ref_time_counter & lvl1_trigger_tag_i(7 downto 0);
+ fpga_register_0a_i(30) <= not_hades_trigger;
+ fpga_register_0a_i(31) <= fast_ref_trigger;
+-----------------------------------------------------------------------------
+-- MULTIPLEX_DATA_TO_ETRAX
+-----------------------------------------------------------------------------
+
+
+
+ MULTIPLEX_DATA_TO_ETRAX : process(CLK, reset_i, external_mode_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ external_data_in_i <= (others => '0');
+ elsif external_mode_i(7 downto 0) = x"01" then
+ external_data_in_i <= dsp_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"02" then
+ external_data_in_i <= sdram_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"05" then
+ external_data_in_i <= trigger_rw_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"06" then
+ external_data_in_i <= x"0000" & sfp_data_out;
+ else
+ external_data_in_i <= x"babe" & external_mode_i;
+ end if;
+ end if;
+ end process MULTIPLEX_DATA_TO_ETRAX;
+-- dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+ --\@@##$$%%^^&&**((( put dsp_strobe to the dsp interface (extarnal mode has
+ -- to be there)
+-- sdram_strobe_i <= '1' when external_mode_i(7 downto 0) = x"02" and external_ack_i = '1' else '0';
+-- external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or sfp_external_valid;
+ external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or trigger_rw_valid_out_i or sfp_external_valid ;
+-------------------------------------------------------------------------------
+-- sfp interface
+-------------------------------------------------------------------------------
+ Sfp_Interface_1: Sfp_Interface
+ generic map (
+ I2C_SPEED => X"0050") --for 100MHz CLK it is ~ 312.5kz
+ port map (
+ CLK_IN => CLK,
+ RST_IN => reset_i,
+ START_PULSE => external_ack_i,
+ DEVICE_ADDRESS => external_mode_i(7 downto 0),
+ DATA_OUT => sfp_data_out,--external_data_in_i(15 downto 0),--r_register_i(20)(15 downto 0),
+ SCL => SCL,
+ SDA => SDA,
+ EN_RESET => external_address_i(15),
+ READ_DONE => sfp_external_valid, --external_valid_i
+ DEBUG => r_register_i(21),
+ SFP_ADDRESS => external_address_i);
+ r_register_i(20)(15 downto 0) <= sfp_data_out;
+-- Sfp_Interface_1 : Sfp_Interface
+-- generic map (
+-- device_add => "1010001",
+-- temp_start_add => "01100000",
+-- opt_pwr_start_add => "01101000",
+-- I2C_SPEED => "11111101")
+-- port map (
+-- CLK_IN => CLK,
+-- RST_IN => reset_i,
+-- START_PULSE => external_ack_i,
+-- TEMP_DATA_OUT => r_register_i(20)(15 downto 0),
+-- OPT_DATA_OUT => r_register_i(20)(31 downto 16),
+-- SCL => SDA,--SCL,
+-- SDA => SCL,--SDA,
+-- EN_RESET => external_address_i(15),
+-- DEBUG => r_register_i(21),
+-- SFP_ADDRESS => external_address_i
+-- -- DEVICE_ADDRESS => external_mode_i(7 downto 0)
+-- );
+-- SFP_MOD_LOW <= '0';
+
+-- ENABLE_SFP_DEBUG : if DEBUG_OPTION = 3 generate
+-- ADO_TTL(4 downto 0) <= r_register_i(21)(4 downto 0);
+-- ADO_TTL(15 downto 8) <= r_register_i(21)(15 downto 8);
+-- end generate ENABLE_SFP_DEBUG;
+
+-------------------------------------------------------------------------------
+-- etrax interface
+-------------------------------------------------------------------------------
+ ETRAX_IRQ <= '1';
+
+
+ ETRAX_INTERFACE_LOGIC : etrax_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ RW_SYSTEM => RW_SYSTEM,
+ RW_REGISTERS_NUMBER => RW_REGISTERS_NUMBER,
+ R_REGISTERS_NUMBER => R_REGISTERS_NUMBER,
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_BUS => tdc_data_out_i,
+ ETRAX_DATA_BUS_B => FS_PB,
+ ETRAX_DATA_BUS_B_17 => FS_PB_17,
+ ETRAX_DATA_BUS_C => FS_PC,
+ ETRAX_DATA_BUS_E => open,
+ IPU_READY_IN => ipu_read_out_i,
+ IPU_DATAREADY_OUT => ipu_dataready_in_i,
+ IPU_DATA_OUT => ipu_data_in_i,
+ DATA_VALID => tdc_data_valid_i,
+ ETRAX_BUS_BUSY => etrax_bus_busy_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ TDC_TCK => VIRT_TCK,
+ TDC_TDI => VIRT_TDI,
+ TDC_TMS => VIRT_TMS,
+ TDC_TRST => open, --VIRT_TRST,
+ TDC_TDO => VIRT_TDO,
+ TDC_RESET => open, --TDC_RESET,
+ EXTERNAL_ADDRESS => external_address_i,
+ EXTERNAL_DATA_OUT => external_data_out_i,
+ EXTERNAL_DATA_IN => external_data_in_i,
+ EXTERNAL_ACK => external_ack_i,
+ EXTERNAL_VALID => external_valid_i,
+ EXTERNAL_MODE => external_mode_i,
+ RW_REGISTER => rw_register_vector,
+ R_REGISTER => r_register_vector,
+ LVL2_VALID => lvl2_valid_i,--lvl2_trigger_code_i(3),
+ TRB_LVL2_BUSY => lvl2_busy_i,
+ REGIO_ADDR_IN => regio_addr_out_i,
+ REGIO_READ_ENABLE_IN => regio_read_enable_out_i,
+ REGIO_WRITE_ENABLE_IN => regio_write_enable_out_i,
+ REGIO_DATA_IN => regio_data_out_i,
+ REGIO_DATA_OUT => regio_data_in_i,
+ REGIO_DATAREADY_OUT => regio_dataready_in_i,
+ REGIO_NO_MORE_DATA_OUT => regio_no_more_data_in_i,
+ REGIO_WRITE_ACK_OUT => regio_write_ack_in_i,
+ REGIO_UNKNOWN_ADDR_OUT => regio_unknown_addr_in_i,
+ DEBUG_REGISTER_OO => etrax_debug_reg
+ );
+
+ lvl2_valid_i <= lvl2_trigger_code_i(3) or rw_register_i(3)(4);
+
+ DEBUG_TRBNET_REGIO: if DEBUG_OPTION = 6 generate
+ ADO_TTL(3) <= regio_addr_out_i(0);
+ ADO_TTL(4) <= regio_read_enable_out_i; --read fifo to sdram
+ ADO_TTL(5) <= regio_write_enable_out_i; --read fifo from sdram
+ ADO_TTL(6) <= etrax_debug_reg(24);--write fifo from sdram
+ ADO_TTL(7) <= etrax_debug_reg(16); -- read state
+ ADO_TTL(8) <= regio_write_ack_in_i; --write state
+ ADO_TTL(9) <= etrax_debug_reg(0); --write state
+ ADO_TTL(10) <= regio_data_in_i(0);
+ ADO_TTL(11) <= regio_data_out_i(0);
+ ADO_TTL(12) <= etrax_debug_reg(25);
+ ADO_TTL(13) <= CLK;
+ ADO_TTL(14) <= regio_unknown_addr_in_i;
+ ADO_TTL(15) <= CLK;
+-- ADO_TTL(15 downto 8) <= regio_data_out_i(7 downto 0);
+
+
+ end generate DEBUG_TRBNET_REGIO;
+
+ REWRITE_R_REGISTER : for i in 1 to R_REGISTERS_NUMBER generate
+ r_register_vector(32*i-1 downto 32*(i-1)) <= r_register_i(i-1);
+ end generate REWRITE_R_REGISTER;
+
+ REWRITE_RW_REGISTER : for i in 1 to RW_REGISTERS_NUMBER generate
+ rw_register_i(i-1) <= rw_register_vector(32*i-1 downto 32*(i-1));
+ end generate REWRITE_RW_REGISTER;
+
+ fpga_register_01_i <= tdc_register_00_i;
+ fpga_register_02_i <= tdc_register_01_i;
+ fpga_register_03_i <= tdc_register_02_i;
+ fpga_register_04_i <= tdc_register_03_i;
+ fpga_register_05_i <= tdc_register_04_i;
+
+-- fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
+-- fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
+-- fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
+ fpga_register_0c_i <= trigger_miss_match & opt_busy_lvl1_or_lvl2 & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+-- fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
+ r_register_i(0) <= x"aaaabbbb";
+ r_register_i(1) <= tdc_register_00_i;
+ r_register_i(2) <= tdc_register_01_i;
+ r_register_i(3) <= tdc_register_02_i;
+ r_register_i(4) <= tdc_register_03_i;
+ r_register_i(5) <= fpga_register_05_i;
+ r_register_i(6) <= conv_std_logic_vector(VERSION_NUMBER_TIME, 32);
+ r_register_i(7) <= x"abcd0001"; --fpga_register_07_i;
+ r_register_i(8) <= fpga_register_08_i;
+ r_register_i(9) <= fpga_register_09_i;
+ r_register_i(10) <= fpga_register_0a_i;
+ r_register_i(11) <= fpga_register_0b_i;
+ r_register_i(12) <= fpga_register_0c_i;
+ r_register_i(13) <= fpga_register_0d_i;
+-- r_register_i((14+1)*32-1 downto 14*32) <= x"abcd0002";
+ fpga_register_06_i <= rw_register_i(0);
+ fpga_register_07_i <= rw_register_i(1);
+ fpga_register_0e_i <= rw_register_i(2);
+
+
+
+-------------------------------------------------------------------------------
+-- dsp -- remember about offset for
+-- adressing internal registers (0x10000000). DSP is foreseen
+-- for multiprocessor environment
+-------------------------------------------------------------------------------
+
+ DSP_EN : if DSP_INT_ENABLE = 1 generate
+ DSP_DATA_REGISTER : process (CLK, reset_i)
+ begin -- process DSP_DATA_REGISTER
+ if CLK'event and CLK = '1' then
+ if reset_i = '1' then
+ dsp_data_reg_in_i <= x"00000000";
+ dsp_data_reg_out_i <= x"00000000";
+ dsp_bm_reg <= '0';
+ else
+ dsp_bm_reg <= DSP_BM;
+ dsp_data_reg_in_i <= DSPDAT;
+ dsp_data_reg_out_i <= dspdat_out_i;
+ end if;
+ end if;
+ end process DSP_DATA_REGISTER;
+
+ DSP_HBR <= '1';
+ DSP_RESET <= fpga_register_06_i(4);
+ DSP_HBR <= dsp_hbr_i;
+ DSPDAT <= dspdat_out_i;
+ dspdat_in_i <= DSPDAT;
+ DSP_WRL <= DSP_WRL_i;
+ DSP_RD <= DSP_RD_i;
+ DSPADDR <= dspaddr_i;
+ DSP_BOFF <= fpga_register_06_i(5);
+ DSP_IRQ <= x"1";
+ DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+ DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+
+ DSP_INTERFACE_LOGIC : dsp_interface
+ port map (
+ HBR_OUT => dsp_hbr_i,
+ HBG_IN => DSP_HBG,
+ RD_OUT => DSP_RD_i,
+ DSP_DATA_OUT => dspdat_out_i, --DSPDAT to DSP,
+ DSP_DATA_IN => dspdat_in_i, --DSPDAT to FPGA,
+ ADDRESS_DSP => dspaddr_i, --DSPADDR,
+ WRL => DSP_WRL_i,
+ WRH => DSP_WRH,
+ BM_IN => DSP_BM,
+ DSP_RESET => open,
+ BRST => DSP_BRST,
+ ACK => DSP_ACK,
+ CLK => CLK,
+ RESET => reset_i,
+ R_W_ENABLE => external_mode_i(15),
+ TRIGGER => dsp_strobe_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => dsp_data_out_i, --external_data_in_i,
+ INTERNAL_ADDRESS => external_address_i,
+ VALID_DATA_SENT => dsp_external_valid_i,
+ ACKNOWLEDGE => dsp_strobe_i,
+ DEBUGSTATE_MACHINE => dsp_register_00_i);
+
+ end generate DSP_EN;
+
+ DSP_DISABLE: if DSP_INT_ENABLE = 0 generate
+ dsp_external_valid_i <= '0';
+ DSPDAT <= (others => 'Z');
+ DSP_WRH <= 'Z';
+ DSP_WRL <= 'Z'; --in for virtex to read dsp(it's out =0 if I
+ --write into dsp)
+--OUT
+ DSP_HBR <= '1';
+ DSPADDR <= (others => 'Z');
+ DSP_RD <= 'Z';
+ DSP_BRST <= 'Z';
+ end generate DSP_DISABLE;
+
+
+-------------------------------------------------------------------------------
+-- sdram interface
+-------------------------------------------------------------------------------
+
+-- SDRAM_EN : if SDRAM_INT_ENABLE = 1 generate
+-- SDRAM_INTERFACE_LOGIC : sdram_interface
+-- port map (
+-- CLK_SDRAM => VSD_CLOCK,
+-- CKE => VSD_CKE,
+-- CS => vsd_cs_i,
+-- RAS => VSD_RAS,
+-- CAS => VSD_CAS,
+-- WE => VSD_WE,
+-- DQM => VSD_DQML,
+-- BA => VSD_BA,
+-- A => VSD_A,
+-- DQ => VSD_D,
+-- CLK => CLK,
+-- RESET => reset_i,
+-- TRIGGER => external_ack_i,
+-- INTERNAL_DATA_IN => external_data_out_i,
+-- INTERNAL_DATA_OUT => sdram_data_out_i,
+-- INTERNAL_ADDRESS => external_address_i,
+-- INTERNAL_MODE => external_mode_i,
+-- VALID_DATA_SENT => sdram_external_valid_i,
+-- DEBUGSTATE_MACHINE => sdram_register_00_i);
+
+-- VSD_CSEH <= vsd_cs_i;
+-- VSD_CSEL <= vsd_cs_i;
+---- ADO_TTL(18) <= '1';
+---- ADO_TTL(15 downto 0) <= (others => 'Z');
+-- end generate SDRAM_EN;
+
+-- SDRAM_DISABLE: if SDRAM_INT_ENABLE = 0 generate
+-- sdram_external_valid_i <= '0';
+-- VSD_CLOCK <= '0';
+-- VSD_CSEL <= '1';
+-- VSD_CSEH <= '1';
+-- VSD_RAS <= '1';
+-- VSD_CAS <= '1';
+-- VSD_WE <= '1';
+-- VSD_DQML <= x"0";
+-- VSD_A(12 downto 0) <= (others => '0');
+-- VSD_D <= (others => 'Z');
+-- VSD_BA <= "00";
+-- end generate SDRAM_DISABLE;
+
+
+--------------------------------------------------------------------------
+-- scalers - there has to be an GP-AddOn with correct configuration see GP_AddOn
+-- directory (not available now).
+-------------------------------------------------------------------------
+ SCALERS_ON_LVDS_ENABLE : if SCALERS_ENABLE = 1 or TRBV2_CONFIG_TYPE = 2 generate
+ SET_SCALER_RESET : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ scaler_reset <= '1';
+ elsif lvl1_trigger_code_i = x"E" and lvl1_finished_i = '1' then
+ scaler_reset <= '1';
+ else
+ scaler_reset <= '0';
+ end if;
+ end if;
+ end process SET_SCALER_RESET;
+
+ SCALER : for lvds_line in 0 to NUMBER_OFF_ADD_DATA - 1 generate
+ FIRST_6: if lvds_line < 14 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvds_add_on_data(lvds_line),
+ pulse => scaler_pulse(lvds_line));
+
+ RPC_SCALER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 32)
+ port map (
+ CLK => CLK,
+ RESET => scaler_reset,
+ COUNT_OUT => scaler_counter(lvds_line),
+ UP_IN => scaler_pulse(lvds_line),
+ DOWN_IN => '0');
+ additional_data_i((lvds_line+1)*32-1 downto lvds_line*32) <= scaler_counter(lvds_line);
+ end generate FIRST_6;
+
+ SEVENTH: if lvds_line > 14 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvds_add_on_data(27+14-lvds_line),
+ pulse => scaler_pulse(lvds_line));
+
+ RPC_SCALER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 32)
+ port map (
+ CLK => CLK,
+ RESET => scaler_reset,
+ COUNT_OUT => scaler_counter(lvds_line),
+ UP_IN => scaler_pulse(lvds_line),
+ DOWN_IN => '0');
+ additional_data_i((lvds_line+1)*32-1 downto lvds_line*32) <= scaler_counter(lvds_line);
+ end generate SEVENTH;
+
+ end generate SCALER;
+ end generate SCALERS_ON_LVDS_ENABLE;
+
+ RPC_SCALERS_ENABLE: if TRBV2_CONFIG_TYPE=2 generate
+
+ ENABLE_RPC_SCALERS_ON_MDC_CAL : process (CLK,reset_i,lvl1_trigger_code_i, fpga_register_06_i(0))
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ number_of_rpc_add_data <= x"00";
+ elsif lvl1_trigger_code_i = x"E" and fpga_register_06_i(0) = '1' and lvl1_trigger_i = '1' then --
+ --valid only when there is data valid to
+ number_of_rpc_add_data <= x"13"; --16 individual and 3 global multiplicities
+ else
+ number_of_rpc_add_data <= fpga_register_06_i(23 downto 16); --x"08";
+ end if;
+ end if;
+ end process ENABLE_RPC_SCALERS_ON_MDC_CAL;
+
+ end generate RPC_SCALERS_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- others --simple test for clock quality (osciloscope)
+--------------------------------------------------------------------------
+
+ COUNTER_FOR_CLOCK_CHECK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ check_counter <= (others => '0');
+ else
+ check_counter <= check_counter + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_CLOCK_CHECK;
+ check_pulse <= '1' when check_counter > x"fffe" else '0';
+
+end trb_v2b_fpga;
--- /dev/null
+library IEEE;
+use ieee.std_logic_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+use ieee.numeric_std.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library work;
+use work.all;
+use work.version.all;
+use work.config_type.all;
+use work.trb_net_std.all;
+
+
+entity trb_v2b_fpga is
+ generic (
+ RW_SYSTEM : integer range 1 to 2 := 1; --1 -trb, 2 -addon with portE 10 9 as rw
+ TRBV2_TYPE : integer range 0 to 8 := 0;
+ -- 0 - trbv2 + RPC or TOF or FWALL or Start or
+ -- Veto (one board !),
+ -- 1 - MDC,
+ -- 2 - SHOWER,
+ -- 3 - CTS+Trigger logic
+ -- 4 - CTS+VULOM (trbent)
+ -- 5 - CTS only, lvl2 is automatic (trbent)
+ -- 6 - CTS plus TDC readout and waits for
+ -- external busy without trbnet
+ -- 7 - '6' is the source of the triggers
+ -- 8 - for tdc EUGEN readout
+ TRBNET_ENABLE : integer range 0 to 3 := 1; -- 0 - disable,
+ -- 1 - end point
+ -- 2 - cts
+ -- 3 - slow ctrl
+ DTU_ENABLE : integer range 0 to 2 := 0; -- 0 - disable, 1 - old
+ -- HADES bus, 2 - opt
+ -- without trbnet
+ CTU_ENABLE : integer range 0 to 1 := 0;
+ HADES_OLD_BUS_ENABLE : integer range 0 to 1 := 0;
+ DSP_INT_ENABLE : integer range 0 to 1 := 0; -- dsp interface enable
+ SDRAM_INT_ENABLE : integer range 0 to 1 := 0; -- sdram interface enable
+ SCALERS_ENABLE : integer range 0 to 1 := 1;
+ RW_REGISTERS_NUMBER : integer range 0 to 40 := 29; --32 bit registers
+ --accesed by trbnet or
+ --etrax (read/write) -
+ --control
+ R_REGISTERS_NUMBER : integer range 0 to 80 := 72; --only read - status
+ ENABLE_DMA : integer range 1 to 2 := 2; --1- DMA , 2 - no DMA
+ --2- also for trbnet
+ --end point !
+ NUMBER_OFF_ADD_DATA : integer range 0 to 255 := 19;
+ REGIO_NUM_STAT_REGS : integer range 0 to 255 := 2;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 255 := 3;
+ DEBUG_OPTION : integer range 0 to 8 := 0; --0 no debug
+ --1 trbnet enpoit
+ --2 trbent cts
+ --3 sfp
+ --4 stand alone
+ --5 sdram
+ --6 trbnet regio
+ --7 trbnet data handler
+
+
+ TRIGGER_RW_REGISTERS_NUMBER : integer range 0 to 40 := 4;
+ TRIGGER_R_REGISTERS_NUMBER : integer range 0 to 40 := 4;
+ TRIGGER_INPUTS_NUMBER : integer range 0 to 60 := 32;
+ USE_EXTERNAL_SDRAM : integer range 0 to 1 := 0;
+ CHECK_REF_TIME : integer range 0 to 2 :=0; --0 dont check, 1- check if its
+ --there(rpc) and calc diff
+ --2-check if its there but tof
+ --is different!
+ CTS_NUMBER_IPU_DATA: integer range 0 to 9 :=2
+ );
+ port (
+ -------------------------------------------------------------------------
+ -- GENERAL
+ -------------------------------------------------------------------------
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic; --diode
+ DGOOD : out std_logic; --diode
+ DINT : out std_logic; --diode
+ DWAIT : out std_logic; --diode
+ A_RESERVED : in std_logic; --TDC connector 75,76(line 3 from end)
+ TEMP_SENS : inout std_logic_vector(4 downto 0); -- on the schematics
+ -- A_TEMP,B_TEMP ...
+
+ B_RESERVED : in std_logic;
+ C_RESERVED : in std_logic;
+ D_RESERVED : in std_logic;
+ VIR_TRIG : in std_logic; --fast trigger
+ VIR_TRIGB : in std_logic;
+ -------------------------------------------------------------------------
+ -- TDC connections
+ -------------------------------------------------------------------------
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic; --turn on TDC -should be one ?
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ -- TDC_BU_RESET : out std_logic;
+ -- TDC_BU_RESETB : out std_logic;
+ -- TDC_EV_RESET : out std_logic;
+ -- TDC_EV_RESETB : out std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- ETRAX connections
+ -------------------------------------------------------------------------
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic; --_vector (16 downto 0);
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SPI
+ -------------------------------------------------------------------------
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- RPC TEST SIGNALS - for RPC
+ -------------------------------------------------------------------------
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TIGER SHARC
+ -------------------------------------------------------------------------
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic; --LOC = AF15;
+ DSP_BOFF : out std_logic; -- LOC = AK14;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ --link0
+-- DSP_L0DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L0DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L0DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L0DATON : in std_logic_vector (3 downto 0);
+-- DSP_L0CLKINP : out std_logic;
+-- DSP_L0CLKINN : out std_logic;
+-- DSP_L0CLKOUTP : in std_logic;
+-- DSP_L0CLKOUTN : in std_logic;
+-- DSP_L0BCMPO : in std_logic;
+-- DSP_L0ACKO : in std_logic;
+-- DSP_L0BCMPI : out std_logic;
+-- DSP_L0ACKI : out std_logic;
+-- --link1
+-- DSP_L1DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L1DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L1DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L1DATON : in std_logic_vector (3 downto 0);
+-- DSP_L1CLKINP : out std_logic;
+-- DSP_L1CLKINN : out std_logic;
+-- DSP_L1CLKOUTP : in std_logic;
+-- DSP_L1CLKOUTN : in std_logic;
+-- DSP_L1ACKO : in std_logic;
+-- DSP_L1BCMPO : in std_logic;
+-- DSP_L1BCMPI : out std_logic;
+-- DSP_L1ACKI : out std_logic;
+ --DSP_TMROE : LOC = AP20; --link data widt now is 4 bit --pullup
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ -- DSP_MSH LOC = AL14;
+ -- DSP_IOEN LOC = AL18;
+ -- DSP_IORD LOC = AB16;
+ -- DSP_IOWR LOC = AB17;
+ -- DSP_BUSLOCK LOC = AC19;
+ --DSP_DMAR : std_logic_vector (3 downto 0);
+ --DSP_FLAG : std_logic_vector (3 downto 0);
+
+ -------------------------------------------------------------------------
+ -- SDRAM
+ -------------------------------------------------------------------------
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TLK
+ -------------------------------------------------------------------------
+
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SFP
+ -------------------------------------------------------------------------
+ SFP_LOS : in std_logic;
+ -- SFP_MOD<0> LOC = R23;
+ -- SFP_MOD<1> LOC = K32;
+ -- SFP_MOD<2> LOC = K33;
+ -- SFP_RATE_SEL : out std_logic;
+ SFP_MOD_LOW : out std_logic;
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+
+ -------------------------------------------------------------------------
+ -- ADDON board
+ -------------------------------------------------------------------------
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ ADO_LVDS_IN : in std_logic_vector(61 downto 0); --lvds signal
+-- ADO_LVDS_OUT : out std_logic_vector(7 downto 0); --lvds signal
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+ -- ADO_TTL : inout std_logic_vector(15 downto 0);
+-- ADO_TTL : in std_logic;
+
+ --simk--SIM_MED_DATA_IN : in std_logic_vector(c_DATA_WIDTH-1 downto 0);
+ --simk--SIM_MED_PACKET_NUM_IN : in std_logic_vector(c_NUM_WIDTH-1 downto 0);
+ --simk--SIM_MED_DATAREADY_IN : in std_logic;
+ --simk--SIM_MED_READ_OUT : out std_logic;
+ --simk--SIM_MED_DATA_OUT : out std_logic_vector(c_DATA_WIDTH-1 downto 0);
+ --simk--SIM_MED_PACKET_NUM_OUT : out std_logic_vector(c_NUM_WIDTH-1 downto 0);
+ --simk--SIM_MED_DATAREADY_OUT : out std_logic;
+ --simk--SIM_MED_READ_IN : in std_logic;
+
+ -------------------------------------------------------------------------------
+ --TDC JTAG
+ -------------------------------------------------------------------------------
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic
+ );
+
+end trb_v2b_fpga;
+
+architecture trb_v2b_fpga of trb_v2b_fpga is
+
+ component clk_300
+ port (
+ CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKFX_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component clock200
+ port (
+ CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component Sfp_Interface
+ generic (
+ I2C_SPEED : std_logic_vector(15 downto 0));
+ port (
+ CLK_IN : in std_logic;
+ RST_IN : in std_logic;
+ START_PULSE : in std_logic;
+ DEVICE_ADDRESS : in std_logic_vector(7 downto 0);
+ DATA_OUT : out std_logic_vector(15 downto 0);
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ EN_RESET : in std_logic;
+ READ_DONE : out std_logic;
+ DEBUG : out std_logic_vector(31 downto 0);
+ SFP_ADDRESS : in std_logic_vector(31 downto 0));
+ end component;
+
+
+ component cts
+ generic (
+ TRBNET_ENABLE : natural);
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLK40_IN : in std_logic;
+ LVL1_LVDS_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_TTL_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_RCTS_TYPE_IN : in std_logic_vector(3 downto 0);
+ LVL1_FAST_TRIGG_IN : in std_logic;
+ LVL1_TIMING_TRIGGER_OUT : out std_logic;
+ LVL1_TIMING_TEST_SIGNAL_OUT : out std_logic;
+ LVL1_APV_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGBOX_TRIGGER_IN : in std_logic;
+ LVL1_TRIGBOX_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL1_TRIGBOX_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL1_TRIGBOX_BUSY_IN : in std_logic;
+ LVL1_LOCAL_BUSY : in std_logic;
+ LVL1_TRBNET_BUSY : in std_logic;
+ LVL1_CTS_BUSY_OUT : out std_logic;
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ LVL2_LVDS_TRIGGER_IN : in std_logic;
+ LVL2_LVDS_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LVDS_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL2_LOCAL_TRIGGER_IN : in std_logic;
+ LVL2_LOCAL_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LOCAL_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL2_LOCAL_BUSY : in std_logic;
+ LVL2_TRBNET_BUSY : in std_logic;
+ LVL2_CTS_BUSY_OUT : out std_logic;
+ LVL2_TRIGGER_OUT : out std_logic;
+ LVL2_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL2_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL2_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ CTS_STASUS_0UT_0 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_1 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_2 : out std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_0 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_1 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_2 : in std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component tdc_interfacev2
+ generic (
+ ENABLE_DMA : natural;
+ NUMBER_OFF_ADD_DATA : natural;
+ TRBV2_TYPE : natural;
+ USE_EXTERNAL_SDRAM : natural;
+ CHECK_REF_TIME : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ TDC_CLK : in std_logic;
+ RESET : in std_logic;
+ TDC_DATA_IN : in std_logic_vector (31 downto 0);
+ START_TDC_READOUT : in std_logic;
+ SAVE_TRBNET_HEADERS : in std_logic;
+ A_TDC_READY : in std_logic;
+ B_TDC_READY : in std_logic;
+ C_TDC_READY : in std_logic;
+ D_TDC_READY : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ SEND_TDC_TOKEN : out std_logic;
+ RECEIVED_TDC_TOKEN : in std_logic;
+ GET_TDC_DATA : out std_logic;
+ LVL2_READOUT_COMPLETED : out std_logic;
+ LVL1_TAG : in std_logic_vector(15 downto 0);
+ LVL1_RND_CODE : in std_logic_vector(7 downto 0);
+ LVL1_CODE : in std_logic_vector(3 downto 0);
+ LVL2_TAG : in std_logic_vector(7 downto 0);
+ HOW_MANY_ADD_DATA : in std_logic_vector(7 downto 0);
+ ADDITIONAL_DATA : in std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+ LVL2_TRIGGER : in std_logic;
+ TDC_DATA_OUT : out std_logic_vector (31 downto 0);
+ TDC_DATA_VALID : out std_logic;
+ ETRAX_IS_READY_TO_READ : in std_logic;
+ ETRAX_IS_BUSY : in std_logic;
+ LVL1_BUSY : out std_logic;
+ LVL2_BUSY : out std_logic;
+ TDC_REGISTER_00 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_01 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_02 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_03 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_04 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_05 : in std_logic_vector(31 downto 0);
+ BUNCH_RESET : out std_logic;
+ EVENT_RESET : out std_logic;
+ DELAY_TRIGGER : in std_logic_vector(7 downto 0);
+ DELAY_TOKEN : in std_logic_vector(7 downto 0);
+ TDC_START : out std_logic;
+ TRIGGER_WITH_GEN_EN : in std_logic;
+ SELF_TAG_COUNT_EN : in std_logic;
+ TRIGGER_WITH_GEN : in std_logic;
+ TRB_ID : in std_logic_vector(31 downto 0);
+ LVL1_FINISHED : out std_logic;
+ LVL2_FINISHED : out std_logic;
+ TRBNET_HEADER_BUILD : in std_logic;
+ EVENT_SIZE : out std_logic_vector(15 downto 0);
+ RD_EN : out std_logic;
+ WR_EN : out std_logic;
+ WR_READY : in std_logic;
+ RD_READY : in std_logic;
+ DATA_IN : in std_logic_vector(31 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ SDRAM_BUSY : in std_logic;
+ TDC_DATA_DOWNSCALE_IN : in std_logic;
+ TRBNET_DATA_FINISHED_OUT : out std_logic;
+ TRBNET_DATA_WRITE_OUT : out std_logic;
+ TRBNET_DATA_OUT : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+
+ component trb_net_onewire is
+ generic(
+ USE_TEMPERATURE_READOUT : integer range 0 to 1 := 1;
+ CLK_PERIOD : integer := 10 --clk period in ns
+ );
+ port(
+ CLK : in std_logic;
+ RESET : in std_logic;
+ --connection to 1-wire interface
+ ONEWIRE : inout std_logic;
+ --connection to id ram, according to memory map in TrbNetRegIO
+ DATA_OUT : out std_logic_vector(15 downto 0);
+ ADDR_OUT : out std_logic_vector(2 downto 0);
+ WRITE_OUT : out std_logic;
+ TEMP_OUT : out std_logic_vector(11 downto 0);
+ STAT : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+
+ component etrax_interfacev2
+ generic (
+ ENABLE_DMA : natural;
+ RW_SYSTEM : natural;
+ RW_REGISTERS_NUMBER : natural;
+ R_REGISTERS_NUMBER : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic; --_vector(17 downto 0);
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ IPU_READY_IN : in std_logic;
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ RW_REGISTER : out std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ R_REGISTER : in std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ LVL2_VALID : in std_logic;
+ TRB_LVL2_BUSY : in std_logic;
+ REGIO_ADDR_IN : in std_logic_vector(15 downto 0);
+ REGIO_READ_ENABLE_IN : in std_logic;
+ REGIO_WRITE_ENABLE_IN : in std_logic;
+ REGIO_DATA_IN : in std_logic_vector(31 downto 0);
+ REGIO_DATA_OUT : out std_logic_vector(31 downto 0);
+ REGIO_DATAREADY_OUT : out std_logic;
+ REGIO_NO_MORE_DATA_OUT : out std_logic;
+ REGIO_WRITE_ACK_OUT : out std_logic;
+ REGIO_UNKNOWN_ADDR_OUT : out std_logic;
+ DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component sdram_controller
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_CLK_IN : in std_logic;
+ DATA_WRITE : in std_logic;
+ WRITE_READY : out std_logic;
+ WRITE_DATA_IN : in std_logic_vector(31 downto 0);
+ WRITE_BUSY : out std_logic;
+ DATA_READ : in std_logic;
+ DATA_READ_REQUEST : in std_logic;
+ READ_READY : out std_logic;
+ READ_DATA_OUT : out std_logic_vector(31 downto 0);
+ READ_BUSY : out std_logic;
+ RAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ RAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ RAM_DEBUG_02 : out std_logic_vector(31 downto 0);
+ SDARM_CLK : out std_logic;
+ CKE : out std_logic;
+ CE : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ BA : out std_logic_vector(1 downto 0);
+ ADDR : out std_logic_vector(12 downto 0);
+ DATA : inout std_logic_vector(31 downto 0);
+ DQMH : out std_logic;
+ DQML : out std_logic);
+ end component;
+
+ component sdram_fpga_interface
+ generic (
+ USE_EXTERNAL_SDRAM : natural);
+ port (
+ CLK : in std_logic;
+ READOUT_CLK : in std_logic;
+ RESET : in std_logic;
+ RD_EN : in std_logic;
+ WR_EN : in std_logic;
+ WR_READY : out std_logic;
+ RD_READY : out std_logic;
+ DATA_IN : in std_logic_vector(31 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ SDRAM_BUSY : out std_logic;
+ INTERNAL_STROBE : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ INTERNAL_VALID : out std_logic;
+ SDRAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_02 : out std_logic_vector(31 downto 0);
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+ DQMH : out std_logic;
+ DQML : out std_logic
+ );
+ end component;
+
+ component dsp_interface
+ port (
+ -- signal to/from dsp
+ HBR_OUT : out std_logic; -- Host Bus Request to DSP
+ HBG_IN : in std_logic; -- Host Bus Grant from DSP
+ RD_OUT : out std_logic; -- read/write enable of DSP
+ DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+ DSP_DATA_IN : in std_logic_vector(31 downto 0);
+ ADDRESS_DSP : out std_logic_vector(31 downto 0);
+ WRL : out std_logic; --when dsp slave is output
+ WRH : out std_logic; --when dsp slave is output
+ BM_IN : in std_logic; --Bus Master. For debug
+ DSP_RESET : out std_logic;
+ BRST : inout std_logic;
+ ACK : in std_logic;
+ --internal data and address bus
+ CLK : in std_logic;
+ RESET : in std_logic;
+ R_W_ENABLE : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ ACKNOWLEDGE : in std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component dtu_interface
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL1_ERROR_BUS : out std_logic;
+ LVL1_BUSY_BUS : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL2_ERROR_BUS : out std_logic;
+ LVL2_BUSY_BUS : out std_logic;
+ LVL2_TRIGGER : out std_logic;
+ LVL2_CODE : out std_logic_vector(3 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_TRB_ACK : in std_logic;
+ DTU_DEBUG_00 : out std_logic_vector(31 downto 0));
+ end component;
+
+ component sdram_interface
+ port (
+--connection with sdram
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+--internal signals
+ CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component ctu
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGG : in std_logic_vector(7 downto 0);
+ LVL1_START : out std_logic;
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGG : in std_logic_vector(1 downto 0);
+ LVL2_START : out std_logic_vector(1 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_DOWNSCALING : in std_logic_vector(7 downto 0);
+ CTU_CONTROL : in std_logic_vector(31 downto 0);
+ LVL1_CTU_STATUS : out std_logic_vector(31 downto 0);
+ LVL2_CTU_STATUS : out std_logic_vector(31 downto 0));
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ component DCM_100MHz_to_200MHz
+ port (
+ CLKIN_N_IN : in std_logic;
+ CLKIN_P_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKDV_OUT : out std_logic;
+ CLKIN_IBUFGDS_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component media_interface
+ generic (
+ HOW_MANY_CHANNELS : positive;
+ SYSTEM : positive
+ );
+ port (
+ RESET : in std_logic;
+ SYSTEM_CLK : in std_logic;
+ TX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)-1 downto 0);
+ RX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+ RXD : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+ RX_K : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ RX_RST : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+ CV : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ TXD : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+ TX_K : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ MEDIA_STATUS : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MEDIA_CONTROL : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_DATAREADY_IN : in std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_DATA_IN : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_READ_OUT : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_DATA_OUT : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_DATAREADY_OUT : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_READ_IN : in std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ MED_STAT_OP : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_CTRL_OP : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ LINK_DEBUG : out std_logic_vector(HOW_MANY_CHANNELS*32-1 downto 0);
+ TX_DIS : out std_logic_vector(HOW_MANY_CHANNELS*16 downto 1);
+ SFP_INP_N : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_INP_P : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_OUT_N : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_OUT_P : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0)
+ );
+ end component;
+-------------------------------------------------------------------------------
+-- components for trbnet - cts
+-------------------------------------------------------------------------------
+ component trb_net16_endpoint_hades_cts
+ generic (
+ USE_CHANNEL : channel_config_t;
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ INIT_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_RECEIVE_DATA : channel_config_t;
+ USE_CHECKSUM : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0);
+ REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USE_DAT_PORT : integer range 0 to 1;
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_VAR_ENDPOINT_ID : integer;
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ CLOCK_FREQUENCY : integer range 1 to 200);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ TRG_SEND_IN : in std_logic;
+ TRG_TYPE_IN : in std_logic_vector (3 downto 0);
+ TRG_NUMBER_IN : in std_logic_vector (15 downto 0);
+ TRG_INFORMATION_IN : in std_logic_vector (23 downto 0);
+ TRG_RND_CODE_IN : in std_logic_vector (7 downto 0);
+ TRG_STATUS_BITS_OUT : out std_logic_vector (31 downto 0);
+ TRG_BUSY_OUT : out std_logic;
+ IPU_SEND_IN : in std_logic;
+ IPU_TYPE_IN : in std_logic_vector (3 downto 0);
+ IPU_NUMBER_IN : in std_logic_vector (15 downto 0);
+ IPU_INFORMATION_IN : in std_logic_vector (7 downto 0);
+ IPU_RND_CODE_IN : in std_logic_vector (7 downto 0);
+ IPU_DATA_OUT : out std_logic_vector (31 downto 0);
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_READ_IN : in std_logic;
+ IPU_STATUS_BITS_OUT : out std_logic_vector (31 downto 0);
+ IPU_BUSY_OUT : out std_logic;
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_REGISTERS_IN : in std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ REGIO_REGISTERS_OUT : out std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ COMMON_STAT_REG_STROBE : out std_logic_vector(std_COMSTATREG-1 downto 0);
+ COMMON_CTRL_REG_STROBE : out std_logic_vector(std_COMCTRLREG-1 downto 0);
+ STAT_REG_STROBE : out std_logic_vector(2**(REGIO_NUM_STAT_REGS)-1 downto 0);
+ CTRL_REG_STROBE : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ REGIO_READ_ENABLE_OUT : out std_logic;
+ REGIO_WRITE_ENABLE_OUT : out std_logic;
+ REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ REGIO_DATAREADY_IN : in std_logic := '0';
+ REGIO_NO_MORE_DATA_IN : in std_logic := '0';
+ REGIO_WRITE_ACK_IN : in std_logic := '0';
+ REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
+ REGIO_TIMEOUT_OUT : out std_logic;
+ REGIO_ONEWIRE_INOUT : inout std_logic;
+ REGIO_ONEWIRE_MONITOR_OUT : out std_logic;
+ REGIO_ONEWIRE_MONITOR_IN : in std_logic;
+ TRIGGER_MONITOR_IN : in std_logic;
+ GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0);
+ LOCAL_TIME_OUT : out std_logic_vector(7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector(31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector(31 downto 0));
+ end component;
+
+-- -----------------------------------------------------------------------------
+-- -- components for trbnet - hades end point
+-- -----------------------------------------------------------------------------
+
+ component trb_net16_endpoint_hades_full
+ generic (
+ USE_CHANNEL : channel_config_t;
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ IBUF_SECURE_MODE : channel_config_t;
+ API_SECURE_MODE_TO_APL : channel_config_t;
+ API_SECURE_MODE_TO_INT : channel_config_t;
+ OBUF_DATA_COUNT_WIDTH : integer range 0 to 7;
+ INIT_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_RECEIVE_DATA : channel_config_t;
+ USE_CHECKSUM : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0);
+ REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USE_DAT_PORT : integer range 0 to 1;
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ CLOCK_FREQUENCY : integer range 1 to 200);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_TYPE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRG_RECEIVED_OUT : out std_logic;
+ LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
+ LVL1_ERROR_PATTERN_IN : in std_logic_vector(31 downto 0) := x"00000000";
+ LVL1_TRG_RELEASE_IN : in std_logic := '0';
+ IPU_NUMBER_OUT : out std_logic_vector (15 downto 0);
+ IPU_INFORMATION_OUT : out std_logic_vector (7 downto 0);
+ IPU_START_READOUT_OUT : out std_logic;
+ IPU_DATA_IN : in std_logic_vector (31 downto 0);
+ IPU_DATAREADY_IN : in std_logic;
+ IPU_READOUT_FINISHED_IN : in std_logic;
+ IPU_READ_OUT : out std_logic;
+ IPU_LENGTH_IN : in std_logic_vector (15 downto 0);
+ IPU_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0);
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_REGISTERS_IN : in std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ REGIO_REGISTERS_OUT : out std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ REGIO_READ_ENABLE_OUT : out std_logic;
+ REGIO_WRITE_ENABLE_OUT : out std_logic;
+ REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ REGIO_DATAREADY_IN : in std_logic := '0';
+ REGIO_NO_MORE_DATA_IN : in std_logic := '0';
+ REGIO_WRITE_ACK_IN : in std_logic := '0';
+ REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
+ REGIO_TIMEOUT_OUT : out std_logic;
+ REGIO_IDRAM_DATA_IN : in std_logic_vector(15 downto 0) := (others => '0');
+ REGIO_IDRAM_DATA_OUT : out std_logic_vector(15 downto 0);
+ REGIO_IDRAM_ADDR_IN : in std_logic_vector(2 downto 0) := "000";
+ REGIO_IDRAM_WR_IN : in std_logic := '0';
+ REGIO_ONEWIRE_INOUT : inout std_logic;
+ REGIO_ONEWIRE_MONITOR_IN : in std_logic;
+ REGIO_ONEWIRE_MONITOR_OUT : out std_logic;
+ TRIGGER_MONITOR_IN : in std_logic;
+ GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0);
+ LOCAL_TIME_OUT : out std_logic_vector(7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector (31 downto 0);
+ MED_STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_MPLEX : in std_logic_vector (31 downto 0);
+ IOBUF_CTRL_GEN : in std_logic_vector (4*32-1 downto 0);
+ STAT_ONEWIRE : out std_logic_vector (31 downto 0);
+ STAT_ADDR_DEBUG : out std_logic_vector (15 downto 0));
+ end component;
+
+-- -----------------------------------------------------------------------------
+-- -- components from trbnet - media ineterface
+-- -----------------------------------------------------------------------------
+
+ component trb_net16_endpoint_hades_full_handler
+ generic (
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ ADDRESS_MASK : std_logic_vector(15 downto 0);
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ BROADCAST_SPECIAL_ADDR : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(16*32-1 downto 0);
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES;
+ CLOCK_FREQUENCY : integer range 1 to 200;
+ TIMING_TRIGGER_RAW : integer range 0 to 1;
+ DATA_INTERFACE_NUMBER : integer range 1 to 16;
+ DATA_BUFFER_DEPTH : integer range 9 to 15;
+ DATA_BUFFER_WIDTH : integer range 1 to 32;
+ DATA_BUFFER_FULL_THRESH : integer range 0 to 2**15-2;
+ TRG_RELEASE_AFTER_DATA : integer range 0 to 1;
+ HEADER_BUFFER_DEPTH : integer range 9 to 15;
+ HEADER_BUFFER_FULL_THRESH : integer range 2**8 to 2**15-2);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic := '1';
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ TRG_TIMING_TRG_RECEIVED_IN : in std_logic;
+ LVL1_TRG_DATA_VALID_OUT : out std_logic;
+ LVL1_VALID_TIMING_TRG_OUT : out std_logic;
+ LVL1_VALID_NOTIMING_TRG_OUT : out std_logic;
+ LVL1_INVALID_TRG_OUT : out std_logic;
+ LVL1_TRG_TYPE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
+ LVL1_INT_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ TRG_MULTIPLE_TRG_OUT : out std_logic;
+ TRG_TIMEOUT_DETECTED_OUT : out std_logic;
+ TRG_SPURIOUS_TRG_OUT : out std_logic;
+ TRG_MISSING_TMG_TRG_OUT : out std_logic;
+ TRG_SPIKE_DETECTED_OUT : out std_logic;
+ FEE_TRG_RELEASE_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_TRG_STATUSBITS_IN : in std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
+ FEE_DATA_IN : in std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
+ FEE_DATA_WRITE_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_DATA_FINISHED_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_DATA_ALMOST_FULL_OUT : out std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_COMMON_STAT_STROBE_OUT : out std_logic_vector(std_COMSTATREG-1 downto 0);
+ REGIO_COMMON_CTRL_STROBE_OUT : out std_logic_vector(std_COMCTRLREG-1 downto 0);
+ REGIO_STAT_REG_IN : in std_logic_vector(2**(REGIO_NUM_STAT_REGS)*32-1 downto 0) := (others => '0');
+ REGIO_CTRL_REG_OUT : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)*32-1 downto 0);
+ REGIO_STAT_STROBE_OUT : out std_logic_vector(2**(REGIO_NUM_STAT_REGS)-1 downto 0);
+ REGIO_CTRL_STROBE_OUT : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ BUS_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ BUS_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ BUS_READ_ENABLE_OUT : out std_logic;
+ BUS_WRITE_ENABLE_OUT : out std_logic;
+ BUS_TIMEOUT_OUT : out std_logic;
+ BUS_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ BUS_DATAREADY_IN : in std_logic := '0';
+ BUS_WRITE_ACK_IN : in std_logic := '0';
+ BUS_NO_MORE_DATA_IN : in std_logic := '0';
+ BUS_UNKNOWN_ADDR_IN : in std_logic := '0';
+ ONEWIRE_INOUT : inout std_logic;
+ ONEWIRE_MONITOR_IN : in std_logic := '0';
+ ONEWIRE_MONITOR_OUT : out std_logic;
+ REGIO_VAR_ENDPOINT_ID : in std_logic_vector (15 downto 0) := (others => '0');
+ TIME_GLOBAL_OUT : out std_logic_vector (31 downto 0);
+ TIME_LOCAL_OUT : out std_logic_vector (7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0);
+ TIME_TICKS_OUT : out std_logic_vector (1 downto 0);
+ STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_DATA_HANDLER_OUT : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_IPU_HANDLER_OUT : out std_logic_vector (31 downto 0);
+ CTRL_MPLEX : in std_logic_vector (31 downto 0) := (others => '0');
+ IOBUF_CTRL_GEN : in std_logic_vector (4*32-1 downto 0) := (others => '0');
+ STAT_ONEWIRE : out std_logic_vector (31 downto 0);
+ STAT_ADDR_DEBUG : out std_logic_vector (15 downto 0);
+ DEBUG_LVL1_HANDLER_OUT : out std_logic_vector (15 downto 0));
+ end component;
+
+ component trb_net16_med_tlk
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector(15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector(15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_READ_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_DATAREADY_OUT : out std_logic;
+ MED_READ_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ STAT : out std_logic_vector (63 downto 0);
+ STAT_MONITOR : out std_logic_vector (100 downto 0);
+ STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_OP : in std_logic_vector (15 downto 0));
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- SFP optical power and temperature --component !!!
+ -----------------------------------------------------------------------------
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- trigger logic interface
+ -----------------------------------------------------------------------------
+
+ component trigger_logic
+ generic (
+ TRIGGER_INPUTS_NUMBER : natural;
+ CTS_NUMBER_IPU_DATA : natural);
+ port (
+ CLK : in std_logic;
+ HIGH_FREQ_CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER_IN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ SIGNAL_IN : in std_logic_vector(7 downto 0);
+ SIGNAL_OUT : out std_logic_vector(15 downto 0);
+ INPUT_ENABLE : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ DOWNSCALE_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ DELAY_TRIGGER_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ WIDTH_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ TS_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ MDC_TOF_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ DOWNSCALE_REGISTER_CLOCK : in std_logic_vector(3 downto 0);
+ TRIGGER_OUT_EN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ MULTIPLEXER_SELECT : in std_logic_vector(8*3-1 downto 0);
+ TRIGGER_LOGIC_CTRL_IN : in std_logic_vector(31 downto 0);
+ SCALER_OUT : out std_logic_vector(62*32-1 downto 0);
+ BEAM_INHIBIT_IN : in std_logic;
+ NO_TIMING_OUT : out std_logic;
+ LVL1_BUSY_IN : in std_logic;
+ LVL1_TRIGGER_ACCEPTED_IN : in std_logic;
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_BUSY_OUT : out std_logic;
+ TRIGGER_LOGIC_DEBUG_OUT : out std_logic_vector(31 downto 0);
+ IPU_DATA_IN : in std_logic_vector(31 downto 0);
+ IPU_DATA_VALID_IN : in std_logic;
+ TRBNET_LVL1_STATUS_IN : in std_logic_vector(31 downto 0);
+ TRBNET_BUSY_IN : in std_logic;
+ TOKEN_IN : in std_logic;
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID_OUT : out std_logic;
+ TOKEN_OUT : out std_logic;
+ TRANSMIT_NO_DATA_IN : in std_logic
+ );
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- test bench for slow control
+ -----------------------------------------------------------------------------
+
+ component command_sender
+ port (
+ CLK : in std_logic;
+ DATA : out std_logic_vector(15 downto 0);
+ DATAREADY : out std_logic;
+ PACKET_NUM : out std_logic_vector(2 downto 0);
+ REF_TIME_OUT : out std_logic
+ );
+ end component;
+
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+
+-- constant VERSION_NUMBER_TIME : integer := 1325845913; --interface
+ constant HOW_MANY_CHANNELS : integer := 1;
+
+ --clk
+ signal clk_lvds : std_logic;
+ signal addon_clk : std_logic;
+ signal clk : std_logic;
+ signal clk50 : std_logic;
+ signal clk200 : std_logic;
+ signal locked_out : std_logic;
+ attribute period : string;
+ attribute period of clk : signal is "10 ns";
+
+ --reset
+ signal global_reset_counter : std_logic_vector(3 downto 0) := x"0";
+ signal reset_i : std_logic := '0';
+
+ --TDC
+ signal tdc_clk : std_logic;
+ signal tdc_clk_i : std_logic;
+ signal tdc_data_in_i : std_logic_vector(31 downto 0);
+ signal save_trbnet_headers_i : std_logic;
+ signal a_data_ready_i : std_logic;
+ signal b_data_ready_i : std_logic;
+ signal c_data_ready_i : std_logic;
+ signal d_data_ready_i : std_logic;
+ signal a_trigg : std_logic;
+ signal b_trigg : std_logic;
+ signal c_trigg : std_logic;
+ signal d_trigg : std_logic;
+ signal reference_signal : std_logic;
+ signal tdc_readout_completed_i : std_logic;
+ signal tdc_data_out_i : std_logic_vector(31 downto 0);
+ signal tdc_data_valid_i : std_logic;
+ signal lvl2_readout_completed_i : std_logic;
+ signal tdc_register_00_i : std_logic_vector(31 downto 0);
+ signal tdc_register_01_i : std_logic_vector(31 downto 0);
+ signal tdc_register_02_i : std_logic_vector(31 downto 0);
+ signal tdc_register_03_i : std_logic_vector(31 downto 0);
+ signal tdc_register_04_i : std_logic_vector(31 downto 0);
+ signal tdc_register_05_i : std_logic_vector(31 downto 0);
+ signal bunch_reset_i : std_logic;
+ signal event_reset_i : std_logic;
+ signal trigger_to_tdc_i : std_logic;
+ signal token_out_i : std_logic;
+ signal token_out_long_a : std_logic;
+ signal token_out_long_b : std_logic;
+ signal fast_ref_trigger : std_logic;
+ signal fast_ref_trigger_synch : std_logic;
+ signal fast_ref_trigger_pulse : std_logic;
+ signal fast_ref_trigger_pulse_synch : std_logic;
+ signal token_in_i : std_logic;
+ signal not_hades_trigger : std_logic;
+ signal trigger_miss_match : std_logic;
+ signal additional_data_i : std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+ signal NUMBER_OFF_ADD_DATA_RANGE : integer := 0;
+ signal self_trigg : std_logic;
+ signal lvl1_finished_i : std_logic;
+ signal lvl2_finished_i : std_logic;
+ signal start_tdc_readout_i : std_logic;
+
+ --common signals for triggers
+ signal lvl1_busy_i : std_logic;
+ signal lvl2_busy_i : std_logic;
+ signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_tag_i : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_i : std_logic;
+ signal lvl2_trigger_synch : std_logic;
+ signal lvl1_trigger_i : std_logic;
+ signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0";
+ signal lvl2_trigger_tag_i : std_logic_vector(15 downto 0) := x"0000";
+ signal lvl2_local_busy_i : std_logic:='0';
+ signal lvl1_local_busy_i : std_logic:='0';
+ signal lvl1_external_busy_i : std_logic;
+ signal lvl2_external_busy_i : std_logic;
+
+ --etrax
+ signal etrax_debug_reg : std_logic_vector(31 downto 0);
+ signal etrax_bus_busy_i : std_logic; --should go to busy logic !?
+ signal etrax_is_ready_to_read_i : std_logic;
+ signal fpga_register_01_i : std_logic_vector(31 downto 0);
+ signal fpga_register_02_i : std_logic_vector(31 downto 0);
+ signal fpga_register_03_i : std_logic_vector(31 downto 0);
+ signal fpga_register_04_i : std_logic_vector(31 downto 0);
+ signal fpga_register_05_i : std_logic_vector(31 downto 0);
+ signal fpga_register_06_i : std_logic_vector(31 downto 0);
+ signal fpga_register_07_i : std_logic_vector(31 downto 0);
+ signal fpga_register_08_i : std_logic_vector(31 downto 0);
+ signal fpga_register_09_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0e_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0f_i : std_logic_vector(31 downto 0);
+-- signal r_register_i : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+-- signal rw_register_i : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ type r_register_array is array(0 to R_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ signal r_register_i : r_register_array;
+ type rw_register_array is array(0 to RW_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ signal rw_register_i : rw_register_array;
+ signal fs_pc_i : std_logic_vector(17 downto 0);
+ signal fs_pb_i : std_logic_vector(16 downto 0);
+ signal fs_pb_17i : std_logic;
+ -- tlk
+ signal tlk_rx_clk_r : std_logic_vector(3 downto 0);
+ signal tlk_clk_r : std_logic_vector(0 downto 0);
+ signal tlk_register_00_i : std_logic_vector(31 downto 0);
+ signal tlk_register_01_i : std_logic_vector(31 downto 0);
+ signal cv_i : std_logic_vector(7 downto 0);
+ signal rx_k_i : std_logic_vector(7 downto 0);
+ signal tx_k_i : std_logic_vector(7 downto 0);
+ signal media_status_i : std_logic_vector(15 downto 0);
+ signal media_control_i : std_logic_vector(15 downto 0);
+ signal link_debug_i : std_logic_vector(31 downto 0);
+ signal sfp_los_vect : std_logic_vector(0 downto 0);
+ signal tlk_rxd_i : std_logic_vector(63 downto 0);
+ signal tlk_txd_i : std_logic_vector(63 downto 0);
+
+ --sfp
+ signal sfp_data_out : std_logic_vector(15 downto 0);
+ signal sfp_external_valid : std_logic;
+
+ --rw external interaface
+ signal external_address_i : std_logic_vector(31 downto 0);
+ signal external_data_in_i : std_logic_vector(31 downto 0);
+ signal external_data_out_i : std_logic_vector(31 downto 0);
+ signal external_ack_i : std_logic;
+ signal external_valid_i : std_logic;
+ signal external_mode_i : std_logic_vector(15 downto 0);
+
+ --dsp
+ signal dsp_strobe_i : std_logic;
+ signal dsp_external_valid_i : std_logic;
+ signal dsp_hbr_i : std_logic;
+ signal dspdat_out_i : std_logic_vector(31 downto 0);
+ signal dspdat_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_out_i : std_logic_vector(31 downto 0);
+ signal dspaddr_i : std_logic_vector(31 downto 0);
+ signal dsp_register_00_i : std_logic_vector(31 downto 0);
+ signal DSP_WRL_i : std_logic;
+ signal DSP_RD_i : std_logic;
+ signal dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+ signal dsp_bm_reg : std_logic;
+ signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_0 : std_logic;
+ signal dsp_link_clk_out_0 : std_logic;
+ signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_1 : std_logic;
+ signal dsp_link_clk_out_1 : std_logic;
+
+ --sdram
+ signal sdram_register_00_i : std_logic_vector(31 downto 0);
+ signal sdram_external_valid_i : std_logic:='0';
+ signal sdram_data_out_i : std_logic_vector(31 downto 0);
+ signal vsd_cs_i : std_logic;
+ signal dqmh_i : std_logic;
+ signal dqml_i : std_logic;
+ signal rd_en_i : std_logic;
+ signal wr_en_i : std_logic;
+ signal wr_ready_i : std_logic;
+ signal rd_ready_i : std_logic;
+ signal data_in_i : std_logic_vector(31 downto 0);
+ signal data_out_i : std_logic_vector(31 downto 0);
+ signal sdram_busy_i : std_logic;
+ signal sdram_debug_i : std_logic_vector(31 downto 0);
+ signal readout_sdram_int_clk : std_logic;
+ signal sdram_data_ready_i : std_logic;
+ --scalers
+ type scaler_counter_arr is array(0 to NUMBER_OFF_ADD_DATA-1) of std_logic_vector(31 downto 0);
+ signal scaler_counter : scaler_counter_arr;
+ signal scaler_pulse : std_logic_vector(NUMBER_OFF_ADD_DATA-1 downto 0);
+ signal number_of_rpc_add_data : std_logic_vector(7 downto 0);
+ signal scaler_reset : std_logic;
+
+ --ctu
+ signal lvl1_ctu_status_i : std_logic_vector(31 downto 0);
+ signal lvl2_ctu_status_i : std_logic_vector(31 downto 0);
+
+ --dtu
+ signal dtu_debug_00_i : std_logic_vector(31 downto 0);
+ signal busy_or_error : std_logic;
+ signal optical_tdc_trigger_reg_1 : std_logic;
+ signal optical_tdc_trigger_reg_2 : std_logic;
+ signal optical_tdc_trigger_reg_3 : std_logic;
+ signal optical_tdc_trigger : std_logic;
+
+ --other
+ signal check_pulse : std_logic;
+ signal check_counter : std_logic_vector(16 downto 0);
+ signal lvds_add_on_data : std_logic_vector(31 downto 0);
+ signal ado_lv_out_i : std_logic_vector(3 downto 0);
+
+ signal self_trigg_counter : std_logic_vector(7 downto 0);
+ signal r_register_vector : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ signal rw_register_vector : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ type temp_sens_array is array (3 downto 0) of std_logic_vector(11 downto 0);
+ signal temp_sens_i : temp_sens_array;
+ type temp_sens_status_array is array (3 downto 0) of std_logic_vector(31 downto 0);
+ signal temp_sens_status_i : temp_sens_status_array;
+
+ -- media interface
+ signal med_dataready_out_i : std_logic_vector(0 downto 0);
+ signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_packet_num_out_i : std_logic_vector (HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ signal med_read_in_i : std_logic_vector(0 downto 0);
+ signal med_dataready_in_i : std_logic_vector(0 downto 0);
+ signal med_data_in_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_read_out_i : std_logic_vector(0 downto 0);
+ signal med_stat_op_in_i : std_logic_vector (15 downto 0);
+ signal med_ctrl_op_out_i : std_logic_vector (15 downto 0);
+ signal med_packet_num_in_i : std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+
+ --trbnet -cts
+ signal not_ipu_dataready_out_i : std_logic;
+ signal ipu_dataready_out_end_pulse : std_logic;
+ signal dummy_lvl1_trigger : std_logic;
+ signal dummy_lvl2_trigger : std_logic;
+ signal clk40_a : std_logic;
+ signal clk40_b : std_logic;
+ signal clk40_c : std_logic;
+ signal not_tdc_clk : std_logic;
+ signal counter_for_clk : std_logic_vector(7 downto 0);
+ signal lvl2_valid_i : std_logic;
+ signal timing_signal : std_logic;
+ signal apv_signal : std_logic;
+ signal no_timing : std_logic;
+ --lvl1
+ signal trg_send_in_i : std_logic;
+ signal trg_type_in_i : std_logic_vector (3 downto 0);
+ signal trg_number_in_i : std_logic_vector (15 downto 0);
+ signal trg_information_in_i : std_logic_vector (23 downto 0);
+ signal trg_status_bits_out_i : std_logic_vector (31 downto 0);
+ signal trg_timing_trg_received_in_i : std_logic;
+ signal data_valid_pulse,lvl1_valid_timing_trg_out_i,lvl1_valid_timing_trg_out_pulse,lvl1_valid_notiming_trg_out_i,lvl1_valid_notiming_trg_out_pulse,lvl1_invalid_trg_out_i,lvl1_invalid_trg_out_pulse : std_logic;
+ signal ref_time_cntr,trigg_data_valid_cntr,valid_timing_trg_cntr,valid_notiming_trg_cntr,invalid_trg_cntr : std_logic_vector(7 downto 0);
+
+
+ --lvl2
+ signal trg_busy_out_i : std_logic := '0';
+ signal ipu_send_in_i : std_logic;
+ signal ipu_type_in_i : std_logic_vector (3 downto 0);
+ signal ipu_number_in_i : std_logic_vector (15 downto 0);
+ signal ipu_information_in_i : std_logic_vector (7 downto 0);
+ signal ipu_data_out_i : std_logic_vector (31 downto 0);
+ signal ipu_dataready_out_i : std_logic;
+ signal ipu_read_in_i : std_logic;
+ signal ipu_status_bits_out_i : std_logic_vector (31 downto 0);
+ signal ipu_busy_out_i : std_logic := '0';
+
+ --reg io
+ signal regio_common_stat_reg_in_i : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ signal regio_common_ctrl_reg_out_i : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+-- constant REGIO_NUM_STAT_REGS : integer := R_REGISTERS_NUMBER;
+-- constant REGIO_NUM_CTRL_REGS : integer := RW_REGISTERS_NUMBER;
+ signal regio_registers_in_i : std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ signal regio_registers_out_i : std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ signal regio_addr_out_i : std_logic_vector(16-1 downto 0);
+ signal regio_read_enable_out_i : std_logic;
+ signal regio_write_enable_out_i : std_logic;
+ signal regio_data_out_i : std_logic_vector(32-1 downto 0);
+ signal regio_data_in_i : std_logic_vector(32-1 downto 0) := (others => '0');
+ signal regio_dataready_in_i : std_logic := '0';
+ signal regio_no_more_data_in_i : std_logic := '0';
+ signal regio_write_ack_in_i : std_logic := '0';
+ signal regio_unknown_addr_in_i : std_logic := '0';
+ signal regio_timeout_out_i : std_logic;
+ signal regio_onewire_inout_i : std_logic;
+ signal regio_onewire_monitor_out_i : std_logic;
+ signal regio_onewire_monitor_in_i : std_logic;
+
+--trbnet endpoint
+ signal trigger_monitor_in_i : std_logic;
+ signal global_time_out_i : std_logic_vector(31 downto 0);
+ signal local_time_out_i : std_logic_vector(7 downto 0);
+ signal time_since_last_trg_out_i : std_logic_vector(31 downto 0);
+ signal timer_us_tick_out_i : std_logic;
+ signal stat_debug_1_i : std_logic_vector(31 downto 0);
+ signal stat_debug_2_i : std_logic_vector(31 downto 0);
+ signal regio_idram_data_in_i : std_logic_vector(15 downto 0) := (others => '0');
+ signal regio_idram_data_out_i : std_logic_vector(15 downto 0);
+ signal regio_idram_addr_in_i : std_logic_vector(2 downto 0) := "000";
+ signal regio_idram_wr_in_i : std_logic := '0';
+ signal stat_debug_ipu_i : std_logic_vector (31 downto 0);
+ signal ipu_read_out_i : std_logic := '0';
+ signal ipu_dataready_in_i : std_logic;
+ signal ipu_length_in_i : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_i_pulse : std_logic;
+ signal cntr_for_dummy_header : std_logic_vector(1 downto 0) := "00";
+ signal ipu_data_in_i : std_logic_vector(31 downto 0);
+ signal fee_trg_statusbits_in_buf : std_logic_vector(31 downto 0);
+
+ --vulom
+ signal vulom_event : std_logic_vector(31 downto 0);
+ signal vulom_event_valid : std_logic;
+ signal vulom_readout_start : std_logic;
+ signal vulom_readout_end : std_logic;
+ signal vulom_busy : std_logic;
+ signal vulom_lvl1_trigger_i : std_logic;
+ signal vulom_lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal vulom_lvl1_trigger_tag_i : std_logic_vector(15 downto 0);
+ signal ext_lvl1_trigger_i : std_logic := '0';
+ signal ext_tag : std_logic_vector(7 downto 0) := x"00";
+ signal ext_lvl1_trigger_pulse : std_logic;
+ signal lvl1_vulom_trigger : std_logic;
+ signal trbnet_data_finished_out_i : std_logic_vector(0 downto 0);
+ signal trbnet_data_write_out_i : std_logic_vector(0 downto 0);
+ signal trbnet_data_out_i : std_logic_vector(31 downto 0);
+ --opt ctu
+ signal opt_lvl1_busy_i : std_logic;
+ signal opt_lvl2_busy_i : std_logic;
+ signal not_lvl1_busy_opt : std_logic;
+ signal opt_ctu_debug_i : std_logic_vector(31 downto 0);
+ signal opt_busy_lvl1_or_lvl2 : std_logic;
+ signal lvl2_opt_busy_pulse : std_logic;
+ signal not_lvl2_opt_busy : std_logic;
+
+ --spi
+ --a
+ signal spi_sck_a : std_logic;
+ signal spi_cs_a : std_logic;
+ signal spi_sdi_a : std_logic;
+ signal spi_sdo_a : std_logic;
+ --b
+ signal spi_sck_b : std_logic;
+ signal spi_cs_b : std_logic;
+ signal spi_sdi_b : std_logic;
+ signal spi_sdo_b : std_logic;
+ --c
+ signal spi_sck_c : std_logic;
+ signal spi_cs_c : std_logic;
+ signal spi_sdi_c : std_logic;
+ signal spi_sdo_c : std_logic;
+ --d
+ signal spi_sck_d : std_logic;
+ signal spi_cs_d : std_logic;
+ signal spi_sdi_d : std_logic;
+ signal spi_sdo_d : std_logic;
+
+ --test signals
+ signal test_a1 : std_logic;
+ signal test_a2 : std_logic;
+ signal test_b1 : std_logic;
+ signal test_b2 : std_logic;
+ signal test_c1 : std_logic;
+ signal test_c2 : std_logic;
+ signal test_d1 : std_logic;
+ signal test_d2 : std_logic;
+ signal test_counter_1 : std_logic_vector(31 downto 0);
+ signal test_counter_2 : std_logic_vector(31 downto 0);
+ signal trigger_for_test_signal : std_logic;
+ signal ref_time_counter : std_logic_vector(7 downto 0);
+
+
+ signal lvl1_cts_busy_out_i : std_logic;
+ signal lvl2_cts_busy_out_i : std_logic;
+ signal lvl1_rnd_number_out_i : std_logic_vector(7 downto 0);
+ signal lvl2_rnd_number_out_i : std_logic_vector(7 downto 0);
+ signal lvl1_in_chain_busy : std_logic;
+ signal lvl2_in_chain_busy : std_logic;
+ signal lvl1_in_chain_busy_end : std_logic;
+ signal lvl2_in_chain_busy_end : std_logic;
+ signal lvl1_all_busy_or : std_logic;
+ signal lvl2_all_busy_or : std_logic;
+
+ --lvl1 trigger logic
+ signal trigger_rw_valid_out_i : std_logic;
+ signal trigger_rw_data_out_i : std_logic_vector(31 downto 0);
+
+ signal save_lvl2_finished : std_logic;
+
+ --trb tester
+ signal trb_tester_busy : std_logic;
+
+ --event builder id
+ signal counter_for_event_builder_id : std_logic_vector(31 downto 0);
+ signal event_builder_id_switch : std_logic_vector(3 downto 0);
+ signal event_builder_id : std_logic_vector(3 downto 0);
+
+ --trigger logic
+ signal trigger_in_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal signal_in_i : std_logic_vector(7 downto 0);
+ signal signal_out_i : std_logic_vector(15 downto 0);
+ signal input_enable_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal downscale_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal delay_trigger_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal width_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal ts_gating_disable_i : std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+-- signal mdc_tof_gating_disable_i : std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+-- signal downscale_register_clock_i : std_logic_vector(3 downto 0);
+ signal trigger_out_en_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal multiplexer_select_i : std_logic_vector(8*3-1 downto 0);
+ signal dtu_code_select_i : std_logic_vector(4 downto 0);
+ signal beam_inhibit_in_i : std_logic;
+ signal lvl1_busy_in_i : std_logic;
+ signal lvl1_trigger_tag_out_i : std_logic_vector(15 downto 0);
+ signal lvl1_trigger_code_out_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_out_i : std_logic;
+ signal trigger_logic_debug_out_i : std_logic_vector(31 downto 0);
+ signal triggbox_token_in : std_logic;
+ signal triggbox_data_out : std_logic_vector(31 downto 0);
+ signal triggbox_data_valid_out : std_logic;
+ signal triggbox_token_out : std_logic;
+ signal trigbox_busy_out : std_logic;
+ signal scaler_out_i : std_logic_vector(62*32 -1 downto 0);
+ signal fee_trg_release_in_i : std_logic_vector(0 downto 0);
+ signal how_many_add_data_i : std_logic_vector(7 downto 0);
+ signal rcts_code_in, rcts_code_in_sync : std_logic_vector(3 downto 0);
+ signal test_timing_signal : std_logic;
+
+ type MDC_DELAY_TIMING is (IDLE, MDC_DELAY_TIMING_A, MDC_DELAY_TIMING_B, MDC_DELAY_TIMING_C);
+ signal MDC_DELAY_TIMING_CURRENT, MDC_DELAY_TIMING_NEXT : MDC_DELAY_TIMING;
+
+ --mdc delay
+ signal mdc_delay_cntr_rst, mdc_delay_cntr_rst_fsm, mdc_delay_cntr_up, mdc_delay_cntr_up_fsm, mdc_width_cntr_rst, mdc_width_cntr_rst_fsm, mdc_width_cntr_up, mdc_width_cntr_up_fsm, mdc_timing_out, mdc_timing_out_fsm : std_logic;
+ signal mdc_delay_cntr : std_logic_vector(7 downto 0);
+ signal mdc_width_cntr : std_logic_vector(3 downto 0);
+
+ signal lvl1_trg_information_buf, lvl1_trg_information_saved : std_logic_vector(23 downto 0);
+
+begin
+
+
+
+
+ ----------------------------------------------------------------------------
+ -- Global reset
+ ----------------------------------------------------------------------------
+ MAKE_START_RESET : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ if global_reset_counter < x"e" then
+ global_reset_counter <= global_reset_counter + 1;
+ reset_i <= '1';
+ elsif med_stat_op_in_i(13) = '1' and TRBNET_ENABLE > 0 then
+ global_reset_counter <= x"0";
+ reset_i <= '0';
+ else
+ global_reset_counter <= global_reset_counter;
+ reset_i <= '0';
+ end if;
+ end if;
+ end process MAKE_START_RESET;
+
+ -- add reseet from etrax and from trbnet( . . .)
+
+ ----------------------------------------------------------------------------
+ -- LVDS signals
+ ----------------------------------------------------------------------------
+
+ -- CLK ----------------------------------------------------------------------
+ IBUFGDS_CLK : IBUFDS
+ generic map (
+ DIFF_TERM => true)
+ port map (
+ O => CLK,
+ I => VIRT_CLK,
+ IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+-- here !!!!
+-- DCM_INST: DCM_100MHz_to_200MHz
+-- -- generic map (
+-- -- IOSTANDARD => "LVDS_25_DCI")
+-- port map (
+-- CLKIN_N_IN => VIRT_CLKB,
+-- CLKIN_P_IN => VIRT_CLK,
+-- RST_IN => '0',
+-- CLKDV_OUT => clk50,
+-- CLKIN_IBUFGDS_OUT => open,
+-- CLK0_OUT => clk,
+-- CLK2X_OUT => clk200,
+-- LOCKED_OUT => locked_out);
+
+ -- AddOn clk ----------------------------------------------------------------
+ IBUFGDS_ADDCLK : IBUFDS
+ generic map (
+ DIFF_TERM => true)
+ port map (
+ O => addon_clk, --CLK,
+ I => ADDON_TO_TRB_CLKINP,
+ IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+ -- TDC ----------------------------------------------------------------------
+ IBUFGDS_TDC_CLK : IBUFGDS
+ generic map (
+ DIFF_TERM => true) --
+ port map (
+ O => tdc_clk,
+ I => REF_TDC_CLK,
+ IB => REF_TDC_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+ IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg);
+ IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg);
+ IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg);
+ IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg);
+ OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_BU_RESET, OB => A_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_EV_RESET, OB => A_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_BU_RESET, OB => B_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_EV_RESET, OB => B_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_BU_RESET, OB => C_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_EV_RESET, OB => C_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_BU_RESET, OB => D_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_EV_RESET, OB => D_TDC_EV_RESETB, I => event_reset_i);
+
+ --fast trigg-----------------------------------------------------------------
+ IBUFDS_FAST_TRIGGER : IBUFDS generic map (DIFF_TERM => true) port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger);
+
+ -- SPI ----------------------------------------------------------------------
+
+ --A
+ OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SCK, OB => A_SCKB, I => spi_sck_a);
+ OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SDO, OB => A_SDOB, I => spi_sdo_a);
+ OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_CS, OB => A_CSB, I => spi_cs_a);
+ IBUFDS_SDI_A : IBUFDS generic map (DIFF_TERM => true) port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a);
+ spi_sck_a <= fpga_register_07_i(0);
+ spi_sdo_a <= fpga_register_07_i(1);
+ spi_cs_a <= fpga_register_07_i(2);
+ fpga_register_08_i(0) <= spi_sdi_a;
+
+ --B
+ OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SCK, OB => B_SCKB, I => spi_sck_b);
+ OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SDO, OB => B_SDOB, I => spi_sdo_b);
+ OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_CS, OB => B_CSB, I => spi_cs_b);
+ IBUFDS_SDI_B : IBUFDS generic map (DIFF_TERM => true) port map (I => B_SDI, IB => B_SDIB, O => spi_sdi_b);
+ spi_sck_b <= fpga_register_07_i(3);
+ spi_sdo_b <= fpga_register_07_i(4);
+ spi_cs_b <= fpga_register_07_i(5);
+ fpga_register_08_i(1) <= spi_sdi_b;
+
+ --C
+ OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SCK, OB => C_SCKB, I => spi_sck_c);
+ OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SDO, OB => C_SDOB, I => spi_sdo_c);
+ OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_CS, OB => C_CSB, I => spi_cs_c);
+ IBUFDS_SDI_C : IBUFDS generic map (DIFF_TERM => true) port map (I => C_SDI, IB => C_SDIB, O => spi_sdi_c);
+ spi_sck_c <= fpga_register_07_i(6);
+ spi_sdo_c <= fpga_register_07_i(7);
+ spi_cs_c <= fpga_register_07_i(8);
+ fpga_register_08_i(2) <= spi_sdi_c;
+
+ --D
+ OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SCK, OB => D_SCKB, I => spi_sck_d);
+ OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SDO, OB => D_SDOB, I => spi_sdo_d);
+ OBUFDS_CS_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_CS, OB => D_CSB, I => spi_cs_d);
+ IBUFDS_SDI_D : IBUFDS generic map (DIFF_TERM => true) port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d);
+ spi_sck_d <= fpga_register_07_i(9);
+ spi_sdo_d <= fpga_register_07_i(10);
+ spi_cs_d <= fpga_register_07_i(11);
+ fpga_register_08_i(3) <= spi_sdi_d;
+
+
+ -----------------------------------------------------------------------------
+ -- Test signals
+ -----------------------------------------------------------------------------
+ OBUFDS_TEST_A1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST1, OB => A_TEST1B, I => test_a1);
+ OBUFDS_TEST_A2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST2, OB => A_TEST2B, I => test_a2);
+ OBUFDS_TEST_B1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST1, OB => B_TEST1B, I => test_b1);
+ OBUFDS_TEST_B2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST2, OB => B_TEST2B, I => test_b2);
+ OBUFDS_TEST_C1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST1, OB => C_TEST1B, I => test_c1);
+ OBUFDS_TEST_C2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST2, OB => C_TEST2B, I => test_c2);
+ OBUFDS_TEST_D1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST1, OB => D_TEST1B, I => test_d1);
+ OBUFDS_TEST_D2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST2, OB => D_TEST2B, I => test_d2);
+ --i should be able to switch on off 1 or 2
+ COUNTER_FOR_TEST_1 : process (CLK, reset_i, test_counter_1)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_1 > x"F4240"then --0.1kHz
+-- if reset_i = '1' or test_counter_1 = x"00140"then --for sim
+ test_counter_1 <= (others => '0');
+ else
+ test_counter_1 <= test_counter_1 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_1;
+ COUNTER_FOR_TEST_2 : process (CLK, reset_i, test_counter_2)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_2 = x"F4240" then --0.1kHz
+ test_counter_2 <= (others => '0');
+ else
+ test_counter_2 <= test_counter_2 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_2;
+ --lenght of signal depend on this condition: test_counter_1 < x". ."
+ --frequency in process:. . or test_counter_x > x". ."
+ test_a1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(0) = '1') else '1';
+ test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2) = '1') else '1';
+ test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4) = '1') else '1';
+ test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6) = '1') else '1';
+ test_a2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(1) = '1') else '1';
+ test_b2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(3) = '1') else '1';
+ test_c2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(5) = '1') else '1';
+ test_d2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(7) = '1') else '1';
+
+ trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1) = '1' or fpga_register_06_i(2) = '1')) else '0';
+-------------------------------------------------------------------------------
+-- rpc temperature
+-------------------------------------------------------------------------------
+ GENERAT_ONE_WIRE_CONNECTION : for i in 0 to 3 generate
+
+ onewire_interface : trb_net_onewire
+ generic map(
+ USE_TEMPERATURE_READOUT => 1,
+ CLK_PERIOD => 10
+ )
+ port map(
+ CLK => CLK,
+ RESET => reset_i,
+ --connection to 1-wire interface
+ ONEWIRE => TEMP_SENS(i),
+ --connection to id ram, according to memory map in TrbNetRegIO
+ DATA_OUT => open,
+ ADDR_OUT => open,
+ WRITE_OUT => open,
+ TEMP_OUT => temp_sens_i(i),
+ STAT => temp_sens_status_i(i)
+ );
+ end generate GENERAT_ONE_WIRE_CONNECTION;
+
+ r_register_i(15)(11 downto 0) <= temp_sens_i(0);
+ r_register_i(15)(23 downto 12) <= temp_sens_i(1);
+ r_register_i(16)(11 downto 0) <= temp_sens_i(2);
+ r_register_i(16)(23 downto 12) <= temp_sens_i(3);
+
+-- ADD_LVDS IN------------------------------------------------------------------
+
+ ADO_LVDS_IN_PROC : for line in 0 to 30 generate --62 lines in total
+ IBUFDS_LVDS : IBUFDS
+ generic map (
+ DIFF_TERM => true
+ )
+ port map (
+ I => ADO_LVDS_IN(line*2),
+ IB => ADO_LVDS_IN(line*2+1),
+ O => lvds_add_on_data(line)
+ );
+ end generate ADO_LVDS_IN_PROC;
+
+-- ADD_LVDS OUT------------------------------------------------------------------
+
+-- ADO_LVDS_OUT_PROC : for line in 0 to 3 generate
+-- OBUFDS_LVDS_LINE : OBUFDS
+-- generic map (
+-- IOSTANDARD => "LVDS_25"
+-- )
+-- port map (
+-- O => ADO_LVDS_OUT(line*2),
+-- OB => ADO_LVDS_OUT(line*2+1),
+-- I => ado_lv_out_i(line)
+-- );
+-- end generate ADO_LVDS_OUT_PROC;
+
+
+-------------------------------------------------------------------------------
+-- tiger sharc dma
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- TRBnet - cts
+-------------------------------------------------------------------------------
+
+ TRBnet_CTS : if TRBNET_ENABLE = 2 generate
+
+
+ THE_TRBNET_CTS : trb_net16_endpoint_hades_cts
+ generic map (
+ USE_CHANNEL => (c_YES, c_YES, c_NO, c_YES),
+ IBUF_DEPTH => (6, 6, 6, 6),
+ FIFO_TO_INT_DEPTH => (6, 6, 6, 6),
+ FIFO_TO_APL_DEPTH => (6, 6, 6, 6),
+ INIT_CAN_SEND_DATA => (c_YES, c_YES, c_NO, c_NO),
+ REPLY_CAN_SEND_DATA => (c_NO, c_NO, c_NO, c_YES),
+ REPLY_CAN_RECEIVE_DATA => (c_YES, c_YES, c_NO, c_NO),
+ USE_CHECKSUM => (c_NO, c_YES, c_YES, c_YES),
+ APL_WRITE_ALL_WORDS => (c_NO, c_NO, c_NO, c_NO),
+ BROADCAST_BITMASK => broadcast_bitmask_i,
+ REGIO_NUM_STAT_REGS => 2, --log2 of number of status registers
+ REGIO_NUM_CTRL_REGS => 4, --log2 of number of ctrl registers
+ --standard values for out
+ REGIO_INIT_CTRL_REGS => (others => '0'),
+ --set to 0 for unused ctr
+ REGIO_USED_CTRL_REGS => "0000000000000001",
+ --set to 0 for each unuse
+ REGIO_USED_CTRL_BITMASK => (others => '1'),
+ REGIO_USE_DAT_PORT => c_YES, --internal data port
+ REGIO_INIT_ADDRESS => x"FFFF",
+ REGIO_INIT_UNIQUE_ID => x"0000_0000_0000_0001",
+ REGIO_INIT_BOARD_INFO => x"0000_0000",
+ REGIO_INIT_ENDPOINT_ID => x"0001",
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ REGIO_COMPILE_VERSION => regio_compile_version_i,
+ REGIO_HARDWARE_VERSION => regio_hardware_version_i,
+ REGIO_USE_1WIRE_INTERFACE => c_YES, --c_YES,c_NO,c_MONITOR
+ REGIO_USE_VAR_ENDPOINT_ID => c_NO,
+ CLOCK_FREQUENCY => 100
+ )
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i(0),
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => '1', --med_read_out_i(0),
+ MED_DATAREADY_IN => med_dataready_out_i(0),
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i(0),
+ MED_STAT_OP_IN => med_stat_op_in_i,
+ MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+ TRG_SEND_IN => lvl1_trigger_i,
+ TRG_TYPE_IN => lvl1_trigger_code_i,
+ TRG_NUMBER_IN => lvl1_trigger_tag_i,
+ TRG_INFORMATION_IN => trg_information_in_i,--rw_register_i(8)(23 downto 0),--(others => '0'),
+ TRG_RND_CODE_IN => lvl1_rnd_number_out_i,
+ TRG_STATUS_BITS_OUT => trg_status_bits_out_i,
+ TRG_BUSY_OUT => trg_busy_out_i,
+ IPU_SEND_IN => lvl2_trigger_i,
+ IPU_TYPE_IN => lvl2_trigger_code_i,
+ IPU_NUMBER_IN => lvl2_trigger_tag_i,
+ IPU_INFORMATION_IN => ipu_information_in_i,
+ IPU_RND_CODE_IN => lvl2_rnd_number_out_i,
+ IPU_DATA_OUT => ipu_data_out_i,
+ IPU_DATAREADY_OUT => ipu_dataready_out_i,
+ IPU_READ_IN => '1', --ipu_read_in_i,
+ IPU_STATUS_BITS_OUT => ipu_status_bits_out_i,
+ IPU_BUSY_OUT => ipu_busy_out_i,
+ REGIO_COMMON_STAT_REG_IN => regio_common_stat_reg_in_i,--(others => '0'), --regio_common_stat_reg_in_i,
+ REGIO_COMMON_CTRL_REG_OUT => open, --regio_common_ctrl_reg_out_i,
+ REGIO_REGISTERS_IN => regio_registers_in_i,
+ REGIO_REGISTERS_OUT => open,--regio_registers_out_i,
+ COMMON_STAT_REG_STROBE => open,
+ COMMON_CTRL_REG_STROBE => open,
+ STAT_REG_STROBE => open,
+ CTRL_REG_STROBE => open,
+ REGIO_ADDR_OUT => regio_addr_out_i,
+ REGIO_READ_ENABLE_OUT => regio_read_enable_out_i,
+ REGIO_WRITE_ENABLE_OUT => regio_write_enable_out_i,
+ REGIO_DATA_OUT => regio_data_out_i,
+ REGIO_DATA_IN => regio_data_in_i,
+ REGIO_DATAREADY_IN => regio_dataready_in_i,
+ REGIO_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+ REGIO_WRITE_ACK_IN => regio_write_ack_in_i,
+ REGIO_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+ REGIO_TIMEOUT_OUT => regio_timeout_out_i,
+ REGIO_ONEWIRE_INOUT => TEMP_SENS(4),--regio_onewire_inout_i,
+ REGIO_ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+ REGIO_ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+ TRIGGER_MONITOR_IN => trigger_monitor_in_i,
+ GLOBAL_TIME_OUT => global_time_out_i,
+ LOCAL_TIME_OUT => local_time_out_i,
+ TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+ TIMER_TICKS_OUT => open,
+ STAT_DEBUG_1 => stat_debug_1_i,
+ STAT_DEBUG_2 => stat_debug_2_i);
+
+ lvl2_local_busy_i <= lvl1_busy_i or lvl2_busy_i;
+
+ UPDATE_EB_CNTR : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or (counter_for_event_builder_id = (rw_register_i(9)-1) and lvl1_finished_i = '1') then
+ counter_for_event_builder_id <= (others => '0');
+ elsif lvl1_finished_i = '1' then
+ counter_for_event_builder_id <= counter_for_event_builder_id + 1;
+ else
+ counter_for_event_builder_id <= counter_for_event_builder_id;
+ end if;
+ end if;
+ end process UPDATE_EB_CNTR;
+
+ UPDATE_EB_ID : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ event_builder_id_switch <= (others => '0');
+ elsif counter_for_event_builder_id = 0 and rw_register_i(9) /= x"00000000" and lvl1_finished_i = '1' then
+ event_builder_id_switch <= event_builder_id_switch + 1;
+ else
+ event_builder_id_switch <= event_builder_id_switch;
+ end if;
+ end if;
+ end process UPDATE_EB_ID;
+
+ SWITCH_EB_ID : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ case event_builder_id_switch is
+ when x"0" => event_builder_id <= rw_register_i(10)(3 downto 0);
+ when x"1" => event_builder_id <= rw_register_i(10)(7 downto 4);
+ when x"2" => event_builder_id <= rw_register_i(10)(11 downto 8);
+ when x"3" => event_builder_id <= rw_register_i(10)(15 downto 12);
+ when x"4" => event_builder_id <= rw_register_i(10)(19 downto 16);
+ when x"5" => event_builder_id <= rw_register_i(10)(23 downto 20);
+ when x"6" => event_builder_id <= rw_register_i(10)(27 downto 24);
+ when x"7" => event_builder_id <= rw_register_i(10)(31 downto 28);
+ when x"8" => event_builder_id <= rw_register_i(11)(3 downto 0);
+ when x"9" => event_builder_id <= rw_register_i(11)(7 downto 4);
+ when x"a" => event_builder_id <= rw_register_i(11)(11 downto 8);
+ when x"b" => event_builder_id <= rw_register_i(11)(15 downto 12);
+ when x"c" => event_builder_id <= rw_register_i(11)(19 downto 16);
+ when x"d" => event_builder_id <= rw_register_i(11)(23 downto 20);
+ when x"e" => event_builder_id <= rw_register_i(11)(27 downto 24);
+ when x"f" => event_builder_id <= rw_register_i(11)(31 downto 28);
+ when others => event_builder_id <= rw_register_i(10)(3 downto 0);
+ end case;
+ end if;
+ end process SWITCH_EB_ID;
+
+ trg_information_in_i(23 downto 14) <= (others => '0');
+ trg_information_in_i(13 downto 8) <= rw_register_i(8)(13 downto 8);
+ trg_information_in_i(7) <= no_timing;
+ trg_information_in_i(6 downto 0) <= rw_register_i(8)(6 downto 0);
+
+ ipu_information_in_i(3 downto 0) <= event_builder_id(3 downto 0);
+ r_register_i(22)(3 downto 0) <= ipu_information_in_i(3 downto 0);
+
+ end generate TRBnet_CTS;
+
+-------------------------------------------------------------------------------
+-- cts
+-------------------------------------------------------------------------------
+
+ CTS_GENERATE : if TRBNET_ENABLE /= 1 and TRBV2_TYPE /=7 and DTU_ENABLE = 0 generate
+ THE_CTS : cts
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ CLK40_IN => TDC_CLK,
+ LVL1_LVDS_TRIGGER_IN => lvds_add_on_data(4 downto 0),
+ LVL1_TTL_TRIGGER_IN => ADO_TTL(20 downto 16),
+ LVL1_RCTS_TYPE_IN => rcts_code_in_sync,
+ LVL1_FAST_TRIGG_IN => fast_ref_trigger,
+ LVL1_TIMING_TRIGGER_OUT => timing_signal,--ado_lv_out_i(0),
+ LVL1_TIMING_TEST_SIGNAL_OUT => test_timing_signal,
+ LVL1_APV_TRIGGER_OUT => apv_signal,--ado_lv_out_i(1),
+ LVL1_TRIGBOX_TRIGGER_IN => lvl1_trigger_out_i,
+ LVL1_TRIGBOX_TRIGGER_CODE_IN => lvl1_trigger_code_out_i,
+ LVL1_TRIGBOX_TRIGGER_TAG_IN => lvl1_trigger_tag_out_i,
+ LVL1_TRIGBOX_BUSY_IN => trigbox_busy_out,
+ LVL1_LOCAL_BUSY => lvl1_local_busy_i, --lvl1_busy_i,
+ LVL1_TRBNET_BUSY => trg_busy_out_i,
+ LVL1_CTS_BUSY_OUT => lvl1_cts_busy_out_i,
+ LVL1_TRIGGER_OUT => lvl1_trigger_i,
+ LVL1_TRIGGER_CODE_OUT => lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG_OUT => lvl1_trigger_tag_i,
+ LVL1_RND_NUMBER_OUT => lvl1_rnd_number_out_i,
+ LVL2_LVDS_TRIGGER_IN => '0', --lvds_add_on_data(6),
+ LVL2_LVDS_TRIGGER_CODE_IN => x"0", --lvds_add_on_data(10 downto 7),
+ LVL2_LVDS_TRIGGER_TAG_IN => x"0000", --lvds_add_on_data(26 downto 11),
+ LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i,
+ LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i,
+ LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i,
+ LVL2_LOCAL_BUSY => lvl2_local_busy_i,
+ LVL2_TRBNET_BUSY => ipu_busy_out_i,
+ LVL2_CTS_BUSY_OUT => lvl2_cts_busy_out_i,
+ LVL2_TRIGGER_OUT => lvl2_trigger_i,
+ LVL2_TRIGGER_CODE_OUT => lvl2_trigger_code_i,
+ LVL2_TRIGGER_TAG_OUT => lvl2_trigger_tag_i,
+ LVL2_RND_NUMBER_OUT => lvl2_rnd_number_out_i,
+ CTS_STASUS_0UT_0 => r_register_i(17), --91
+ CTS_STASUS_0UT_1 => r_register_i(18), --92
+ CTS_STASUS_0UT_2 => r_register_i(19), --93
+ CTS_CTRL_IN_0 => rw_register_i(5),
+ CTS_CTRL_IN_1 => rw_register_i(6),
+ CTS_CTRL_IN_2 => rw_register_i(7)
+ );
+
+ --ado_lv_out_i <= (others => '0');
+ ENABLE_TIMING_TRIGGER_OUT: if TRBV2_TYPE = 5 or TRBV2_TYPE = 3 generate
+ ado_lv_out_i(0) <= timing_signal;
+ ado_lv_out_i(1) <= apv_signal;
+ end generate ENABLE_TIMING_TRIGGER_OUT;
+
+ ADO_TTL(13 downto 10) <= (others => 'Z');
+ SYNC_SIGNALS : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ rcts_code_in_sync <= x"1";
+ else
+ rcts_code_in_sync <= ADO_TTL(13 downto 10);
+ end if;
+ end if;
+ end process SYNC_SIGNALS;
+
+ end generate CTS_GENERATE;
+
+
+ MAKE_SILENT_LVDS_OUT: if TRBNET_ENABLE /= 2 generate
+ ado_lv_out_i <= (others => '0');
+ end generate MAKE_SILENT_LVDS_OUT;
+
+ ENABLE_CTS_SIGNALS: if TRBNET_ENABLE = 2 generate
+
+ ado_lv_out_i(2) <= lvl1_cts_busy_out_i;
+ ado_lv_out_i(3) <= lvl2_cts_busy_out_i;
+-- ado_lv_out_i(4) <= tdc_clk;--counter_for_clk(0);-- xor clk40_a;
+
+ end generate ENABLE_CTS_SIGNALS;
+
+
+ ENABLE_DEBUG_CTS : if DEBUG_OPTION = 2 generate
+
+-- ADO_TTL(0) <= lvl1_trigger_i;--TDC_CLK;
+-- ADO_TTL(1) <= lvl1_cts_busy_out_i;
+-- ADO_TTL(2) <= lvl2_local_busy_i;
+ ADO_TTL(3) <= lvl1_finished_i;
+-- ADO_TTL(4) <= lvl2_trigger_i;
+ ADO_TTL(4) <= lvl1_trigger_i;
+-- ADO_TTL(5) <= lvl2_finished_i;
+ ADO_TTL(5) <= lvl1_cts_busy_out_i;
+ ADO_TTL(6) <= trg_busy_out_i;--ipu_read_in_i;
+ ADO_TTL(7) <= ipu_dataready_out_i;
+-- ADO_TTL(8) <= tdc_register_01_i(0);
+-- trigger_register_00_i
+ ADO_TTL(11 downto 8) <= tdc_register_01_i(23 downto 20);
+ ADO_TTL(15 downto 12) <= ipu_data_out_i(31 downto 28);
+ end generate ENABLE_DEBUG_CTS;
+
+
+ STAND_ALONE_TRB: if TRBV2_TYPE = 0 and TRBNET_ENABLE = 0 generate
+ lvl1_local_busy_i <= lvl1_busy_i;
+ lvl2_local_busy_i <= lvl2_busy_i;
+ end generate STAND_ALONE_TRB;
+
+ ADDON_PLUS_TRB: if TRBV2_TYPE = 1 and TRBNET_ENABLE = 0 generate
+ lvl1_local_busy_i <= lvl1_busy_i;
+ lvl2_local_busy_i <= lvl2_busy_i;
+ end generate ADDON_PLUS_TRB;
+
+
+ RATE_DIODS_EN : if TRBNET_ENABLE = 1 or TRBV2_TYPE = 7 generate
+
+ THE_CTS : cts
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ CLK40_IN => TDC_CLK,
+ LVL1_LVDS_TRIGGER_IN => "00000",
+ LVL1_TTL_TRIGGER_IN => "00000",
+ LVL1_RCTS_TYPE_IN => x"1",
+ LVL1_FAST_TRIGG_IN => lvl1_trigger_i,
+ LVL1_TIMING_TRIGGER_OUT => open,
+ LVL1_TIMING_TEST_SIGNAL_OUT => open,
+ LVL1_APV_TRIGGER_OUT => open,
+ LVL1_TRIGBOX_TRIGGER_IN => '0',
+ LVL1_TRIGBOX_TRIGGER_CODE_IN => (others => '0'),
+ LVL1_TRIGBOX_TRIGGER_TAG_IN => (others => '0'),
+ LVL1_TRIGBOX_BUSY_IN => '0',
+ LVL1_LOCAL_BUSY => dummy_lvl1_trigger,
+ LVL1_TRBNET_BUSY => '0',
+ LVL1_CTS_BUSY_OUT => open,
+ LVL1_TRIGGER_OUT => dummy_lvl1_trigger,
+ LVL1_TRIGGER_CODE_OUT => open,
+ LVL1_TRIGGER_TAG_OUT => open,
+ LVL1_RND_NUMBER_OUT => open,
+ LVL2_LVDS_TRIGGER_IN => '0', --lvds_add_on_data(6),
+ LVL2_LVDS_TRIGGER_CODE_IN => x"0", --lvds_add_on_data(10 downto 7),
+ LVL2_LVDS_TRIGGER_TAG_IN => x"0000", --lvds_add_on_data(26 downto 11),
+ LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i,
+ LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i,
+ LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i,
+ LVL2_LOCAL_BUSY => dummy_lvl2_trigger,
+ LVL2_TRBNET_BUSY => '0',
+ LVL2_CTS_BUSY_OUT => open,
+ LVL2_TRIGGER_OUT => dummy_lvl2_trigger,
+ LVL2_TRIGGER_CODE_OUT => open,
+ LVL2_TRIGGER_TAG_OUT => open,
+ LVL2_RND_NUMBER_OUT => open,
+ CTS_STASUS_0UT_0 => r_register_i(17), --91
+ CTS_STASUS_0UT_1 => r_register_i(18), --92
+ CTS_STASUS_0UT_2 => r_register_i(19), --93
+ CTS_CTRL_IN_0 => x"00000400",
+ CTS_CTRL_IN_1 => (others => '0'),
+ CTS_CTRL_IN_2 => (others => '0')
+ );
+
+ end generate RATE_DIODS_EN;
+
+
+ -- simple dtu without trbnet
+
+ SIMPLE_DTU_SYSTEM: if TRBNET_ENABLE = 0 and TRBV2_TYPE = 7 generate
+
+ LVL1_TRIGGER_GENERATE : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_tag_i <= (others => '0');
+ lvl1_trigger_code_i <= (others => '0');
+ elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"1" then
+ lvl1_trigger_i <= '1';
+ lvl1_trigger_tag_i <= x"00" & med_data_out_i(7 downto 0);
+ lvl1_trigger_code_i <= med_data_out_i(11 downto 8);
+ else
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_tag_i <= lvl1_trigger_tag_i;
+ lvl1_trigger_code_i <= lvl1_trigger_code_i;
+ end if;
+ end if;
+ end process LVL1_TRIGGER_GENERATE;
+
+ LVL2_TRIGGER_GENERATE : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl2_trigger_i <= '0';
+ elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"2" then
+ lvl2_trigger_i <= '1';
+ else
+ lvl2_trigger_i <= '0';
+ end if;
+ end if;
+ end process LVL2_TRIGGER_GENERATE;
+
+ SEND_LVL1_LVL2_ACK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '0';
+ elsif lvl1_finished_i = '1' and lvl2_finished_i = '1' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"1000";
+ save_lvl2_finished <= '1';
+ elsif lvl1_finished_i = '1' and lvl2_finished_i = '0' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"1000";
+ save_lvl2_finished <= '0';
+ elsif lvl1_finished_i = '0' and lvl2_finished_i = '1' then
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '1';
+ elsif lvl1_finished_i = '0' and lvl2_finished_i = '0' and save_lvl2_finished = '1' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"2000";
+ save_lvl2_finished <= '0';
+ else
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '0';
+ end if;
+ end if;
+ end process SEND_LVL1_LVL2_ACK;
+ med_read_in_i(0) <= '1';
+ ado_lv_out_i <= (others => '0');
+
+ end generate SIMPLE_DTU_SYSTEM;
+
+
+ -- trbnet end point
+
+ TRBnet_END_POINT : if TRBNET_ENABLE = 1 generate
+
+ --debug triggers
+ --ref
+ HOW_MANY_REF_TIMES_IN: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => ref_time_cntr,
+ UP_IN => trg_timing_trg_received_in_i,
+ DOWN_IN => '0');
+ --data valid
+ DATA_VALID_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_trigger_i,
+ pulse => data_valid_pulse);
+
+ HOW_MANY_TRIGG_DATA_VALID: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => trigg_data_valid_cntr,
+ UP_IN => data_valid_pulse,
+ DOWN_IN => '0');
+
+ --valid timing
+ VALID_TIMING_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_valid_timing_trg_out_i,
+ pulse => lvl1_valid_timing_trg_out_pulse);
+
+ HOW_MANY_TRIGG_VALID_TIMING: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => valid_timing_trg_cntr,
+ UP_IN => lvl1_valid_timing_trg_out_pulse,
+ DOWN_IN => '0');
+
+ --valid_notiming
+ VALID_NOTIMING_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_valid_notiming_trg_out_i,
+ pulse => lvl1_valid_notiming_trg_out_pulse);
+
+ HOW_MANY_TRIGG_VALID_NOTIMING: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => valid_notiming_trg_cntr,
+ UP_IN => lvl1_valid_notiming_trg_out_pulse,
+ DOWN_IN => '0');
+
+ --invalid_trg
+ INVALID_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_invalid_trg_out_i,
+ pulse => lvl1_invalid_trg_out_pulse);
+
+ HOW_MANY_TRIGG_INVALID: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => invalid_trg_cntr,
+ UP_IN => lvl1_invalid_trg_out_pulse,
+ DOWN_IN => '0');
+ r_register_i(27) <= ref_time_cntr & trigg_data_valid_cntr & valid_timing_trg_cntr & valid_notiming_trg_cntr;
+ r_register_i(28) <= x"dbeaca" & invalid_trg_cntr;
+
+
+ --handler component and logic
+ EXT_TRIGGER_1 : edge_to_pulse --no_sim--
+ port map ( --no_sim--
+ clock => CLK, --no_sim--
+ en_clk => '1', --no_sim--
+ signal_in => fast_ref_trigger, --no_sim--
+ pulse => trg_timing_trg_received_in_i); --no_sim--
+ --sim-- med_stat_op_in_i <= (others => '0');
+
+
+
+ THE_TRB_NET16_ENDPOINT_HADES_FULL_HANDLER : trb_net16_endpoint_hades_full_handler
+ generic map (
+ IBUF_DEPTH => (6,6,6,6),
+ FIFO_TO_INT_DEPTH => (6,6,6,6),
+ FIFO_TO_APL_DEPTH => (1,1,1,1),
+ APL_WRITE_ALL_WORDS => (c_NO,c_NO,c_NO,c_NO),
+ ADDRESS_MASK => x"FFFF",
+ BROADCAST_BITMASK => broadcast_bitmask_i,
+ BROADCAST_SPECIAL_ADDR => x"FF",
+ REGIO_NUM_STAT_REGS => 3,
+ REGIO_NUM_CTRL_REGS => 3,
+ REGIO_INIT_CTRL_REGS => (others => '0'),
+ REGIO_INIT_ADDRESS => x"FFFF",
+ REGIO_INIT_BOARD_INFO => x"1111_2222",
+ REGIO_INIT_ENDPOINT_ID => x"0001",
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ REGIO_COMPILE_VERSION => regio_compile_version_i,
+ REGIO_HARDWARE_VERSION => regio_hardware_version_i,
+ REGIO_USE_1WIRE_INTERFACE => c_YES,
+ REGIO_USE_VAR_ENDPOINT_ID => c_NO,
+ CLOCK_FREQUENCY => 100,
+ TIMING_TRIGGER_RAW => c_YES,
+ DATA_INTERFACE_NUMBER => 1,
+ DATA_BUFFER_DEPTH => 14,
+ DATA_BUFFER_WIDTH => 32,
+ DATA_BUFFER_FULL_THRESH => 2**14-2048,
+ TRG_RELEASE_AFTER_DATA => c_YES,
+ HEADER_BUFFER_DEPTH => 9,
+ HEADER_BUFFER_FULL_THRESH => 2**9-16)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i(0),
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => '1',
+ MED_DATAREADY_IN => med_dataready_out_i(0),
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i(0),
+ MED_STAT_OP_IN => med_stat_op_in_i, --no_sim--
+
+
+--sim-- MED_STAT_OP_IN => (others => '0') ,
+ MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+ TRG_TIMING_TRG_RECEIVED_IN => trg_timing_trg_received_in_i,--TRG_TIMING_TRG_RECEIVED_IN,
+ LVL1_TRG_DATA_VALID_OUT => lvl1_trigger_i,
+ LVL1_VALID_TIMING_TRG_OUT => lvl1_valid_timing_trg_out_i,
+ LVL1_VALID_NOTIMING_TRG_OUT => lvl1_valid_notiming_trg_out_i,
+ LVL1_INVALID_TRG_OUT => lvl1_invalid_trg_out_i,
+ LVL1_TRG_TYPE_OUT => lvl1_trigger_code_i,
+ LVL1_TRG_NUMBER_OUT => lvl1_trigger_tag_i,
+ LVL1_TRG_CODE_OUT => open,--lvl1_trigger_code_i,
+ LVL1_TRG_INFORMATION_OUT => lvl1_trg_information_buf,--open,--LVL1_TRG_INFORMATION_OUT,
+ LVL1_INT_TRG_NUMBER_OUT => open,--
+ TRG_MULTIPLE_TRG_OUT => open,--
+ TRG_TIMEOUT_DETECTED_OUT => open,--
+ TRG_SPURIOUS_TRG_OUT => open,--
+ TRG_MISSING_TMG_TRG_OUT => open,--
+ TRG_SPIKE_DETECTED_OUT => open,--
+ FEE_TRG_RELEASE_IN => fee_trg_release_in_i,--
+ FEE_TRG_STATUSBITS_IN => fee_trg_statusbits_in_buf,--(others => '0'),
+ FEE_DATA_IN => ipu_data_in_i,
+ FEE_DATA_WRITE_IN => trbnet_data_write_out_i,
+ FEE_DATA_FINISHED_IN => trbnet_data_finished_out_i,
+ FEE_DATA_ALMOST_FULL_OUT => open,
+ REGIO_COMMON_STAT_REG_IN => (others => '0'),
+ REGIO_COMMON_CTRL_REG_OUT => open,
+ REGIO_COMMON_STAT_STROBE_OUT => open,
+ REGIO_COMMON_CTRL_STROBE_OUT => open,
+ REGIO_STAT_REG_IN => (others => '0'),
+ REGIO_CTRL_REG_OUT => regio_registers_out_i,
+ REGIO_STAT_STROBE_OUT => open,
+ REGIO_CTRL_STROBE_OUT => open,
+ BUS_ADDR_OUT => regio_addr_out_i,
+ BUS_DATA_OUT => regio_data_out_i,
+ BUS_READ_ENABLE_OUT => regio_read_enable_out_i,
+ BUS_WRITE_ENABLE_OUT => regio_write_enable_out_i ,
+ BUS_TIMEOUT_OUT => regio_timeout_out_i,
+ BUS_DATA_IN => regio_data_in_i,
+ BUS_DATAREADY_IN => regio_dataready_in_i,
+ BUS_WRITE_ACK_IN => regio_write_ack_in_i,
+ BUS_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+ BUS_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+ ONEWIRE_INOUT => TEMP_SENS(4),
+ ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+ ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+ REGIO_VAR_ENDPOINT_ID => open,
+ TIME_GLOBAL_OUT => global_time_out_i,
+ TIME_LOCAL_OUT => local_time_out_i,
+ TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+ TIME_TICKS_OUT => open,
+ STAT_DEBUG_IPU => stat_debug_ipu_i,
+ STAT_DEBUG_1 => stat_debug_1_i,
+ STAT_DEBUG_2 => stat_debug_2_i,
+ STAT_DEBUG_DATA_HANDLER_OUT => open,
+ STAT_DEBUG_IPU_HANDLER_OUT => open,
+ CTRL_MPLEX => (others => '0'),
+ IOBUF_CTRL_GEN => (others => '0'),
+ STAT_ONEWIRE => open,
+ STAT_ADDR_DEBUG => open,
+ DEBUG_LVL1_HANDLER_OUT => open
+ );
+
+ trbnet_data_write_out_i(0) <= ipu_dataready_in_i;
+ trbnet_data_finished_out_i(0) <= lvl2_finished_i;
+ fee_trg_release_in_i(0) <= lvl1_finished_i;
+ ipu_read_out_i <= '1';
+
+ DEBUG_TRBNET_HANDLER : if DEBUG_OPTION = 7 generate
+-- ADO_TTL(0) <= lvl1_trigger_i;
+-- ADO_TTL(1) <= fee_trg_release_in_i(0);
+-- ADO_TTL(2) <= trbnet_data_write_out_i(0);
+-- ADO_TTL(3) <= trbnet_data_finished_out_i(0);
+-- ADO_TTL(7 downto 4) <= ipu_data_in_i(31 downto 28);
+-- ADO_TTL(11 downto 8) <= tdc_register_01_i(27 downto 24);
+-- ADO_TTL(12) <= tdc_register_02_i(12);
+-- ADO_TTL(13) <= tdc_register_02_i(13);
+-- ADO_TTL(14) <= tdc_register_02_i(14);
+-- ADO_TTL(15) <= tdc_register_02_i(29);
+
+ ADO_TTL(15 downto 0) <= med_data_out_i;
+ -- ADO_TTL(18 downto 16) <= med_packet_num_out_i;
+ ADO_TTL(16) <= med_dataready_out_i(0);
+ ADO_TTL(35 downto 20) <= med_data_in_i;
+ -- ADO_TTL(38 downto 36) <= med_packet_num_in_i;
+ ADO_TTL(36) <= med_dataready_in_i(0);
+-- ADO_TTL(4) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+
+ end generate DEBUG_TRBNET_HANDLER;
+
+ ENABLE_DEBUG_ENDPOINT : if DEBUG_OPTION = 1 generate
+
+ ADO_TTL(3) <= lvl1_finished_i;
+ ADO_TTL(4) <= lvl1_trigger_i;
+ ADO_TTL(5) <= fee_trg_release_in_i(0);
+ ADO_TTL(6) <= trbnet_data_finished_out_i(0);
+ ADO_TTL(7) <= ipu_dataready_in_i;
+ ADO_TTL(15 downto 8) <= ipu_data_in_i(31 downto 24);
+ end generate ENABLE_DEBUG_ENDPOINT;
+
+ EXT_LVL2_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl2_trigger_i,
+ pulse => lvl2_trigger_i_pulse);
+
+ end generate TRBnet_END_POINT;
+
+-- -----------------------------------------------------------------------------
+-- -- media to api, api to media
+-- -----------------------------------------------------------------------------
+
+ TRBnet_MEDIA : if TRBNET_ENABLE > 0 or TRBV2_TYPE = 7 or (TRBV2_TYPE=0 and DTU_ENABLE=2)generate
+
+ TLK_RX_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r(0)
+ );
+
+ TLK_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r(0)
+ );
+
+--sim-- COMMAND_SENDER_INS: command_sender
+--sim-- port map (
+--sim-- CLK => CLK,
+--sim-- DATA => med_data_out_i,
+--sim-- DATAREADY => med_dataready_out_i(0),
+--sim-- PACKET_NUM => med_packet_num_out_i,
+--sim-- REF_TIME_OUT => trg_timing_trg_received_in_i
+--sim-- );
+
+
+ TLK_API_INT : trb_net16_med_tlk
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ TLK_CLK => tlk_clk_r(0),
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => tlk_rx_clk_r(0),
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ MED_DATAREADY_IN => med_dataready_in_i(0), --no_sim--
+ MED_READ_IN => med_read_in_i(0), --no_sim--
+ MED_DATA_IN => med_data_in_i, --no_sim--
+ MED_PACKET_NUM_IN => med_packet_num_in_i, --no_sim--
+ MED_DATAREADY_OUT => med_dataready_out_i(0), --no_sim--
+ MED_READ_OUT => med_read_out_i(0), --no_sim--
+ MED_DATA_OUT => med_data_out_i, --no_sim--
+ MED_PACKET_NUM_OUT => med_packet_num_out_i, --no_sim--
+
+--sim-- MED_DATAREADY_IN => '0',
+--sim-- MED_READ_IN => '0',
+--sim-- MED_DATA_IN => (others =>'0'),
+--sim-- MED_PACKET_NUM_IN => (others =>'0'),
+--sim-- MED_DATAREADY_OUT => open,
+--sim-- MED_READ_OUT => open,
+--sim-- MED_DATA_OUT => open,
+--sim-- MED_PACKET_NUM_OUT => open,
+
+ STAT => open,
+ STAT_MONITOR => open,
+ STAT_OP => med_stat_op_in_i, --no_sim--
+--sim-- STAT_OP => open,
+ CTRL_OP => x"0000");
+ DGOOD <= not med_stat_op_in_i(9);
+ end generate TRBnet_MEDIA;
+
+ DINT <= lvds_add_on_data(0) and
+ lvds_add_on_data(1) and
+ lvds_add_on_data(2) and
+ lvds_add_on_data(3) and
+ lvds_add_on_data(4) and
+ lvds_add_on_data(5) and
+ lvds_add_on_data(6) and
+ lvds_add_on_data(7) and
+ lvds_add_on_data(8) and
+ lvds_add_on_data(9) and
+ lvds_add_on_data(10) and
+ lvds_add_on_data(11) and
+ lvds_add_on_data(12) and
+ lvds_add_on_data(13) and
+ lvds_add_on_data(14) and
+ lvds_add_on_data(15) and
+ lvds_add_on_data(16) and
+ lvds_add_on_data(17) and
+ lvds_add_on_data(18) and
+ lvds_add_on_data(19) and
+ lvds_add_on_data(20) and
+ lvds_add_on_data(21) and
+ lvds_add_on_data(22) and
+ lvds_add_on_data(23) and
+ lvds_add_on_data(24) and
+ lvds_add_on_data(25) and
+ lvds_add_on_data(26) and
+ lvds_add_on_data(27) and
+ lvds_add_on_data(28) and
+ lvds_add_on_data(29) and
+ lvds_add_on_data(30) and
+ addon_clk ;
+
+-------------------------------------------------------------------------------
+-- lvl1 trigger logic
+-------------------------------------------------------------------------------
+ENBLE_TRIGGER_LOGIC: if TRBV2_TYPE = 3 generate
+
+-- THE_CLK_300: clk_300
+-- port map (
+-- CLKIN_IN => CLK,
+-- RST_IN => reset_i,
+-- CLKFX_OUT => clk200,
+-- CLK0_OUT => open,
+-- LOCKED_OUT => LOCKED_OUT);
+
+
+ THE_CLOCK200: clock200
+ port map (
+ CLKIN_IN => CLK,
+ RST_IN => reset_i,
+ CLK0_OUT => open,--CLK0_OUT,
+ CLK2X_OUT => clk200,
+ LOCKED_OUT => LOCKED_OUT);
+
+ trigger_in_i <= ADO_TTL(31 downto 30) & ADO_TTL(23 downto 22) & ADO_TTL(29 downto 24) & ADO_TTL(21 downto 16) & lvds_add_on_data(15 downto 0);
+ ADO_TTL(29 downto 24) <= (others => 'Z');
+ ADO_TTL(21 downto 16) <= (others => 'Z');
+ signal_in_i <= (others => '0') ;
+-- ADO_TTL(35 downto 32) <= signal_out_i(3 downto 0);
+
+
+ ADO_TTL(1) <= signal_out_i(0);
+ ADO_TTL(2) <= '0';
+ ADO_TTL(3) <= signal_out_i(1);
+ ADO_TTL(4) <= '0';
+
+ ADO_TTL(6) <= trg_busy_out_i;
+ ADO_TTL(7) <= lvl2_cts_busy_out_i;
+
+
+ --ADO_TTL(35 downto 34) <= signal_out_i(1 downto 0);
+
+ ADO_TTL(36) <= timing_signal or (rw_register_i(28)(31) and test_timing_signal);
+ ADO_TTL(37) <= mdc_timing_out or (rw_register_i(28)(31) and test_timing_signal);
+ MDC_DELAY_TIMING_CLK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ MDC_DELAY_TIMING_CURRENT <= IDLE;
+ mdc_delay_cntr_rst <= '1';
+ mdc_delay_cntr_up <= '0';
+ mdc_width_cntr_rst <= '1';
+ mdc_width_cntr_up <= '0';
+ mdc_timing_out <= '0';
+ else
+ MDC_DELAY_TIMING_CURRENT <= MDC_DELAY_TIMING_NEXT;
+ mdc_delay_cntr_rst <= mdc_delay_cntr_rst_fsm;
+ mdc_delay_cntr_up <= mdc_delay_cntr_up_fsm;
+ mdc_width_cntr_rst <= mdc_width_cntr_rst_fsm;
+ mdc_width_cntr_up <= mdc_width_cntr_up_fsm;
+ mdc_timing_out <= mdc_timing_out_fsm;
+ end if;
+ end if;
+ end process MDC_DELAY_TIMING_CLK;
+
+ MDC_DELAY_TIMING_PROC : process (CLK)
+ begin
+
+ mdc_delay_cntr_rst_fsm <= '1';
+ mdc_delay_cntr_up_fsm <= '0';
+ mdc_width_cntr_rst_fsm <= '1';
+ mdc_width_cntr_up_fsm <= '0';
+ mdc_timing_out_fsm <= '0';
+
+ case (MDC_DELAY_TIMING_CURRENT) is
+
+ when IDLE =>
+ if timing_signal = '1' then
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_A ;
+ else
+ MDC_DELAY_TIMING_NEXT <= IDLE;
+ end if;
+
+ when MDC_DELAY_TIMING_A =>
+ mdc_delay_cntr_rst_fsm <= '0';
+ mdc_delay_cntr_up_fsm <= '1';
+ if mdc_delay_cntr(6 downto 2) = rw_register_i(28)(28 downto 24) then
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_B;
+ else
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_A;
+ end if;
+
+ when MDC_DELAY_TIMING_B =>
+ mdc_width_cntr_rst_fsm <= '0';
+ mdc_width_cntr_up_fsm <= '1';
+ mdc_timing_out_fsm <= '1';
+ if mdc_width_cntr = x"a" then
+ MDC_DELAY_TIMING_NEXT <= IDLE;
+ else
+ MDC_DELAY_TIMING_NEXT <= MDC_DELAY_TIMING_B;
+ end if;
+
+ when others =>
+ MDC_DELAY_TIMING_NEXT <= IDLE;
+
+ end case;
+ end process MDC_DELAY_TIMING_PROC;
+
+ MDC_DELAY: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => mdc_delay_cntr_rst,
+ COUNT_OUT => mdc_delay_cntr,
+ UP_IN => mdc_delay_cntr_up,
+ DOWN_IN => '0');
+ MDC_TIMING_WIDTH: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 4)
+ port map (
+ CLK => CLK,
+ RESET => mdc_width_cntr_rst,
+ COUNT_OUT => mdc_width_cntr,
+ UP_IN => mdc_width_cntr_up,
+ DOWN_IN => '0');
+
+
+
+-- SCALER_REGISTER: for i in 0 to 31 generate
+ SCALER_REGISTER: for i in 0 to 45 generate
+ r_register_i(i+27) <= scaler_out_i ((i+1)*32 -1 downto i*32);
+ end generate SCALER_REGISTER;
+
+
+
+ ADO_TTL(0) <= 'Z';
+ beam_inhibit_in_i <= ADO_TTL(0);
+ downscale_register_i <= rw_register_i(16) & rw_register_i(15) & rw_register_i(14) & rw_register_i(13);--
+ --16 -d0 ; 15 - cf ; 14 - ce ; 13 -cd
+ delay_trigger_register_i <= rw_register_i(20) & rw_register_i(19) & rw_register_i(18) & rw_register_i(17);
+ --20 -d4 ; 19 - d3 ; 18 - d2 ; 17 -d1
+ width_register_i <= rw_register_i(24) & rw_register_i(23) & rw_register_i(22) & rw_register_i(21);
+ --24 -d8 ; 23 - d7 ; 22 - d6 ; 21 -d5
+ THE_TRIGGER_LOGIC: trigger_logic
+ generic map (
+ TRIGGER_INPUTS_NUMBER => TRIGGER_INPUTS_NUMBER,
+ CTS_NUMBER_IPU_DATA => CTS_NUMBER_IPU_DATA)
+ port map (
+ CLK => CLK,
+ HIGH_FREQ_CLK => clk200,
+ RESET => reset_i,
+ TRIGGER_IN => trigger_in_i,
+ SIGNAL_IN => signal_in_i,
+ SIGNAL_OUT => signal_out_i,
+ INPUT_ENABLE => rw_register_i(12),
+ DOWNSCALE_REGISTER => downscale_register_i,
+ DELAY_TRIGGER_REGISTER => delay_trigger_register_i,
+ WIDTH_REGISTER => width_register_i,
+ TS_GATING_DISABLE => rw_register_i(25), --d9
+ MDC_TOF_GATING_DISABLE => (others => '0'),
+ DOWNSCALE_REGISTER_CLOCK => x"0",
+ TRIGGER_OUT_EN => rw_register_i(26), --da
+ MULTIPLEXER_SELECT => rw_register_i(27)(23 downto 0), --db
+ TRIGGER_LOGIC_CTRL_IN => rw_register_i(28), --dc
+ SCALER_OUT => scaler_out_i,
+ BEAM_INHIBIT_IN => beam_inhibit_in_i,
+ NO_TIMING_OUT => no_timing,
+ LVL1_BUSY_IN => lvl1_cts_busy_out_i,
+ LVL1_TRIGGER_ACCEPTED_IN => lvl1_trigger_i,
+ LVL1_TRIGGER_TAG_OUT => lvl1_trigger_tag_out_i,
+ LVL1_TRIGGER_CODE_OUT => lvl1_trigger_code_out_i,
+ LVL1_TRIGGER_OUT => lvl1_trigger_out_i,
+ LVL1_BUSY_OUT => trigbox_busy_out,
+ TRIGGER_LOGIC_DEBUG_OUT => r_register_i(25),
+ IPU_DATA_IN => ipu_data_out_i,
+ IPU_DATA_VALID_IN => ipu_dataready_out_i,
+ TRBNET_LVL1_STATUS_IN => trg_status_bits_out_i,
+ TRBNET_BUSY_IN => trg_busy_out_i,
+ TOKEN_IN => triggbox_token_in,
+ DATA_OUT => triggbox_data_out,
+ DATA_VALID_OUT => triggbox_data_valid_out,
+ TOKEN_OUT => triggbox_token_out,
+ TRANSMIT_NO_DATA_IN => rw_register_i(5)(30)
+-- IPU_DATA_IN => ...
+ );
+ end generate ENBLE_TRIGGER_LOGIC;
+ DUMMY_TRIGGER_LOGIC: if TRBV2_TYPE /= 3 generate
+ trigbox_busy_out <= '0';
+ lvl1_trigger_out_i <= '0';
+ end generate DUMMY_TRIGGER_LOGIC;
+---------------------------------------------------------------------------
+-- tdc interface
+---------------------------------------------------------------------------
+
+
+ VIRT_TRST <= not fpga_register_06_i(5); --'1';
+ TDC_RESET <= '0'; --fpga_register_06_i(5);--'0';
+
+ TDC_INT_FOR_DIFF_PLATFORMSa : if (TRBV2_TYPE = 0 or TRBV2_TYPE = 6 or TRBV2_TYPE = 7) and TRBNET_ENABLE = 0 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ save_trbnet_headers_i <= '1';
+ tdc_clk_i <= TDC_CLK;
+ readout_sdram_int_clk <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ ADO_TTL(46) <= 'Z'; --for the hub on the veto
+ end generate TDC_INT_FOR_DIFF_PLATFORMSa;
+
+ TDC_INT_FOR_DIFF_PLATFORMSatrbnet : if TRBV2_TYPE = 0 and TRBNET_ENABLE = 1 generate
+ start_tdc_readout_i <= lvl1_valid_timing_trg_out_i or lvl1_valid_notiming_trg_out_i; --if
+ save_trbnet_headers_i <= lvl1_trigger_i;
+ tdc_clk_i <= TDC_CLK;
+ readout_sdram_int_clk <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ ADO_TTL(46) <= 'Z'; --for the hub on the veto
+ end generate TDC_INT_FOR_DIFF_PLATFORMSatrbnet;
+
+
+ --Shower or MDC
+ TDC_INT_FOR_DIFF_PLATFORMSb : if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ save_trbnet_headers_i <= '1';
+ tdc_clk_i <= addon_clk;
+ readout_sdram_int_clk <= addon_clk;
+ tdc_data_in_i <= ADO_TTL(38 downto 7);
+ a_data_ready_i <= ADO_TTL(3);
+ b_data_ready_i <= ADO_TTL(3);
+ c_data_ready_i <= ADO_TTL(3);
+ d_data_ready_i <= ADO_TTL(3);
+ token_in_i <= ADO_TTL(2);
+ ADO_TTL(1) <= token_out_long_b; --longer token !?
+ TOKEN_OUT <= '0';
+
+ MAKE_LONGER : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ token_out_long_a <= '0';
+ token_out_long_b <= '0';
+ else
+ token_out_long_a <= token_out_i;
+ token_out_long_b <= token_out_long_a or token_out_i;
+ end if;
+ end if;
+ end process MAKE_LONGER;
+
+ end generate TDC_INT_FOR_DIFF_PLATFORMSb;
+
+ --CTS plus Vulom
+ TDC_INT_FOR_DIFF_PLATFORMSc : if TRBV2_TYPE = 4 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ save_trbnet_headers_i <= '1';
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= vulom_event;
+ a_data_ready_i <= vulom_event_valid; --start_readout_i;
+ b_data_ready_i <= vulom_event_valid; --start_readout_i;
+ c_data_ready_i <= vulom_event_valid; --start_readout_i;
+ d_data_ready_i <= vulom_event_valid; --start_readout_i;
+ token_in_i <= vulom_readout_end;
+ vulom_readout_start <= token_out_i; --longer token !?
+ TOKEN_OUT <= '0';
+ end generate TDC_INT_FOR_DIFF_PLATFORMSc;
+
+ --Only CTS plus IPU readout
+ TDC_INT_FOR_DIFF_PLATFORMSd : if TRBV2_TYPE = 5 generate
+ start_tdc_readout_i <= lvl2_trigger_i;
+ not_ipu_dataready_out_i <= not ipu_busy_out_i;
+ save_trbnet_headers_i <= '1';
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_ipu_dataready_out_i,
+ pulse => ipu_dataready_out_end_pulse);
+
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= ipu_data_out_i;
+ a_data_ready_i <= ipu_dataready_out_i;
+ b_data_ready_i <= ipu_dataready_out_i;
+ c_data_ready_i <= ipu_dataready_out_i;
+ d_data_ready_i <= ipu_dataready_out_i;
+ token_in_i <= ipu_dataready_out_end_pulse;
+ TOKEN_OUT <= '0';
+
+ end generate TDC_INT_FOR_DIFF_PLATFORMSd;
+
+ TDC_INT_FOR_DIFF_PLATFORMSe : if TRBV2_TYPE = 3 generate
+ save_trbnet_headers_i <= '1';
+ start_tdc_readout_i <= lvl1_trigger_i;
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= triggbox_data_out;
+ a_data_ready_i <= triggbox_data_valid_out;
+ b_data_ready_i <= triggbox_data_valid_out;
+ c_data_ready_i <= triggbox_data_valid_out;
+ d_data_ready_i <= triggbox_data_valid_out;
+ token_in_i <= triggbox_token_out;
+ triggbox_token_in <= token_out_i;
+ TOKEN_OUT <= '0';
+ end generate TDC_INT_FOR_DIFF_PLATFORMSe;
+
+
+
+ DEBUG_STAND_ALONE: if DEBUG_OPTION = 4 generate
+ ADO_TTL(0) <= lvl1_trigger_i;
+ ADO_TTL(1) <= lvl1_finished_i;
+ MAKE_BUSY_FOR_TRB_TESTER : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or lvl2_finished_i = '1' then
+ trb_tester_busy <= '0';
+ elsif lvl1_busy_i = '1' then
+ trb_tester_busy <= '1';
+ end if;
+ end if;
+ end process MAKE_BUSY_FOR_TRB_TESTER;
+
+ ADO_TTL(2) <= trb_tester_busy;--lvl1_busy_i;
+ ADO_TTL(3) <= A_DATA_READY;
+ ADO_TTL(4) <= B_DATA_READY;
+ ADO_TTL(5) <= C_DATA_READY;
+ ADO_TTL(6) <= D_DATA_READY;
+ ADO_TTL(7) <= token_out_i;
+ ADO_TTL(8) <= TOKEN_IN;
+ ADO_TTL(9) <= lvl2_trigger_i;
+ ADO_TTL(10) <= bunch_reset_i;
+ ADO_TTL(11) <= event_reset_i;
+ ADO_TTL(12) <= TDC_CLK;
+ end generate DEBUG_STAND_ALONE;
+
+ CHOOSE_NUMBER_OF_ADD_DATA_A: if TRBV2_CONFIG_TYPE = 2 generate
+ how_many_add_data_i <= number_of_rpc_add_data;
+ end generate CHOOSE_NUMBER_OF_ADD_DATA_A;
+
+ CHOOSE_NUMBER_OF_ADD_DATA_B: if TRBV2_CONFIG_TYPE /= 2 generate
+ how_many_add_data_i <= fpga_register_06_i(23 downto 16);
+ end generate CHOOSE_NUMBER_OF_ADD_DATA_B;
+
+
+ TDC_INT : tdc_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ NUMBER_OFF_ADD_DATA => NUMBER_OFF_ADD_DATA,
+ TRBV2_TYPE => TRBV2_TYPE,
+ USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM,
+ CHECK_REF_TIME => CHECK_REF_TIME,
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ TDC_CLK => tdc_clk_i,
+ RESET => reset_i,
+ TDC_DATA_IN => tdc_data_in_i,
+ START_TDC_READOUT => start_tdc_readout_i, --lvl1_trigger_i,
+ SAVE_TRBNET_HEADERS => save_trbnet_headers_i,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_READY => a_data_ready_i,
+ B_TDC_READY => b_data_ready_i,
+ C_TDC_READY => c_data_ready_i,
+ D_TDC_READY => d_data_ready_i,
+ SEND_TDC_TOKEN => token_out_i,
+ RECEIVED_TDC_TOKEN => token_in_i,
+ GET_TDC_DATA => GET_DATA,
+ LVL2_READOUT_COMPLETED => lvl2_readout_completed_i,
+ LVL1_TAG => lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_RND_CODE => lvl1_rnd_number_out_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i(7 downto 0), --apl_seqnr_out_i, --tdc_tag_i,
+ HOW_MANY_ADD_DATA => how_many_add_data_i,--
+ ADDITIONAL_DATA => additional_data_i,
+ LVL2_TRIGGER => lvl2_trigger_i,
+ TDC_DATA_OUT => tdc_data_out_i,
+ TDC_DATA_VALID => tdc_data_valid_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ ETRAX_IS_BUSY => FS_PB_17,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ TDC_REGISTER_00 => tdc_register_00_i,
+ TDC_REGISTER_01 => tdc_register_01_i,
+ TDC_REGISTER_02 => tdc_register_02_i,
+ TDC_REGISTER_03 => tdc_register_03_i,
+ TDC_REGISTER_04 => tdc_register_04_i,
+ TDC_REGISTER_05 => fpga_register_0e_i,
+ BUNCH_RESET => bunch_reset_i,
+ EVENT_RESET => event_reset_i,
+ DELAY_TRIGGER => fpga_register_06_i(31 downto 24),
+ DELAY_TOKEN => fpga_register_0e_i(23 downto 16),
+ TDC_START => trigger_to_tdc_i,
+ TRIGGER_WITH_GEN_EN => fpga_register_06_i(8),
+ SELF_TAG_COUNT_EN => rw_register_i(3)(0),
+ TRIGGER_WITH_GEN => not_hades_trigger,
+ TRB_ID => rw_register_i(4),
+ LVL1_FINISHED => lvl1_finished_i,
+ LVL2_FINISHED => lvl2_finished_i,
+ TRBNET_HEADER_BUILD => rw_register_i(3)(31),
+ EVENT_SIZE => ipu_length_in_i,
+ RD_EN => rd_en_i,
+ WR_EN => wr_en_i,
+ WR_READY => wr_ready_i,
+ RD_READY => rd_ready_i,
+ DATA_IN => data_out_i,
+ DATA_OUT => data_in_i,
+ SDRAM_BUSY => sdram_busy_i,
+ TDC_DATA_DOWNSCALE_IN => lvl1_trg_information_saved(17),
+ TRBNET_DATA_FINISHED_OUT => open,-- trbnet_data_finished_out_i(0),
+ TRBNET_DATA_WRITE_OUT => open,
+ TRBNET_DATA_OUT => open--trbnet_data_out_i
+ );
+
+ fee_trg_statusbits_in_buf(3 downto 0) <= tdc_register_00_i(3 downto 0);
+ fee_trg_statusbits_in_buf(4) <= tdc_register_00_i(12);
+
+ SAVE_LVL1_INFO : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl1_trg_information_saved <= (others => '0');
+ elsif lvl1_trigger_i = '1' then
+ lvl1_trg_information_saved <= lvl1_trg_information_buf;
+ else
+ lvl1_trg_information_saved <= lvl1_trg_information_saved;
+ end if;
+ end if;
+ end process SAVE_LVL1_INFO;
+
+ -----------------------------------------------------------------------------
+ -- sdram to tdc interface
+ -----------------------------------------------------------------------------
+-- THE_SDRAM_FPGA_INTERFACE : sdram_fpga_interface
+-- generic map
+-- (
+-- USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM
+-- )
+-- port map (
+-- CLK => CLK,
+-- READOUT_CLK => TDC_CLK, --readout_sdram_int_clk,
+-- RESET => reset_i,
+-- RD_EN => rd_en_i,
+-- WR_EN => wr_en_i,
+-- WR_READY => wr_ready_i,
+-- RD_READY => rd_ready_i,
+-- DATA_IN => data_in_i,
+-- DATA_OUT => data_out_i,
+-- SDRAM_BUSY => sdram_busy_i,
+-- INTERNAL_STROBE => external_ack_i,
+-- INTERNAL_DATA_IN => external_data_out_i,
+-- INTERNAL_DATA_OUT => sdram_data_out_i,
+-- INTERNAL_ADDRESS => external_address_i,
+-- INTERNAL_MODE => external_mode_i,
+-- INTERNAL_VALID => sdram_external_valid_i,
+-- SDRAM_DEBUG_00 => r_register_i(22),
+-- SDRAM_DEBUG_01 => r_register_i(23),
+-- SDRAM_DEBUG_02 => r_register_i(24),
+-- CLK_SDRAM => VSD_CLOCK,
+-- CKE => VSD_CKE,
+-- CS => vsd_cs_i,
+-- RAS => VSD_RAS,
+-- CAS => VSD_CAS,
+-- WE => VSD_WE,
+-- BA => VSD_BA,
+-- A => VSD_A,
+-- DQ => VSD_D,
+-- DQMH => dqmh_i,
+-- DQML => dqml_i
+-- );
+--DISABLE_EXTERNAL_SDRAM_IN_READOUT: if USE_EXTERNAL_SDRAM = 0 generate
+-- sdram_busy_i <= '0';
+--end generate DISABLE_EXTERNAL_SDRAM_IN_READOUT;
+
+ENABLE_EXTERNAL_SDRAM_IN_READOUT: if USE_EXTERNAL_SDRAM = 1 generate
+
+
+ THE_SDRAM_CONTROLLER: sdram_controller
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_CLK_IN => TDC_CLK,
+ DATA_WRITE => wr_en_i,
+ WRITE_READY => open,
+ WRITE_DATA_IN => data_in_i,
+ WRITE_BUSY => open,
+ DATA_READ => sdram_data_ready_i,
+ DATA_READ_REQUEST => rd_en_i,
+ READ_READY => sdram_data_ready_i,
+ READ_DATA_OUT => data_out_i,
+ READ_BUSY => open,
+ RAM_DEBUG_00 => r_register_i(22),
+ RAM_DEBUG_01 => r_register_i(23),
+ RAM_DEBUG_02 => r_register_i(24),
+ SDARM_CLK => VSD_CLOCK,
+ CKE => VSD_CKE,
+ CE => vsd_cs_i,
+ RAS => VSD_RAS,
+ CAS => VSD_CAS,
+ WE => VSD_WE,
+ BA => VSD_BA,
+ ADDR => VSD_A,
+ DATA => VSD_D,
+ DQMH => dqmh_i,
+ DQML => dqml_i);
+
+ sdram_busy_i <= '0';
+ VSD_DQML(0) <= dqml_i;
+ VSD_DQML(1) <= dqmh_i;
+ VSD_DQML(2) <= dqml_i;
+ VSD_DQML(3) <= dqmh_i;
+
+ VSD_CSEH <= vsd_cs_i;
+ VSD_CSEL <= vsd_cs_i;
+
+ ENABLE_DEBUG_SDRAM_READOUT : if DEBUG_OPTION = 5 generate
+
+ ADO_TTL(0) <= r_register_i(23)(4); --write data from tdc
+ ADO_TTL(1) <= r_register_i(23)(1); --read fifo to sdram
+ ADO_TTL(3) <= r_register_i(23)(0); --read fifo from sdram
+ ADO_TTL(4) <= r_register_i(22)(28); --write fifo from sdram
+ ADO_TTL(5) <= r_register_i(23)(6); -- read state
+ ADO_TTL(6) <= r_register_i(23)(7); --write state
+
+-- ADO_TTL(8) <= r_register_i(23)(6);
+-- ADO_TTL(11 downto 9) <= r_register_i(22)(15 downto 13);
+ ADO_TTL(15 downto 8) <= r_register_i(22)(23 downto 16);
+-- ADO_TTL(11 downto 8) <= r_register_i(22)(27 downto 24);
+-- ADO_TTL(9) <= r_register_i(23)(3);
+-- ADO_TTL(10) <= r_register_i(22)(30);
+-- ADO_TTL(11) <= r_register_i(23)(2);
+---- ADO_TTL(15 downto 8) <= r_register_i(23)(7 downto 0);
+-- ADO_TTL(8) <= r_register_i(22)(19);
+-- ADO_TTL(9) <= r_register_i(22)(29);
+-- ADO_TTL(10) <= r_register_i(22)(21);
+-- ADO_TTL(11) <= r_register_i(22)(25);
+-- ADO_TTL(12) <= r_register_i(22)(8);
+-- --ADO_TTL(12) <= lvl1_trigger_i;
+-- --ADO_TTL(13) <= lvl2_trigger_i;
+
+-- ADO_TTL(13) <= r_register_i(23)(0);
+-- ADO_TTL(14) <= r_register_i(24)(0);
+-- ADO_TTL(15) <= r_register_i(23)(25);
+
+ end generate ENABLE_DEBUG_SDRAM_READOUT;
+
+end generate ENABLE_EXTERNAL_SDRAM_IN_READOUT;
+
+ -----------------------------------------------------------------------------
+ -- lvl1 trigger logic
+ -----------------------------------------------------------------------------
+
+
+
+ OMIT_PROBLEM_WITH_MISS_REF : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ optical_tdc_trigger_reg_1 <= '0';
+ optical_tdc_trigger_reg_2 <= '0';
+ optical_tdc_trigger_reg_2 <= '0';
+ optical_tdc_trigger <= '0';
+ else
+ optical_tdc_trigger_reg_1 <= lvl1_trigger_i;
+ optical_tdc_trigger_reg_2 <= optical_tdc_trigger_reg_1;
+ optical_tdc_trigger_reg_3 <= optical_tdc_trigger_reg_2;
+ optical_tdc_trigger <= optical_tdc_trigger_reg_1 or optical_tdc_trigger_reg_2 or optical_tdc_trigger_reg_3;
+ end if;
+ end if;
+ end process OMIT_PROBLEM_WITH_MISS_REF;
+
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => TDC_CLK,
+ en_clk => '1',
+ signal_in => optical_tdc_trigger, --fast_ref_trigger_synch,
+ pulse => fast_ref_trigger_pulse);
+
+ SYNCH_WITHC_TDC_CLK : process (TDC_CLK, reset_i)
+ begin -- process SYNCH_WITHC_TDC_CLK
+ if rising_edge(TDC_CLK) then
+ if reset_i = '1' then -- asynchronous reset (active low)
+ fast_ref_trigger_pulse_synch <= '0';
+ else
+ fast_ref_trigger_pulse_synch <= fast_ref_trigger_pulse;
+ end if;
+ end if;
+ end process SYNCH_WITHC_TDC_CLK;
+
+ a_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ b_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ c_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ d_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+
+ BLINK_TDC_DIODS_ON_TRBv2c : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ A_TDC_POWERUP <= '1'; --in trbv2c this is a diod in trbv2b real!!!
+ B_TDC_POWERUP <= '1'; -- -//-
+ C_TDC_POWERUP <= '1'; -- -//-
+ D_TDC_POWERUP <= '1'; -- -//-
+ elsif rw_register_i(3)(8) = '0' then --trbv2b deafoult '0'
+ A_TDC_POWERUP <= '1';
+ B_TDC_POWERUP <= '1';
+ C_TDC_POWERUP <= '1';
+ D_TDC_POWERUP <= '1';
+ else
+ A_TDC_POWERUP <= not r_register_i(18)(22);
+ B_TDC_POWERUP <= not r_register_i(18)(23);
+ C_TDC_POWERUP <= not r_register_i(18)(24);
+ D_TDC_POWERUP <= not r_register_i(18)(25);
+ end if;
+ end if;
+ end process BLINK_TDC_DIODS_ON_TRBv2c;
+
+ DBAD <= lvl1_busy_i;
+ DWAIT <= lvl2_busy_i;
+
+ LVL1_TAG_COUNT : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => TDC_CLK,
+ RESET => reset_i,
+ COUNT_OUT => ref_time_counter,
+ UP_IN => fast_ref_trigger_pulse,
+ DOWN_IN => '0');
+
+ CHECK_REF_AND_DIGITAL_TRIGGER : process (CLK, reset_i, lvl1_trigger_i, ref_time_counter, lvl1_trigger_tag_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ trigger_miss_match <= '0';
+ elsif lvl1_trigger_i = '1' and (ref_time_counter /= lvl1_trigger_tag_i(7 downto 0)) then
+ trigger_miss_match <= '1';
+ elsif fast_ref_trigger_pulse = '1' and (ref_time_counter /= lvl1_trigger_tag_i(7 downto 0)) then
+ trigger_miss_match <= '0'; --trigger_miss_match;
+ end if;
+ end if;
+ end process CHECK_REF_AND_DIGITAL_TRIGGER;
+
+ fpga_register_0a_i(15 downto 0) <= ref_time_counter & lvl1_trigger_tag_i(7 downto 0);
+ fpga_register_0a_i(30) <= not_hades_trigger;
+ fpga_register_0a_i(31) <= fast_ref_trigger;
+-----------------------------------------------------------------------------
+-- MULTIPLEX_DATA_TO_ETRAX
+-----------------------------------------------------------------------------
+
+
+
+ MULTIPLEX_DATA_TO_ETRAX : process(CLK, reset_i, external_mode_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ external_data_in_i <= (others => '0');
+ elsif external_mode_i(7 downto 0) = x"01" then
+ external_data_in_i <= dsp_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"02" then
+ external_data_in_i <= sdram_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"05" then
+ external_data_in_i <= trigger_rw_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"06" then
+ external_data_in_i <= x"0000" & sfp_data_out;
+ else
+ external_data_in_i <= x"babe" & external_mode_i;
+ end if;
+ end if;
+ end process MULTIPLEX_DATA_TO_ETRAX;
+-- dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+ --\@@##$$%%^^&&**((( put dsp_strobe to the dsp interface (extarnal mode has
+ -- to be there)
+-- sdram_strobe_i <= '1' when external_mode_i(7 downto 0) = x"02" and external_ack_i = '1' else '0';
+-- external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or sfp_external_valid;
+ external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or trigger_rw_valid_out_i or sfp_external_valid ;
+-------------------------------------------------------------------------------
+-- sfp interface
+-------------------------------------------------------------------------------
+ Sfp_Interface_1: Sfp_Interface
+ generic map (
+ I2C_SPEED => X"0050") --for 100MHz CLK it is ~ 312.5kz
+ port map (
+ CLK_IN => CLK,
+ RST_IN => reset_i,
+ START_PULSE => external_ack_i,
+ DEVICE_ADDRESS => external_mode_i(7 downto 0),
+ DATA_OUT => sfp_data_out,--external_data_in_i(15 downto 0),--r_register_i(20)(15 downto 0),
+ SCL => SCL,
+ SDA => SDA,
+ EN_RESET => external_address_i(15),
+ READ_DONE => sfp_external_valid, --external_valid_i
+ DEBUG => r_register_i(21),
+ SFP_ADDRESS => external_address_i);
+ r_register_i(20)(15 downto 0) <= sfp_data_out;
+-- Sfp_Interface_1 : Sfp_Interface
+-- generic map (
+-- device_add => "1010001",
+-- temp_start_add => "01100000",
+-- opt_pwr_start_add => "01101000",
+-- I2C_SPEED => "11111101")
+-- port map (
+-- CLK_IN => CLK,
+-- RST_IN => reset_i,
+-- START_PULSE => external_ack_i,
+-- TEMP_DATA_OUT => r_register_i(20)(15 downto 0),
+-- OPT_DATA_OUT => r_register_i(20)(31 downto 16),
+-- SCL => SDA,--SCL,
+-- SDA => SCL,--SDA,
+-- EN_RESET => external_address_i(15),
+-- DEBUG => r_register_i(21),
+-- SFP_ADDRESS => external_address_i
+-- -- DEVICE_ADDRESS => external_mode_i(7 downto 0)
+-- );
+-- SFP_MOD_LOW <= '0';
+
+-- ENABLE_SFP_DEBUG : if DEBUG_OPTION = 3 generate
+-- ADO_TTL(4 downto 0) <= r_register_i(21)(4 downto 0);
+-- ADO_TTL(15 downto 8) <= r_register_i(21)(15 downto 8);
+-- end generate ENABLE_SFP_DEBUG;
+
+-------------------------------------------------------------------------------
+-- etrax interface
+-------------------------------------------------------------------------------
+ ETRAX_IRQ <= '1';
+
+
+ ETRAX_INTERFACE_LOGIC : etrax_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ RW_SYSTEM => RW_SYSTEM,
+ RW_REGISTERS_NUMBER => RW_REGISTERS_NUMBER,
+ R_REGISTERS_NUMBER => R_REGISTERS_NUMBER,
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_BUS => tdc_data_out_i,
+ ETRAX_DATA_BUS_B => FS_PB,
+ ETRAX_DATA_BUS_B_17 => FS_PB_17,
+ ETRAX_DATA_BUS_C => FS_PC,
+ ETRAX_DATA_BUS_E => open,
+ IPU_READY_IN => ipu_read_out_i,
+ IPU_DATAREADY_OUT => ipu_dataready_in_i,
+ IPU_DATA_OUT => ipu_data_in_i,
+ DATA_VALID => tdc_data_valid_i,
+ ETRAX_BUS_BUSY => etrax_bus_busy_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ TDC_TCK => VIRT_TCK,
+ TDC_TDI => VIRT_TDI,
+ TDC_TMS => VIRT_TMS,
+ TDC_TRST => open, --VIRT_TRST,
+ TDC_TDO => VIRT_TDO,
+ TDC_RESET => open, --TDC_RESET,
+ EXTERNAL_ADDRESS => external_address_i,
+ EXTERNAL_DATA_OUT => external_data_out_i,
+ EXTERNAL_DATA_IN => external_data_in_i,
+ EXTERNAL_ACK => external_ack_i,
+ EXTERNAL_VALID => external_valid_i,
+ EXTERNAL_MODE => external_mode_i,
+ RW_REGISTER => rw_register_vector,
+ R_REGISTER => r_register_vector,
+ LVL2_VALID => lvl2_valid_i,--lvl2_trigger_code_i(3),
+ TRB_LVL2_BUSY => lvl2_busy_i,
+ REGIO_ADDR_IN => regio_addr_out_i,
+ REGIO_READ_ENABLE_IN => regio_read_enable_out_i,
+ REGIO_WRITE_ENABLE_IN => regio_write_enable_out_i,
+ REGIO_DATA_IN => regio_data_out_i,
+ REGIO_DATA_OUT => regio_data_in_i,
+ REGIO_DATAREADY_OUT => regio_dataready_in_i,
+ REGIO_NO_MORE_DATA_OUT => regio_no_more_data_in_i,
+ REGIO_WRITE_ACK_OUT => regio_write_ack_in_i,
+ REGIO_UNKNOWN_ADDR_OUT => regio_unknown_addr_in_i,
+ DEBUG_REGISTER_OO => etrax_debug_reg
+ );
+
+ lvl2_valid_i <= lvl2_trigger_code_i(3) or rw_register_i(3)(4);
+
+ DEBUG_TRBNET_REGIO: if DEBUG_OPTION = 6 generate
+ ADO_TTL(3) <= regio_addr_out_i(0);
+ ADO_TTL(4) <= regio_read_enable_out_i; --read fifo to sdram
+ ADO_TTL(5) <= regio_write_enable_out_i; --read fifo from sdram
+ ADO_TTL(6) <= etrax_debug_reg(24);--write fifo from sdram
+ ADO_TTL(7) <= etrax_debug_reg(16); -- read state
+ ADO_TTL(8) <= regio_write_ack_in_i; --write state
+ ADO_TTL(9) <= etrax_debug_reg(0); --write state
+ ADO_TTL(10) <= regio_data_in_i(0);
+ ADO_TTL(11) <= regio_data_out_i(0);
+ ADO_TTL(12) <= etrax_debug_reg(25);
+ ADO_TTL(13) <= CLK;
+ ADO_TTL(14) <= regio_unknown_addr_in_i;
+ ADO_TTL(15) <= CLK;
+-- ADO_TTL(15 downto 8) <= regio_data_out_i(7 downto 0);
+
+
+ end generate DEBUG_TRBNET_REGIO;
+
+ REWRITE_R_REGISTER : for i in 1 to R_REGISTERS_NUMBER generate
+ r_register_vector(32*i-1 downto 32*(i-1)) <= r_register_i(i-1);
+ end generate REWRITE_R_REGISTER;
+
+ REWRITE_RW_REGISTER : for i in 1 to RW_REGISTERS_NUMBER generate
+ rw_register_i(i-1) <= rw_register_vector(32*i-1 downto 32*(i-1));
+ end generate REWRITE_RW_REGISTER;
+
+ fpga_register_01_i <= tdc_register_00_i;
+ fpga_register_02_i <= tdc_register_01_i;
+ fpga_register_03_i <= tdc_register_02_i;
+ fpga_register_04_i <= tdc_register_03_i;
+ fpga_register_05_i <= tdc_register_04_i;
+
+-- fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
+-- fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
+-- fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
+ fpga_register_0c_i <= trigger_miss_match & opt_busy_lvl1_or_lvl2 & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+-- fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
+ r_register_i(0) <= x"aaaabbbb";
+ r_register_i(1) <= tdc_register_00_i;
+ r_register_i(2) <= tdc_register_01_i;
+ r_register_i(3) <= tdc_register_02_i;
+ r_register_i(4) <= tdc_register_03_i;
+ r_register_i(5) <= fpga_register_05_i;
+ r_register_i(6) <= conv_std_logic_vector(VERSION_NUMBER_TIME, 32);
+ r_register_i(7) <= x"abcd0001"; --fpga_register_07_i;
+ r_register_i(8) <= fpga_register_08_i;
+ r_register_i(9) <= fpga_register_09_i;
+ r_register_i(10) <= fpga_register_0a_i;
+ r_register_i(11) <= fpga_register_0b_i;
+ r_register_i(12) <= fpga_register_0c_i;
+ r_register_i(13) <= fpga_register_0d_i;
+-- r_register_i((14+1)*32-1 downto 14*32) <= x"abcd0002";
+ fpga_register_06_i <= rw_register_i(0);
+ fpga_register_07_i <= rw_register_i(1);
+ fpga_register_0e_i <= rw_register_i(2);
+
+
+
+-------------------------------------------------------------------------------
+-- dsp -- remember about offset for
+-- adressing internal registers (0x10000000). DSP is foreseen
+-- for multiprocessor environment
+-------------------------------------------------------------------------------
+
+ DSP_EN : if DSP_INT_ENABLE = 1 generate
+ DSP_DATA_REGISTER : process (CLK, reset_i)
+ begin -- process DSP_DATA_REGISTER
+ if CLK'event and CLK = '1' then
+ if reset_i = '1' then
+ dsp_data_reg_in_i <= x"00000000";
+ dsp_data_reg_out_i <= x"00000000";
+ dsp_bm_reg <= '0';
+ else
+ dsp_bm_reg <= DSP_BM;
+ dsp_data_reg_in_i <= DSPDAT;
+ dsp_data_reg_out_i <= dspdat_out_i;
+ end if;
+ end if;
+ end process DSP_DATA_REGISTER;
+
+ DSP_HBR <= '1';
+ DSP_RESET <= fpga_register_06_i(4);
+ DSP_HBR <= dsp_hbr_i;
+ DSPDAT <= dspdat_out_i;
+ dspdat_in_i <= DSPDAT;
+ DSP_WRL <= DSP_WRL_i;
+ DSP_RD <= DSP_RD_i;
+ DSPADDR <= dspaddr_i;
+ DSP_BOFF <= fpga_register_06_i(5);
+ DSP_IRQ <= x"1";
+ DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+ DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+
+ DSP_INTERFACE_LOGIC : dsp_interface
+ port map (
+ HBR_OUT => dsp_hbr_i,
+ HBG_IN => DSP_HBG,
+ RD_OUT => DSP_RD_i,
+ DSP_DATA_OUT => dspdat_out_i, --DSPDAT to DSP,
+ DSP_DATA_IN => dspdat_in_i, --DSPDAT to FPGA,
+ ADDRESS_DSP => dspaddr_i, --DSPADDR,
+ WRL => DSP_WRL_i,
+ WRH => DSP_WRH,
+ BM_IN => DSP_BM,
+ DSP_RESET => open,
+ BRST => DSP_BRST,
+ ACK => DSP_ACK,
+ CLK => CLK,
+ RESET => reset_i,
+ R_W_ENABLE => external_mode_i(15),
+ TRIGGER => dsp_strobe_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => dsp_data_out_i, --external_data_in_i,
+ INTERNAL_ADDRESS => external_address_i,
+ VALID_DATA_SENT => dsp_external_valid_i,
+ ACKNOWLEDGE => dsp_strobe_i,
+ DEBUGSTATE_MACHINE => dsp_register_00_i);
+
+ end generate DSP_EN;
+
+ DSP_DISABLE: if DSP_INT_ENABLE = 0 generate
+ dsp_external_valid_i <= '0';
+ DSPDAT <= (others => 'Z');
+ DSP_WRH <= 'Z';
+ DSP_WRL <= 'Z'; --in for virtex to read dsp(it's out =0 if I
+ --write into dsp)
+--OUT
+ DSP_HBR <= '1';
+ DSPADDR <= (others => 'Z');
+ DSP_RD <= 'Z';
+ DSP_BRST <= 'Z';
+ end generate DSP_DISABLE;
+
+
+-------------------------------------------------------------------------------
+-- sdram interface
+-------------------------------------------------------------------------------
+
+-- SDRAM_EN : if SDRAM_INT_ENABLE = 1 generate
+-- SDRAM_INTERFACE_LOGIC : sdram_interface
+-- port map (
+-- CLK_SDRAM => VSD_CLOCK,
+-- CKE => VSD_CKE,
+-- CS => vsd_cs_i,
+-- RAS => VSD_RAS,
+-- CAS => VSD_CAS,
+-- WE => VSD_WE,
+-- DQM => VSD_DQML,
+-- BA => VSD_BA,
+-- A => VSD_A,
+-- DQ => VSD_D,
+-- CLK => CLK,
+-- RESET => reset_i,
+-- TRIGGER => external_ack_i,
+-- INTERNAL_DATA_IN => external_data_out_i,
+-- INTERNAL_DATA_OUT => sdram_data_out_i,
+-- INTERNAL_ADDRESS => external_address_i,
+-- INTERNAL_MODE => external_mode_i,
+-- VALID_DATA_SENT => sdram_external_valid_i,
+-- DEBUGSTATE_MACHINE => sdram_register_00_i);
+
+-- VSD_CSEH <= vsd_cs_i;
+-- VSD_CSEL <= vsd_cs_i;
+---- ADO_TTL(18) <= '1';
+---- ADO_TTL(15 downto 0) <= (others => 'Z');
+-- end generate SDRAM_EN;
+
+-- SDRAM_DISABLE: if SDRAM_INT_ENABLE = 0 generate
+-- sdram_external_valid_i <= '0';
+-- VSD_CLOCK <= '0';
+-- VSD_CSEL <= '1';
+-- VSD_CSEH <= '1';
+-- VSD_RAS <= '1';
+-- VSD_CAS <= '1';
+-- VSD_WE <= '1';
+-- VSD_DQML <= x"0";
+-- VSD_A(12 downto 0) <= (others => '0');
+-- VSD_D <= (others => 'Z');
+-- VSD_BA <= "00";
+-- end generate SDRAM_DISABLE;
+
+
+--------------------------------------------------------------------------
+-- scalers - there has to be an GP-AddOn with correct configuration see GP_AddOn
+-- directory (not available now).
+-------------------------------------------------------------------------
+ SCALERS_ON_LVDS_ENABLE : if SCALERS_ENABLE = 1 or TRBV2_CONFIG_TYPE = 2 generate
+ SET_SCALER_RESET : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ scaler_reset <= '1';
+ elsif lvl1_trigger_code_i = x"E" and lvl1_finished_i = '1' then
+ scaler_reset <= '1';
+ else
+ scaler_reset <= '0';
+ end if;
+ end if;
+ end process SET_SCALER_RESET;
+
+ SCALER : for lvds_line in 0 to NUMBER_OFF_ADD_DATA - 1 generate
+ FIRST_6: if lvds_line < 14 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvds_add_on_data(lvds_line),
+ pulse => scaler_pulse(lvds_line));
+
+ RPC_SCALER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 32)
+ port map (
+ CLK => CLK,
+ RESET => scaler_reset,
+ COUNT_OUT => scaler_counter(lvds_line),
+ UP_IN => scaler_pulse(lvds_line),
+ DOWN_IN => '0');
+ additional_data_i((lvds_line+1)*32-1 downto lvds_line*32) <= scaler_counter(lvds_line);
+ end generate FIRST_6;
+
+ SEVENTH: if lvds_line > 14 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvds_add_on_data(27+14-lvds_line),
+ pulse => scaler_pulse(lvds_line));
+
+ RPC_SCALER: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 32)
+ port map (
+ CLK => CLK,
+ RESET => scaler_reset,
+ COUNT_OUT => scaler_counter(lvds_line),
+ UP_IN => scaler_pulse(lvds_line),
+ DOWN_IN => '0');
+ additional_data_i((lvds_line+1)*32-1 downto lvds_line*32) <= scaler_counter(lvds_line);
+ end generate SEVENTH;
+
+ end generate SCALER;
+ end generate SCALERS_ON_LVDS_ENABLE;
+
+ RPC_SCALERS_ENABLE: if TRBV2_CONFIG_TYPE=2 generate
+
+ ENABLE_RPC_SCALERS_ON_MDC_CAL : process (CLK,reset_i,lvl1_trigger_code_i, fpga_register_06_i(0))
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ number_of_rpc_add_data <= x"00";
+ elsif lvl1_trigger_code_i = x"E" and fpga_register_06_i(0) = '1' and lvl1_trigger_i = '1' then --
+ --valid only when there is data valid to
+ number_of_rpc_add_data <= x"13"; --16 individual and 3 global multiplicities
+ else
+ number_of_rpc_add_data <= fpga_register_06_i(23 downto 16); --x"08";
+ end if;
+ end if;
+ end process ENABLE_RPC_SCALERS_ON_MDC_CAL;
+
+ end generate RPC_SCALERS_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- others --simple test for clock quality (osciloscope)
+--------------------------------------------------------------------------
+
+ COUNTER_FOR_CLOCK_CHECK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ check_counter <= (others => '0');
+ else
+ check_counter <= check_counter + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_CLOCK_CHECK;
+ check_pulse <= '1' when check_counter > x"fffe" else '0';
+
+end trb_v2b_fpga;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+
+entity trb_v2b_fpga is
+ generic (
+ RW_SYSTEM : integer range 0 to 5 := 1; --1 -trb, 2 -addon with portE 10 9 as rw
+ TRBV2_TYPE : integer range 0 to 4 := 0;
+ -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto,
+ -- 1 - MDC,
+ -- 2 - SHOWER,
+ -- 3 - RICH
+ -- 4 - CTS
+ TRBNET_ENABLE : integer range 0 to 1 := 0; -- 0 - disable,
+ -- 1 - enable
+ DTU_ENABLE : integer range 0 to 2 := 0; -- 0 - disable, 1 - old
+ -- HADES bus, 2 - opt
+ -- without trbnet
+ CTU_ENABLE : integer range 0 to 1 := 0;
+ HADES_OLD_BUS_ENABLE : integer range 0 to 1 := 0;
+ DSP_INT_ENABLE : integer range 0 to 1 := 0; -- dsp interface enable
+ SDRAM_INT_ENABLE : integer range 0 to 1 := 0; -- sdram interface enable
+ SCALERS_ENABLE : integer range 0 to 1 := 0
+ );
+ port (
+ -------------------------------------------------------------------------
+ -- GENERAL
+ -------------------------------------------------------------------------
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic; --diode
+ DGOOD : out std_logic; --diode
+ DINT : out std_logic; --diode
+ DWAIT : out std_logic; --diode
+ A_RESERVED : in std_logic; --TDC connector 75,76(line 3 from end)
+ A_TEMP : in std_logic; -- |
+ B_RESERVED : in std_logic; -- |
+ B_TEMP : in std_logic; -- V
+ C_RESERVED : in std_logic;
+ C_TEMP : in std_logic;
+ D_RESERVED : in std_logic;
+ D_TEMP : in std_logic;
+ VIR_TRIG : in std_logic; --fast trigger
+ VIR_TRIGB : in std_logic;
+ -------------------------------------------------------------------------
+ -- TDC connections
+ -------------------------------------------------------------------------
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic; --turn on TDC -should be one ?
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ -- TDC_BU_RESET : out std_logic;
+ -- TDC_BU_RESETB : out std_logic;
+ -- TDC_EV_RESET : out std_logic;
+ -- TDC_EV_RESETB : out std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- ETRAX connections
+ -------------------------------------------------------------------------
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic;--_vector (16 downto 0);
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SPI
+ -------------------------------------------------------------------------
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- RPC TEST SIGNALS - for RPC
+ -------------------------------------------------------------------------
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TIGER SHARC
+ -------------------------------------------------------------------------
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic; --LOC = AF15;
+ DSP_BOFF : out std_logic; -- LOC = AK14;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ --link0
+-- DSP_L0DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L0DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L0DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L0DATON : in std_logic_vector (3 downto 0);
+-- DSP_L0CLKINP : out std_logic;
+-- DSP_L0CLKINN : out std_logic;
+-- DSP_L0CLKOUTP : in std_logic;
+-- DSP_L0CLKOUTN : in std_logic;
+-- DSP_L0BCMPO : in std_logic;
+-- DSP_L0ACKO : in std_logic;
+-- DSP_L0BCMPI : out std_logic;
+-- DSP_L0ACKI : out std_logic;
+-- --link1
+-- DSP_L1DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L1DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L1DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L1DATON : in std_logic_vector (3 downto 0);
+-- DSP_L1CLKINP : out std_logic;
+-- DSP_L1CLKINN : out std_logic;
+-- DSP_L1CLKOUTP : in std_logic;
+-- DSP_L1CLKOUTN : in std_logic;
+-- DSP_L1ACKO : in std_logic;
+-- DSP_L1BCMPO : in std_logic;
+-- DSP_L1BCMPI : out std_logic;
+-- DSP_L1ACKI : out std_logic;
+ --DSP_TMROE : LOC = AP20; --link data widt now is 4 bit --pullup
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ -- DSP_MSH LOC = AL14;
+ -- DSP_IOEN LOC = AL18;
+ -- DSP_IORD LOC = AB16;
+ -- DSP_IOWR LOC = AB17;
+ -- DSP_BUSLOCK LOC = AC19;
+ --DSP_DMAR : std_logic_vector (3 downto 0);
+ --DSP_FLAG : std_logic_vector (3 downto 0);
+
+ -------------------------------------------------------------------------
+ -- SDRAM
+ -------------------------------------------------------------------------
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TLK
+ -------------------------------------------------------------------------
+
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SFP
+ -------------------------------------------------------------------------
+ SFP_LOS : in std_logic;
+ -- SFP_MOD<0> LOC = R23;
+ -- SFP_MOD<1> LOC = K32;
+ -- SFP_MOD<2> LOC = K33;
+ -- SFP_RATE_SEL : out std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+
+ -------------------------------------------------------------------------
+ -- ADDON board
+ -------------------------------------------------------------------------
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ ADO_LV : in std_logic_vector(51 downto 0); --lvds signal
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+ -- ADO_TTL : inout std_logic_vector(15 downto 0);
+-- ADO_TTL : in std_logic;
+
+ -------------------------------------------------------------------------------
+ --TDC JTAG
+ -------------------------------------------------------------------------------
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic
+ );
+
+ end trb_v2b_fpga;
+architecture trb_v2b_fpga of trb_v2b_fpga is
+ component trb_net16_endpoint_0_trg_1_api
+ generic (
+ API_TYPE : integer range 0 to 1 := c_API_PASSIVE;
+ IBUF_DEPTH : integer range 0 to 6 := 6;--c_FIFO_BRAM;
+ FIFO_TO_INT_DEPTH : integer range 0 to 6 := 6;--c_FIFO_SMALL;
+ FIFO_TO_APL_DEPTH : integer range 0 to 6 := 0;--c_FIFO_SMALL;
+ SBUF_VERSION : integer range 0 to 1 := c_SBUF_FULL;
+ IBUF_SECURE_MODE : integer range 0 to 1 := c_SECURE_MODE;
+ API_SECURE_MODE_TO_APL : integer range 0 to 1 := c_NON_SECURE_MODE;
+ API_SECURE_MODE_TO_INT : integer range 0 to 1 := c_SECURE_MODE;
+ OBUF_DATA_COUNT_WIDTH : integer range 0 to 7 := std_DATA_COUNT_WIDTH;
+ INIT_CAN_SEND_DATA : integer range 0 to 1 := c_NO;
+ REPLY_CAN_SEND_DATA : integer range 0 to 1 := c_YES;
+ USE_CHECKSUM : integer range 0 to 1 := c_YES;
+ DAT_CHANNEL : integer range 0 to 3 := c_SLOW_CTRL_CHANNEL
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_ERROR_IN : in std_logic_vector (2 downto 0);
+ MED_STAT_OP : in std_logic_vector (15 downto 0);
+ MED_CTRL_OP : out std_logic_vector (15 downto 0);
+ APL_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ APL_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ APL_DATAREADY_IN : in std_logic;
+ APL_READ_OUT : out std_logic;
+ APL_SHORT_TRANSFER_IN : in std_logic;
+ APL_DTYPE_IN : in std_logic_vector (3 downto 0);
+ APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0);
+ APL_SEND_IN : in std_logic;
+ APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0);
+ APL_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ APL_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ APL_TYP_OUT : out std_logic_vector (2 downto 0);
+ APL_DATAREADY_OUT : out std_logic;
+ APL_READ_IN : in std_logic;
+ APL_RUN_OUT : out std_logic;
+ APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0);
+ APL_SEQNR_OUT : out std_logic_vector (7 downto 0);
+ STAT_GEN : out std_logic_vector (31 downto 0);
+ STAT_LOCKED : out std_logic_vector (31 downto 0);
+ STAT_INIT_BUFFER : out std_logic_vector (31 downto 0);
+ STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0);
+ STAT_api_control_signals : out std_logic_vector(31 downto 0);
+ STAT_MPLEX : out std_logic_vector(31 downto 0);
+ CTRL_GEN : in std_logic_vector (31 downto 0);
+ CTRL_LOCKED : in std_logic_vector (31 downto 0);
+ STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0);
+ STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0);
+ MPLEX_CTRL : in std_logic_vector (31 downto 0);
+ API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0);
+ API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0));
+ end component;
+
+ component vulom_interface
+ generic (
+ TRBNET_ENABLE : positive);
+ port (
+ CLK : in std_logic;
+ DATA_CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_IN : in std_logic_vector(1 downto 0);
+ BUSY : out std_logic;
+ ACK_TO_VULOM : out std_logic;
+ ERR_TO_VULOM : out std_logic;
+ API_DATA : out std_logic_vector(47 downto 0);
+ API_RUN_OUT : in std_logic;
+ API_SHORT_TRANSFER : out std_logic;
+ API_DTYPE : out std_logic_vector(3 downto 0);
+ API_SEND : out std_logic;
+ API_WRITE_IN : out std_logic;
+ API_TARGET_ADDRESS : out std_logic_vector(15 downto 0);
+ API_SEQNR_OUT : in std_logic_vector(7 downto 0);
+ VULOM_INT_REG : out std_logic_vector(31 downto 0);
+ INTERFACE_CONTROL : in std_logic_vector(3 downto 0);
+ EVENT_OUT : out std_logic_vector(31 downto 0);
+ EVENT_VALID : out std_logic;
+ EVENT_READOUT_START : in std_logic;
+ EVENT_READOUT_END : out std_logic;
+ START_READOUT : out std_logic;
+ LVL1_TRANSFER_BUSY : in std_logic);
+ end component;
+
+ component tdc_interface
+ port (
+ CLK : in std_logic;
+ TDC_CLK : in std_logic;
+ RESET : in std_logic;
+ TDC_DATA_IN : in std_logic_vector (31 downto 0);
+ START_TDC_READOUT : in std_logic;
+ A_TDC_READY : in std_logic;
+ B_TDC_READY : in std_logic;
+ C_TDC_READY : in std_logic;
+ D_TDC_READY : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic;
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ SEND_TDC_TOKEN : out std_logic;
+ RECEIVED_TDC_TOKEN : in std_logic;
+ GET_TDC_DATA : out std_logic;
+ LVL2_READOUT_COMPLETED : out std_logic;
+ LVL1_TAG : in std_logic_vector(7 downto 0);
+ LVL1_CODE : in std_logic_vector(3 downto 0);
+ LVL2_TAG : in std_logic_vector(7 downto 0);
+ HOW_MANY_ADD_DATA : in std_logic_vector(7 downto 0);
+ COUNTER_a : in std_logic_vector(31 downto 0);
+ COUNTER_b : in std_logic_vector(31 downto 0);
+ COUNTER_c : in std_logic_vector(31 downto 0);
+ COUNTER_d : in std_logic_vector(31 downto 0);
+ COUNTER_e : in std_logic_vector(31 downto 0);
+ COUNTER_f : in std_logic_vector(31 downto 0);
+ COUNTER_g : in std_logic_vector(31 downto 0);
+ COUNTER_h : in std_logic_vector(31 downto 0);
+ LVL2_TRIGGER : in std_logic;--_vector(1 downto 0);
+ TDC_DATA_OUT : out std_logic_vector(31 downto 0);
+ TDC_DATA_VALID : out std_logic;
+ ETRAX_IS_READY_TO_READ : in std_logic;
+ ETRAX_IS_BUSY : in std_logic;
+ LVL1_BUSY : out std_logic;
+ LVL2_BUSY : out std_logic;
+ TDC_REGISTER_00 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_01 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_02 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_03 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_04 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_05 : in std_logic_vector(31 downto 0);
+ BUNCH_RESET : out std_logic;
+ EVENT_RESET : out std_logic;
+ DELAY_TRIGGER : in std_logic_vector(7 downto 0);
+ TDC_START : out std_logic;
+ TRIGGER_WITHOUT_HADES : in std_logic;
+ TRIGGER_WITH_GEN_EN : in std_logic;
+ TRIGGER_WITH_GEN : in std_logic
+ );
+ end component;
+
+ component etrax_interfacev2
+ generic (
+ RW_SYSTEM : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic;--_vector(17 downto 0);
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ FPGA_REGISTER_00 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_01 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_02 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_03 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_04 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_05 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_06 : out std_logic_vector(31 downto 0);
+ FPGA_REGISTER_07 : out std_logic_vector(31 downto 0);
+ FPGA_REGISTER_08 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_09 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0A : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0B : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0C : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0D : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0E : out std_logic_vector(31 downto 0);
+ LVL2_VALID : in std_logic
+ );
+ end component;
+
+ component trb_net16_med_tlk
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector(15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector(15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_READ_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (15 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (1 downto 0);
+ MED_DATAREADY_OUT : out std_logic;
+ MED_READ_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (15 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0);
+ MED_ERROR_OUT : out std_logic_vector (2 downto 0);
+ STAT : out std_logic_vector (63 downto 0);
+ STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_OP : in std_logic_vector (15 downto 0)
+ );
+ end component;
+
+ component dsp_interface
+ port (
+ -- signal to/from dsp
+ HBR_OUT : out std_logic; -- Host Bus Request to DSP
+ HBG_IN : in std_logic; -- Host Bus Grant from DSP
+ RD_OUT : out std_logic; -- read/write enable of DSP
+ DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+ DSP_DATA_IN : in std_logic_vector(31 downto 0);
+ ADDRESS_DSP : out std_logic_vector(31 downto 0);
+ WRL : out std_logic; --when dsp slave is output
+ WRH : out std_logic; --when dsp slave is output
+ BM_IN : in std_logic; --Bus Master. For debug
+ DSP_RESET : out std_logic;
+ BRST : inout std_logic;
+ ACK : in std_logic;
+ --internal data and address bus
+ CLK : in std_logic;
+ RESET : in std_logic;
+ R_W_ENABLE : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ ACKNOWLEDGE : in std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component dtu_interface
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL1_ERROR_BUS : out std_logic;
+ LVL1_BUSY_BUS : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL2_ERROR_BUS : out std_logic;
+ LVL2_BUSY_BUS : out std_logic;
+ LVL2_TRIGGER : out std_logic;
+ LVL2_CODE : out std_logic_vector(3 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_TRB_ACK : in std_logic;
+ DTU_DEBUG_00 : out std_logic_vector( 31 downto 0));
+ end component;
+
+ component sdram_interface
+ port (
+--connection with sdram
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+--internal signals
+ CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component ctu
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGG : in std_logic_vector(7 downto 0);
+ LVL1_START : out std_logic;
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGG : in std_logic_vector(1 downto 0);
+ LVL2_START : out std_logic_vector(1 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_DOWNSCALING : in std_logic_vector(7 downto 0);
+ CTU_CONTROL : in std_logic_vector(31 downto 0);
+ LVL1_CTU_STATUS : out std_logic_vector(31 downto 0);
+ LVL2_CTU_STATUS : out std_logic_vector(31 downto 0));
+ end component;
+
+ component optical_dtu
+ port (
+ CLK : in std_logic;
+ RX_CLK : in std_logic;
+ TX_CLK : in std_logic;
+ RESET : in std_logic;
+ OPT_DATA_IN : in std_logic_vector(15 downto 0);
+ OPT_DATA_OUT : out std_logic_vector;
+ OPT_DATA_VALID_IN : in std_logic;
+ OPT_DATA_ERR : in std_logic;
+ OPT_DATA_SEND : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_TRIGGER_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG : out std_logic_vector(7 downto 0);
+ LVL2_TRIGGER : out std_logic;
+ LVL2_TRIGGER_CODE : out std_logic;
+ LVL2_TRIGGER_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_BUSY : in std_logic;
+ OPT_DTU_DEBUG_REGISTER : out std_logic_vector(31 downto 0));
+ end component;
+
+ component simpleupcounter_32bit
+ port (
+ QOUT : out std_logic_vector(31 downto 0);
+ UP : in std_logic;
+ CLK : in std_logic;
+ CLR : in std_logic);
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- SFP optical power and temperature --component !!!
+ -----------------------------------------------------------------------------
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+ constant VERSION_NUMBER_TIME : integer := 1210005214; --interface
+
+
+ --clk
+ signal CLK : std_logic;
+ signal addon_clk : std_logic;
+
+ --reset
+ signal global_reset_counter : std_logic_vector(3 downto 0) := x"0";
+ signal reset_i : std_logic :='0';
+
+ --TDC
+ signal tdc_clk : std_logic;
+ signal tdc_clk_i : std_logic;
+ signal tdc_data_in_i : std_logic_vector(31 downto 0);
+ signal a_data_ready_i : std_logic;
+ signal b_data_ready_i : std_logic;
+ signal c_data_ready_i : std_logic;
+ signal d_data_ready_i : std_logic;
+ signal a_trigg : std_logic;
+ signal b_trigg : std_logic;
+ signal c_trigg : std_logic;
+ signal d_trigg : std_logic;
+ signal reference_signal : std_logic;
+ signal tdc_readout_completed_i : std_logic;
+ signal tdc_data_out_i : std_logic_vector(31 downto 0);
+ signal tdc_data_valid_i : std_logic;
+ signal lvl2_readout_completed_i : std_logic;
+ signal tdc_register_00_i : std_logic_vector(31 downto 0);
+ signal tdc_register_01_i : std_logic_vector(31 downto 0);
+ signal tdc_register_02_i : std_logic_vector(31 downto 0);
+ signal tdc_register_03_i : std_logic_vector(31 downto 0);
+ signal tdc_register_04_i : std_logic_vector(31 downto 0);
+ signal tdc_register_05_i : std_logic_vector(31 downto 0);
+ signal bunch_reset_i : std_logic;
+ signal event_reset_i : std_logic;
+ signal trigger_to_tdc_i : std_logic;
+ signal token_out_i : std_logic;
+ signal fast_ref_trigger : std_logic;
+ signal fast_ref_trigger_synch : std_logic;
+ signal fast_ref_trigger_pulse : std_logic;
+ signal token_in_i : std_logic;
+ signal not_hades_trigger : std_logic;
+
+ --common signals for triggers
+ signal lvl1_busy_i : std_logic;
+ signal lvl2_busy_i : std_logic;
+ signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_tag_i : std_logic_vector(7 downto 0);
+ signal lvl2_trigger_i : std_logic;
+ signal lvl1_trigger_i : std_logic;
+ signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0";
+ signal lvl2_trigger_tag_i : std_logic_vector(7 downto 0) := x"00";
+
+ --etrax
+ signal etrax_bus_busy_i : std_logic; --should go to busy logic !?
+ signal etrax_is_ready_to_read_i : std_logic;
+ signal fpga_register_01_i : std_logic_vector(31 downto 0);
+ signal fpga_register_02_i : std_logic_vector(31 downto 0);
+ signal fpga_register_03_i : std_logic_vector(31 downto 0);
+ signal fpga_register_04_i : std_logic_vector(31 downto 0);
+ signal fpga_register_05_i : std_logic_vector(31 downto 0);
+ signal fpga_register_06_i : std_logic_vector(31 downto 0);
+ signal fpga_register_07_i : std_logic_vector(31 downto 0);
+ signal fpga_register_08_i : std_logic_vector(31 downto 0);
+ signal fpga_register_09_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0e_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0f_i : std_logic_vector(31 downto 0);
+
+ -- tlk
+ signal tlk_rx_clk_r : std_logic;
+ signal tlk_clk_r : std_logic;
+ signal tlk_register_00_i : std_logic_vector(31 downto 0);
+ signal tlk_register_01_i : std_logic_vector(31 downto 0);
+
+ --rw external interaface
+ signal external_address_i : std_logic_vector(31 downto 0);
+ signal external_data_in_i : std_logic_vector(31 downto 0);
+ signal external_data_out_i : std_logic_vector(31 downto 0);
+ signal external_ack_i : std_logic;
+ signal external_valid_i : std_logic;
+ signal external_mode_i : std_logic_vector(15 downto 0);
+
+ --dsp
+ signal dsp_strobe_i : std_logic;
+ signal dsp_external_valid_i : std_logic;
+ signal dsp_hbr_i : std_logic;
+ signal dspdat_out_i : std_logic_vector(31 downto 0);
+ signal dspdat_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_out_i : std_logic_vector(31 downto 0);
+ signal dspaddr_i : std_logic_vector(31 downto 0);
+ signal dsp_register_00_i : std_logic_vector(31 downto 0);
+ signal DSP_WRL_i : std_logic;
+ signal DSP_RD_i : std_logic;
+ signal dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+ signal dsp_bm_reg : std_logic;
+ signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_0 : std_logic;
+ signal dsp_link_clk_out_0 : std_logic;
+ signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_1 : std_logic;
+ signal dsp_link_clk_out_1 : std_logic;
+
+ --sdram
+ signal sdram_register_00_i : std_logic_vector(31 downto 0);
+ signal sdram_external_valid_i : std_logic;
+ signal sdram_data_out_i : std_logic_vector(31 downto 0);
+ signal vsd_cs_i : std_logic;
+
+ --scalers
+ type scaler_counter_arr is array(0 to 7) of std_logic_vector(31 downto 0);
+ signal scaler_counter : scaler_counter_arr;
+ signal scaler_pulse : std_logic_vector(7 downto 0);
+
+ --ctu
+ signal lvl1_ctu_status_i : std_logic_vector(31 downto 0);
+ signal lvl2_ctu_status_i : std_logic_vector(31 downto 0);
+
+ --dtu
+ signal dtu_debug_00_i : std_logic_vector(31 downto 0);
+
+ --other
+ signal check_pulse : std_logic;
+ signal check_counter : std_logic_vector(16 downto 0);
+ signal lvds_add_on_data : std_logic_vector(31 downto 0);
+
+ --api
+ signal med_dataready_out_i : std_logic;
+ signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal MED_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ signal MED_READ_IN_i : std_logic;
+ signal MED_DATAREADY_IN_i : std_logic;
+ signal MED_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_error_out_i : std_logic_vector(2 downto 0);
+ signal MED_READ_OUT_i : std_logic;
+ signal MED_ERROR_IN_i : std_logic_vector (2 downto 0);
+ signal MED_STAT_OP_i : std_logic_vector (15 downto 0);
+ signal MED_CTRL_OP_i : std_logic_vector (15 downto 0);
+ signal APL_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal APL_PACKET_NUM_IN_i : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ signal APL_DATAREADY_IN_i : std_logic;
+ signal APL_READ_OUT_i : std_logic;
+ signal APL_SHORT_TRANSFER_IN_i : std_logic;
+ signal APL_DTYPE_IN_i : std_logic_vector (3 downto 0);
+ signal APL_ERROR_PATTERN_IN_i : std_logic_vector (31 downto 0);
+ signal APL_SEND_IN_i : std_logic;
+ signal APL_TARGET_ADDRESS_IN_i : std_logic_vector (15 downto 0);
+ signal APL_DATA_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal APL_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ signal APL_TYP_OUT_i : std_logic_vector (2 downto 0);
+ signal APL_DATAREADY_OUT_i : std_logic;
+ signal APL_READ_IN_i : std_logic;
+
+ signal apl_run_out_i : std_logic;
+ signal apl_seqnr_out_i : std_logic_vector(7 downto 0);
+ signal stat_i : std_logic_vector(63 downto 0);
+ signal stat_op_i : std_logic_vector(15 downto 0);
+ signal ctrl_op_i : std_logic_vector(15 downto 0);
+ signal STAT_GEN_i : std_logic_vector (31 downto 0);
+ signal STAT_LOCKED_i : std_logic_vector (31 downto 0);
+ signal STAT_INIT_BUFFER_i : std_logic_vector (31 downto 0);
+ signal STAT_REPLY_BUFFER_i : std_logic_vector (31 downto 0);
+ signal STAT_api_control_signals_i : std_logic_vector(31 downto 0);
+ signal STAT_MPLEX_i : std_logic_vector(31 downto 0);
+ signal CTRL_GEN_i : std_logic_vector (31 downto 0);
+ signal CTRL_LOCKED_i : std_logic_vector (31 downto 0);
+ signal STAT_CTRL_INIT_BUFFER_i : std_logic_vector (31 downto 0);
+ signal STAT_CTRL_REPLY_BUFFER_i : std_logic_vector (31 downto 0);
+ signal MPLEX_CTRL_i : std_logic_vector (31 downto 0);
+ signal API_STAT_FIFO_TO_INT_i : std_logic_vector(31 downto 0);
+ signal API_STAT_FIFO_TO_APL_i : std_logic_vector(31 downto 0);
+ signal med_packet_num_in_i : std_logic_vector(1 downto 0);
+
+ --vulom
+ signal vulom_event : std_logic_vector(31 downto 0);
+ signal vulom_event_valid : std_logic;
+ signal vulom_readout_start : std_logic;
+ signal vulom_readout_end : std_logic;
+
+ --spi
+ --a
+ signal spi_sck_a : std_logic;
+ signal spi_cs_a : std_logic;
+ signal spi_sdi_a : std_logic;
+ signal spi_sdo_a : std_logic;
+ --b
+ signal spi_sck_b : std_logic;
+ signal spi_cs_b : std_logic;
+ signal spi_sdi_b : std_logic;
+ signal spi_sdo_b : std_logic;
+ --c
+ signal spi_sck_c : std_logic;
+ signal spi_cs_c : std_logic;
+ signal spi_sdi_c : std_logic;
+ signal spi_sdo_c : std_logic;
+ --d
+ signal spi_sck_d : std_logic;
+ signal spi_cs_d : std_logic;
+ signal spi_sdi_d : std_logic;
+ signal spi_sdo_d : std_logic;
+
+ --test signals
+ signal test_a1 : std_logic;
+ signal test_a2 : std_logic;
+ signal test_b1 : std_logic;
+ signal test_b2 : std_logic;
+ signal test_c1 : std_logic;
+ signal test_c2 : std_logic;
+ signal test_d1 : std_logic;
+ signal test_d2 : std_logic;
+ signal test_counter_1 : std_logic_vector(31 downto 0);
+ signal test_counter_2 : std_logic_vector(31 downto 0);
+ signal trigger_for_test_signal : std_logic;
+
+
+begin
+
+ ----------------------------------------------------------------------------
+ -- Global reset
+ ----------------------------------------------------------------------------
+ MAKE_START_RESET: process (CLK)
+ begin
+ if rising_edge(CLK) then
+ if global_reset_counter < x"f" then
+ global_reset_counter <= global_reset_counter + 1;
+ reset_i <= '1';
+ else
+ global_reset_counter <= global_reset_counter ;
+ reset_i <= '0';
+ end if;
+ end if;
+ end process MAKE_START_RESET;
+
+ -- We should add reseet from etrax ( . . .)
+
+ ----------------------------------------------------------------------------
+ -- LVDS signals
+ ----------------------------------------------------------------------------
+
+ -- CLK ----------------------------------------------------------------------
+ IBUFGDS_CLK : IBUFGDS
+ generic map (
+ IOSTANDARD => "LVDS_25_DCI")
+ port map (
+ O => CLK,--CLK,
+ I => VIRT_CLK,
+ IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+ -- AddOn clk ----------------------------------------------------------------
+ IBUFGDS_ADDCLK : IBUFGDS
+ generic map (
+ IOSTANDARD => "LVDS_25_DCI")
+ port map (
+ O => addon_clk,--CLK,
+ I => ADDON_TO_TRB_CLKINP,
+ IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+
+ -- TDC ----------------------------------------------------------------------
+ IBUFGDS_TDC_CLK : IBUFGDS
+ generic map (
+ IOSTANDARD => "LVDS_25_DCI") --_DCI
+ port map (
+ O => tdc_clk,
+ I => REF_TDC_CLK,
+ IB => REF_TDC_CLKB-- Diff_n clock buffer input (connect to top-level port)
+ );
+ IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg);
+ IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg);
+ IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg);
+ IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg);
+ OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_TDC_BU_RESET, OB =>A_TDC_BU_RESETB , I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_TDC_EV_RESET, OB =>A_TDC_EV_RESETB , I => event_reset_i);
+ OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_TDC_BU_RESET, OB =>B_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_TDC_EV_RESET, OB =>B_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_TDC_BU_RESET, OB =>C_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_TDC_EV_RESET, OB =>C_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_TDC_BU_RESET, OB =>D_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_TDC_EV_RESET, OB =>D_TDC_EV_RESETB, I => event_reset_i);
+
+ --fast trigg-----------------------------------------------------------------
+ IBUFDS_FAST_TRIGGER : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger);
+
+ -- SPI ----------------------------------------------------------------------
+
+ --A
+ OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_SCK, OB => A_SCKB, I => spi_sck_a);
+ OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_SDO, OB => A_SDOB, I => spi_sdo_a);
+ OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_CS, OB => A_CSB, I => spi_cs_a);
+ IBUFDS_SDI_A : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a);
+ spi_sck_a <= fpga_register_07_i(0);
+ spi_sdo_a <= fpga_register_07_i(1);
+ spi_cs_a <= fpga_register_07_i(2);
+ fpga_register_08_i(0) <= spi_sdi_a;
+
+ --B
+ OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_SCK, OB => B_SCKB, I => spi_sck_b);
+ OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_SDO, OB => B_SDOB, I => spi_sdo_b);
+ OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_CS, OB => B_CSB, I => spi_cs_b);
+ IBUFDS_SDI_B : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map ( I => B_SDI, IB => B_SDIB, O => spi_sdi_b);
+ spi_sck_b <= fpga_register_07_i(3);
+ spi_sdo_b <= fpga_register_07_i(4);
+ spi_cs_b <= fpga_register_07_i(5);
+ fpga_register_08_i(1) <= spi_sdi_b;
+
+ --C
+ OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_SCK, OB => C_SCKB, I => spi_sck_c);
+ OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_SDO, OB => C_SDOB, I => spi_sdo_c);
+ OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_CS, OB => C_CSB, I => spi_cs_c);
+ IBUFDS_SDI_C : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map ( I => C_SDI, IB => C_SDIB, O => spi_sdi_c);
+ spi_sck_c <= fpga_register_07_i(6);
+ spi_sdo_c <= fpga_register_07_i(7);
+ spi_cs_c <= fpga_register_07_i(8);
+ fpga_register_08_i(2) <= spi_sdi_c;
+
+ --D
+ OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_SCK, OB => D_SCKB, I => spi_sck_d);
+ OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_SDO, OB => D_SDOB, I => spi_sdo_d );
+ OBUFDS_CS_D : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => D_CS, OB => D_CSB, I => spi_cs_d );
+ IBUFDS_SDI_D : IBUFDS generic map ( IOSTANDARD => "LVDS_25_DCI" )
+ port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d );
+ spi_sck_d <= fpga_register_07_i(9);
+ spi_sdo_d <= fpga_register_07_i(10);
+ spi_cs_d <= fpga_register_07_i(11);
+ fpga_register_08_i(3) <= spi_sdi_d;
+
+
+ -----------------------------------------------------------------------------
+ -- Test signals
+ -----------------------------------------------------------------------------
+ OBUFDS_TEST_A1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => A_TEST1, OB => A_TEST1B, I => test_a1 );
+ OBUFDS_TEST_A2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => A_TEST2, OB => A_TEST2B, I => test_a2 );
+ OBUFDS_TEST_B1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => B_TEST1, OB => B_TEST1B, I => test_b1 );
+ OBUFDS_TEST_B2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => B_TEST2, OB => B_TEST2B, I => test_b2 );
+ OBUFDS_TEST_C1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => C_TEST1, OB => C_TEST1B, I => test_c1 );
+ OBUFDS_TEST_C2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => C_TEST2, OB => C_TEST2B, I => test_c2 );
+ OBUFDS_TEST_D1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => D_TEST1, OB => D_TEST1B, I => test_d1 );
+ OBUFDS_TEST_D2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => D_TEST2, OB => D_TEST2B, I => test_d2 );
+ --i should be able to switch on off 1 or 2
+ COUNTER_FOR_TEST_1: process (CLK, reset_i,test_counter_1)
+ begin
+ if rising_edge(CLK) then
+-- if reset_i = '1' or test_counter_1 > x"F4240"then --0.1kHz
+ if reset_i = '1' or test_counter_1 = x"00140"then --for sim
+ test_counter_1 <= (others => '0');
+ else
+ test_counter_1 <= test_counter_1 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_1;
+ COUNTER_FOR_TEST_2: process (CLK, reset_i,test_counter_2)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_2 = x"F4240" then --0.1kHz
+ test_counter_2 <= (others => '0');
+ else
+ test_counter_2 <= test_counter_2 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_2;
+ --lenght of signal depend on this condition: test_counter_1 < x". ."
+ --frequency in process:. . or test_counter_x > x". ."
+ test_a1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(0)='1') else '1';
+ test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2)='1') else '1';
+ test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4)='1') else '1';
+ test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6)='1') else '1';
+ test_a2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(1)='1') else '1';
+ test_b2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(3)='1') else '1';
+ test_c2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(5)='1') else '1';
+ test_d2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(7)='1') else '1';
+
+ trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1)='1' or fpga_register_06_i(2) ='1' )) else '0';
+
+
+-- ADD_LVDS ------------------------------------------------------------------
+-- ADO_LVDS: for line in 0 to 25 generate --62 lines in total
+-- IBUFDS_LVDS : IBUFDS
+-- generic map (
+-- IOSTANDARD => "LVDS_25_DCI"
+-- )
+-- port map (
+-- I => ADO_LV(line*2),
+-- IB => ADO_LV(line*2+1),
+-- O => lvds_add_on_data(line)
+-- );
+-- end generate ADO_LVDS;
+-------------------------------------------------------------------------------
+-- tiger sharc dma
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- TRBnet
+-------------------------------------------------------------------------------
+
+ TRBnet: if trbnet_enable=1 generate
+
+ trb_net_16_int: trb_net16_endpoint_0_trg_1_api
+ generic map (
+ API_TYPE => c_API_ACTIVE,
+ IBUF_DEPTH => 6,
+ FIFO_TO_INT_DEPTH => 6,
+ FIFO_TO_APL_DEPTH => 6,
+ SBUF_VERSION => c_SBUF_FULL,
+ IBUF_SECURE_MODE => c_SECURE_MODE,
+ API_SECURE_MODE_TO_APL => c_SECURE_MODE,
+ API_SECURE_MODE_TO_INT => c_SECURE_MODE,
+ OBUF_DATA_COUNT_WIDTH => std_DATA_COUNT_WIDTH,
+ INIT_CAN_SEND_DATA => c_YES,
+ REPLY_CAN_SEND_DATA => c_YES,
+ USE_CHECKSUM => c_YES,
+ DAT_CHANNEL => c_TRG_LVL1_CHANNEL)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i,
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => med_read_out_i,
+ MED_DATAREADY_IN => med_dataready_out_i,
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i,
+ MED_ERROR_IN => med_error_out_i,
+ MED_STAT_OP => x"0000", --med_stat_op_i,
+ MED_CTRL_OP => med_ctrl_op_i, --x"0000",
+ APL_DATA_IN => apl_data_in_i,
+ APL_PACKET_NUM_IN => apl_packet_num_in_i,
+ APL_DATAREADY_IN => apl_dataready_in_i,
+ APL_READ_OUT => apl_read_out_i,
+ APL_SHORT_TRANSFER_IN => '1',
+ APL_DTYPE_IN => x"0",
+ APL_ERROR_PATTERN_IN => x"01234567",
+ APL_SEND_IN => '0',
+ APL_TARGET_ADDRESS_IN => x"FFFF",
+ APL_DATA_OUT => apl_data_out_i,
+ APL_PACKET_NUM_OUT => apl_packet_num_out_i,
+ APL_TYP_OUT => apl_typ_out_i,
+ APL_DATAREADY_OUT => apl_dataready_out_i,
+ APL_READ_IN => '0',
+ APL_RUN_OUT => apl_run_out_i,
+ APL_MY_ADDRESS_IN => x"F001",
+ APL_SEQNR_OUT => apl_seqnr_out_i,
+ STAT_GEN => stat_gen_i,
+ STAT_LOCKED => stat_locked_i,
+ STAT_INIT_BUFFER => stat_init_buffer_i,
+ STAT_REPLY_BUFFER => stat_reply_buffer_i,
+ STAT_api_control_signals => stat_api_control_signals_i,
+ STAT_MPLEX => stat_mplex_i,
+ CTRL_GEN => x"00000000", --ctrl_gen_i,
+ CTRL_LOCKED => x"00000000", --ctrl_locked_i,
+ STAT_CTRL_INIT_BUFFER => stat_ctrl_init_buffer_i,
+ STAT_CTRL_REPLY_BUFFER => stat_ctrl_reply_buffer_i,
+ MPLEX_CTRL => x"00000000",
+ API_STAT_FIFO_TO_INT => api_stat_fifo_to_int_i,
+ API_STAT_FIFO_TO_APL => api_stat_fifo_to_apl_i);
+
+
+ -----------------------------------------------------------------------------
+ -- media to api, api to media
+ -----------------------------------------------------------------------------
+ TLK_RX_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r
+ );
+
+ TLK_CLK_BUFR: BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r
+ );
+
+ TLK_API_INT: trb_net16_med_tlk
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ TLK_CLK => tlk_clk_r,
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => tlk_rx_clk_r,
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ MED_DATAREADY_IN => med_dataready_in_i,
+ MED_READ_IN => med_read_in_i,
+ MED_DATA_IN => med_data_in_i,
+ MED_PACKET_NUM_IN => med_packet_num_in_i,
+ MED_DATAREADY_OUT => med_dataready_out_i,
+ MED_READ_OUT => med_read_out_i,
+ MED_DATA_OUT => med_data_out_i,
+ MED_PACKET_NUM_OUT => med_packet_num_out_i,
+ MED_ERROR_OUT => med_error_out_i,
+ STAT => stat_i,
+ STAT_OP => stat_op_i,
+ CTRL_OP => ctrl_op_i);
+ end generate TRBnet;
+
+
+ -------------------------------------------------------------------------------
+ -- Vulom interafce
+ -------------------------------------------------------------------------------
+ VULOM_EN: if TRBV2_TYPE = 4 generate
+
+ VULOM_INT : vulom_interface
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ DATA_CLK => ADO_TTL(46),
+ RESET => reset_i,
+ DATA_IN => ADO_TTL(42 downto 41), --lvds_add_on_data (3 downto 0),
+ BUSY => open,
+ ACK_TO_VULOM => ADO_TTL(34),
+ ERR_TO_VULOM => ADO_TTL(33),
+ API_DATA => open,
+ API_RUN_OUT => lvl1_busy_i, --lvl1_cts_busy or lvl1_system_busy, --apl_run_out_i,
+ API_SHORT_TRANSFER => open,
+ API_DTYPE => lvl1_trigger_code_i,
+ API_SEND => apl_send_in_i,
+ API_WRITE_IN => open,
+ API_TARGET_ADDRESS => open,
+ API_SEQNR_OUT => apl_seqnr_out_i, --x"00",
+ VULOM_INT_REG => open,--vulom_int_reg_00,
+ INTERFACE_CONTROL => fpga_register_06_i(11 downto 8),
+ EVENT_OUT => vulom_event,
+ EVENT_VALID => vulom_event_valid,--counter_1_i,
+ EVENT_READOUT_START => vulom_readout_start,
+ EVENT_READOUT_END => vulom_readout_end,
+ START_READOUT => open,--lvl1_trigger_i,
+ LVL1_TRANSFER_BUSY => lvl1_busy_i --lvl1_cts_busy --lvl1_cts_busy or lvl1_system_busy
+ );
+ ADO_TTL(42 downto 40) <= (others => 'Z');
+ ADO_TTL(34) <= '1';
+
+ end generate VULOM_EN;
+
+
+ ---------------------------------------------------------------------------
+ -- tdc interface
+ ---------------------------------------------------------------------------
+ VIRT_TRST <= not fpga_register_06_i(5);--'1';
+ TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
+
+ TDC_INT_FOR_DIFF_PLATFORMSa: if TRBV2_TYPE = 0 generate
+ tdc_clk_i <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ end generate TDC_INT_FOR_DIFF_PLATFORMSa;
+
+ TDC_INT_FOR_DIFF_PLATFORMSb: if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate
+ tdc_clk_i <= addon_clk;
+ tdc_data_in_i <= x"0" & "00" & ADO_TTL(32 downto 7);
+ a_data_ready_i <= ADO_TTL(3);
+ b_data_ready_i <= ADO_TTL(3);
+ c_data_ready_i <= ADO_TTL(3);
+ d_data_ready_i <= ADO_TTL(3);
+ token_in_i <= ADO_TTL(2);
+ ADO_TTL(1) <= token_out_i; --longer token !?
+ end generate TDC_INT_FOR_DIFF_PLATFORMSb;
+
+ TDC_INT_FOR_DIFF_PLATFORMSc: if TRBV2_TYPE = 4 generate
+ tdc_clk_i <= CLK;
+ tdc_data_in_i <= vulom_event;
+ a_data_ready_i <= vulom_event_valid;--start_readout_i;
+ b_data_ready_i <= vulom_event_valid;--start_readout_i;
+ c_data_ready_i <= vulom_event_valid;--start_readout_i;
+ d_data_ready_i <= vulom_event_valid;--start_readout_i;
+ token_in_i <= vulom_readout_end;
+ vulom_readout_start <= token_out_i; --longer token !?
+ end generate TDC_INT_FOR_DIFF_PLATFORMSc;
+
+
+ TDC_INT : tdc_interface
+ port map (
+ CLK => CLK,
+ TDC_CLK => tdc_clk_i,
+ RESET => reset_i,
+ TDC_DATA_IN => tdc_data_in_i,
+ START_TDC_READOUT => lvl1_trigger_i,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_POWERUP => open, --A_TDC_POWERUP, ??????????????????
+ B_TDC_POWERUP => open, --B_TDC_POWERUP,
+ C_TDC_POWERUP => open, --C_TDC_POWERUP,
+ D_TDC_POWERUP => open, --D_TDC_POWERUP,
+ A_TDC_READY => a_data_ready_i,
+ B_TDC_READY => b_data_ready_i,
+ C_TDC_READY => c_data_ready_i,
+ D_TDC_READY => d_data_ready_i,
+ SEND_TDC_TOKEN => token_out_i,
+ RECEIVED_TDC_TOKEN => token_in_i,
+ GET_TDC_DATA => GET_DATA,
+ LVL2_READOUT_COMPLETED => lvl2_readout_completed_i,
+ LVL1_TAG => lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16),
+ COUNTER_a => scaler_counter(0)(31 downto 0),
+ COUNTER_b => scaler_counter(1)(31 downto 0),
+ COUNTER_c => scaler_counter(2)(31 downto 0),
+ COUNTER_d => scaler_counter(3)(31 downto 0),
+ COUNTER_e => scaler_counter(4)(31 downto 0),
+ COUNTER_f => scaler_counter(5)(31 downto 0),
+ COUNTER_g => scaler_counter(6)(31 downto 0),
+ COUNTER_h => scaler_counter(7)(31 downto 0),
+ LVL2_TRIGGER => lvl2_trigger_i,
+ TDC_DATA_OUT => tdc_data_out_i,
+ TDC_DATA_VALID => tdc_data_valid_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ ETRAX_IS_BUSY => FS_PB_17,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ TDC_REGISTER_00 => tdc_register_00_i,
+ TDC_REGISTER_01 => tdc_register_01_i,
+ TDC_REGISTER_02 => tdc_register_02_i,
+ TDC_REGISTER_03 => tdc_register_03_i,
+ TDC_REGISTER_04 => tdc_register_04_i,
+ TDC_REGISTER_05 => fpga_register_0e_i,
+ BUNCH_RESET => bunch_reset_i,
+ EVENT_RESET => event_reset_i,
+ DELAY_TRIGGER => fpga_register_06_i(31 downto 24),
+ TDC_START => trigger_to_tdc_i,
+ TRIGGER_WITHOUT_HADES => fpga_register_06_i(7),
+ TRIGGER_WITH_GEN_EN => fpga_register_06_i(8),
+ TRIGGER_WITH_GEN => not_hades_trigger
+ );
+ not_hades_trigger <= trigger_for_test_signal or fast_ref_trigger_pulse; --'0'; -- or trigger_for_test_signal;
+
+ MAKE_SYNCH_REF: process (TDC_CLK, reset_i)
+ begin
+ if rising_edge(TDC_CLK) then
+ if reset_i = '1' then
+ fast_ref_trigger_synch <= '0';
+ else
+ fast_ref_trigger_synch <= fast_ref_trigger;
+ end if;
+ end if;
+ end process MAKE_SYNCH_REF;
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => TDC_CLK,
+ en_clk => '1',
+ signal_in => fast_ref_trigger_synch,
+ pulse => fast_ref_trigger_pulse);
+
+ a_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ b_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ c_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ d_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ A_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ B_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ C_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ D_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ DBAD <= lvl1_busy_i;
+ DINT <= FS_PB_17;
+ DWAIT <= fpga_register_06_i(6);
+
+
+-----------------------------------------------------------------------------
+-- MULTIPLEX_DATA_TO_ETRAX
+-----------------------------------------------------------------------------
+
+ MULTIPLEX_DATA_TO_ETRAX: process(CLK,reset_i,external_mode_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ external_data_in_i <= (others => '0');
+ elsif external_mode_i(7 downto 0) = x"01" then
+ external_data_in_i <= dsp_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"02" then
+ external_data_in_i <= sdram_data_out_i;
+-- elsif external_mode_i(7 downto 0) = x"03" then
+-- external_data_in_i <= x"add000" & ADO_TTL(42 downto 35);
+ else
+ external_data_in_i <= x"0000"&external_mode_i;
+ end if;
+ end if;
+ end process MULTIPLEX_DATA_TO_ETRAX;
+-- ADO_TTL(42 downto 35) <= (others => 'Z');
+-- ADO_TTL(34 downto 19) <= external_address_i(15 downto 0);
+-- ADO_TTL(18 downto 11) <= external_data_out_i(7 downto 0);
+-- ADO_TTL(10) <= external_mode_i(15);
+-- ADO_TTL(9) <= '1' when external_mode_i(7 downto 0) = x"03" and external_ack_i = '1' else '0';
+-- dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+-- -- external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or ADO_TTL(12);
+-- external_valid_i <= ADO_TTL(8);
+-- ADO_TTL(8) <= 'Z';
+
+-------------------------------------------------------------------------------
+-- etrax interface
+-------------------------------------------------------------------------------
+ ETRAX_IRQ <= '1';
+ ETRAX_INTERFACE_LOGIC : etrax_interfacev2
+ generic map (
+ RW_SYSTEM => RW_SYSTEM)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_BUS => tdc_data_out_i,
+ ETRAX_DATA_BUS_B => FS_PB,
+ ETRAX_DATA_BUS_B_17 => FS_PB_17,
+ ETRAX_DATA_BUS_C => FS_PC,
+ ETRAX_DATA_BUS_E => open,
+ DATA_VALID => tdc_data_valid_i,
+ ETRAX_BUS_BUSY => etrax_bus_busy_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ TDC_TCK => VIRT_TCK,
+ TDC_TDI => VIRT_TDI,
+ TDC_TMS => VIRT_TMS,
+ TDC_TRST => open, --VIRT_TRST,
+ TDC_TDO => VIRT_TDO,
+ TDC_RESET => open, --TDC_RESET,
+ EXTERNAL_ADDRESS => external_address_i,
+ EXTERNAL_DATA_OUT => external_data_out_i,
+ EXTERNAL_DATA_IN => external_data_in_i,
+ EXTERNAL_ACK => external_ack_i,
+ EXTERNAL_VALID => external_valid_i,
+ EXTERNAL_MODE => external_mode_i,
+ FPGA_REGISTER_00 => x"00000000",
+ FPGA_REGISTER_01 => fpga_register_01_i,
+ FPGA_REGISTER_02 => fpga_register_02_i,
+ FPGA_REGISTER_03 => fpga_register_03_i,
+ FPGA_REGISTER_04 => fpga_register_04_i,
+ FPGA_REGISTER_05 => fpga_register_05_i,
+ FPGA_REGISTER_06 => fpga_register_06_i,
+ FPGA_REGISTER_07 => fpga_register_07_i,
+ FPGA_REGISTER_08 => fpga_register_08_i,
+ FPGA_REGISTER_09 => fpga_register_09_i,
+ FPGA_REGISTER_0A => fpga_register_0A_i,
+ FPGA_REGISTER_0B => fpga_register_0b_i,
+ FPGA_REGISTER_0C => fpga_register_0c_i,
+ FPGA_REGISTER_0D => fpga_register_0d_i,
+ FPGA_REGISTER_0E => fpga_register_0e_i,
+ LVL2_VALID => lvl2_trigger_code_i(3)
+ );
+ fpga_register_01_i <= tdc_register_00_i;
+ fpga_register_02_i <= tdc_register_01_i;
+ fpga_register_03_i <= tdc_register_02_i;
+ fpga_register_04_i <= tdc_register_03_i;
+ fpga_register_05_i <= tdc_register_04_i;
+-- fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
+ fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
+ fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
+ fpga_register_0c_i <= '0' & "0" & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+ fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
+
+
+
+
+-------------------------------------------------------------------------------
+-- tlk
+-------------------------------------------------------------------------------
+-- DGOOD <= lvl2_busy_i;
+-- TLK_TXD <= x"abcd";
+-- TLK_TX_ER <= '0';
+-- SFP_TX_DIS <= fpga_register_06_i(15);
+-- TLK_LOOPEN <= '0';
+-- TLK_LCKREFN <= '1';
+-- TLK_ENABLE <= '1';
+-- TLK_PRBSEN <= '0';
+-- TLK_RX_CLK_BUFR: BUFR
+-- port map(
+-- CE => '1',
+-- CLR => '0',
+-- I => TLK_RX_CLK,
+-- O => tlk_rx_clk_r
+-- );
+-- TLK_CLK_BUFR: BUFR
+-- port map(
+-- CE => '1',
+-- CLR => '0',
+-- I => TLK_CLK,
+-- O => tlk_clk_r
+-- );
+-- tlk_interface_logic: tlk_interface
+-- port map (
+-- VIRT_CLK => CLK,
+-- ENABLE => TLK_ENABLE,
+-- LCKREFN => TLK_LCKREFN,
+-- LOOPEN => TLK_LOOPEN,
+-- PRBSEN => TLK_PRBSEN,
+-- RX_CLK => tlk_rx_clk_r,
+-- RX_DV => TLK_RX_DV,
+-- RX_ER => TLK_RX_ER,
+-- TLK_CLK => tlk_clk_r,
+-- TLK_RXD => TLK_RXD,
+-- TLK_TXD => TLK_TXD,
+-- TX_EN => open,--TLK_TX_EN,
+-- TX_ER => open,--TLK_TX_ER,
+-- RESET_VIRT => reset_i,
+-- TLK_REGISTER_00 => tlk_register_00_i,
+-- TLK_REGISTER_01 => tlk_register_01_i,
+-- SFP_LOS => SFP_LOS,
+-- TX_DIS => open--SFP_TX_DIS
+-- );
+-- -- TLK_LCKREFN <= fpga_register_06_i(15);
+-- -- TLK_TXD <= x"abcd";
+-- TLK_TX_EN <= '0';
+-- TLK_TX_ER <= '0';
+-- fpga_register_08_i(4) <= SFP_LOS;
+
+
+-------------------------------------------------------------------------------
+-- dsp
+-------------------------------------------------------------------------------
+ DSP_EN: if DSP_INT_ENABLE = 1 generate
+ DSP_DATA_REGISTER: process (CLK, reset_i)
+ begin -- process DSP_DATA_REGISTER
+ if CLK'event and CLK = '1' then
+ if reset_i = '1' then
+ dsp_data_reg_in_i <= x"00000000";
+ dsp_data_reg_out_i <= x"00000000";
+ dsp_bm_reg <= '0';
+ else
+ dsp_bm_reg <= DSP_BM;
+ dsp_data_reg_in_i <= DSPDAT;
+ dsp_data_reg_out_i <= dspdat_out_i;
+ end if;
+ end if;
+ end process DSP_DATA_REGISTER;
+
+ DSP_HBR <= '1';
+ DSP_RESET <= fpga_register_06_i(4);
+ DSP_HBR <= dsp_hbr_i;
+ DSPDAT <= dspdat_out_i;
+ dspdat_in_i <= DSPDAT;
+ DSP_WRL <= DSP_WRL_i;
+ DSP_RD <= DSP_RD_i;
+ DSPADDR <= dspaddr_i;
+ DSP_BOFF <= fpga_register_06_i(5);
+ DSP_IRQ <= x"1";
+ DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+ DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+
+ DSP_INTERFACE_LOGIC: dsp_interface
+ port map (
+ HBR_OUT => dsp_hbr_i,
+ HBG_IN => DSP_HBG,
+ RD_OUT => DSP_RD_i,
+ DSP_DATA_OUT => dspdat_out_i,--DSPDAT to DSP,
+ DSP_DATA_IN => dspdat_in_i,--DSPDAT to FPGA,
+ ADDRESS_DSP => dspaddr_i,--DSPADDR,
+ WRL => DSP_WRL_i,
+ WRH => DSP_WRH,
+ BM_IN => DSP_BM,
+ DSP_RESET => open,
+ BRST => DSP_BRST,
+ ACK => DSP_ACK,
+ CLK => CLK,
+ RESET => reset_i,
+ R_W_ENABLE => external_mode_i(15),
+ TRIGGER => dsp_strobe_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => dsp_data_out_i,--external_data_in_i,
+ INTERNAL_ADDRESS => external_address_i,
+ VALID_DATA_SENT => dsp_external_valid_i,
+ ACKNOWLEDGE => dsp_strobe_i,
+ DEBUGSTATE_MACHINE => dsp_register_00_i);
+ end generate DSP_EN;
+
+
+-------------------------------------------------------------------------------
+-- sdram interface
+-------------------------------------------------------------------------------
+ SDRAM_EN: if SDRAM_INT_ENABLE = 1 generate
+ SDRAM_INTERFACE_LOGIC: sdram_interface
+ port map (
+ CLK_SDRAM => VSD_CLOCK,
+ CKE => VSD_CKE,
+ CS => vsd_cs_i,
+ RAS => VSD_RAS,
+ CAS => VSD_CAS,
+ WE => VSD_WE,
+ DQM => VSD_DQML,
+ BA => VSD_BA,
+ A => VSD_A,
+ DQ => VSD_D,
+ CLK => CLK,
+ RESET => reset_i,
+ TRIGGER => external_ack_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => sdram_data_out_i,
+ INTERNAL_ADDRESS => external_address_i,
+ INTERNAL_MODE => external_mode_i,
+ VALID_DATA_SENT => sdram_external_valid_i,
+ DEBUGSTATE_MACHINE => sdram_register_00_i);
+
+ VSD_CSEH <= vsd_cs_i;
+ VSD_CSEL <= vsd_cs_i;
+ ADO_TTL(18) <= '1';
+ ADO_TTL(15 downto 0) <= (others => 'Z');
+ end generate SDRAM_EN;
+
+
+-------------------------------------------------------------------------------
+-- opt dtu
+-------------------------------------------------------------------------------
+ DTU_OPT_EN: if DTU_ENABLE = 2 generate
+
+ TLK_TXD <= x"abcd";
+ TLK_TX_ER <= '0';
+ SFP_TX_DIS <= fpga_register_06_i(15);
+ TLK_LOOPEN <= '0';
+ TLK_LCKREFN <= '1';
+ TLK_ENABLE <= '1';
+ TLK_PRBSEN <= '0';
+
+ TLK_RX_CLK_BUFR: BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r
+ );
+
+ TLK_CLK_BUFR: BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r
+ );
+
+ DTU_OPT_INST: optical_dtu
+ port map (
+ CLK => CLK,
+ RX_CLK => tlk_rx_clk_r,
+ TX_CLK => tlk_clk_r,
+ RESET => reset_i,
+ OPT_DATA_IN => TLK_RXD,
+ OPT_DATA_OUT => TLK_TXD,
+ OPT_DATA_VALID_IN => TLK_RX_DV,
+ OPT_DATA_ERR => TLK_RX_ER,
+ OPT_DATA_SEND => TLK_TX_EN,
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_TRIGGER_CODE => lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG => lvl1_trigger_tag_i,
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_TRIGGER_CODE => lvl2_trigger_code_i(3),
+ LVL2_TRIGGER_TAG => lvl2_trigger_tag_i,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ OPT_DTU_DEBUG_REGISTER => fpga_register_0e_i);
+
+ end generate DTU_OPT_EN;
+
+-------------------------------------------------------------------------------
+-- dtu
+-------------------------------------------------------------------------------
+ DTU_INT_ENABLE: if DTU_ENABLE = 1 generate
+ DTU_INT: dtu_interface
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ LVL1_TRIGGER_BUS => ADO_TTL(0),
+ LVL1_DATA_TRIGGER_BUS => ADO_TTL(1),
+ LVL1_DATA_BUS => ADO_TTL(5 downto 2),
+ LVL1_ERROR_BUS => open,
+ LVL1_BUSY_BUS => ADO_TTL(7),
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_TAG => lvl1_trigger_tag_i,
+ LVL1_BUSY => lvl1_busy_i,--'0',
+ LVL2_TRIGGER_BUS => ADO_TTL(8),
+ LVL2_DATA_TRIGGER_BUS => ADO_TTL(9),
+ LVL2_DATA_BUS => ADO_TTL(13 downto 10),
+ LVL2_ERROR_BUS => open,
+ LVL2_BUSY_BUS => ADO_TTL(15),
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_CODE => lvl2_trigger_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i,
+ LVL2_BUSY => lvl2_busy_i,
+ LVL2_TRB_ACK => lvl2_readout_completed_i,--lvl2_trb_ack_i,
+ DTU_DEBUG_00 => dtu_debug_00_i);
+ -- ADO_TTL(7) <= lvl1_busy_i;
+ ADO_TTL(5 downto 0) <= (others => 'Z');
+ ADO_TTL(13 downto 8) <= (others => 'Z');
+ ADO_TTL(34) <= '1';
+ ADO_TTL(33) <= '0';
+ end generate DTU_INT_ENABLE;
+
+-------------------------------------------------------------------------------
+-- ctu
+-------------------------------------------------------------------------------
+ CTU_INT_ENABLE: if CTU_ENABLE = 1 generate
+ lvl2_trigger_code_i(3) <= '0';
+ ADO_TTL(15 downto 0) <= (others => 'Z');
+
+ CTU_INT: ctu
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ LVL1_TRIGG => ADO_TTL(7 downto 0),
+ LVL1_START => lvl1_trigger_i,
+ LVL1_TAG => lvl1_trigger_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_TRIGG => "00", --MU
+ LVL2_START => open,--lvl2_trigger_i, chang to one bit ?
+ LVL2_TAG => open,
+ LVL2_BUSY => lvl2_busy_i,
+ LVL2_DOWNSCALING => fpga_register_06_i(15 downto 8),
+ CTU_CONTROL => x"00000000",--x"0000" & fpga_register_06_i(15 downto 0), --26
+ LVL1_CTU_STATUS => lvl1_ctu_status_i,
+ LVL2_CTU_STATUS => lvl2_ctu_status_i);
+ end generate CTU_INT_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- scalers
+-------------------------------------------------------------------------
+ SCALERS_ON_TTL_ENABLE: if SCALERS_ENABLE = 1 generate
+ SCALER: for ttl_line in 0 to 7 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ADO_TTL(ttl_line),
+ pulse => scaler_pulse(ttl_line));
+ SCALER : simpleupcounter_32bit
+ port map (
+ QOUT => scaler_counter(ttl_line),
+ UP => scaler_pulse(ttl_line),
+ CLK => CLK,
+ CLR => reset_i);
+ end generate SCALER;
+ ADO_TTL(7 downto 0) <= (others => 'Z');
+ end generate SCALERS_ON_TTL_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- others
+--------------------------------------------------------------------------
+
+ COUNTER_FOR_CLOCK_CHECK: process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ check_counter <= (others => '0');
+ else
+ check_counter <= check_counter + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_CLOCK_CHECK;
+ check_pulse <= '1' when check_counter > x"fffe" else '0';
+
+end trb_v2b_fpga;
--- /dev/null
+vhdl work "../../trbnet/trb_net_std.vhd"
+vhdl work "../../trbnet/trb_net16_hub_func.vhd"
+vhdl work "../version.vhd"
+vhdl work "../etrax_interfacev2.vhd"
+vhdl work "../f_divider.vhd"
+vhdl work "../tdc_interface.vhd"
+vhdl work "../tdc_interfacev2.vhd"
+vhdl work "../trb_v2b_fpga.vhd"
+vhdl work "../dtu_interface.vhd"
+vhdl work "../dsp_interface.vhd"
+vhdl work "../sdram_interface.vhd"
+vhdl work "../ctu.vhd"
+vhdl work "../bit_fifo.vhd"
+vhdl work "../up_down_counter.vhd"
+vhdl work "../optical_dtu.vhd"
+vhdl work "../optical_ctu.vhd"
+vhdl work "../DCM_100MHz_to_200MHz.vhd"
+vhdl work "../vulom_interface.vhd"
+vhdl work "../../trbnet/trb_net_onewire.vhd"
+vhdl work "../../trbnet/optical_link/simpleupcounter_8bit.vhd"
+vhdl work "../../trbnet/optical_link/simpleupcounter_16bit.vhd"
+vhdl work "../../trbnet/optical_link/simpleupcounter_32bit.vhd"
+vhdl work "../../trbnet/optical_link/media_interface.vhd"
+vhdl work "../../trbnet/optical_link/flexi_PCS_synch.vhd"
+vhdl work "../../trbnet/optical_link/flexi_PCS_channel_synch.vhd"
+
+#vhdl work "../trbnet/trb_net_std.vhd"
+#vhdl work "../trbnet/trb_net16_term_buf.vhd"
+#vhdl work "../trbnet/trb_net_CRC.vhd"
+#vhdl work "../trbnet/trb_net_onewire.vhd"
+#vhdl work "../trbnet/basics/rom_16x8.vhd"
+#vhdl work "../trbnet/basics/ram.vhd"
+#vhdl work "../trbnet/basics/ram_16x8_dp.vhd"
+#vhdl work "../trbnet/basics/ram_16x16_dp.vhd"
+#vhdl work "../trbnet/trb_net16_addresses.vhd"
+#vhdl work "../trbnet/basics/ram_dp.vhd"
+#vhdl work "../trbnet/trb_net16_term.vhd"
+#vhdl work "../trbnet/trb_net_sbuf.vhd"
+#vhdl work "../trbnet/trb_net16_sbuf.vhd"
+#vhdl work "../trbnet/trb_net16_regIO.vhd"
+#vhdl work "../trbnet/trb_net_priority_encoder.vhd"
+#vhdl work "../trbnet/trb_net_dummy_fifo.vhd"
+#vhdl work "../trbnet/trb_net16_dummy_fifo.vhd"
+#vhdl work "../trbnet/trb_net16_term_ibuf.vhd"
+#vhdl work "../trbnet/trb_net_priority_arbiter.vhd"
+#vhdl work "../trbnet/trb_net_pattern_gen.vhd"
+#vhdl work "../trbnet/trb_net16_obuf_nodata.vhd"
+#vhdl work "../trbnet/trb_net16_obuf.vhd"
+#vhdl work "../trbnet/trb_net16_ibuf.vhd"
+#vhdl work "../trbnet/trb_net16_api_base.vhd"
+#vhdl work "../trbnet/trb_net16_iobuf.vhd"
+#vhdl work "../trbnet/trb_net16_io_multiplexer.vhd"
+#vhdl work "../trbnet/trb_net16_trigger.vhd"
+#vhdl work "../trbnet/trb_net16_ipudata.vhd"
+#vhdl work "../trbnet/trb_net16_endpoint_hades_full.vhd"
+#
+#vhdl work "../trbnet/basics/signal_sync.vhd"
+#vhdl work "../trbnet/basics/ram_dp_rw.vhd"
+#
+#
+#
+#vhdl work "../trbnet/media_interfaces/trb_net16_lsm_sfp.vhd"
+#
+#
+#vhdl work "../trbnet/xilinx/virtex4/"
+#vhdl work "../trbnet/xilinx/virtex4/"
+#vhdl work "../trbnet/xilinx/virtex4/"
+#vhdl work "../trbnet/xilinx/virtex4/"
+#
+#
+#
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+
+entity trb_v2b_fpga is
+ generic (
+ RW_SYSTEM : integer range 0 to 5 := 1; --1 -trb, 2 -addon with portE 10 9 as rw
+ TRBV2_TYPE : integer range 0 to 4 := 0;
+ -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto,
+ -- 1 - MDC,
+ -- 2 - SHOWER,
+ -- 3 - RICH
+ -- 4 - CTS
+ TRBNET_ENABLE : integer range 0 to 1 := 0; -- 0 - disable,
+ -- 1 - enable
+ DTU_ENABLE : integer range 0 to 2 := 0; -- 0 - disable, 1 - old
+ -- HADES bus, 2 - opt
+ -- without trbnet
+ CTU_ENABLE : integer range 0 to 1 := 0;
+ HADES_OLD_BUS_ENABLE : integer range 0 to 1 := 0;
+ DSP_INT_ENABLE : integer range 0 to 1 := 0; -- dsp interface enable
+ SDRAM_INT_ENABLE : integer range 0 to 1 := 0; -- sdram interface enable
+ SCALERS_ENABLE : integer range 0 to 1 := 0
+ );
+ port (
+ -------------------------------------------------------------------------
+ -- GENERAL
+ -------------------------------------------------------------------------
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic; --diode
+ DGOOD : out std_logic; --diode
+ DINT : out std_logic; --diode
+ DWAIT : out std_logic; --diode
+ A_RESERVED : in std_logic; --TDC connector 75,76(line 3 from end)
+ A_TEMP : in std_logic; -- |
+ B_RESERVED : in std_logic; -- |
+ B_TEMP : in std_logic; -- V
+ C_RESERVED : in std_logic;
+ C_TEMP : in std_logic;
+ D_RESERVED : in std_logic;
+ D_TEMP : in std_logic;
+ VIR_TRIG : in std_logic; --fast trigger
+ VIR_TRIGB : in std_logic;
+ -------------------------------------------------------------------------
+ -- TDC connections
+ -------------------------------------------------------------------------
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic; --turn on TDC -should be one ?
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ -- TDC_BU_RESET : out std_logic;
+ -- TDC_BU_RESETB : out std_logic;
+ -- TDC_EV_RESET : out std_logic;
+ -- TDC_EV_RESETB : out std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- ETRAX connections
+ -------------------------------------------------------------------------
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic;--_vector (16 downto 0);
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SPI
+ -------------------------------------------------------------------------
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- RPC TEST SIGNALS - for RPC
+ -------------------------------------------------------------------------
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TIGER SHARC
+ -------------------------------------------------------------------------
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic; --LOC = AF15;
+ DSP_BOFF : out std_logic; -- LOC = AK14;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ --link0
+-- DSP_L0DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L0DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L0DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L0DATON : in std_logic_vector (3 downto 0);
+-- DSP_L0CLKINP : out std_logic;
+-- DSP_L0CLKINN : out std_logic;
+-- DSP_L0CLKOUTP : in std_logic;
+-- DSP_L0CLKOUTN : in std_logic;
+-- DSP_L0BCMPO : in std_logic;
+-- DSP_L0ACKO : in std_logic;
+-- DSP_L0BCMPI : out std_logic;
+-- DSP_L0ACKI : out std_logic;
+-- --link1
+-- DSP_L1DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L1DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L1DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L1DATON : in std_logic_vector (3 downto 0);
+-- DSP_L1CLKINP : out std_logic;
+-- DSP_L1CLKINN : out std_logic;
+-- DSP_L1CLKOUTP : in std_logic;
+-- DSP_L1CLKOUTN : in std_logic;
+-- DSP_L1ACKO : in std_logic;
+-- DSP_L1BCMPO : in std_logic;
+-- DSP_L1BCMPI : out std_logic;
+-- DSP_L1ACKI : out std_logic;
+ --DSP_TMROE : LOC = AP20; --link data widt now is 4 bit --pullup
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ -- DSP_MSH LOC = AL14;
+ -- DSP_IOEN LOC = AL18;
+ -- DSP_IORD LOC = AB16;
+ -- DSP_IOWR LOC = AB17;
+ -- DSP_BUSLOCK LOC = AC19;
+ --DSP_DMAR : std_logic_vector (3 downto 0);
+ --DSP_FLAG : std_logic_vector (3 downto 0);
+
+ -------------------------------------------------------------------------
+ -- SDRAM
+ -------------------------------------------------------------------------
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TLK
+ -------------------------------------------------------------------------
+
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SFP
+ -------------------------------------------------------------------------
+ SFP_LOS : in std_logic;
+ -- SFP_MOD<0> LOC = R23;
+ -- SFP_MOD<1> LOC = K32;
+ -- SFP_MOD<2> LOC = K33;
+ -- SFP_RATE_SEL : out std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+
+ -------------------------------------------------------------------------
+ -- ADDON board
+ -------------------------------------------------------------------------
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ ADO_LV : in std_logic_vector(51 downto 0); --lvds signal
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+ -- ADO_TTL : inout std_logic_vector(15 downto 0);
+-- ADO_TTL : in std_logic;
+
+ -------------------------------------------------------------------------------
+ --TDC JTAG
+ -------------------------------------------------------------------------------
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic
+ );
+
+ end trb_v2b_fpga;
+architecture trb_v2b_fpga of trb_v2b_fpga is
+ component trb_net16_endpoint_0_trg_1_api
+ generic (
+ API_TYPE : integer range 0 to 1 := c_API_PASSIVE;
+ IBUF_DEPTH : integer range 0 to 6 := 6;--c_FIFO_BRAM;
+ FIFO_TO_INT_DEPTH : integer range 0 to 6 := 6;--c_FIFO_SMALL;
+ FIFO_TO_APL_DEPTH : integer range 0 to 6 := 0;--c_FIFO_SMALL;
+ SBUF_VERSION : integer range 0 to 1 := c_SBUF_FULL;
+ IBUF_SECURE_MODE : integer range 0 to 1 := c_SECURE_MODE;
+ API_SECURE_MODE_TO_APL : integer range 0 to 1 := c_NON_SECURE_MODE;
+ API_SECURE_MODE_TO_INT : integer range 0 to 1 := c_SECURE_MODE;
+ OBUF_DATA_COUNT_WIDTH : integer range 0 to 7 := std_DATA_COUNT_WIDTH;
+ INIT_CAN_SEND_DATA : integer range 0 to 1 := c_NO;
+ REPLY_CAN_SEND_DATA : integer range 0 to 1 := c_YES;
+ USE_CHECKSUM : integer range 0 to 1 := c_YES;
+ DAT_CHANNEL : integer range 0 to 3 := c_SLOW_CTRL_CHANNEL
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_ERROR_IN : in std_logic_vector (2 downto 0);
+ MED_STAT_OP : in std_logic_vector (15 downto 0);
+ MED_CTRL_OP : out std_logic_vector (15 downto 0);
+ APL_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ APL_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ APL_DATAREADY_IN : in std_logic;
+ APL_READ_OUT : out std_logic;
+ APL_SHORT_TRANSFER_IN : in std_logic;
+ APL_DTYPE_IN : in std_logic_vector (3 downto 0);
+ APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0);
+ APL_SEND_IN : in std_logic;
+ APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0);
+ APL_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ APL_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ APL_TYP_OUT : out std_logic_vector (2 downto 0);
+ APL_DATAREADY_OUT : out std_logic;
+ APL_READ_IN : in std_logic;
+ APL_RUN_OUT : out std_logic;
+ APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0);
+ APL_SEQNR_OUT : out std_logic_vector (7 downto 0);
+ STAT_GEN : out std_logic_vector (31 downto 0);
+ STAT_LOCKED : out std_logic_vector (31 downto 0);
+ STAT_INIT_BUFFER : out std_logic_vector (31 downto 0);
+ STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0);
+ STAT_api_control_signals : out std_logic_vector(31 downto 0);
+ STAT_MPLEX : out std_logic_vector(31 downto 0);
+ CTRL_GEN : in std_logic_vector (31 downto 0);
+ CTRL_LOCKED : in std_logic_vector (31 downto 0);
+ STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0);
+ STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0);
+ MPLEX_CTRL : in std_logic_vector (31 downto 0);
+ API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0);
+ API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0));
+ end component;
+
+ component vulom_interface
+ generic (
+ TRBNET_ENABLE : positive);
+ port (
+ CLK : in std_logic;
+ DATA_CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_IN : in std_logic_vector(1 downto 0);
+ BUSY : out std_logic;
+ ACK_TO_VULOM : out std_logic;
+ ERR_TO_VULOM : out std_logic;
+ API_DATA : out std_logic_vector(47 downto 0);
+ API_RUN_OUT : in std_logic;
+ API_SHORT_TRANSFER : out std_logic;
+ API_DTYPE : out std_logic_vector(3 downto 0);
+ API_SEND : out std_logic;
+ API_WRITE_IN : out std_logic;
+ API_TARGET_ADDRESS : out std_logic_vector(15 downto 0);
+ API_SEQNR_OUT : in std_logic_vector(7 downto 0);
+ VULOM_INT_REG : out std_logic_vector(31 downto 0);
+ INTERFACE_CONTROL : in std_logic_vector(3 downto 0);
+ EVENT_OUT : out std_logic_vector(31 downto 0);
+ EVENT_VALID : out std_logic;
+ EVENT_READOUT_START : in std_logic;
+ EVENT_READOUT_END : out std_logic;
+ START_READOUT : out std_logic;
+ LVL1_TRANSFER_BUSY : in std_logic);
+ end component;
+
+ component tdc_interface
+ port (
+ CLK : in std_logic;
+ TDC_CLK : in std_logic;
+ RESET : in std_logic;
+ TDC_DATA_IN : in std_logic_vector (31 downto 0);
+ START_TDC_READOUT : in std_logic;
+ A_TDC_READY : in std_logic;
+ B_TDC_READY : in std_logic;
+ C_TDC_READY : in std_logic;
+ D_TDC_READY : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic;
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ SEND_TDC_TOKEN : out std_logic;
+ RECEIVED_TDC_TOKEN : in std_logic;
+ GET_TDC_DATA : out std_logic;
+ LVL2_READOUT_COMPLETED : out std_logic;
+ LVL1_TAG : in std_logic_vector(7 downto 0);
+ LVL1_CODE : in std_logic_vector(3 downto 0);
+ LVL2_TAG : in std_logic_vector(7 downto 0);
+ HOW_MANY_ADD_DATA : in std_logic_vector(7 downto 0);
+ COUNTER_a : in std_logic_vector(31 downto 0);
+ COUNTER_b : in std_logic_vector(31 downto 0);
+ COUNTER_c : in std_logic_vector(31 downto 0);
+ COUNTER_d : in std_logic_vector(31 downto 0);
+ COUNTER_e : in std_logic_vector(31 downto 0);
+ COUNTER_f : in std_logic_vector(31 downto 0);
+ COUNTER_g : in std_logic_vector(31 downto 0);
+ COUNTER_h : in std_logic_vector(31 downto 0);
+ LVL2_TRIGGER : in std_logic;--_vector(1 downto 0);
+ TDC_DATA_OUT : out std_logic_vector(31 downto 0);
+ TDC_DATA_VALID : out std_logic;
+ ETRAX_IS_READY_TO_READ : in std_logic;
+ ETRAX_IS_BUSY : in std_logic;
+ LVL1_BUSY : out std_logic;
+ LVL2_BUSY : out std_logic;
+ TDC_REGISTER_00 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_01 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_02 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_03 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_04 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_05 : in std_logic_vector(31 downto 0);
+ BUNCH_RESET : out std_logic;
+ EVENT_RESET : out std_logic;
+ DELAY_TRIGGER : in std_logic_vector(7 downto 0);
+ TDC_START : out std_logic;
+ TRIGGER_WITHOUT_HADES : in std_logic;
+ TRIGGER_WITH_GEN_EN : in std_logic;
+ TRIGGER_WITH_GEN : in std_logic
+ );
+ end component;
+
+ component etrax_interfacev2
+ generic (
+ RW_SYSTEM : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic;--_vector(17 downto 0);
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ FPGA_REGISTER_00 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_01 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_02 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_03 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_04 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_05 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_06 : out std_logic_vector(31 downto 0);
+ FPGA_REGISTER_07 : out std_logic_vector(31 downto 0);
+ FPGA_REGISTER_08 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_09 : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0A : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0B : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0C : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0D : in std_logic_vector(31 downto 0);
+ FPGA_REGISTER_0E : out std_logic_vector(31 downto 0);
+ LVL2_VALID : in std_logic
+ );
+ end component;
+
+ component trb_net16_med_tlk
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector(15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector(15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_READ_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (15 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (1 downto 0);
+ MED_DATAREADY_OUT : out std_logic;
+ MED_READ_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (15 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0);
+ MED_ERROR_OUT : out std_logic_vector (2 downto 0);
+ STAT : out std_logic_vector (63 downto 0);
+ STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_OP : in std_logic_vector (15 downto 0)
+ );
+ end component;
+
+ component dsp_interface
+ port (
+ -- signal to/from dsp
+ HBR_OUT : out std_logic; -- Host Bus Request to DSP
+ HBG_IN : in std_logic; -- Host Bus Grant from DSP
+ RD_OUT : out std_logic; -- read/write enable of DSP
+ DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+ DSP_DATA_IN : in std_logic_vector(31 downto 0);
+ ADDRESS_DSP : out std_logic_vector(31 downto 0);
+ WRL : out std_logic; --when dsp slave is output
+ WRH : out std_logic; --when dsp slave is output
+ BM_IN : in std_logic; --Bus Master. For debug
+ DSP_RESET : out std_logic;
+ BRST : inout std_logic;
+ ACK : in std_logic;
+ --internal data and address bus
+ CLK : in std_logic;
+ RESET : in std_logic;
+ R_W_ENABLE : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ ACKNOWLEDGE : in std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component dtu_interface
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL1_ERROR_BUS : out std_logic;
+ LVL1_BUSY_BUS : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL2_ERROR_BUS : out std_logic;
+ LVL2_BUSY_BUS : out std_logic;
+ LVL2_TRIGGER : out std_logic;
+ LVL2_CODE : out std_logic_vector(3 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_TRB_ACK : in std_logic;
+ DTU_DEBUG_00 : out std_logic_vector( 31 downto 0));
+ end component;
+
+ component sdram_interface
+ port (
+--connection with sdram
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+--internal signals
+ CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component ctu
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGG : in std_logic_vector(7 downto 0);
+ LVL1_START : out std_logic;
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGG : in std_logic_vector(1 downto 0);
+ LVL2_START : out std_logic_vector(1 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_DOWNSCALING : in std_logic_vector(7 downto 0);
+ CTU_CONTROL : in std_logic_vector(31 downto 0);
+ LVL1_CTU_STATUS : out std_logic_vector(31 downto 0);
+ LVL2_CTU_STATUS : out std_logic_vector(31 downto 0));
+ end component;
+
+ component optical_dtu
+ port (
+ CLK : in std_logic;
+ RX_CLK : in std_logic;
+ TX_CLK : in std_logic;
+ RESET : in std_logic;
+ OPT_DATA_IN : in std_logic_vector(15 downto 0);
+ OPT_DATA_OUT : out std_logic_vector;
+ OPT_DATA_VALID_IN : in std_logic;
+ OPT_DATA_ERR : in std_logic;
+ OPT_DATA_SEND : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_TRIGGER_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG : out std_logic_vector(7 downto 0);
+ LVL2_TRIGGER : out std_logic;
+ LVL2_TRIGGER_CODE : out std_logic;
+ LVL2_TRIGGER_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_BUSY : in std_logic;
+ OPT_DTU_DEBUG_REGISTER : out std_logic_vector(31 downto 0));
+ end component;
+
+ component simpleupcounter_32bit
+ port (
+ QOUT : out std_logic_vector(31 downto 0);
+ UP : in std_logic;
+ CLK : in std_logic;
+ CLR : in std_logic);
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- SFP optical power and temperature --component !!!
+ -----------------------------------------------------------------------------
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+ constant VERSION_NUMBER_TIME : integer := 1210005214; --interface
+
+
+ --clk
+ signal CLK : std_logic;
+ signal addon_clk : std_logic;
+
+ --reset
+ signal global_reset_counter : std_logic_vector(3 downto 0) := x"0";
+ signal reset_i : std_logic :='0';
+
+ --TDC
+ signal tdc_clk : std_logic;
+ signal tdc_clk_i : std_logic;
+ signal tdc_data_in_i : std_logic_vector(31 downto 0);
+ signal a_data_ready_i : std_logic;
+ signal b_data_ready_i : std_logic;
+ signal c_data_ready_i : std_logic;
+ signal d_data_ready_i : std_logic;
+ signal a_trigg : std_logic;
+ signal b_trigg : std_logic;
+ signal c_trigg : std_logic;
+ signal d_trigg : std_logic;
+ signal reference_signal : std_logic;
+ signal tdc_readout_completed_i : std_logic;
+ signal tdc_data_out_i : std_logic_vector(31 downto 0);
+ signal tdc_data_valid_i : std_logic;
+ signal lvl2_readout_completed_i : std_logic;
+ signal tdc_register_00_i : std_logic_vector(31 downto 0);
+ signal tdc_register_01_i : std_logic_vector(31 downto 0);
+ signal tdc_register_02_i : std_logic_vector(31 downto 0);
+ signal tdc_register_03_i : std_logic_vector(31 downto 0);
+ signal tdc_register_04_i : std_logic_vector(31 downto 0);
+ signal tdc_register_05_i : std_logic_vector(31 downto 0);
+ signal bunch_reset_i : std_logic;
+ signal event_reset_i : std_logic;
+ signal trigger_to_tdc_i : std_logic;
+ signal token_out_i : std_logic;
+ signal fast_ref_trigger : std_logic;
+ signal fast_ref_trigger_synch : std_logic;
+ signal fast_ref_trigger_pulse : std_logic;
+ signal token_in_i : std_logic;
+ signal not_hades_trigger : std_logic;
+
+ --common signals for triggers
+ signal lvl1_busy_i : std_logic;
+ signal lvl2_busy_i : std_logic;
+ signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_tag_i : std_logic_vector(7 downto 0);
+ signal lvl2_trigger_i : std_logic;
+ signal lvl1_trigger_i : std_logic;
+ signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0";
+ signal lvl2_trigger_tag_i : std_logic_vector(7 downto 0) := x"00";
+
+ --etrax
+ signal etrax_bus_busy_i : std_logic; --should go to busy logic !?
+ signal etrax_is_ready_to_read_i : std_logic;
+ signal fpga_register_01_i : std_logic_vector(31 downto 0);
+ signal fpga_register_02_i : std_logic_vector(31 downto 0);
+ signal fpga_register_03_i : std_logic_vector(31 downto 0);
+ signal fpga_register_04_i : std_logic_vector(31 downto 0);
+ signal fpga_register_05_i : std_logic_vector(31 downto 0);
+ signal fpga_register_06_i : std_logic_vector(31 downto 0);
+ signal fpga_register_07_i : std_logic_vector(31 downto 0);
+ signal fpga_register_08_i : std_logic_vector(31 downto 0);
+ signal fpga_register_09_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0e_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0f_i : std_logic_vector(31 downto 0);
+
+ -- tlk
+ signal tlk_rx_clk_r : std_logic;
+ signal tlk_clk_r : std_logic;
+ signal tlk_register_00_i : std_logic_vector(31 downto 0);
+ signal tlk_register_01_i : std_logic_vector(31 downto 0);
+
+ --rw external interaface
+ signal external_address_i : std_logic_vector(31 downto 0);
+ signal external_data_in_i : std_logic_vector(31 downto 0);
+ signal external_data_out_i : std_logic_vector(31 downto 0);
+ signal external_ack_i : std_logic;
+ signal external_valid_i : std_logic;
+ signal external_mode_i : std_logic_vector(15 downto 0);
+
+ --dsp
+ signal dsp_strobe_i : std_logic;
+ signal dsp_external_valid_i : std_logic;
+ signal dsp_hbr_i : std_logic;
+ signal dspdat_out_i : std_logic_vector(31 downto 0);
+ signal dspdat_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_out_i : std_logic_vector(31 downto 0);
+ signal dspaddr_i : std_logic_vector(31 downto 0);
+ signal dsp_register_00_i : std_logic_vector(31 downto 0);
+ signal DSP_WRL_i : std_logic;
+ signal DSP_RD_i : std_logic;
+ signal dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+ signal dsp_bm_reg : std_logic;
+ signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_0 : std_logic;
+ signal dsp_link_clk_out_0 : std_logic;
+ signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_1 : std_logic;
+ signal dsp_link_clk_out_1 : std_logic;
+
+ --sdram
+ signal sdram_register_00_i : std_logic_vector(31 downto 0);
+ signal sdram_external_valid_i : std_logic;
+ signal sdram_data_out_i : std_logic_vector(31 downto 0);
+ signal vsd_cs_i : std_logic;
+
+ --scalers
+ type scaler_counter_arr is array(0 to 7) of std_logic_vector(31 downto 0);
+ signal scaler_counter : scaler_counter_arr;
+ signal scaler_pulse : std_logic_vector(7 downto 0);
+
+ --ctu
+ signal lvl1_ctu_status_i : std_logic_vector(31 downto 0);
+ signal lvl2_ctu_status_i : std_logic_vector(31 downto 0);
+
+ --dtu
+ signal dtu_debug_00_i : std_logic_vector(31 downto 0);
+
+ --other
+ signal check_pulse : std_logic;
+ signal check_counter : std_logic_vector(16 downto 0);
+ signal lvds_add_on_data : std_logic_vector(31 downto 0);
+
+ --api
+ signal med_dataready_out_i : std_logic;
+ signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal MED_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ signal MED_READ_IN_i : std_logic;
+ signal MED_DATAREADY_IN_i : std_logic;
+ signal MED_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_error_out_i : std_logic_vector(2 downto 0);
+ signal MED_READ_OUT_i : std_logic;
+ signal MED_ERROR_IN_i : std_logic_vector (2 downto 0);
+ signal MED_STAT_OP_i : std_logic_vector (15 downto 0);
+ signal MED_CTRL_OP_i : std_logic_vector (15 downto 0);
+ signal APL_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal APL_PACKET_NUM_IN_i : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ signal APL_DATAREADY_IN_i : std_logic;
+ signal APL_READ_OUT_i : std_logic;
+ signal APL_SHORT_TRANSFER_IN_i : std_logic;
+ signal APL_DTYPE_IN_i : std_logic_vector (3 downto 0);
+ signal APL_ERROR_PATTERN_IN_i : std_logic_vector (31 downto 0);
+ signal APL_SEND_IN_i : std_logic;
+ signal APL_TARGET_ADDRESS_IN_i : std_logic_vector (15 downto 0);
+ signal APL_DATA_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal APL_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ signal APL_TYP_OUT_i : std_logic_vector (2 downto 0);
+ signal APL_DATAREADY_OUT_i : std_logic;
+ signal APL_READ_IN_i : std_logic;
+
+ signal apl_run_out_i : std_logic;
+ signal apl_seqnr_out_i : std_logic_vector(7 downto 0);
+ signal stat_i : std_logic_vector(63 downto 0);
+ signal stat_op_i : std_logic_vector(15 downto 0);
+ signal ctrl_op_i : std_logic_vector(15 downto 0);
+ signal STAT_GEN_i : std_logic_vector (31 downto 0);
+ signal STAT_LOCKED_i : std_logic_vector (31 downto 0);
+ signal STAT_INIT_BUFFER_i : std_logic_vector (31 downto 0);
+ signal STAT_REPLY_BUFFER_i : std_logic_vector (31 downto 0);
+ signal STAT_api_control_signals_i : std_logic_vector(31 downto 0);
+ signal STAT_MPLEX_i : std_logic_vector(31 downto 0);
+ signal CTRL_GEN_i : std_logic_vector (31 downto 0);
+ signal CTRL_LOCKED_i : std_logic_vector (31 downto 0);
+ signal STAT_CTRL_INIT_BUFFER_i : std_logic_vector (31 downto 0);
+ signal STAT_CTRL_REPLY_BUFFER_i : std_logic_vector (31 downto 0);
+ signal MPLEX_CTRL_i : std_logic_vector (31 downto 0);
+ signal API_STAT_FIFO_TO_INT_i : std_logic_vector(31 downto 0);
+ signal API_STAT_FIFO_TO_APL_i : std_logic_vector(31 downto 0);
+ signal med_packet_num_in_i : std_logic_vector(1 downto 0);
+
+ --vulom
+ signal vulom_event : std_logic_vector(31 downto 0);
+ signal vulom_event_valid : std_logic;
+ signal vulom_readout_start : std_logic;
+ signal vulom_readout_end : std_logic;
+
+ --spi
+ --a
+ signal spi_sck_a : std_logic;
+ signal spi_cs_a : std_logic;
+ signal spi_sdi_a : std_logic;
+ signal spi_sdo_a : std_logic;
+ --b
+ signal spi_sck_b : std_logic;
+ signal spi_cs_b : std_logic;
+ signal spi_sdi_b : std_logic;
+ signal spi_sdo_b : std_logic;
+ --c
+ signal spi_sck_c : std_logic;
+ signal spi_cs_c : std_logic;
+ signal spi_sdi_c : std_logic;
+ signal spi_sdo_c : std_logic;
+ --d
+ signal spi_sck_d : std_logic;
+ signal spi_cs_d : std_logic;
+ signal spi_sdi_d : std_logic;
+ signal spi_sdo_d : std_logic;
+
+ --test signals
+ signal test_a1 : std_logic;
+ signal test_a2 : std_logic;
+ signal test_b1 : std_logic;
+ signal test_b2 : std_logic;
+ signal test_c1 : std_logic;
+ signal test_c2 : std_logic;
+ signal test_d1 : std_logic;
+ signal test_d2 : std_logic;
+ signal test_counter_1 : std_logic_vector(31 downto 0);
+ signal test_counter_2 : std_logic_vector(31 downto 0);
+ signal trigger_for_test_signal : std_logic;
+
+
+begin
+
+ ----------------------------------------------------------------------------
+ -- Global reset
+ ----------------------------------------------------------------------------
+ MAKE_START_RESET: process (CLK)
+ begin
+ if rising_edge(CLK) then
+ if global_reset_counter < x"f" then
+ global_reset_counter <= global_reset_counter + 1;
+ reset_i <= '1';
+ else
+ global_reset_counter <= global_reset_counter ;
+ reset_i <= '0';
+ end if;
+ end if;
+ end process MAKE_START_RESET;
+
+ -- We should add reseet from etrax ( . . .)
+
+ ----------------------------------------------------------------------------
+ -- LVDS signals
+ ----------------------------------------------------------------------------
+
+ -- CLK ----------------------------------------------------------------------
+ IBUFGDS_CLK : IBUFGDS
+ generic map (
+ IOSTANDARD => "LVDS_25_DCI")
+ port map (
+ O => CLK,--CLK,
+ I => VIRT_CLK,
+ IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+ -- AddOn clk ----------------------------------------------------------------
+ IBUFGDS_ADDCLK : IBUFGDS
+ generic map (
+ IOSTANDARD => "LVDS_25_DCI")
+ port map (
+ O => addon_clk,--CLK,
+ I => ADDON_TO_TRB_CLKINP,
+ IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+
+ -- TDC ----------------------------------------------------------------------
+ IBUFGDS_TDC_CLK : IBUFGDS
+ generic map (
+ IOSTANDARD => "LVDS_25_DCI") --_DCI
+ port map (
+ O => tdc_clk,
+ I => REF_TDC_CLK,
+ IB => REF_TDC_CLKB-- Diff_n clock buffer input (connect to top-level port)
+ );
+ IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg);
+ IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg);
+ IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg);
+ IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg);
+ OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_TDC_BU_RESET, OB =>A_TDC_BU_RESETB , I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_TDC_EV_RESET, OB =>A_TDC_EV_RESETB , I => event_reset_i);
+ OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_TDC_BU_RESET, OB =>B_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_TDC_EV_RESET, OB =>B_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_TDC_BU_RESET, OB =>C_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_TDC_EV_RESET, OB =>C_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_TDC_BU_RESET, OB =>D_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_TDC_EV_RESET, OB =>D_TDC_EV_RESETB, I => event_reset_i);
+
+ --fast trigg-----------------------------------------------------------------
+ IBUFDS_FAST_TRIGGER : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger);
+
+ -- SPI ----------------------------------------------------------------------
+
+ --A
+ OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_SCK, OB => A_SCKB, I => spi_sck_a);
+ OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_SDO, OB => A_SDOB, I => spi_sdo_a);
+ OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => A_CS, OB => A_CSB, I => spi_cs_a);
+ IBUFDS_SDI_A : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a);
+ spi_sck_a <= fpga_register_07_i(0);
+ spi_sdo_a <= fpga_register_07_i(1);
+ spi_cs_a <= fpga_register_07_i(2);
+ fpga_register_08_i(0) <= spi_sdi_a;
+
+ --B
+ OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_SCK, OB => B_SCKB, I => spi_sck_b);
+ OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_SDO, OB => B_SDOB, I => spi_sdo_b);
+ OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => B_CS, OB => B_CSB, I => spi_cs_b);
+ IBUFDS_SDI_B : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map ( I => B_SDI, IB => B_SDIB, O => spi_sdi_b);
+ spi_sck_b <= fpga_register_07_i(3);
+ spi_sdo_b <= fpga_register_07_i(4);
+ spi_cs_b <= fpga_register_07_i(5);
+ fpga_register_08_i(1) <= spi_sdi_b;
+
+ --C
+ OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_SCK, OB => C_SCKB, I => spi_sck_c);
+ OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_SDO, OB => C_SDOB, I => spi_sdo_c);
+ OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => C_CS, OB => C_CSB, I => spi_cs_c);
+ IBUFDS_SDI_C : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+ port map ( I => C_SDI, IB => C_SDIB, O => spi_sdi_c);
+ spi_sck_c <= fpga_register_07_i(6);
+ spi_sdo_c <= fpga_register_07_i(7);
+ spi_cs_c <= fpga_register_07_i(8);
+ fpga_register_08_i(2) <= spi_sdi_c;
+
+ --D
+ OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_SCK, OB => D_SCKB, I => spi_sck_d);
+ OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
+ port map ( O => D_SDO, OB => D_SDOB, I => spi_sdo_d );
+ OBUFDS_CS_D : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => D_CS, OB => D_CSB, I => spi_cs_d );
+ IBUFDS_SDI_D : IBUFDS generic map ( IOSTANDARD => "LVDS_25_DCI" )
+ port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d );
+ spi_sck_d <= fpga_register_07_i(9);
+ spi_sdo_d <= fpga_register_07_i(10);
+ spi_cs_d <= fpga_register_07_i(11);
+ fpga_register_08_i(3) <= spi_sdi_d;
+
+
+ -----------------------------------------------------------------------------
+ -- Test signals
+ -----------------------------------------------------------------------------
+ OBUFDS_TEST_A1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => A_TEST1, OB => A_TEST1B, I => test_a1 );
+ OBUFDS_TEST_A2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => A_TEST2, OB => A_TEST2B, I => test_a2 );
+ OBUFDS_TEST_B1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => B_TEST1, OB => B_TEST1B, I => test_b1 );
+ OBUFDS_TEST_B2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => B_TEST2, OB => B_TEST2B, I => test_b2 );
+ OBUFDS_TEST_C1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => C_TEST1, OB => C_TEST1B, I => test_c1 );
+ OBUFDS_TEST_C2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => C_TEST2, OB => C_TEST2B, I => test_c2 );
+ OBUFDS_TEST_D1 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => D_TEST1, OB => D_TEST1B, I => test_d1 );
+ OBUFDS_TEST_D2 : OBUFDS generic map ( IOSTANDARD => "LVDS_25" )
+ port map ( O => D_TEST2, OB => D_TEST2B, I => test_d2 );
+ --i should be able to switch on off 1 or 2
+ COUNTER_FOR_TEST_1: process (CLK, reset_i,test_counter_1)
+ begin
+ if rising_edge(CLK) then
+-- if reset_i = '1' or test_counter_1 > x"F4240"then --0.1kHz
+ if reset_i = '1' or test_counter_1 = x"00140"then --for sim
+ test_counter_1 <= (others => '0');
+ else
+ test_counter_1 <= test_counter_1 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_1;
+ COUNTER_FOR_TEST_2: process (CLK, reset_i,test_counter_2)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_2 = x"F4240" then --0.1kHz
+ test_counter_2 <= (others => '0');
+ else
+ test_counter_2 <= test_counter_2 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_2;
+ --lenght of signal depend on this condition: test_counter_1 < x". ."
+ --frequency in process:. . or test_counter_x > x". ."
+ test_a1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(0)='1') else '1';
+ test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2)='1') else '1';
+ test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4)='1') else '1';
+ test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6)='1') else '1';
+ test_a2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(1)='1') else '1';
+ test_b2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(3)='1') else '1';
+ test_c2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(5)='1') else '1';
+ test_d2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(7)='1') else '1';
+
+ trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1)='1' or fpga_register_06_i(2) ='1' )) else '0';
+
+
+-- ADD_LVDS ------------------------------------------------------------------
+-- ADO_LVDS: for line in 0 to 25 generate --62 lines in total
+-- IBUFDS_LVDS : IBUFDS
+-- generic map (
+-- IOSTANDARD => "LVDS_25_DCI"
+-- )
+-- port map (
+-- I => ADO_LV(line*2),
+-- IB => ADO_LV(line*2+1),
+-- O => lvds_add_on_data(line)
+-- );
+-- end generate ADO_LVDS;
+-------------------------------------------------------------------------------
+-- tiger sharc dma
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- TRBnet
+-------------------------------------------------------------------------------
+
+ TRBnet: if trbnet_enable=1 generate
+
+ trb_net_16_int: trb_net16_endpoint_0_trg_1_api
+ generic map (
+ API_TYPE => c_API_ACTIVE,
+ IBUF_DEPTH => 6,
+ FIFO_TO_INT_DEPTH => 6,
+ FIFO_TO_APL_DEPTH => 6,
+ SBUF_VERSION => c_SBUF_FULL,
+ IBUF_SECURE_MODE => c_SECURE_MODE,
+ API_SECURE_MODE_TO_APL => c_SECURE_MODE,
+ API_SECURE_MODE_TO_INT => c_SECURE_MODE,
+ OBUF_DATA_COUNT_WIDTH => std_DATA_COUNT_WIDTH,
+ INIT_CAN_SEND_DATA => c_YES,
+ REPLY_CAN_SEND_DATA => c_YES,
+ USE_CHECKSUM => c_YES,
+ DAT_CHANNEL => c_TRG_LVL1_CHANNEL)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i,
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => med_read_out_i,
+ MED_DATAREADY_IN => med_dataready_out_i,
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i,
+ MED_ERROR_IN => med_error_out_i,
+ MED_STAT_OP => x"0000", --med_stat_op_i,
+ MED_CTRL_OP => med_ctrl_op_i, --x"0000",
+ APL_DATA_IN => apl_data_in_i,
+ APL_PACKET_NUM_IN => apl_packet_num_in_i,
+ APL_DATAREADY_IN => apl_dataready_in_i,
+ APL_READ_OUT => apl_read_out_i,
+ APL_SHORT_TRANSFER_IN => '1',
+ APL_DTYPE_IN => x"0",
+ APL_ERROR_PATTERN_IN => x"01234567",
+ APL_SEND_IN => '0',
+ APL_TARGET_ADDRESS_IN => x"FFFF",
+ APL_DATA_OUT => apl_data_out_i,
+ APL_PACKET_NUM_OUT => apl_packet_num_out_i,
+ APL_TYP_OUT => apl_typ_out_i,
+ APL_DATAREADY_OUT => apl_dataready_out_i,
+ APL_READ_IN => '0',
+ APL_RUN_OUT => apl_run_out_i,
+ APL_MY_ADDRESS_IN => x"F001",
+ APL_SEQNR_OUT => apl_seqnr_out_i,
+ STAT_GEN => stat_gen_i,
+ STAT_LOCKED => stat_locked_i,
+ STAT_INIT_BUFFER => stat_init_buffer_i,
+ STAT_REPLY_BUFFER => stat_reply_buffer_i,
+ STAT_api_control_signals => stat_api_control_signals_i,
+ STAT_MPLEX => stat_mplex_i,
+ CTRL_GEN => x"00000000", --ctrl_gen_i,
+ CTRL_LOCKED => x"00000000", --ctrl_locked_i,
+ STAT_CTRL_INIT_BUFFER => stat_ctrl_init_buffer_i,
+ STAT_CTRL_REPLY_BUFFER => stat_ctrl_reply_buffer_i,
+ MPLEX_CTRL => x"00000000",
+ API_STAT_FIFO_TO_INT => api_stat_fifo_to_int_i,
+ API_STAT_FIFO_TO_APL => api_stat_fifo_to_apl_i);
+
+
+ -----------------------------------------------------------------------------
+ -- media to api, api to media
+ -----------------------------------------------------------------------------
+ TLK_RX_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r
+ );
+
+ TLK_CLK_BUFR: BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r
+ );
+
+ TLK_API_INT: trb_net16_med_tlk
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ TLK_CLK => tlk_clk_r,
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => tlk_rx_clk_r,
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ MED_DATAREADY_IN => med_dataready_in_i,
+ MED_READ_IN => med_read_in_i,
+ MED_DATA_IN => med_data_in_i,
+ MED_PACKET_NUM_IN => med_packet_num_in_i,
+ MED_DATAREADY_OUT => med_dataready_out_i,
+ MED_READ_OUT => med_read_out_i,
+ MED_DATA_OUT => med_data_out_i,
+ MED_PACKET_NUM_OUT => med_packet_num_out_i,
+ MED_ERROR_OUT => med_error_out_i,
+ STAT => stat_i,
+ STAT_OP => stat_op_i,
+ CTRL_OP => ctrl_op_i);
+ end generate TRBnet;
+
+
+ -------------------------------------------------------------------------------
+ -- Vulom interafce
+ -------------------------------------------------------------------------------
+ VULOM_EN: if TRBV2_TYPE = 4 generate
+
+ VULOM_INT : vulom_interface
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ DATA_CLK => ADO_TTL(46),
+ RESET => reset_i,
+ DATA_IN => ADO_TTL(42 downto 41), --lvds_add_on_data (3 downto 0),
+ BUSY => open,
+ ACK_TO_VULOM => ADO_TTL(34),
+ ERR_TO_VULOM => ADO_TTL(33),
+ API_DATA => open,
+ API_RUN_OUT => lvl1_busy_i, --lvl1_cts_busy or lvl1_system_busy, --apl_run_out_i,
+ API_SHORT_TRANSFER => open,
+ API_DTYPE => lvl1_trigger_code_i,
+ API_SEND => apl_send_in_i,
+ API_WRITE_IN => open,
+ API_TARGET_ADDRESS => open,
+ API_SEQNR_OUT => apl_seqnr_out_i, --x"00",
+ VULOM_INT_REG => open,--vulom_int_reg_00,
+ INTERFACE_CONTROL => fpga_register_06_i(11 downto 8),
+ EVENT_OUT => vulom_event,
+ EVENT_VALID => vulom_event_valid,--counter_1_i,
+ EVENT_READOUT_START => vulom_readout_start,
+ EVENT_READOUT_END => vulom_readout_end,
+ START_READOUT => open,--lvl1_trigger_i,
+ LVL1_TRANSFER_BUSY => lvl1_busy_i --lvl1_cts_busy --lvl1_cts_busy or lvl1_system_busy
+ );
+ ADO_TTL(42 downto 40) <= (others => 'Z');
+ ADO_TTL(34) <= '1';
+
+ end generate VULOM_EN;
+
+
+ ---------------------------------------------------------------------------
+ -- tdc interface
+ ---------------------------------------------------------------------------
+ VIRT_TRST <= not fpga_register_06_i(5);--'1';
+ TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
+
+ TDC_INT_FOR_DIFF_PLATFORMSa: if TRBV2_TYPE = 0 generate
+ tdc_clk_i <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ end generate TDC_INT_FOR_DIFF_PLATFORMSa;
+
+ TDC_INT_FOR_DIFF_PLATFORMSb: if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate
+ tdc_clk_i <= addon_clk;
+ tdc_data_in_i <= x"0" & "00" & ADO_TTL(32 downto 7);
+ a_data_ready_i <= ADO_TTL(3);
+ b_data_ready_i <= ADO_TTL(3);
+ c_data_ready_i <= ADO_TTL(3);
+ d_data_ready_i <= ADO_TTL(3);
+ token_in_i <= ADO_TTL(2);
+ ADO_TTL(1) <= token_out_i; --longer token !?
+ end generate TDC_INT_FOR_DIFF_PLATFORMSb;
+
+ TDC_INT_FOR_DIFF_PLATFORMSc: if TRBV2_TYPE = 4 generate
+ tdc_clk_i <= CLK;
+ tdc_data_in_i <= vulom_event;
+ a_data_ready_i <= vulom_event_valid;--start_readout_i;
+ b_data_ready_i <= vulom_event_valid;--start_readout_i;
+ c_data_ready_i <= vulom_event_valid;--start_readout_i;
+ d_data_ready_i <= vulom_event_valid;--start_readout_i;
+ token_in_i <= vulom_readout_end;
+ vulom_readout_start <= token_out_i; --longer token !?
+ end generate TDC_INT_FOR_DIFF_PLATFORMSc;
+
+
+ TDC_INT : tdc_interface
+ port map (
+ CLK => CLK,
+ TDC_CLK => tdc_clk_i,
+ RESET => reset_i,
+ TDC_DATA_IN => tdc_data_in_i,
+ START_TDC_READOUT => lvl1_trigger_i,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_POWERUP => open, --A_TDC_POWERUP, ??????????????????
+ B_TDC_POWERUP => open, --B_TDC_POWERUP,
+ C_TDC_POWERUP => open, --C_TDC_POWERUP,
+ D_TDC_POWERUP => open, --D_TDC_POWERUP,
+ A_TDC_READY => a_data_ready_i,
+ B_TDC_READY => b_data_ready_i,
+ C_TDC_READY => c_data_ready_i,
+ D_TDC_READY => d_data_ready_i,
+ SEND_TDC_TOKEN => token_out_i,
+ RECEIVED_TDC_TOKEN => token_in_i,
+ GET_TDC_DATA => GET_DATA,
+ LVL2_READOUT_COMPLETED => lvl2_readout_completed_i,
+ LVL1_TAG => lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16),
+ COUNTER_a => scaler_counter(0)(31 downto 0),
+ COUNTER_b => scaler_counter(1)(31 downto 0),
+ COUNTER_c => scaler_counter(2)(31 downto 0),
+ COUNTER_d => scaler_counter(3)(31 downto 0),
+ COUNTER_e => scaler_counter(4)(31 downto 0),
+ COUNTER_f => scaler_counter(5)(31 downto 0),
+ COUNTER_g => scaler_counter(6)(31 downto 0),
+ COUNTER_h => scaler_counter(7)(31 downto 0),
+ LVL2_TRIGGER => lvl2_trigger_i,
+ TDC_DATA_OUT => tdc_data_out_i,
+ TDC_DATA_VALID => tdc_data_valid_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ ETRAX_IS_BUSY => FS_PB_17,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ TDC_REGISTER_00 => tdc_register_00_i,
+ TDC_REGISTER_01 => tdc_register_01_i,
+ TDC_REGISTER_02 => tdc_register_02_i,
+ TDC_REGISTER_03 => tdc_register_03_i,
+ TDC_REGISTER_04 => tdc_register_04_i,
+ TDC_REGISTER_05 => fpga_register_0e_i,
+ BUNCH_RESET => bunch_reset_i,
+ EVENT_RESET => event_reset_i,
+ DELAY_TRIGGER => fpga_register_06_i(31 downto 24),
+ TDC_START => trigger_to_tdc_i,
+ TRIGGER_WITHOUT_HADES => fpga_register_06_i(7),
+ TRIGGER_WITH_GEN_EN => fpga_register_06_i(8),
+ TRIGGER_WITH_GEN => not_hades_trigger
+ );
+ not_hades_trigger <= trigger_for_test_signal or fast_ref_trigger_pulse; --'0'; -- or trigger_for_test_signal;
+
+ MAKE_SYNCH_REF: process (TDC_CLK, reset_i)
+ begin
+ if rising_edge(TDC_CLK) then
+ if reset_i = '1' then
+ fast_ref_trigger_synch <= '0';
+ else
+ fast_ref_trigger_synch <= fast_ref_trigger;
+ end if;
+ end if;
+ end process MAKE_SYNCH_REF;
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => TDC_CLK,
+ en_clk => '1',
+ signal_in => fast_ref_trigger_synch,
+ pulse => fast_ref_trigger_pulse);
+
+ a_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ b_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ c_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ d_trigg <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ A_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ B_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ C_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ D_TDC_POWERUP <= '1'; --in trbv2c this is a diod
+ DBAD <= lvl1_busy_i;
+ DINT <= FS_PB_17;
+ DWAIT <= fpga_register_06_i(6);
+
+
+-----------------------------------------------------------------------------
+-- MULTIPLEX_DATA_TO_ETRAX
+-----------------------------------------------------------------------------
+
+ MULTIPLEX_DATA_TO_ETRAX: process(CLK,reset_i,external_mode_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ external_data_in_i <= (others => '0');
+ elsif external_mode_i(7 downto 0) = x"01" then
+ external_data_in_i <= dsp_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"02" then
+ external_data_in_i <= sdram_data_out_i;
+-- elsif external_mode_i(7 downto 0) = x"03" then
+-- external_data_in_i <= x"add000" & ADO_TTL(42 downto 35);
+ else
+ external_data_in_i <= x"0000"&external_mode_i;
+ end if;
+ end if;
+ end process MULTIPLEX_DATA_TO_ETRAX;
+-- ADO_TTL(42 downto 35) <= (others => 'Z');
+-- ADO_TTL(34 downto 19) <= external_address_i(15 downto 0);
+-- ADO_TTL(18 downto 11) <= external_data_out_i(7 downto 0);
+-- ADO_TTL(10) <= external_mode_i(15);
+-- ADO_TTL(9) <= '1' when external_mode_i(7 downto 0) = x"03" and external_ack_i = '1' else '0';
+-- dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+-- -- external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or ADO_TTL(12);
+-- external_valid_i <= ADO_TTL(8);
+-- ADO_TTL(8) <= 'Z';
+
+-------------------------------------------------------------------------------
+-- etrax interface
+-------------------------------------------------------------------------------
+ ETRAX_IRQ <= '1';
+ ETRAX_INTERFACE_LOGIC : etrax_interfacev2
+ generic map (
+ RW_SYSTEM => RW_SYSTEM)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_BUS => tdc_data_out_i,
+ ETRAX_DATA_BUS_B => FS_PB,
+ ETRAX_DATA_BUS_B_17 => FS_PB_17,
+ ETRAX_DATA_BUS_C => FS_PC,
+ ETRAX_DATA_BUS_E => open,
+ DATA_VALID => tdc_data_valid_i,
+ ETRAX_BUS_BUSY => etrax_bus_busy_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ TDC_TCK => VIRT_TCK,
+ TDC_TDI => VIRT_TDI,
+ TDC_TMS => VIRT_TMS,
+ TDC_TRST => open, --VIRT_TRST,
+ TDC_TDO => VIRT_TDO,
+ TDC_RESET => open, --TDC_RESET,
+ EXTERNAL_ADDRESS => external_address_i,
+ EXTERNAL_DATA_OUT => external_data_out_i,
+ EXTERNAL_DATA_IN => external_data_in_i,
+ EXTERNAL_ACK => external_ack_i,
+ EXTERNAL_VALID => external_valid_i,
+ EXTERNAL_MODE => external_mode_i,
+ FPGA_REGISTER_00 => x"00000000",
+ FPGA_REGISTER_01 => fpga_register_01_i,
+ FPGA_REGISTER_02 => fpga_register_02_i,
+ FPGA_REGISTER_03 => fpga_register_03_i,
+ FPGA_REGISTER_04 => fpga_register_04_i,
+ FPGA_REGISTER_05 => fpga_register_05_i,
+ FPGA_REGISTER_06 => fpga_register_06_i,
+ FPGA_REGISTER_07 => fpga_register_07_i,
+ FPGA_REGISTER_08 => fpga_register_08_i,
+ FPGA_REGISTER_09 => fpga_register_09_i,
+ FPGA_REGISTER_0A => fpga_register_0A_i,
+ FPGA_REGISTER_0B => fpga_register_0b_i,
+ FPGA_REGISTER_0C => fpga_register_0c_i,
+ FPGA_REGISTER_0D => fpga_register_0d_i,
+ FPGA_REGISTER_0E => fpga_register_0e_i,
+ LVL2_VALID => lvl2_trigger_code_i(3)
+ );
+ fpga_register_01_i <= tdc_register_00_i;
+ fpga_register_02_i <= tdc_register_01_i;
+ fpga_register_03_i <= tdc_register_02_i;
+ fpga_register_04_i <= tdc_register_03_i;
+ fpga_register_05_i <= tdc_register_04_i;
+-- fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
+ fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
+ fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
+ fpga_register_0c_i <= '0' & "0" & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+ fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
+
+
+
+
+-------------------------------------------------------------------------------
+-- tlk
+-------------------------------------------------------------------------------
+-- DGOOD <= lvl2_busy_i;
+-- TLK_TXD <= x"abcd";
+-- TLK_TX_ER <= '0';
+-- SFP_TX_DIS <= fpga_register_06_i(15);
+-- TLK_LOOPEN <= '0';
+-- TLK_LCKREFN <= '1';
+-- TLK_ENABLE <= '1';
+-- TLK_PRBSEN <= '0';
+-- TLK_RX_CLK_BUFR: BUFR
+-- port map(
+-- CE => '1',
+-- CLR => '0',
+-- I => TLK_RX_CLK,
+-- O => tlk_rx_clk_r
+-- );
+-- TLK_CLK_BUFR: BUFR
+-- port map(
+-- CE => '1',
+-- CLR => '0',
+-- I => TLK_CLK,
+-- O => tlk_clk_r
+-- );
+-- tlk_interface_logic: tlk_interface
+-- port map (
+-- VIRT_CLK => CLK,
+-- ENABLE => TLK_ENABLE,
+-- LCKREFN => TLK_LCKREFN,
+-- LOOPEN => TLK_LOOPEN,
+-- PRBSEN => TLK_PRBSEN,
+-- RX_CLK => tlk_rx_clk_r,
+-- RX_DV => TLK_RX_DV,
+-- RX_ER => TLK_RX_ER,
+-- TLK_CLK => tlk_clk_r,
+-- TLK_RXD => TLK_RXD,
+-- TLK_TXD => TLK_TXD,
+-- TX_EN => open,--TLK_TX_EN,
+-- TX_ER => open,--TLK_TX_ER,
+-- RESET_VIRT => reset_i,
+-- TLK_REGISTER_00 => tlk_register_00_i,
+-- TLK_REGISTER_01 => tlk_register_01_i,
+-- SFP_LOS => SFP_LOS,
+-- TX_DIS => open--SFP_TX_DIS
+-- );
+-- -- TLK_LCKREFN <= fpga_register_06_i(15);
+-- -- TLK_TXD <= x"abcd";
+-- TLK_TX_EN <= '0';
+-- TLK_TX_ER <= '0';
+-- fpga_register_08_i(4) <= SFP_LOS;
+
+
+-------------------------------------------------------------------------------
+-- dsp
+-------------------------------------------------------------------------------
+ DSP_EN: if DSP_INT_ENABLE = 1 generate
+ DSP_DATA_REGISTER: process (CLK, reset_i)
+ begin -- process DSP_DATA_REGISTER
+ if CLK'event and CLK = '1' then
+ if reset_i = '1' then
+ dsp_data_reg_in_i <= x"00000000";
+ dsp_data_reg_out_i <= x"00000000";
+ dsp_bm_reg <= '0';
+ else
+ dsp_bm_reg <= DSP_BM;
+ dsp_data_reg_in_i <= DSPDAT;
+ dsp_data_reg_out_i <= dspdat_out_i;
+ end if;
+ end if;
+ end process DSP_DATA_REGISTER;
+
+ DSP_HBR <= '1';
+ DSP_RESET <= fpga_register_06_i(4);
+ DSP_HBR <= dsp_hbr_i;
+ DSPDAT <= dspdat_out_i;
+ dspdat_in_i <= DSPDAT;
+ DSP_WRL <= DSP_WRL_i;
+ DSP_RD <= DSP_RD_i;
+ DSPADDR <= dspaddr_i;
+ DSP_BOFF <= fpga_register_06_i(5);
+ DSP_IRQ <= x"1";
+ DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+ DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+
+ DSP_INTERFACE_LOGIC: dsp_interface
+ port map (
+ HBR_OUT => dsp_hbr_i,
+ HBG_IN => DSP_HBG,
+ RD_OUT => DSP_RD_i,
+ DSP_DATA_OUT => dspdat_out_i,--DSPDAT to DSP,
+ DSP_DATA_IN => dspdat_in_i,--DSPDAT to FPGA,
+ ADDRESS_DSP => dspaddr_i,--DSPADDR,
+ WRL => DSP_WRL_i,
+ WRH => DSP_WRH,
+ BM_IN => DSP_BM,
+ DSP_RESET => open,
+ BRST => DSP_BRST,
+ ACK => DSP_ACK,
+ CLK => CLK,
+ RESET => reset_i,
+ R_W_ENABLE => external_mode_i(15),
+ TRIGGER => dsp_strobe_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => dsp_data_out_i,--external_data_in_i,
+ INTERNAL_ADDRESS => external_address_i,
+ VALID_DATA_SENT => dsp_external_valid_i,
+ ACKNOWLEDGE => dsp_strobe_i,
+ DEBUGSTATE_MACHINE => dsp_register_00_i);
+ end generate DSP_EN;
+
+
+-------------------------------------------------------------------------------
+-- sdram interface
+-------------------------------------------------------------------------------
+ SDRAM_EN: if SDRAM_INT_ENABLE = 1 generate
+ SDRAM_INTERFACE_LOGIC: sdram_interface
+ port map (
+ CLK_SDRAM => VSD_CLOCK,
+ CKE => VSD_CKE,
+ CS => vsd_cs_i,
+ RAS => VSD_RAS,
+ CAS => VSD_CAS,
+ WE => VSD_WE,
+ DQM => VSD_DQML,
+ BA => VSD_BA,
+ A => VSD_A,
+ DQ => VSD_D,
+ CLK => CLK,
+ RESET => reset_i,
+ TRIGGER => external_ack_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => sdram_data_out_i,
+ INTERNAL_ADDRESS => external_address_i,
+ INTERNAL_MODE => external_mode_i,
+ VALID_DATA_SENT => sdram_external_valid_i,
+ DEBUGSTATE_MACHINE => sdram_register_00_i);
+
+ VSD_CSEH <= vsd_cs_i;
+ VSD_CSEL <= vsd_cs_i;
+ ADO_TTL(18) <= '1';
+ ADO_TTL(15 downto 0) <= (others => 'Z');
+ end generate SDRAM_EN;
+
+
+-------------------------------------------------------------------------------
+-- opt dtu
+-------------------------------------------------------------------------------
+ DTU_OPT_EN: if DTU_ENABLE = 2 generate
+
+ TLK_TXD <= x"abcd";
+ TLK_TX_ER <= '0';
+ SFP_TX_DIS <= fpga_register_06_i(15);
+ TLK_LOOPEN <= '0';
+ TLK_LCKREFN <= '1';
+ TLK_ENABLE <= '1';
+ TLK_PRBSEN <= '0';
+
+ TLK_RX_CLK_BUFR: BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r
+ );
+
+ TLK_CLK_BUFR: BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r
+ );
+
+ DTU_OPT_INST: optical_dtu
+ port map (
+ CLK => CLK,
+ RX_CLK => tlk_rx_clk_r,
+ TX_CLK => tlk_clk_r,
+ RESET => reset_i,
+ OPT_DATA_IN => TLK_RXD,
+ OPT_DATA_OUT => TLK_TXD,
+ OPT_DATA_VALID_IN => TLK_RX_DV,
+ OPT_DATA_ERR => TLK_RX_ER,
+ OPT_DATA_SEND => TLK_TX_EN,
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_TRIGGER_CODE => lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG => lvl1_trigger_tag_i,
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_TRIGGER_CODE => lvl2_trigger_code_i(3),
+ LVL2_TRIGGER_TAG => lvl2_trigger_tag_i,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ OPT_DTU_DEBUG_REGISTER => fpga_register_0e_i);
+
+ end generate DTU_OPT_EN;
+
+-------------------------------------------------------------------------------
+-- dtu
+-------------------------------------------------------------------------------
+ DTU_INT_ENABLE: if DTU_ENABLE = 1 generate
+ DTU_INT: dtu_interface
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ LVL1_TRIGGER_BUS => ADO_TTL(0),
+ LVL1_DATA_TRIGGER_BUS => ADO_TTL(1),
+ LVL1_DATA_BUS => ADO_TTL(5 downto 2),
+ LVL1_ERROR_BUS => open,
+ LVL1_BUSY_BUS => ADO_TTL(7),
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_TAG => lvl1_trigger_tag_i,
+ LVL1_BUSY => lvl1_busy_i,--'0',
+ LVL2_TRIGGER_BUS => ADO_TTL(8),
+ LVL2_DATA_TRIGGER_BUS => ADO_TTL(9),
+ LVL2_DATA_BUS => ADO_TTL(13 downto 10),
+ LVL2_ERROR_BUS => open,
+ LVL2_BUSY_BUS => ADO_TTL(15),
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_CODE => lvl2_trigger_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i,
+ LVL2_BUSY => lvl2_busy_i,
+ LVL2_TRB_ACK => lvl2_readout_completed_i,--lvl2_trb_ack_i,
+ DTU_DEBUG_00 => dtu_debug_00_i);
+ -- ADO_TTL(7) <= lvl1_busy_i;
+ ADO_TTL(5 downto 0) <= (others => 'Z');
+ ADO_TTL(13 downto 8) <= (others => 'Z');
+ ADO_TTL(34) <= '1';
+ ADO_TTL(33) <= '0';
+ end generate DTU_INT_ENABLE;
+
+-------------------------------------------------------------------------------
+-- ctu
+-------------------------------------------------------------------------------
+ CTU_INT_ENABLE: if CTU_ENABLE = 1 generate
+ lvl2_trigger_code_i(3) <= '0';
+ ADO_TTL(15 downto 0) <= (others => 'Z');
+
+ CTU_INT: ctu
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ LVL1_TRIGG => ADO_TTL(7 downto 0),
+ LVL1_START => lvl1_trigger_i,
+ LVL1_TAG => lvl1_trigger_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_TRIGG => "00", --MU
+ LVL2_START => open,--lvl2_trigger_i, chang to one bit ?
+ LVL2_TAG => open,
+ LVL2_BUSY => lvl2_busy_i,
+ LVL2_DOWNSCALING => fpga_register_06_i(15 downto 8),
+ CTU_CONTROL => x"00000000",--x"0000" & fpga_register_06_i(15 downto 0), --26
+ LVL1_CTU_STATUS => lvl1_ctu_status_i,
+ LVL2_CTU_STATUS => lvl2_ctu_status_i);
+ end generate CTU_INT_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- scalers
+-------------------------------------------------------------------------
+ SCALERS_ON_TTL_ENABLE: if SCALERS_ENABLE = 1 generate
+ SCALER: for ttl_line in 0 to 7 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ADO_TTL(ttl_line),
+ pulse => scaler_pulse(ttl_line));
+ SCALER : simpleupcounter_32bit
+ port map (
+ QOUT => scaler_counter(ttl_line),
+ UP => scaler_pulse(ttl_line),
+ CLK => CLK,
+ CLR => reset_i);
+ end generate SCALER;
+ ADO_TTL(7 downto 0) <= (others => 'Z');
+ end generate SCALERS_ON_TTL_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- others
+--------------------------------------------------------------------------
+
+ COUNTER_FOR_CLOCK_CHECK: process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ check_counter <= (others => '0');
+ else
+ check_counter <= check_counter + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_CLOCK_CHECK;
+ check_pulse <= '1' when check_counter > x"fffe" else '0';
+
+end trb_v2b_fpga;
--- /dev/null
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+use STD.TEXTIO.all;
+use IEEE.STD_LOGIC_TEXTIO.all;
+-- use work.support.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+ENTITY trb_v2b_fpga_slowcntrl_tb IS
+END trb_v2b_fpga_slowcntrl_tb;
+
+ARCHITECTURE behavior OF trb_v2b_fpga_slowcntrl_tb IS
+
+ component trb_v2b_fpga_endpoint
+ port (
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic;
+ DGOOD : out std_logic;
+ DINT : out std_logic;
+ DWAIT : out std_logic;
+ A_RESERVED : in std_logic;
+ B_RESERVED : in std_logic;
+ C_RESERVED : in std_logic;
+ D_RESERVED : in std_logic;
+ TEMP_SENS : inout std_logic_vector(4 downto 0);
+ VIR_TRIG : in std_logic;
+ VIR_TRIGB : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic;
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic;
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic;
+ DSP_BOFF : out std_logic;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ ADO_LVDS_IN : in std_logic_vector(61 downto 0);
+-- ADO_LVDS_OUT : out std_logic_vector(9 downto 0);
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+-- ADO_TTL : inout std_logic_vector(15 downto 0);
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic);
+ end component;
+
+-------------------------------------------------------------------------------
+-- trb signals
+-------------------------------------------------------------------------------
+ signal VIRT_CLK : std_logic;
+ signal VIRT_CLKB : std_logic;
+ signal RESET_VIRT : std_logic;
+ signal DBAD : std_logic;
+ signal DGOOD : std_logic;
+ signal DINT : std_logic;
+ signal DWAIT : std_logic;
+ signal A_RESERVED : std_logic;
+ signal B_RESERVED : std_logic;
+ signal C_RESERVED : std_logic;
+ signal D_RESERVED : std_logic;
+ signal TEMP_SENS : std_logic_vector(4 downto 0);
+ signal VIR_TRIG : std_logic;
+ signal VIR_TRIGB : std_logic;
+ signal A_TDC_ERROR : std_logic;
+ signal B_TDC_ERROR : std_logic;
+ signal C_TDC_ERROR : std_logic;
+ signal D_TDC_ERROR : std_logic;
+ signal A_TDC_POWERUP : std_logic;
+ signal B_TDC_POWERUP : std_logic;
+ signal C_TDC_POWERUP : std_logic;
+ signal D_TDC_POWERUP : std_logic;
+ signal TOKEN_IN : std_logic;
+ signal TOKEN_OUT : std_logic;
+ signal C_TOKEN_OUT_TTL : std_logic;
+ signal GET_DATA : std_logic;
+ signal A_DATA_READY : std_logic;
+ signal B_DATA_READY : std_logic;
+ signal C_DATA_READY : std_logic;
+ signal D_DATA_READY : std_logic;
+ signal REF_TDC_CLK : std_logic;
+ signal REF_TDC_CLKB : std_logic;
+ signal A_TDC_BU_RESET : std_logic;
+ signal A_TDC_BU_RESETB : std_logic;
+ signal A_TDC_EV_RESET : std_logic;
+ signal A_TDC_EV_RESETB : std_logic;
+ signal B_TDC_BU_RESET : std_logic;
+ signal B_TDC_BU_RESETB : std_logic;
+ signal B_TDC_EV_RESET : std_logic;
+ signal B_TDC_EV_RESETB : std_logic;
+ signal C_TDC_BU_RESET : std_logic;
+ signal C_TDC_BU_RESETB : std_logic;
+ signal C_TDC_EV_RESET : std_logic;
+ signal C_TDC_EV_RESETB : std_logic;
+ signal D_TDC_BU_RESET : std_logic;
+ signal D_TDC_BU_RESETB : std_logic;
+ signal D_TDC_EV_RESET : std_logic;
+ signal D_TDC_EV_RESETB : std_logic;
+ signal TDC_OUT : std_logic_vector (31 downto 0);
+ signal TDC_RESET : std_logic;
+ signal A_TRIGGER : std_logic;
+ signal A_TRIGGERB : std_logic;
+ signal B_TRIGGER : std_logic;
+ signal B_TRIGGERB : std_logic;
+ signal C_TRIGGER : std_logic;
+ signal C_TRIGGERB : std_logic;
+ signal D_TRIGGER : std_logic;
+ signal D_TRIGGERB : std_logic;
+ signal FS_PB : std_logic_vector (16 downto 0);
+ signal FS_PB_17 : std_logic;
+ signal FS_PC : std_logic_vector (17 downto 0);
+ signal ETRAX_IRQ : std_logic;
+ signal A_SCK : std_logic;
+ signal A_SCKB : std_logic;
+ signal A_SDI : std_logic;
+ signal A_SDIB : std_logic;
+ signal A_SDO : std_logic;
+ signal A_SDOB : std_logic;
+ signal A_CSB : std_logic;
+ signal A_CS : std_logic;
+ signal B_SCK : std_logic;
+ signal B_SCKB : std_logic;
+ signal B_SDI : std_logic;
+ signal B_SDIB : std_logic;
+ signal B_SDO : std_logic;
+ signal B_SDOB : std_logic;
+ signal B_CSB : std_logic;
+ signal B_CS : std_logic;
+ signal C_SCK : std_logic;
+ signal C_SCKB : std_logic;
+ signal C_SDI : std_logic;
+ signal C_SDIB : std_logic;
+ signal C_SDO : std_logic;
+ signal C_SDOB : std_logic;
+ signal C_CSB : std_logic;
+ signal C_CS : std_logic;
+ signal D_SCK : std_logic;
+ signal D_SCKB : std_logic;
+ signal D_SDI : std_logic;
+ signal D_SDIB : std_logic;
+ signal D_SDO : std_logic;
+ signal D_SDOB : std_logic;
+ signal D_CSB : std_logic;
+ signal D_CS : std_logic;
+ signal A_TEST1 : std_logic;
+ signal A_TEST1B : std_logic;
+ signal A_TEST2 : std_logic;
+ signal A_TEST2B : std_logic;
+ signal B_TEST1 : std_logic;
+ signal B_TEST1B : std_logic;
+ signal B_TEST2 : std_logic;
+ signal B_TEST2B : std_logic;
+ signal C_TEST1 : std_logic;
+ signal C_TEST1B : std_logic;
+ signal C_TEST2 : std_logic;
+ signal C_TEST2B : std_logic;
+ signal D_TEST1 : std_logic;
+ signal D_TEST1B : std_logic;
+ signal D_TEST2 : std_logic;
+ signal D_TEST2B : std_logic;
+ signal DSPADDR : std_logic_vector (31 downto 0);
+ signal DSPDAT : std_logic_vector (31 downto 0);
+ signal DSP_ACK : std_logic;
+ signal DSP_BM : std_logic;
+ signal DSP_BMS : std_logic;
+ signal DSP_BOFF : std_logic;
+ signal DSP_BRST : std_logic;
+ signal DSP_HBG : std_logic;
+ signal DSP_HBR : std_logic;
+ signal DSP_IRQ : std_logic_vector (3 downto 0);
+ signal DSP_RD : std_logic;
+ signal DSP_RESET : std_logic;
+ signal DSP_RESET_OUT : std_logic;
+ signal DSP_WRH : std_logic;
+ signal DSP_WRL : std_logic;
+ signal VSD_A : std_logic_vector (12 downto 0);
+ signal VSD_BA : std_logic_vector (1 downto 0);
+ signal VSD_CAS : std_logic;
+ signal VSD_CKE : std_logic;
+ signal VSD_CLOCK : std_logic;
+ signal VSD_CSEH : std_logic;
+ signal VSD_CSEL : std_logic;
+ signal VSD_D : std_logic_vector (31 downto 0);
+ signal VSD_DQML : std_logic_vector (3 downto 0);
+ signal VSD_RAS : std_logic;
+ signal VSD_WE : std_logic;
+ signal TLK_CLK : std_logic;
+ signal TLK_ENABLE : std_logic;
+ signal TLK_LCKREFN : std_logic;
+ signal TLK_LOOPEN : std_logic;
+ signal TLK_PRBSEN : std_logic;
+ signal TLK_RXD : std_logic_vector (15 downto 0);
+ signal TLK_RX_CLK : std_logic;
+ signal TLK_RX_DV : std_logic;
+ signal TLK_RX_ER : std_logic;
+ signal TLK_TXD : std_logic_vector (15 downto 0);
+ signal TLK_TX_EN : std_logic;
+ signal TLK_TX_ER : std_logic;
+ signal SFP_LOS : std_logic;
+ signal SFP_TX_DIS : std_logic;
+ signal SFP_TX_FAULT : std_logic;
+ signal ADO_LVDS_IN : std_logic_vector(61 downto 0);
+ signal ADO_LVDS_OUT : std_logic_vector(9 downto 0);
+ signal ADO_TTL : std_logic_vector(46 downto 0);
+-- signal ADO_TTL : std_logic_vector(15 downto 0);
+ signal VIRT_TCK : std_logic;
+ signal VIRT_TDI : std_logic;
+ signal VIRT_TDO : std_logic;
+ signal VIRT_TMS : std_logic;
+ signal VIRT_TRST : std_logic;
+ signal ADDON_TO_TRB_CLKINN : std_logic;
+ signal ADDON_TO_TRB_CLKINP : std_logic;
+ signal SCL : std_logic;
+ signal SDA : std_logic;
+
+ signal test_synch_00 : std_logic;
+ signal dtu_clk : std_logic;
+ signal dtu_lvl1_tag : std_logic_vector(7 downto 0);
+ signal dtu_lvl2_tag : std_logic_vector(7 downto 0);
+ signal lvl1_trig : std_logic;
+ signal lvl2_trig : std_logic;
+ signal fpga_data_out : std_logic_vector(31 downto 0);
+ signal valid_pulse_i : std_logic;
+ signal not_valid_pulse_i : std_logic;
+ signal not_valid : std_logic;
+ signal etrax_ready : std_logic;
+ signal etrax_ready_pulse : std_logic;
+ signal save_lenght : std_logic_vector(15 downto 0);
+ signal compare_counter : std_logic_vector(15 downto 0);
+ signal wrong_lenght_of_event : std_logic;
+ signal tdc_data_i : std_logic_vector(31 downto 0):=x"00000000";
+ --
+ signal rw_mode : std_logic_vector(15 downto 0);
+ signal address : std_logic_vector(31 downto 0);
+ signal data : std_logic_vector(31 downto 0);
+ signal rw_cts_start : std_logic;
+ signal rw_cts_end : std_logic;
+
+ signal endpoint_rw_mode : std_logic_vector(15 downto 0);
+ signal endpoint_address : std_logic_vector(31 downto 0);
+ signal endpoint_data : std_logic_vector(31 downto 0);
+ signal endpoint_rw_cts_start : std_logic;
+ signal endpoint_rw_cts_end : std_logic;
+
+ signal end_rw_mode : std_logic_vector(15 downto 0);
+ signal end_address : std_logic_vector(31 downto 0);
+ signal end_data : std_logic_vector(31 downto 0);
+ signal etrax_busy_counter : std_logic_vector(15 downto 0);
+ signal etrax_busy : std_logic;
+ signal sfp_counter : std_logic_vector(7 downto 0):=x"00";
+ signal triggers : std_logic_vector(31 downto 0);
+
+BEGIN
+
+
+-- trb_end: trb_v2b_fpga_simple_endpoint
+ trb_end: trb_v2b_fpga_endpoint
+ port map (
+ VIRT_CLK => VIRT_CLK,
+ VIRT_CLKB => VIRT_CLKB,
+ RESET_VIRT => RESET_VIRT,
+ DBAD => DBAD,
+ DGOOD => DGOOD,
+ DINT => DINT,
+ DWAIT => DWAIT,
+ A_RESERVED => A_RESERVED,
+ B_RESERVED => B_RESERVED,
+ C_RESERVED => C_RESERVED,
+ D_RESERVED => D_RESERVED,
+ TEMP_SENS => temp_sens,
+ VIR_TRIG => VIR_TRIG,
+ VIR_TRIGB => VIR_TRIGB,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_POWERUP => A_TDC_POWERUP,
+ B_TDC_POWERUP => B_TDC_POWERUP,
+ C_TDC_POWERUP => C_TDC_POWERUP,
+ D_TDC_POWERUP => D_TDC_POWERUP,
+ TOKEN_IN => TOKEN_IN,
+ TOKEN_OUT => TOKEN_OUT,
+ C_TOKEN_OUT_TTL => C_TOKEN_OUT_TTL,
+ GET_DATA => GET_DATA,
+ A_DATA_READY => A_DATA_READY,
+ B_DATA_READY => B_DATA_READY,
+ C_DATA_READY => C_DATA_READY,
+ D_DATA_READY => D_DATA_READY,
+ REF_TDC_CLK => REF_TDC_CLK,
+ REF_TDC_CLKB => REF_TDC_CLKB,
+ A_TDC_BU_RESET => A_TDC_BU_RESET,
+ A_TDC_BU_RESETB => A_TDC_BU_RESETB,
+ A_TDC_EV_RESET => A_TDC_EV_RESET,
+ A_TDC_EV_RESETB => A_TDC_EV_RESETB,
+ B_TDC_BU_RESET => B_TDC_BU_RESET,
+ B_TDC_BU_RESETB => B_TDC_BU_RESETB,
+ B_TDC_EV_RESET => B_TDC_EV_RESET,
+ B_TDC_EV_RESETB => B_TDC_EV_RESETB,
+ C_TDC_BU_RESET => C_TDC_BU_RESET,
+ C_TDC_BU_RESETB => C_TDC_BU_RESETB,
+ C_TDC_EV_RESET => C_TDC_EV_RESET,
+ C_TDC_EV_RESETB => C_TDC_EV_RESETB,
+ D_TDC_BU_RESET => D_TDC_BU_RESET,
+ D_TDC_BU_RESETB => D_TDC_BU_RESETB,
+ D_TDC_EV_RESET => D_TDC_EV_RESET,
+ D_TDC_EV_RESETB => D_TDC_EV_RESETB,
+ TDC_OUT => TDC_OUT,
+ TDC_RESET => TDC_RESET,
+ A_TRIGGER => A_TRIGGER,
+ A_TRIGGERB => A_TRIGGERB,
+ B_TRIGGER => B_TRIGGER,
+ B_TRIGGERB => B_TRIGGERB,
+ C_TRIGGER => C_TRIGGER,
+ C_TRIGGERB => C_TRIGGERB,
+ D_TRIGGER => D_TRIGGER,
+ D_TRIGGERB => D_TRIGGERB,
+ FS_PB => FS_PB,
+ FS_PB_17 => FS_PB_17,
+ FS_PC => FS_PC,
+ ETRAX_IRQ => ETRAX_IRQ,
+ A_SCK => A_SCK,
+ A_SCKB => A_SCKB,
+ A_SDI => A_SDI,
+ A_SDIB => A_SDIB,
+ A_SDO => A_SDO,
+ A_SDOB => A_SDOB,
+ A_CSB => A_CSB,
+ A_CS => A_CS,
+ B_SCK => B_SCK,
+ B_SCKB => B_SCKB,
+ B_SDI => B_SDI,
+ B_SDIB => B_SDIB,
+ B_SDO => B_SDO,
+ B_SDOB => B_SDOB,
+ B_CSB => B_CSB,
+ B_CS => B_CS,
+ C_SCK => C_SCK,
+ C_SCKB => C_SCKB,
+ C_SDI => C_SDI,
+ C_SDIB => C_SDIB,
+ C_SDO => C_SDO,
+ C_SDOB => C_SDOB,
+ C_CSB => C_CSB,
+ C_CS => C_CS,
+ D_SCK => D_SCK,
+ D_SCKB => D_SCKB,
+ D_SDI => D_SDI,
+ D_SDIB => D_SDIB,
+ D_SDO => D_SDO,
+ D_SDOB => D_SDOB,
+ D_CSB => D_CSB,
+ D_CS => D_CS,
+ A_TEST1 => A_TEST1,
+ A_TEST1B => A_TEST1B,
+ A_TEST2 => A_TEST2,
+ A_TEST2B => A_TEST2B,
+ B_TEST1 => B_TEST1,
+ B_TEST1B => B_TEST1B,
+ B_TEST2 => B_TEST2,
+ B_TEST2B => B_TEST2B,
+ C_TEST1 => C_TEST1,
+ C_TEST1B => C_TEST1B,
+ C_TEST2 => C_TEST2,
+ C_TEST2B => C_TEST2B,
+ D_TEST1 => D_TEST1,
+ D_TEST1B => D_TEST1B,
+ D_TEST2 => D_TEST2,
+ D_TEST2B => D_TEST2B,
+ DSPADDR => DSPADDR,
+ DSPDAT => DSPDAT,
+ DSP_ACK => DSP_ACK,
+ DSP_BM => DSP_BM,
+ DSP_BMS => DSP_BMS,
+ DSP_BOFF => DSP_BOFF,
+ DSP_BRST => DSP_BRST,
+ DSP_HBG => DSP_HBG,
+ DSP_HBR => DSP_HBR,
+ DSP_IRQ => DSP_IRQ,
+ DSP_RD => DSP_RD,
+ DSP_RESET => DSP_RESET,
+ DSP_RESET_OUT => DSP_RESET_OUT,
+ DSP_WRH => DSP_WRH,
+ DSP_WRL => DSP_WRL,
+ VSD_A => VSD_A,
+ VSD_BA => VSD_BA,
+ VSD_CAS => VSD_CAS,
+ VSD_CKE => VSD_CKE,
+ VSD_CLOCK => VSD_CLOCK,
+ VSD_CSEH => VSD_CSEH,
+ VSD_CSEL => VSD_CSEL,
+ VSD_D => VSD_D,
+ VSD_DQML => VSD_DQML,
+ VSD_RAS => VSD_RAS,
+ VSD_WE => VSD_WE,
+ TLK_CLK => TLK_CLK,
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => TLK_RX_CLK,
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ SFP_TX_FAULT => SFP_TX_FAULT,
+ SDA => SDA,
+ SCL => SCL,
+-- ADO_LVDS_OUT => ADO_LVDS_OUT,
+ ADO_LVDS_IN => ADO_LVDS_IN,
+ ADO_TTL => ADO_TTL,
+ ADDON_TO_TRB_CLKINN => ADDON_TO_TRB_CLKINN,
+ ADDON_TO_TRB_CLKINP => ADDON_TO_TRB_CLKINP,
+ VIRT_TCK => VIRT_TCK,
+ VIRT_TDI => VIRT_TDI,
+ VIRT_TDO => VIRT_TDO,
+ VIRT_TMS => VIRT_TMS,
+ VIRT_TRST => VIRT_TRST);
+
+
+-------------------------------------------------------------------------------
+
+ clock_gclk : process
+ begin
+ VIRT_CLK <= '0';
+ VIRT_CLKB <= '1';
+ wait for 5 ns;
+ VIRT_CLKB <= '0';
+ VIRT_CLK <= '1';
+ wait for 5 ns;
+ end process;
+
+ -----------------------------------------------------------------------
+ -- TLK
+ -----------------------------------------------------------------------
+ clock_tlk_clk : process
+ begin
+ TLK_CLK <= '0';
+ wait for 5 ns;
+ TLK_CLK <= '1';
+ wait for 5 ns;
+ end process;
+ clock_tlk_rx_clk : process
+ begin
+ TLK_RX_CLK <= '0';
+ wait for 5 ns;
+ TLK_RX_CLK <= '1';
+ wait for 5 ns;
+ end process;
+-- RX_ER: process
+-- begin
+-- TLK_RX_ER <= '0';
+-- wait for 2200 ns;
+-- TLK_RX_ER <= '1';
+-- wait for 120 ns;
+-- end process;
+-- TLK_RXD <= TLK_TXD;
+ -----------------------------------------------------------------------
+ -- TDC and etrax readout
+ -----------------------------------------------------------------------
+ TDC_OUT <= tdc_data_i;
+ VIR_TRIG <= '0';
+ VIR_TRIGB <= '1';
+ TDC_DATA_CHANGE: process(REF_TDC_CLK, RESET_VIRT)
+ begin
+ if rising_edge(REF_TDC_CLK) then
+ if RESET_VIRT = '1' then
+ tdc_data_i <= x"00000000";
+ else
+ tdc_data_i <= tdc_data_i + 1;
+ end if;
+ end if;
+ end process TDC_DATA_CHANGE;
+
+ clock_tdcclk : process
+ begin
+ wait for 12 ns;
+ loop
+ REF_TDC_CLK <= '0';
+ REF_TDC_CLKB <= '1';
+ wait for 12.5 ns;
+ REF_TDC_CLK <= '1';
+ REF_TDC_CLKB <= '0';
+ wait for 12.5 ns;
+ end loop;
+ end process;
+
+ trigger_lvl1 : process
+ variable valid_time : integer :=0;
+ begin
+ A_DATA_READY <= '0';
+ B_DATA_READY <= '0';
+ C_DATA_READY <= '0';
+ D_DATA_READY <= '0';
+ TOKEN_IN <= '0';
+ wait on REF_TDC_CLK until TOKEN_OUT = '1';
+ wait on REF_TDC_CLK until TOKEN_OUT = '0';
+ wait for 10 ns;
+ A_DATA_READY <= '1';
+ wait for ((valid_time mod 2)*50*4+2*25)*ns;
+ A_DATA_READY <= '0';
+ B_DATA_READY <= '1';
+ wait for ((valid_time mod 3 )*50*2+2*25)*ns;
+ B_DATA_READY <= '0';
+ C_DATA_READY <= '1';
+ wait for ((valid_time mod 4 )*50+2*25)*ns;
+ C_DATA_READY <= '0';
+ D_DATA_READY <= '1';
+ wait for ((valid_time mod 7 )*50+2*25)*ns;
+ D_DATA_READY <= '0';
+ wait for 0 ns;
+ TOKEN_IN <= '1';
+ wait for 50 ns;
+ TOKEN_IN <= '0';
+ valid_time := valid_time*3/2 +1;
+ end process;
+
+
+
+ WAIT_FOR_TRANSFER_END: process
+ variable wait_int : integer range 0 to 65535;
+ begin
+ etrax_busy <= '0';
+ wait on VIRT_CLK until FS_PB(16) ='1';
+ etrax_busy <= '1';
+ wait for 37*10 ns;
+ end process WAIT_FOR_TRANSFER_END;
+
+
+END;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+--use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VComponents.all;
+
+ENTITY trb_v2b_fpga_stand_alone_tb IS
+END trb_v2b_fpga_stand_alone_tb;
+--simulate at lest for 100 us
+architecture trb_v2b_fpga_stand_alone_tb of trb_v2b_fpga_stand_alone_tb is
+
+--component trb_v2b_fpga
+
+-- component trb_v2b_fpga_endpoint
+ component trb_v2b_fpga
+ port (
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic;
+ DGOOD : out std_logic;
+ DINT : out std_logic;
+ DWAIT : out std_logic;
+ A_RESERVED : in std_logic;
+ B_RESERVED : in std_logic;
+ C_RESERVED : in std_logic;
+ D_RESERVED : in std_logic;
+ TEMP_SENS : inout std_logic_vector(4 downto 0);
+ VIR_TRIG : in std_logic;
+ VIR_TRIGB : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic;
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic;
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic;
+ DSP_BOFF : out std_logic;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+ ADO_LVDS_IN : in std_logic_vector(61 downto 0);
+-- ADO_LVDS_OUT : out std_logic_vector(9 downto 0);
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+-- ADO_TTL : inout std_logic_vector(15 downto 0);
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic);
+ end component;
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+ constant ENABLE_DMA: integer := 2;
+-------------------------------------------------------------------------------
+-- cts
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- trb signals
+-------------------------------------------------------------------------------
+ signal VIRT_CLK : std_logic;
+ signal VIRT_CLKB : std_logic;
+ signal RESET_VIRT : std_logic;
+ signal DBAD : std_logic;
+ signal DGOOD : std_logic;
+ signal DINT : std_logic;
+ signal DWAIT : std_logic;
+ signal A_RESERVED : std_logic;
+ signal B_RESERVED : std_logic;
+ signal C_RESERVED : std_logic;
+ signal D_RESERVED : std_logic;
+ signal TEMP_SENS : std_logic_vector(4 downto 0);
+ signal VIR_TRIG : std_logic;
+ signal VIR_TRIGB : std_logic;
+ signal A_TDC_ERROR : std_logic;
+ signal B_TDC_ERROR : std_logic;
+ signal C_TDC_ERROR : std_logic;
+ signal D_TDC_ERROR : std_logic;
+ signal A_TDC_POWERUP : std_logic;
+ signal B_TDC_POWERUP : std_logic;
+ signal C_TDC_POWERUP : std_logic;
+ signal D_TDC_POWERUP : std_logic;
+ signal TOKEN_IN : std_logic;
+ signal TOKEN_OUT : std_logic;
+ signal C_TOKEN_OUT_TTL : std_logic;
+ signal GET_DATA : std_logic;
+ signal A_DATA_READY : std_logic;
+ signal B_DATA_READY : std_logic;
+ signal C_DATA_READY : std_logic;
+ signal D_DATA_READY : std_logic;
+ signal REF_TDC_CLK : std_logic;
+ signal REF_TDC_CLKB : std_logic;
+ signal A_TDC_BU_RESET : std_logic;
+ signal A_TDC_BU_RESETB : std_logic;
+ signal A_TDC_EV_RESET : std_logic;
+ signal A_TDC_EV_RESETB : std_logic;
+ signal B_TDC_BU_RESET : std_logic;
+ signal B_TDC_BU_RESETB : std_logic;
+ signal B_TDC_EV_RESET : std_logic;
+ signal B_TDC_EV_RESETB : std_logic;
+ signal C_TDC_BU_RESET : std_logic;
+ signal C_TDC_BU_RESETB : std_logic;
+ signal C_TDC_EV_RESET : std_logic;
+ signal C_TDC_EV_RESETB : std_logic;
+ signal D_TDC_BU_RESET : std_logic;
+ signal D_TDC_BU_RESETB : std_logic;
+ signal D_TDC_EV_RESET : std_logic;
+ signal D_TDC_EV_RESETB : std_logic;
+ signal TDC_OUT : std_logic_vector (31 downto 0);
+ signal TDC_RESET : std_logic;
+ signal A_TRIGGER : std_logic;
+ signal A_TRIGGERB : std_logic;
+ signal B_TRIGGER : std_logic;
+ signal B_TRIGGERB : std_logic;
+ signal C_TRIGGER : std_logic;
+ signal C_TRIGGERB : std_logic;
+ signal D_TRIGGER : std_logic;
+ signal D_TRIGGERB : std_logic;
+ signal FS_PB : std_logic_vector (16 downto 0);
+ signal FS_PB_17 : std_logic;
+ signal FS_PC : std_logic_vector (17 downto 0);
+ signal ETRAX_IRQ : std_logic;
+ signal A_SCK : std_logic;
+ signal A_SCKB : std_logic;
+ signal A_SDI : std_logic;
+ signal A_SDIB : std_logic;
+ signal A_SDO : std_logic;
+ signal A_SDOB : std_logic;
+ signal A_CSB : std_logic;
+ signal A_CS : std_logic;
+ signal B_SCK : std_logic;
+ signal B_SCKB : std_logic;
+ signal B_SDI : std_logic;
+ signal B_SDIB : std_logic;
+ signal B_SDO : std_logic;
+ signal B_SDOB : std_logic;
+ signal B_CSB : std_logic;
+ signal B_CS : std_logic;
+ signal C_SCK : std_logic;
+ signal C_SCKB : std_logic;
+ signal C_SDI : std_logic;
+ signal C_SDIB : std_logic;
+ signal C_SDO : std_logic;
+ signal C_SDOB : std_logic;
+ signal C_CSB : std_logic;
+ signal C_CS : std_logic;
+ signal D_SCK : std_logic;
+ signal D_SCKB : std_logic;
+ signal D_SDI : std_logic;
+ signal D_SDIB : std_logic;
+ signal D_SDO : std_logic;
+ signal D_SDOB : std_logic;
+ signal D_CSB : std_logic;
+ signal D_CS : std_logic;
+ signal A_TEST1 : std_logic;
+ signal A_TEST1B : std_logic;
+ signal A_TEST2 : std_logic;
+ signal A_TEST2B : std_logic;
+ signal B_TEST1 : std_logic;
+ signal B_TEST1B : std_logic;
+ signal B_TEST2 : std_logic;
+ signal B_TEST2B : std_logic;
+ signal C_TEST1 : std_logic;
+ signal C_TEST1B : std_logic;
+ signal C_TEST2 : std_logic;
+ signal C_TEST2B : std_logic;
+ signal D_TEST1 : std_logic;
+ signal D_TEST1B : std_logic;
+ signal D_TEST2 : std_logic;
+ signal D_TEST2B : std_logic;
+ signal DSPADDR : std_logic_vector (31 downto 0);
+ signal DSPDAT : std_logic_vector (31 downto 0);
+ signal DSP_ACK : std_logic;
+ signal DSP_BM : std_logic;
+ signal DSP_BMS : std_logic;
+ signal DSP_BOFF : std_logic;
+ signal DSP_BRST : std_logic;
+ signal DSP_HBG : std_logic;
+ signal DSP_HBR : std_logic;
+ signal DSP_IRQ : std_logic_vector (3 downto 0);
+ signal DSP_RD : std_logic;
+ signal DSP_RESET : std_logic;
+ signal DSP_RESET_OUT : std_logic;
+ signal DSP_WRH : std_logic;
+ signal DSP_WRL : std_logic;
+ signal VSD_A : std_logic_vector (12 downto 0);
+ signal VSD_BA : std_logic_vector (1 downto 0);
+ signal VSD_CAS : std_logic;
+ signal VSD_CKE : std_logic;
+ signal VSD_CLOCK : std_logic;
+ signal VSD_CSEH : std_logic;
+ signal VSD_CSEL : std_logic;
+ signal VSD_D : std_logic_vector (31 downto 0);
+ signal VSD_DQML : std_logic_vector (3 downto 0);
+ signal VSD_RAS : std_logic;
+ signal VSD_WE : std_logic;
+ signal TLK_CLK : std_logic;
+ signal TLK_ENABLE : std_logic;
+ signal TLK_LCKREFN : std_logic;
+ signal TLK_LOOPEN : std_logic;
+ signal TLK_PRBSEN : std_logic;
+ signal TLK_RXD : std_logic_vector (15 downto 0);
+ signal TLK_RX_CLK : std_logic;
+ signal TLK_RX_DV : std_logic;
+ signal TLK_RX_ER : std_logic;
+ signal TLK_TXD : std_logic_vector (15 downto 0);
+ signal TLK_TX_EN : std_logic;
+ signal TLK_TX_ER : std_logic;
+ signal SFP_LOS : std_logic;
+ signal SFP_TX_DIS : std_logic;
+ signal SFP_TX_FAULT : std_logic;
+ signal ADO_LVDS_IN : std_logic_vector(61 downto 0);
+-- signal ADO_LVDS_OUT : std_logic_vector(9 downto 0);
+ signal ADO_TTL : std_logic_vector(46 downto 0);
+-- signal ADO_TTL : std_logic_vector(15 downto 0);
+ signal VIRT_TCK : std_logic;
+ signal VIRT_TDI : std_logic;
+ signal VIRT_TDO : std_logic;
+ signal VIRT_TMS : std_logic;
+ signal VIRT_TRST : std_logic;
+ signal ADDON_TO_TRB_CLKINN : std_logic;
+ signal ADDON_TO_TRB_CLKINP : std_logic;
+ signal SCL : std_logic;
+ signal SDA : std_logic;
+
+ signal test_synch_00 : std_logic;
+ signal dtu_clk : std_logic;
+ signal dtu_lvl1_tag : std_logic_vector(7 downto 0);
+ signal dtu_lvl2_tag : std_logic_vector(7 downto 0);
+ signal lvl1_trig : std_logic;
+ signal lvl2_trig : std_logic;
+ signal fpga_data_out : std_logic_vector(31 downto 0);
+ signal valid_pulse_i : std_logic;
+ signal not_valid_pulse_i : std_logic;
+ signal not_valid : std_logic;
+ signal etrax_ready : std_logic;
+ signal etrax_ready_pulse : std_logic;
+ signal save_lenght : std_logic_vector(15 downto 0);
+ signal compare_counter : std_logic_vector(15 downto 0);
+ signal wrong_lenght_of_event : std_logic;
+ signal tdc_data_i : std_logic_vector(31 downto 0):=x"00000000";
+ signal rw_mode : std_logic_vector(15 downto 0);
+ signal address : std_logic_vector(31 downto 0);
+ signal data : std_logic_vector(31 downto 0);
+ signal end_rw_mode : std_logic_vector(15 downto 0);
+ signal end_address : std_logic_vector(31 downto 0);
+ signal end_data : std_logic_vector(31 downto 0);
+ signal etrax_busy_counter : std_logic_vector(15 downto 0);
+ signal etrax_busy : std_logic;
+ signal sfp_counter : std_logic_vector(7 downto 0):=x"00";
+ signal land_data : std_logic_vector(39 downto 0);
+ signal land_counter : std_logic_vector(23 downto 0):=x"000000";
+BEGIN
+
+
+ trb_st_alone: trb_v2b_fpga
+ port map (
+ VIRT_CLK => VIRT_CLK,
+ VIRT_CLKB => VIRT_CLKB,
+ RESET_VIRT => RESET_VIRT,
+ DBAD => DBAD,
+ DGOOD => DGOOD,
+ DINT => DINT,
+ DWAIT => DWAIT,
+ A_RESERVED => A_RESERVED,
+ B_RESERVED => B_RESERVED,
+ C_RESERVED => C_RESERVED,
+ D_RESERVED => D_RESERVED,
+ TEMP_SENS => temp_sens,
+ VIR_TRIG => VIR_TRIG,
+ VIR_TRIGB => VIR_TRIGB,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_POWERUP => A_TDC_POWERUP,
+ B_TDC_POWERUP => B_TDC_POWERUP,
+ C_TDC_POWERUP => C_TDC_POWERUP,
+ D_TDC_POWERUP => D_TDC_POWERUP,
+ TOKEN_IN => TOKEN_IN,
+ TOKEN_OUT => TOKEN_OUT,
+ C_TOKEN_OUT_TTL => C_TOKEN_OUT_TTL,
+ GET_DATA => GET_DATA,
+ A_DATA_READY => A_DATA_READY,
+ B_DATA_READY => B_DATA_READY,
+ C_DATA_READY => C_DATA_READY,
+ D_DATA_READY => D_DATA_READY,
+ REF_TDC_CLK => REF_TDC_CLK,
+ REF_TDC_CLKB => REF_TDC_CLKB,
+ A_TDC_BU_RESET => A_TDC_BU_RESET,
+ A_TDC_BU_RESETB => A_TDC_BU_RESETB,
+ A_TDC_EV_RESET => A_TDC_EV_RESET,
+ A_TDC_EV_RESETB => A_TDC_EV_RESETB,
+ B_TDC_BU_RESET => B_TDC_BU_RESET,
+ B_TDC_BU_RESETB => B_TDC_BU_RESETB,
+ B_TDC_EV_RESET => B_TDC_EV_RESET,
+ B_TDC_EV_RESETB => B_TDC_EV_RESETB,
+ C_TDC_BU_RESET => C_TDC_BU_RESET,
+ C_TDC_BU_RESETB => C_TDC_BU_RESETB,
+ C_TDC_EV_RESET => C_TDC_EV_RESET,
+ C_TDC_EV_RESETB => C_TDC_EV_RESETB,
+ D_TDC_BU_RESET => D_TDC_BU_RESET,
+ D_TDC_BU_RESETB => D_TDC_BU_RESETB,
+ D_TDC_EV_RESET => D_TDC_EV_RESET,
+ D_TDC_EV_RESETB => D_TDC_EV_RESETB,
+ TDC_OUT => TDC_OUT,
+ TDC_RESET => TDC_RESET,
+ A_TRIGGER => A_TRIGGER,
+ A_TRIGGERB => A_TRIGGERB,
+ B_TRIGGER => B_TRIGGER,
+ B_TRIGGERB => B_TRIGGERB,
+ C_TRIGGER => C_TRIGGER,
+ C_TRIGGERB => C_TRIGGERB,
+ D_TRIGGER => D_TRIGGER,
+ D_TRIGGERB => D_TRIGGERB,
+ FS_PB => FS_PB,
+ FS_PB_17 => FS_PB_17,
+ FS_PC => FS_PC,
+ ETRAX_IRQ => ETRAX_IRQ,
+ A_SCK => A_SCK,
+ A_SCKB => A_SCKB,
+ A_SDI => A_SDI,
+ A_SDIB => A_SDIB,
+ A_SDO => A_SDO,
+ A_SDOB => A_SDOB,
+ A_CSB => A_CSB,
+ A_CS => A_CS,
+ B_SCK => B_SCK,
+ B_SCKB => B_SCKB,
+ B_SDI => B_SDI,
+ B_SDIB => B_SDIB,
+ B_SDO => B_SDO,
+ B_SDOB => B_SDOB,
+ B_CSB => B_CSB,
+ B_CS => B_CS,
+ C_SCK => C_SCK,
+ C_SCKB => C_SCKB,
+ C_SDI => C_SDI,
+ C_SDIB => C_SDIB,
+ C_SDO => C_SDO,
+ C_SDOB => C_SDOB,
+ C_CSB => C_CSB,
+ C_CS => C_CS,
+ D_SCK => D_SCK,
+ D_SCKB => D_SCKB,
+ D_SDI => D_SDI,
+ D_SDIB => D_SDIB,
+ D_SDO => D_SDO,
+ D_SDOB => D_SDOB,
+ D_CSB => D_CSB,
+ D_CS => D_CS,
+ A_TEST1 => A_TEST1,
+ A_TEST1B => A_TEST1B,
+ A_TEST2 => A_TEST2,
+ A_TEST2B => A_TEST2B,
+ B_TEST1 => B_TEST1,
+ B_TEST1B => B_TEST1B,
+ B_TEST2 => B_TEST2,
+ B_TEST2B => B_TEST2B,
+ C_TEST1 => C_TEST1,
+ C_TEST1B => C_TEST1B,
+ C_TEST2 => C_TEST2,
+ C_TEST2B => C_TEST2B,
+ D_TEST1 => D_TEST1,
+ D_TEST1B => D_TEST1B,
+ D_TEST2 => D_TEST2,
+ D_TEST2B => D_TEST2B,
+ DSPADDR => DSPADDR,
+ DSPDAT => DSPDAT,
+ DSP_ACK => DSP_ACK,
+ DSP_BM => DSP_BM,
+ DSP_BMS => DSP_BMS,
+ DSP_BOFF => DSP_BOFF,
+ DSP_BRST => DSP_BRST,
+ DSP_HBG => DSP_HBG,
+ DSP_HBR => DSP_HBR,
+ DSP_IRQ => DSP_IRQ,
+ DSP_RD => DSP_RD,
+ DSP_RESET => DSP_RESET,
+ DSP_RESET_OUT => DSP_RESET_OUT,
+ DSP_WRH => DSP_WRH,
+ DSP_WRL => DSP_WRL,
+ VSD_A => VSD_A,
+ VSD_BA => VSD_BA,
+ VSD_CAS => VSD_CAS,
+ VSD_CKE => VSD_CKE,
+ VSD_CLOCK => VSD_CLOCK,
+ VSD_CSEH => VSD_CSEH,
+ VSD_CSEL => VSD_CSEL,
+ VSD_D => VSD_D,
+ VSD_DQML => VSD_DQML,
+ VSD_RAS => VSD_RAS,
+ VSD_WE => VSD_WE,
+ TLK_CLK => TLK_CLK,
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => TLK_RX_CLK,
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ SFP_TX_FAULT => SFP_TX_FAULT,
+ SDA => SDA,
+ SCL => SCL,
+-- ADO_LVDS_OUT => ADO_LVDS_OUT,
+ ADO_LVDS_IN => ADO_LVDS_IN,
+ ADO_TTL => ADO_TTL,
+ ADDON_TO_TRB_CLKINN => ADDON_TO_TRB_CLKINN,
+ ADDON_TO_TRB_CLKINP => ADDON_TO_TRB_CLKINP,
+ VIRT_TCK => VIRT_TCK,
+ VIRT_TDI => VIRT_TDI,
+ VIRT_TDO => VIRT_TDO,
+ VIRT_TMS => VIRT_TMS,
+ VIRT_TRST => VIRT_TRST);
+
+-------------------------------------------------------------------------------
+-- etraxnt_test
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- write read from etrax end
+-------------------------------------------------------------------------------
+---------------------------------------------------------------------------
+ -- writing register -
+ ---------------------------------------------------------------------------
+-- etrax_int_set: process
+-- begin
+-- end_rw_mode <= x"0000";
+-- end_address <= x"000000c3";
+-- end_data <= x"80000100";
+-- FS_PC(17) <= '0';
+-- FS_PC(16) <= '0';
+-- wait for 1000 ns;
+-- for rw_mode_counter in 0 to 15 loop
+-- wait for 100 ns;
+-- FS_PC(17) <= '0';
+-- FS_PC(16) <= end_rw_mode(rw_mode_counter);
+-- wait for 100 ns;
+-- FS_PC(17) <= '1';
+-- FS_PC(16) <= end_rw_mode(rw_mode_counter);
+-- end loop;
+-- wait for 300 ns;
+-- for address_counter in 0 to 31 loop
+-- wait for 100 ns;
+-- FS_PC(17) <= '0';
+-- FS_PC(16) <= end_address(address_counter);
+-- wait for 100 ns;
+-- FS_PC(17) <= '1';
+-- FS_PC(16) <= end_address(address_counter);
+-- end loop;
+-- wait for 300 ns;
+-- for data_counter in 0 to 31 loop
+-- wait for 100 ns;
+-- FS_PC(17) <= '0';
+-- FS_PC(16) <= end_data(data_counter);
+-- wait for 100 ns;
+-- FS_PC(17) <= '1';
+-- FS_PC(16) <= end_data(data_counter);
+-- end loop;
+-- wait for 300 ns;
+-- FS_PC(17) <= '0';
+-- FS_PC(16) <= '0';
+-- wait for 400 ns;
+-- FS_PC(17) <= '1';
+-- wait for 100 ns;
+-- FS_PC(17) <= '0';
+
+-- end process etrax_int_set;
+-------------------------------------------------------------------------------
+-- write read from etrax cts
+-------------------------------------------------------------------------------
+ etrax_int_test: process
+ begin
+ ---------------------------------------------------------------------------
+ -- writing register -
+ ---------------------------------------------------------------------------
+ rw_mode <= x"0000";
+ address <= x"000000c0";
+ data <= x"40000000";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 300 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 400 ns;
+ FS_PC(17) <= '1';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ ---------------------------------------------------------------------------
+ -- writing register - write trb ID
+ ---------------------------------------------------------------------------
+ rw_mode <= x"0000";
+ address <= x"000000c4";
+ data <= x"00000324";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 300 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 400 ns;
+ FS_PC(17) <= '1';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+
+ ---------------------------------------------------------------------------
+ -- writing register - enable EB header inside the FPGA
+ ---------------------------------------------------------------------------
+
+ if ENABLE_DMA = 1 then
+ rw_mode <= x"0000";
+ address <= x"000000c2";
+ data <= x"80400000";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ elsif ENABLE_DMA = 2 then
+ rw_mode <= x"0000";
+ address <= x"000000c2";
+ data <= x"00000000";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ end if;
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 300 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 400 ns;
+ FS_PC(17) <= '1';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+
+ ---------------------------------------------------------------------------
+ -- writing register - enable self triggering
+ ---------------------------------------------------------------------------
+ rw_mode <= x"0000";
+ address <= x"000000c5";
+ data <= x"00000800";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 300 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 400 ns;
+ FS_PC(17) <= '1';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ ---------------------------------------------------------------------------
+ -- writing register - enable self triggering with max freq
+ ---------------------------------------------------------------------------
+ rw_mode <= x"0000";
+ address <= x"000000c6";
+ data <= x"00000200";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 300 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 400 ns;
+ FS_PC(17) <= '1';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+
+ ---------------------------------------------------------------------------
+ -- writing register - test vulom registers
+ ---------------------------------------------------------------------------
+ rw_mode <= x"0005";
+ address <= x"000000c1";
+ data <= x"5555aaaa";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ wait for 300 ns;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ wait for 300 ns;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 300 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 400 ns;
+ FS_PC(17) <= '1';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+
+ ---------------------------------------------------------------------------
+ -- reading register
+ ---------------------------------------------------------------------------
+ rw_mode <= x"8005";
+ address <= x"000000c1";
+ data <= x"f000f00f";
+ FS_PC(17) <= '0';
+ FS_PC(16) <= '0';
+ wait for 1000 ns;
+ for rw_mode_counter in 0 to 15 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= rw_mode(rw_mode_counter);
+ end loop;
+ for address_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= address(address_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= address(address_counter);
+ end loop;
+ for data_counter in 0 to 31 loop
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ FS_PC(16) <= data(data_counter);
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ FS_PC(16) <= data(data_counter);
+ end loop;
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ wait for 300 ns;
+ FS_PC(16) <= 'Z';
+ wait for 300 ns;
+ FS_PC(17) <= '1'; --fpga should change the direction of line
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+
+
+
+
+ wait for 300 ns;
+ for data_to_etrax_counter in 0 to 31 loop
+ FS_PC(16) <= 'Z';
+ wait for 100 ns;
+ FS_PC(17) <= '0';
+ wait for 100 ns;
+ FS_PC(17) <= '1';
+ end loop;
+ wait;
+
+
+ end process etrax_int_test;
+
+-- SFP_INT: process
+-- begin
+ SDA <= '0';
+-- for clock_counter in 0 to 8 loop
+-- wait on VIRT_CLK;
+-- end loop;
+-- SDA <= '0';
+-- wait on VIRT_CLK;;
+
+-- for clock_counter in 0 to 8 loop
+-- wait on VIRT_CLK;
+-- end loop;
+
+-- end process SFP_INT;
+
+ clock_gclk : process
+ begin
+ VIRT_CLK <= '0';
+ VIRT_CLKB <= '1';
+ wait for 5 ns;
+ VIRT_CLKB <= '0';
+ VIRT_CLK <= '1';
+ wait for 5 ns;
+ end process;
+
+ VIR_TRIGB <= '1';
+ VIR_TRIG <= '1';
+
+
+
+
+
+-- dsp : process
+-- begin
+-- DSP_ACK <= '1';
+-- DSP_HBG <= '1';
+-- wait for 20 ns;
+-- DSPDAT <= (others => 'Z');
+-- wait on VIRT_CLK until DSP_HBR = '0';
+-- wait for 8 ns;
+-- DSP_HBG <= '0';
+-- DSPDAT <= x"babeface";
+-- wait for 10 ns;
+-- DSP_ACK <= '1';
+-- wait on VIRT_CLK until DSP_HBR = '1';
+-- DSP_ACK <= '0';
+-- DSP_HBG <= '1';
+-- DSPDAT <= (others => 'Z');
+-- wait on VIRT_CLK until test_synch_00 = '1';
+-- wait on VIRT_CLK until DSP_HBR = '0';
+-- wait for 8 ns;
+-- DSP_HBG <= '0';
+-- wait until DSP_HBR = '1';
+-- DSP_HBG <= '1';
+-- wait;
+-- end process;
+-- -----------------------------------------------------------------------
+-- -- TLK
+-- -----------------------------------------------------------------------
+-- clock_tlk_clk : process
+-- begin
+-- TLK_CLK <= '0';
+-- wait for 5 ns;
+-- TLK_CLK <= '1';
+-- wait for 5 ns;
+-- end process;
+-- clock_tlk_rx_clk : process
+-- begin
+-- TLK_RX_CLK <= '0';
+-- wait for 5 ns;
+-- TLK_RX_CLK <= '1';
+-- wait for 5 ns;
+-- end process;
+-- RX_ER: process
+-- begin
+-- TLK_RX_ER <= '0';
+-- wait for 2200 ns;
+-- TLK_RX_ER <= '1';
+-- wait for 120 ns;
+-- end process;
+-- TLK_RXD <= TLK_TXD;
+ TLK_RX_ER <= '0';
+ TLK_RX_ER <= '0';
+ TLK_RX_DV <= TLK_TX_EN;
+ TLK_RX_DV <= TLK_TX_EN;
+ TLK_RXD <= TLK_TXD;
+ TLK_RXD <= TLK_TXD;
+ SFP_LOS <= '0';
+ SFP_LOS <= '0';
+ -----------------------------------------------------------------------
+ -- process check headers - number of words in event
+ -----------------------------------------------------------------------
+
+-- valid_pulse: edge_to_pulse
+-- port map (
+-- clock => VIRT_CLK,
+-- en_clk => '1',
+-- signal_in => FS_PB(16),
+-- pulse => valid_pulse_i);
+-- not_valid <= FS_PB(16);
+-- not_valid_pulse: edge_to_pulse
+-- port map (
+-- clock => VIRT_CLK,
+-- en_clk => '1',
+-- signal_in => not_valid,
+-- pulse => not_valid_pulse_i);
+-- etrax_pulse: edge_to_pulse
+-- port map (
+-- clock => VIRT_CLK,
+-- en_clk => '1',
+-- signal_in => etrax_ready,
+-- pulse => etrax_ready_pulse);
+
+-- ckeck_header: process(VIRT_CLK,valid_pulse_i,etrax_ready_pulse )
+-- begin
+-- if rising_edge(VIRT_CLK) then
+-- if valid_pulse_i = '1' then
+-- save_lenght <= FS_PB(15 downto 0);
+-- compare_counter <= (others => '0');
+-- elsif etrax_ready_pulse = '1' then
+-- save_lenght <= save_lenght;
+-- compare_counter <= compare_counter + 1;
+-- else
+-- save_lenght <= save_lenght;
+-- compare_counter <= compare_counter;
+-- end if;
+-- end if;
+-- end process ckeck_header;
+-- wrong_lenght_of_event <= '1' when ((save_lenght /= compare_counter) and FS_PB(16) = '0') else '0';
+ -----------------------------------------------------------------------
+ -- TDC and etrax readout
+ -----------------------------------------------------------------------
+ TDC_OUT <= tdc_data_i;
+
+ TDC_DATA_CHANGE: process(REF_TDC_CLK, RESET_VIRT)
+ begin
+ if rising_edge(REF_TDC_CLK) then
+ if RESET_VIRT = '1' then
+ tdc_data_i <= x"00000000";
+ else
+ tdc_data_i <= tdc_data_i + 1;
+ end if;
+ end if;
+ end process TDC_DATA_CHANGE;
+
+ clock_tdcclk : process
+ begin
+ wait for 12 ns;
+ loop
+ REF_TDC_CLK <= '0';
+ REF_TDC_CLKB <= '1';
+ wait for 12.5 ns;
+ REF_TDC_CLK <= '1';
+ REF_TDC_CLKB <= '0';
+ wait for 12.5 ns;
+ end loop;
+ end process;
+
+ trigger_lvl1 : process
+ variable valid_time : integer :=0;
+ begin
+ A_DATA_READY <= '0';
+ B_DATA_READY <= '0';
+ C_DATA_READY <= '0';
+ D_DATA_READY <= '0';
+ TOKEN_IN <= '0';
+ wait on REF_TDC_CLK until TOKEN_OUT = '1';
+ wait on REF_TDC_CLK until TOKEN_OUT = '0';
+ wait for 10 ns;
+ A_DATA_READY <= '1';
+ wait for ((valid_time mod 2)*50*4+2*25)*ns;
+ A_DATA_READY <= '0';
+ wait for 50 ns;
+ B_DATA_READY <= '1';
+ wait for ((valid_time mod 3 )*50*2+2*25)*ns;
+ B_DATA_READY <= '0';
+ wait for 50 ns;
+ C_DATA_READY <= '1';
+ wait for ((valid_time mod 4 )*50+2*25)*ns;
+ C_DATA_READY <= '0';
+ wait for 50 ns;
+ D_DATA_READY <= '1';
+ wait for ((valid_time mod 7 )*50+2*25)*ns;
+ D_DATA_READY <= '0';
+ wait for 0 ns;
+ TOKEN_IN <= '1';
+ wait for 50 ns;
+ TOKEN_IN <= '0';
+ valid_time := valid_time*3/2 +1;
+ end process;
+
+ ETRAX_HAS_NO_DMA: if ENABLE_DMA = 2 generate
+ ETRAX_BUSY_PROC: process
+ variable etrax_busy_time : integer :=0;
+ begin
+ FS_PB_17 <= '0';
+ wait on VIRT_CLK until FS_PB(16) ='1';
+ wait for 20 ns;
+ FS_PB_17 <= '1';
+ wait for 80 ns;
+ wait on VIRT_CLK until etrax_busy ='0';
+ etrax_busy_time := etrax_busy_time*3/2 +1;
+ end process ETRAX_BUSY_PROC;
+
+ end generate ETRAX_HAS_NO_DMA;
+
+ ETRAX_HAS_DMA: if ENABLE_DMA = 1 generate
+ ETRAX_BUSY_PROC: process
+ variable etrax_busy_time : integer :=0;
+ begin
+ FS_PB_17 <= '0';
+ wait on VIRT_CLK until FS_PB(16) ='1';
+ wait for 20 ns;
+ FS_PB_17 <= '1';
+ wait for 680 ns;
+ etrax_busy_time := etrax_busy_time*3/2 +1;
+ end process ETRAX_BUSY_PROC;
+
+ end generate ETRAX_HAS_DMA;
+
+ WAIT_FOR_TRANSFER_END: process
+ variable wait_int : integer range 0 to 65535;
+ begin
+ etrax_busy <= '0';
+ wait on VIRT_CLK until FS_PB(16) ='1';
+ etrax_busy <= '1';
+ wait for 37*10 ns;
+ end process WAIT_FOR_TRANSFER_END;
+
+
+ -----------------------------------------------------------------------------
+ -- land data
+ -----------------------------------------------------------------------------
+ clock_land : process
+ begin
+-- wait for 10 ns;
+ loop
+ ADO_TTL(24)<= '0';
+ wait for 10 ns;
+ ADO_TTL(24) <= '1';
+ wait for 10 ns;
+ end loop;
+ end process;
+
+
+
+ land_data(38 downto 0) <= '1' & '1' & x"a" & '1' & "00" & x"654321" & "000111"; --& "010101"; --d7c30c
+ LAND : process
+ begin
+ land_counter <= land_counter + 1;
+ ADO_TTL(25)<= '0';
+ wait for 980 ns;
+ for land_data_cntr in 0 to 38 loop
+ wait for 20 ns;
+ ADO_TTL(25)<= land_data(land_data_cntr);
+ end loop;
+ end process LAND;
+
+
+-------------------------------------------------------------------------------
+-- external sdram
+-------------------------------------------------------------------------------
+
+
+
+ -----------------------------------------------------------------------
+ -- DTU
+ -----------------------------------------------------------------------
+-- clock_dtu : process
+-- begin
+-- wait for 34 ns;
+
+-- loop
+-- dtu_clk <= '0';
+-- wait for 50 ns;
+-- dtu_clk <= '1';
+-- wait for 50 ns;
+-- end loop;
+-- end process;
+-- ADO_TTL(0) <= lvl1_trig;
+-- ADO_TTL(8) <= lvl2_trig;
+-- ADO_TTL(7) <= 'Z';
+-- ADO_TTL(15) <= 'Z';
+-- -- LVL1_TAG_COUNTER: process (dtu_clk,RESET_VIRT,lvl1_trig)
+-- -- begin
+-- -- if rising_edge(dtu_clk) then
+-- -- if RESET_VIRT = '0' then
+-- -- dtu_lvl1_tag <= x"00";
+-- -- elsif ADO_TTL(17) = '1' then
+-- -- dtu_lvl1_tag <= dtu_lvl1_tag +1;
+-- -- end if;
+-- -- end if;
+-- -- end process LVL1_TAG_COUNTER;
+-- LVL1_COUNT:process
+-- begin
+-- wait for 100 ns;
+-- dtu_lvl1_tag <= x"00";
+-- wait on dtu_clk until ADO_TTL(0) = '0';
+-- wait on dtu_clk until lvl1_trig = '1';
+-- wait for 500 ns;
+-- dtu_lvl1_tag <= dtu_lvl1_tag +1;
+-- loop
+-- wait on dtu_clk until ADO_TTL(0) = '1';
+-- -- wait for 1000 ns;
+-- dtu_lvl1_tag <= dtu_lvl1_tag +1;
+-- wait on dtu_clk until ADO_TTL(0) = '0';
+-- end loop;
+-- end process LVL1_COUNT;
+-- LVL2_COUNT:process
+-- begin
+-- wait for 100 ns;
+-- dtu_lvl2_tag <= x"00";
+-- wait on dtu_clk until ADO_TTL(2) = '0';
+-- loop
+-- -- wait on dtu_clk until ADO_TTL(2) = '1';
+-- wait on dtu_clk until lvl2_trig = '1';
+-- -- wait for 1000 ns;
+-- wait for 500 ns;
+-- dtu_lvl2_tag <= dtu_lvl2_tag +1;
+-- wait on dtu_clk until ADO_TTL(2) = '0';
+-- end loop;
+-- end process LVL2_COUNT;
+
+
+-- -- LVL2_TAG_COUNTER: process (dtu_clk,RESET_VIRT,lvl2_trig)
+-- -- begin
+-- -- if rising_edge(dtu_clk) then
+-- -- if RESET_VIRT = '0' then
+-- -- dtu_lvl2_tag <= x"00";
+-- -- elsif lvl2_trig = '1' then
+-- -- dtu_lvl2_tag <= dtu_lvl2_tag +1;
+-- -- end if;
+-- -- end if;
+-- -- end process LVL2_TAG_COUNTER;
+-- -- ADO_TTL(20 downto 17) <= (others => 'Z');
+
+
+-- ADO_TTL(4) <= lvl1_trig;
+-- DTU_EMULATION_LVL1: process
+-- variable lvl1_randomize : integer := 100;
+-- begin
+-- ADO_TTL(1) <= '0';
+-- lvl1_trig <= '0';
+-- VIR_TRIG <= '0';
+-- VIR_TRIGB <= '1';
+-- wait for 1000 ns;
+-- -- VIR_TRIG <= '1';
+-- -- VIR_TRIGB <= '0';
+-- -- wait for 100 ns;
+-- VIR_TRIG <= '0';
+-- VIR_TRIGB <= '1';
+-- wait on dtu_clk until ADO_TTL(7) = '0' and dtu_clk = '1'; --busylvl1
+-- lvl1_trig <= '1';
+-- ADO_TTL(5 downto 2) <= x"d";
+-- wait for 100 ns;
+-- lvl1_trig <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '1';
+-- ADO_TTL(5 downto 2) <= dtu_lvl1_tag(3 downto 0);
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '1';
+-- ADO_TTL(5 downto 2) <= dtu_lvl1_tag(7 downto 4);
+-- loop
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '1';
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '0';
+-- wait on dtu_clk until ADO_TTL(7) = '0' and dtu_clk = '1'; --busylvl1
+-- lvl1_randomize := lvl1_randomize * 3/2 + 10;
+-- -- wait for (lvl1_randomize )*ns;
+-- VIR_TRIG <= '1';
+-- VIR_TRIGB <= '0';
+-- wait for 100 ns;
+-- VIR_TRIG <= '0';
+-- VIR_TRIGB <= '1';
+-- lvl1_trig <= '1';
+-- ADO_TTL(5 downto 2) <= x"1";
+-- wait for 100 ns;
+-- lvl1_trig <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '1';
+-- ADO_TTL(5 downto 2) <= dtu_lvl1_tag(3 downto 0);
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(1) <= '1';
+-- ADO_TTL(5 downto 2) <= dtu_lvl1_tag(7 downto 4);
+-- end loop;
+-- end process DTU_EMULATION_LVL1;
+
+-- -- ADO_TTL(10) <= lvl2_trig;
+-- DTU_EMULATION_LVL2:process
+-- begin
+-- -- ADO_TTL(15) <= '0';
+-- lvl2_trig <= '0';
+-- wait for 26000 ns;
+-- loop
+-- ADO_TTL(9) <= '0';
+-- wait on dtu_clk until ADO_TTL(15) = '0'and dtu_clk = '1'; --busylvl2
+-- -- wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1;
+-- wait on dtu_clk until dtu_lvl1_tag - dtu_lvl2_tag > x"01";
+-- -- wait for 300 ns;
+-- lvl2_trig <= '1';
+-- ADO_TTL(13 downto 10) <= x"1";
+-- wait for 100 ns;
+-- lvl2_trig <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '1';
+-- ADO_TTL(13 downto 10) <= dtu_lvl2_tag(3 downto 0);
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '1';
+-- ADO_TTL(13 downto 10) <= dtu_lvl2_tag(7 downto 4);
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '1';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '0';
+
+-- wait on dtu_clk until ADO_TTL(15) = '0'and dtu_clk = '1';
+-- wait on dtu_clk until dtu_lvl1_tag - dtu_lvl2_tag > x"01";
+-- -- wait for 100 ns;
+-- lvl2_trig <= '1';
+-- ADO_TTL(13 downto 10) <= x"9";
+-- wait for 100 ns;
+-- lvl2_trig <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '1';
+-- ADO_TTL(13 downto 10) <= dtu_lvl2_tag(3 downto 0);
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '1';
+-- ADO_TTL(13 downto 10) <= dtu_lvl2_tag(7 downto 4);
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '0';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '1';
+-- wait for 100 ns;
+-- ADO_TTL(9) <= '0';
+-- end loop;
+-- end process DTU_EMULATION_LVL2;
+-------------------------------------------------------------------------------
+-- if external trigger
+-------------------------------------------------------------------------------
+-- send_external_trigger: process
+-- begin
+-- loop
+-- ADO_TTL(0) <= '0';
+-- wait for 10 ns;
+-- ADO_TTL(0) <= '1';
+-- wait for 10 ns;
+-- end loop;
+-- end process send_external_trigger;
+-- ETRAX_RESPONSE: process
+-- begin
+-- FS_PC(16) <= '0';
+-- FS_PC(17) <= '0';
+-- wait for 10 ns;
+-- RESET_VIRT <= '0';
+
+-- wait for 10 ns;
+-- FS_PC(16) <= '1';
+-- FS_PC(17) <= '1';
+-- RESET_VIRT <= '1';
+-- wait for 10 ns;
+
+-- wait for 30 ns;
+-- FS_PC(16) <= '0';
+-- FS_PC(17) <= '0';
+-- wait for 10 ns;
+-- loop
+-- FS_PC(17) <= '0';
+-- wait on TLK_CLK until FS_PB(16) ='1';
+-- wait for 20 ns;
+-- FS_PC(17) <= '1';
+-- wait for 2000 ns;
+-- end loop;
+-- end process ETRAX_RESPONSE;
+-- EXTERNAL_TRIGG: process
+-- begin
+-- wait for 15 ns;
+-- loop
+-- ADO_TTL(0) <= '1';
+-- ADO_TTL(1) <= '0';
+-- wait for 74 ns;
+-- ADO_TTL(0) <= '0';
+-- ADO_TTL(1) <= '1';
+-- wait for 82 ns;
+-- end loop;
+-- end process EXTERNAL_TRIGG;
+ VSD_A <= (others => 'Z');
+ VSD_BA <= (others => 'Z');
+ VSD_CAS <= 'Z';
+ VSD_CKE <= 'Z';
+ VSD_CLOCK <= 'Z';
+ VSD_CSEH <= 'Z';
+ VSD_CSEL <= 'Z';
+ VSD_D <= (others => 'Z');
+ VSD_DQML <= (others => 'Z');
+ VSD_RAS <= 'Z';
+ VSD_WE <= 'Z';
+
+END;
--- /dev/null
+library IEEE;
+use ieee.std_logic_1164.all;
+use IEEE.STD_LOGIC_ARITH.all;
+use IEEE.STD_LOGIC_UNSIGNED.all;
+use ieee.numeric_std.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+
+
+entity trb_v2b_fpga is
+ generic (
+ RW_SYSTEM : integer range 1 to 2 := 1; --1 -trb, 2 -addon with portE 10 9 as rw
+ TRBV2_TYPE : integer range 0 to 8 := 0;
+ -- 0 - trbv2 + RPC or TOF or FWALL or Start or
+ -- Veto (one board !),
+ -- 1 - MDC,
+ -- 2 - SHOWER,
+ -- 3 - CTS+Trigger logic
+ -- 4 - CTS+VULOM (trbent)
+ -- 5 - CTS only, lvl2 is automatic (trbent)
+ -- 6 - CTS plus TDC readout and waits for
+ -- external busy without trbnet
+ -- 7 - '6' is the source of the triggers
+ -- 8 - for tdc EUGEN readout
+ TRBNET_ENABLE : integer range 0 to 3 := 1; -- 0 - disable,
+ -- 1 - end point
+ -- 2 - cts
+ -- 3 - slow ctrl
+ DTU_ENABLE : integer range 0 to 2 := 0; -- 0 - disable, 1 - old
+ -- HADES bus, 2 - opt
+ -- without trbnet
+ CTU_ENABLE : integer range 0 to 1 := 0;
+ HADES_OLD_BUS_ENABLE : integer range 0 to 1 := 0;
+ DSP_INT_ENABLE : integer range 0 to 1 := 0; -- dsp interface enable
+ SDRAM_INT_ENABLE : integer range 0 to 1 := 0; -- sdram interface enable
+ SCALERS_ENABLE : integer range 0 to 1 := 0;
+ RW_REGISTERS_NUMBER : integer range 0 to 40 := 29; --32 bit registers
+ --accesed by trbnet or
+ --etrax (read/write) -
+ --control
+ R_REGISTERS_NUMBER : integer range 0 to 60 := 58; --only read - status
+ ENABLE_DMA : integer range 1 to 2 := 1; --1- DMA , 2 - no DMA
+ --2- also for trbnet
+ --end point !
+ NUMBER_OFF_ADD_DATA : integer range 0 to 255 := 8;
+ REGIO_NUM_STAT_REGS : integer range 0 to 255 := 2;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 255 := 3;
+ DEBUG_OPTION : integer range 0 to 8 := 0; --0 no debug
+ --1 trbnet enpoit
+ --2 trbent cts
+ --3 sfp
+ --4 stand alone
+ --5 sdram
+ --6 trbnet regio
+ --7 trbnet data handler
+
+ TRIGGER_RW_REGISTERS_NUMBER : integer range 0 to 40 := 4;
+ TRIGGER_R_REGISTERS_NUMBER : integer range 0 to 40 := 4;
+ TRIGGER_INPUTS_NUMBER : integer range 0 to 60 := 32;
+ USE_EXTERNAL_SDRAM : integer range 0 to 1 := 0;
+ CHECK_REF_TIME : integer range 0 to 2 :=0; --0 dont check, 1- check if its
+ --there(rpc) and calc diff
+ --2-check if its there but tof
+ --is different!
+ CONFIG_TYPE: integer range 0 to 9 :=0; -- 0 - CTS --trbnet config
+ -- 1 - TOF
+ -- 2 - RPC
+ -- 3 - FWALL
+ -- 4 - START/VETO --see compile script!
+ CTS_NUMBER_IPU_DATA: integer range 0 to 9 :=2
+ );
+ port (
+ -------------------------------------------------------------------------
+ -- GENERAL
+ -------------------------------------------------------------------------
+ VIRT_CLK : in std_logic;
+ VIRT_CLKB : in std_logic;
+ RESET_VIRT : in std_logic;
+ DBAD : out std_logic; --diode
+ DGOOD : out std_logic; --diode
+ DINT : out std_logic; --diode
+ DWAIT : out std_logic; --diode
+ A_RESERVED : in std_logic; --TDC connector 75,76(line 3 from end)
+ TEMP_SENS : inout std_logic_vector(4 downto 0); -- on the schematics
+ -- A_TEMP,B_TEMP ...
+
+ B_RESERVED : in std_logic;
+ C_RESERVED : in std_logic;
+ D_RESERVED : in std_logic;
+ VIR_TRIG : in std_logic; --fast trigger
+ VIR_TRIGB : in std_logic;
+ -------------------------------------------------------------------------
+ -- TDC connections
+ -------------------------------------------------------------------------
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ A_TDC_POWERUP : out std_logic; --turn on TDC -should be one ?
+ B_TDC_POWERUP : out std_logic;
+ C_TDC_POWERUP : out std_logic;
+ D_TDC_POWERUP : out std_logic;
+ TOKEN_IN : in std_logic;
+ TOKEN_OUT : out std_logic;
+ C_TOKEN_OUT_TTL : in std_logic;
+ GET_DATA : out std_logic;
+ A_DATA_READY : in std_logic;
+ B_DATA_READY : in std_logic;
+ C_DATA_READY : in std_logic;
+ D_DATA_READY : in std_logic;
+ REF_TDC_CLK : in std_logic;
+ REF_TDC_CLKB : in std_logic;
+ -- TDC_BU_RESET : out std_logic;
+ -- TDC_BU_RESETB : out std_logic;
+ -- TDC_EV_RESET : out std_logic;
+ -- TDC_EV_RESETB : out std_logic;
+ A_TDC_BU_RESET : out std_logic;
+ A_TDC_BU_RESETB : out std_logic;
+ A_TDC_EV_RESET : out std_logic;
+ A_TDC_EV_RESETB : out std_logic;
+ B_TDC_BU_RESET : out std_logic;
+ B_TDC_BU_RESETB : out std_logic;
+ B_TDC_EV_RESET : out std_logic;
+ B_TDC_EV_RESETB : out std_logic;
+ C_TDC_BU_RESET : out std_logic;
+ C_TDC_BU_RESETB : out std_logic;
+ C_TDC_EV_RESET : out std_logic;
+ C_TDC_EV_RESETB : out std_logic;
+ D_TDC_BU_RESET : out std_logic;
+ D_TDC_BU_RESETB : out std_logic;
+ D_TDC_EV_RESET : out std_logic;
+ D_TDC_EV_RESETB : out std_logic;
+ TDC_OUT : in std_logic_vector (31 downto 0);
+ TDC_RESET : out std_logic;
+ A_TRIGGER : out std_logic;
+ A_TRIGGERB : out std_logic;
+ B_TRIGGER : out std_logic;
+ B_TRIGGERB : out std_logic;
+ C_TRIGGER : out std_logic;
+ C_TRIGGERB : out std_logic;
+ D_TRIGGER : out std_logic;
+ D_TRIGGERB : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- ETRAX connections
+ -------------------------------------------------------------------------
+ FS_PB : inout std_logic_vector (16 downto 0);
+ FS_PB_17 : in std_logic; --_vector (16 downto 0);
+ FS_PC : inout std_logic_vector (17 downto 0);
+ ETRAX_IRQ : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SPI
+ -------------------------------------------------------------------------
+ A_SCK : out std_logic;
+ A_SCKB : out std_logic;
+ A_SDI : in std_logic;
+ A_SDIB : in std_logic;
+ A_SDO : out std_logic;
+ A_SDOB : out std_logic;
+ A_CSB : out std_logic;
+ A_CS : out std_logic;
+
+ B_SCK : out std_logic;
+ B_SCKB : out std_logic;
+ B_SDI : in std_logic;
+ B_SDIB : in std_logic;
+ B_SDO : out std_logic;
+ B_SDOB : out std_logic;
+ B_CSB : out std_logic;
+ B_CS : out std_logic;
+
+ C_SCK : out std_logic;
+ C_SCKB : out std_logic;
+ C_SDI : in std_logic;
+ C_SDIB : in std_logic;
+ C_SDO : out std_logic;
+ C_SDOB : out std_logic;
+ C_CSB : out std_logic;
+ C_CS : out std_logic;
+
+ D_SCK : out std_logic;
+ D_SCKB : out std_logic;
+ D_SDI : in std_logic;
+ D_SDIB : in std_logic;
+ D_SDO : out std_logic;
+ D_SDOB : out std_logic;
+ D_CSB : out std_logic;
+ D_CS : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- RPC TEST SIGNALS - for RPC
+ -------------------------------------------------------------------------
+ A_TEST1 : out std_logic;
+ A_TEST1B : out std_logic;
+ A_TEST2 : out std_logic;
+ A_TEST2B : out std_logic;
+ B_TEST1 : out std_logic;
+ B_TEST1B : out std_logic;
+ B_TEST2 : out std_logic;
+ B_TEST2B : out std_logic;
+ C_TEST1 : out std_logic;
+ C_TEST1B : out std_logic;
+ C_TEST2 : out std_logic;
+ C_TEST2B : out std_logic;
+ D_TEST1 : out std_logic;
+ D_TEST1B : out std_logic;
+ D_TEST2 : out std_logic;
+ D_TEST2B : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TIGER SHARC
+ -------------------------------------------------------------------------
+ DSPADDR : out std_logic_vector (31 downto 0);
+ DSPDAT : inout std_logic_vector (31 downto 0);
+ DSP_ACK : in std_logic;
+ DSP_BM : inout std_logic;
+ DSP_BMS : out std_logic; --LOC = AF15;
+ DSP_BOFF : out std_logic; -- LOC = AK14;
+ DSP_BRST : inout std_logic;
+ DSP_HBG : in std_logic;
+ DSP_HBR : out std_logic;
+ DSP_IRQ : out std_logic_vector (3 downto 0);
+ --link0
+-- DSP_L0DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L0DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L0DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L0DATON : in std_logic_vector (3 downto 0);
+-- DSP_L0CLKINP : out std_logic;
+-- DSP_L0CLKINN : out std_logic;
+-- DSP_L0CLKOUTP : in std_logic;
+-- DSP_L0CLKOUTN : in std_logic;
+-- DSP_L0BCMPO : in std_logic;
+-- DSP_L0ACKO : in std_logic;
+-- DSP_L0BCMPI : out std_logic;
+-- DSP_L0ACKI : out std_logic;
+-- --link1
+-- DSP_L1DATIP : out std_logic_vector (3 downto 0);
+-- DSP_L1DATIN : out std_logic_vector (3 downto 0);
+-- DSP_L1DATOP : in std_logic_vector (3 downto 0);
+-- DSP_L1DATON : in std_logic_vector (3 downto 0);
+-- DSP_L1CLKINP : out std_logic;
+-- DSP_L1CLKINN : out std_logic;
+-- DSP_L1CLKOUTP : in std_logic;
+-- DSP_L1CLKOUTN : in std_logic;
+-- DSP_L1ACKO : in std_logic;
+-- DSP_L1BCMPO : in std_logic;
+-- DSP_L1BCMPI : out std_logic;
+-- DSP_L1ACKI : out std_logic;
+ --DSP_TMROE : LOC = AP20; --link data widt now is 4 bit --pullup
+ DSP_RD : out std_logic;
+ DSP_RESET : out std_logic;
+ DSP_RESET_OUT : in std_logic;
+ DSP_WRH : out std_logic;
+ DSP_WRL : out std_logic;
+ -- DSP_MSH LOC = AL14;
+ -- DSP_IOEN LOC = AL18;
+ -- DSP_IORD LOC = AB16;
+ -- DSP_IOWR LOC = AB17;
+ -- DSP_BUSLOCK LOC = AC19;
+ --DSP_DMAR : std_logic_vector (3 downto 0);
+ --DSP_FLAG : std_logic_vector (3 downto 0);
+
+ -------------------------------------------------------------------------
+ -- SDRAM
+ -------------------------------------------------------------------------
+ VSD_A : out std_logic_vector (12 downto 0);
+ VSD_BA : out std_logic_vector (1 downto 0);
+ VSD_CAS : out std_logic;
+ VSD_CKE : out std_logic;
+ VSD_CLOCK : out std_logic;
+ VSD_CSEH : out std_logic;
+ VSD_CSEL : out std_logic;
+ VSD_D : inout std_logic_vector (31 downto 0);
+ VSD_DQML : out std_logic_vector (3 downto 0);
+ VSD_RAS : out std_logic;
+ VSD_WE : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- TLK
+ -------------------------------------------------------------------------
+
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector (15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector (15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+
+ -------------------------------------------------------------------------
+ -- SFP
+ -------------------------------------------------------------------------
+ SFP_LOS : in std_logic;
+ -- SFP_MOD<0> LOC = R23;
+ -- SFP_MOD<1> LOC = K32;
+ -- SFP_MOD<2> LOC = K33;
+ -- SFP_RATE_SEL : out std_logic;
+ SFP_MOD_LOW : out std_logic;
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ SFP_TX_DIS : out std_logic;
+ SFP_TX_FAULT : in std_logic;
+
+ -------------------------------------------------------------------------
+ -- ADDON board
+ -------------------------------------------------------------------------
+ ADDON_TO_TRB_CLKINN : in std_logic;
+ ADDON_TO_TRB_CLKINP : in std_logic;
+ ADO_LVDS_IN : in std_logic_vector(51 downto 0); --lvds signal
+ ADO_LVDS_OUT : out std_logic_vector(9 downto 0); --lvds signal
+ ADO_TTL : inout std_logic_vector(46 downto 0);
+ -- ADO_TTL : inout std_logic_vector(15 downto 0);
+-- ADO_TTL : in std_logic;
+
+ -------------------------------------------------------------------------------
+ --TDC JTAG
+ -------------------------------------------------------------------------------
+ VIRT_TCK : out std_logic;
+ VIRT_TDI : out std_logic;
+ VIRT_TDO : in std_logic;
+ VIRT_TMS : out std_logic;
+ VIRT_TRST : out std_logic
+ );
+
+end trb_v2b_fpga;
+
+architecture trb_v2b_fpga of trb_v2b_fpga is
+
+ component clk_300
+ port (
+ CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKFX_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component clock200
+ port (
+ CLKIN_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component Sfp_Interface
+ generic (
+ I2C_SPEED : std_logic_vector(15 downto 0));
+ port (
+ CLK_IN : in std_logic;
+ RST_IN : in std_logic;
+ START_PULSE : in std_logic;
+ DEVICE_ADDRESS : in std_logic_vector(7 downto 0);
+ DATA_OUT : out std_logic_vector(15 downto 0);
+ SCL : inout std_logic;
+ SDA : inout std_logic;
+ EN_RESET : in std_logic;
+ READ_DONE : out std_logic;
+ DEBUG : out std_logic_vector(31 downto 0);
+ SFP_ADDRESS : in std_logic_vector(31 downto 0));
+ end component;
+
+
+ component cts
+ generic (
+ TRBNET_ENABLE : natural);
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLK40_IN : in std_logic;
+ LVL1_LVDS_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_TTL_TRIGGER_IN : in std_logic_vector(4 downto 0);
+ LVL1_FAST_TRIGG_IN : in std_logic;
+ LVL1_TIMING_TRIGGER_OUT : out std_logic;
+ LVL1_APV_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGBOX_TRIGGER_IN : in std_logic;
+ LVL1_TRIGBOX_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL1_TRIGBOX_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL1_TRIGBOX_BUSY_IN : in std_logic;
+ LVL1_LOCAL_BUSY : in std_logic;
+ LVL1_TRBNET_BUSY : in std_logic;
+ LVL1_CTS_BUSY_OUT : out std_logic;
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ LVL2_LVDS_TRIGGER_IN : in std_logic;
+ LVL2_LVDS_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LVDS_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL2_LOCAL_TRIGGER_IN : in std_logic;
+ LVL2_LOCAL_TRIGGER_CODE_IN : in std_logic_vector(3 downto 0);
+ LVL2_LOCAL_TRIGGER_TAG_IN : in std_logic_vector(15 downto 0);
+ LVL2_LOCAL_BUSY : in std_logic;
+ LVL2_TRBNET_BUSY : in std_logic;
+ LVL2_CTS_BUSY_OUT : out std_logic;
+ LVL2_TRIGGER_OUT : out std_logic;
+ LVL2_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL2_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL2_RND_NUMBER_OUT : out std_logic_vector(7 downto 0);
+ CTS_STASUS_0UT_0 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_1 : out std_logic_vector(31 downto 0);
+ CTS_STASUS_0UT_2 : out std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_0 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_1 : in std_logic_vector(31 downto 0);
+ CTS_CTRL_IN_2 : in std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component tdc_interfacev2
+ generic (
+ ENABLE_DMA : natural;
+ NUMBER_OFF_ADD_DATA : natural;
+ TRBV2_TYPE : natural;
+ USE_EXTERNAL_SDRAM : natural;
+ CHECK_REF_TIME : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ TDC_CLK : in std_logic;
+ RESET : in std_logic;
+ TDC_DATA_IN : in std_logic_vector (31 downto 0);
+ START_TDC_READOUT : in std_logic;
+ A_TDC_READY : in std_logic;
+ B_TDC_READY : in std_logic;
+ C_TDC_READY : in std_logic;
+ D_TDC_READY : in std_logic;
+ A_TDC_ERROR : in std_logic;
+ B_TDC_ERROR : in std_logic;
+ C_TDC_ERROR : in std_logic;
+ D_TDC_ERROR : in std_logic;
+ SEND_TDC_TOKEN : out std_logic;
+ RECEIVED_TDC_TOKEN : in std_logic;
+ GET_TDC_DATA : out std_logic;
+ LVL2_READOUT_COMPLETED : out std_logic;
+ LVL1_TAG : in std_logic_vector(15 downto 0);
+ LVL1_RND_CODE : in std_logic_vector(7 downto 0);
+ LVL1_CODE : in std_logic_vector(3 downto 0);
+ LVL2_TAG : in std_logic_vector(7 downto 0);
+ HOW_MANY_ADD_DATA : in std_logic_vector(7 downto 0);
+ ADDITIONAL_DATA : in std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+ LVL2_TRIGGER : in std_logic;
+ TDC_DATA_OUT : out std_logic_vector (31 downto 0);
+ TDC_DATA_VALID : out std_logic;
+ ETRAX_IS_READY_TO_READ : in std_logic;
+ ETRAX_IS_BUSY : in std_logic;
+ LVL1_BUSY : out std_logic;
+ LVL2_BUSY : out std_logic;
+ TDC_REGISTER_00 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_01 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_02 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_03 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_04 : out std_logic_vector(31 downto 0);
+ TDC_REGISTER_05 : in std_logic_vector(31 downto 0);
+ BUNCH_RESET : out std_logic;
+ EVENT_RESET : out std_logic;
+ DELAY_TRIGGER : in std_logic_vector(7 downto 0);
+ DELAY_TOKEN : in std_logic_vector(7 downto 0);
+ TDC_START : out std_logic;
+ TRIGGER_WITH_GEN_EN : in std_logic;
+ SELF_TAG_COUNT_EN : in std_logic;
+ TRIGGER_WITH_GEN : in std_logic;
+ TRB_ID : in std_logic_vector(31 downto 0);
+ LVL1_FINISHED : out std_logic;
+ LVL2_FINISHED : out std_logic;
+ TRBNET_HEADER_BUILD : in std_logic;
+ EVENT_SIZE : out std_logic_vector(15 downto 0);
+ RD_EN : out std_logic;
+ WR_EN : out std_logic;
+ WR_READY : in std_logic;
+ RD_READY : in std_logic;
+ DATA_IN : in std_logic_vector(31 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ SDRAM_BUSY : in std_logic;
+ TRBNET_DATA_FINISHED_OUT : out std_logic;
+ TRBNET_DATA_WRITE_OUT : out std_logic;
+ TRBNET_DATA_OUT : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+
+ component trb_net_onewire is
+ generic(
+ USE_TEMPERATURE_READOUT : integer range 0 to 1 := 1;
+ CLK_PERIOD : integer := 10 --clk period in ns
+ );
+ port(
+ CLK : in std_logic;
+ RESET : in std_logic;
+ --connection to 1-wire interface
+ ONEWIRE : inout std_logic;
+ --connection to id ram, according to memory map in TrbNetRegIO
+ DATA_OUT : out std_logic_vector(15 downto 0);
+ ADDR_OUT : out std_logic_vector(2 downto 0);
+ WRITE_OUT : out std_logic;
+ TEMP_OUT : out std_logic_vector(11 downto 0);
+ STAT : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component vulom_interface
+ generic (
+ TRBNET_ENABLE : integer range 0 to 1);
+ port (
+ CLK : in std_logic;
+ CLK200 : in std_logic;
+ DATA_CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_IN : in std_logic_vector(1 downto 0);
+ BUSY : out std_logic;
+ ACK_TO_VULOM : out std_logic;
+ ERR_TO_VULOM : out std_logic;
+ API_DATA : out std_logic_vector(47 downto 0);
+ API_RUN_OUT : in std_logic;
+ API_SHORT_TRANSFER : out std_logic;
+ API_DTYPE : out std_logic_vector(3 downto 0);
+ API_SEND : out std_logic;
+ API_WRITE_IN : out std_logic;
+ API_TARGET_ADDRESS : out std_logic_vector(15 downto 0);
+ API_SEQNR_OUT : in std_logic_vector(7 downto 0);
+ VULOM_INT_REG : out std_logic_vector(31 downto 0);
+ INTERFACE_CONTROL : in std_logic_vector(3 downto 0);
+ EVENT_OUT : out std_logic_vector(31 downto 0);
+ EVENT_VALID : out std_logic;
+ EVENT_READOUT_START : in std_logic;
+ EVENT_READOUT_END : out std_logic;
+ START_READOUT : out std_logic;
+ LVL1_TRANSFER_BUSY : in std_logic;
+ LVL1_TRIGGER_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG : out std_logic_vector(7 downto 0)
+ );
+ end component;
+
+ component etrax_interfacev2
+ generic (
+ ENABLE_DMA : natural;
+ RW_SYSTEM : natural;
+ RW_REGISTERS_NUMBER : natural;
+ R_REGISTERS_NUMBER : natural;
+ TRBNET_ENABLE : natural
+ );
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_BUS : in std_logic_vector(31 downto 0);
+ ETRAX_DATA_BUS_B : inout std_logic_vector(16 downto 0);
+ ETRAX_DATA_BUS_B_17 : in std_logic; --_vector(17 downto 0);
+ ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0);
+ ETRAX_DATA_BUS_E : inout std_logic_vector(9 downto 8);
+ IPU_READY_IN : in std_logic;
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID : in std_logic;
+ ETRAX_BUS_BUSY : in std_logic;
+ ETRAX_IS_READY_TO_READ : out std_logic;
+ TDC_TCK : out std_logic;
+ TDC_TDI : out std_logic;
+ TDC_TMS : out std_logic;
+ TDC_TRST : out std_logic;
+ TDC_TDO : in std_logic;
+ TDC_RESET : out std_logic;
+ EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ EXTERNAL_ACK : out std_logic;
+ EXTERNAL_VALID : in std_logic;
+ EXTERNAL_MODE : out std_logic_vector(15 downto 0);
+ RW_REGISTER : out std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ R_REGISTER : in std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ LVL2_VALID : in std_logic;
+ TRB_LVL2_BUSY : in std_logic;
+ REGIO_ADDR_IN : in std_logic_vector(15 downto 0);
+ REGIO_READ_ENABLE_IN : in std_logic;
+ REGIO_WRITE_ENABLE_IN : in std_logic;
+ REGIO_DATA_IN : in std_logic_vector(31 downto 0);
+ REGIO_DATA_OUT : out std_logic_vector(31 downto 0);
+ REGIO_DATAREADY_OUT : out std_logic;
+ REGIO_NO_MORE_DATA_OUT : out std_logic;
+ REGIO_WRITE_ACK_OUT : out std_logic;
+ REGIO_UNKNOWN_ADDR_OUT : out std_logic;
+ DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component sdram_controller
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DATA_CLK_IN : in std_logic;
+ DATA_WRITE : in std_logic;
+ WRITE_READY : out std_logic;
+ WRITE_DATA_IN : in std_logic_vector(31 downto 0);
+ WRITE_BUSY : out std_logic;
+ DATA_READ : in std_logic;
+ DATA_READ_REQUEST : in std_logic;
+ READ_READY : out std_logic;
+ READ_DATA_OUT : out std_logic_vector(31 downto 0);
+ READ_BUSY : out std_logic;
+ RAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ RAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ RAM_DEBUG_02 : out std_logic_vector(31 downto 0);
+ SDARM_CLK : out std_logic;
+ CKE : out std_logic;
+ CE : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ BA : out std_logic_vector(1 downto 0);
+ ADDR : out std_logic_vector(12 downto 0);
+ DATA : inout std_logic_vector(31 downto 0);
+ DQMH : out std_logic;
+ DQML : out std_logic);
+ end component;
+
+ component sdram_fpga_interface
+ generic (
+ USE_EXTERNAL_SDRAM : natural);
+ port (
+ CLK : in std_logic;
+ READOUT_CLK : in std_logic;
+ RESET : in std_logic;
+ RD_EN : in std_logic;
+ WR_EN : in std_logic;
+ WR_READY : out std_logic;
+ RD_READY : out std_logic;
+ DATA_IN : in std_logic_vector(31 downto 0);
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ SDRAM_BUSY : out std_logic;
+ INTERNAL_STROBE : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ INTERNAL_VALID : out std_logic;
+ SDRAM_DEBUG_00 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_01 : out std_logic_vector(31 downto 0);
+ SDRAM_DEBUG_02 : out std_logic_vector(31 downto 0);
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+ DQMH : out std_logic;
+ DQML : out std_logic
+ );
+ end component;
+
+ component dsp_interface
+ port (
+ -- signal to/from dsp
+ HBR_OUT : out std_logic; -- Host Bus Request to DSP
+ HBG_IN : in std_logic; -- Host Bus Grant from DSP
+ RD_OUT : out std_logic; -- read/write enable of DSP
+ DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+ DSP_DATA_IN : in std_logic_vector(31 downto 0);
+ ADDRESS_DSP : out std_logic_vector(31 downto 0);
+ WRL : out std_logic; --when dsp slave is output
+ WRH : out std_logic; --when dsp slave is output
+ BM_IN : in std_logic; --Bus Master. For debug
+ DSP_RESET : out std_logic;
+ BRST : inout std_logic;
+ ACK : in std_logic;
+ --internal data and address bus
+ CLK : in std_logic;
+ RESET : in std_logic;
+ R_W_ENABLE : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); --63 downto 0
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ ACKNOWLEDGE : in std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component dtu_interface
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_TRIGGER_BUS : in std_logic;
+ LVL1_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL1_ERROR_BUS : out std_logic;
+ LVL1_BUSY_BUS : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_TRIGGER_BUS : in std_logic;
+ LVL2_DATA_BUS : in std_logic_vector(3 downto 0);
+ LVL2_ERROR_BUS : out std_logic;
+ LVL2_BUSY_BUS : out std_logic;
+ LVL2_TRIGGER : out std_logic;
+ LVL2_CODE : out std_logic_vector(3 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_TRB_ACK : in std_logic;
+ DTU_DEBUG_00 : out std_logic_vector(31 downto 0));
+ end component;
+
+ component sdram_interface
+ port (
+--connection with sdram
+ CLK_SDRAM : out std_logic;
+ CKE : out std_logic;
+ CS : out std_logic;
+ RAS : out std_logic;
+ CAS : out std_logic;
+ WE : out std_logic;
+ DQM : out std_logic_vector(3 downto 0);
+ BA : out std_logic_vector (1 downto 0);
+ A : out std_logic_vector(12 downto 0);
+ DQ : inout std_logic_vector(31 downto 0);
+--internal signals
+ CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER : in std_logic;
+ INTERNAL_DATA_IN : in std_logic_vector(31 downto 0);
+ INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0);
+ INTERNAL_ADDRESS : in std_logic_vector(31 downto 0);
+ INTERNAL_MODE : in std_logic_vector(15 downto 0);
+ VALID_DATA_SENT : out std_logic;
+ DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component ctu
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ LVL1_TRIGG : in std_logic_vector(7 downto 0);
+ LVL1_START : out std_logic;
+ LVL1_TAG : out std_logic_vector(7 downto 0);
+ LVL1_CODE : out std_logic_vector(3 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_TRIGG : in std_logic_vector(1 downto 0);
+ LVL2_START : out std_logic_vector(1 downto 0);
+ LVL2_TAG : out std_logic_vector(7 downto 0);
+ LVL2_BUSY : in std_logic;
+ LVL2_DOWNSCALING : in std_logic_vector(7 downto 0);
+ CTU_CONTROL : in std_logic_vector(31 downto 0);
+ LVL1_CTU_STATUS : out std_logic_vector(31 downto 0);
+ LVL2_CTU_STATUS : out std_logic_vector(31 downto 0));
+ end component;
+
+ component optical_dtu
+ port (
+ CLK : in std_logic;
+ RX_CLK : in std_logic;
+ TX_CLK : in std_logic;
+ RESET : in std_logic;
+ OPT_DATA_IN : in std_logic_vector(15 downto 0);
+ OPT_DATA_OUT : out std_logic_vector;
+ OPT_DATA_VALID_IN : in std_logic;
+ OPT_DATA_ERR : in std_logic;
+ OPT_DATA_SEND : out std_logic;
+ LVL1_TRIGGER : out std_logic;
+ LVL1_TRIGGER_CODE : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_TAG : out std_logic_vector(7 downto 0);
+ LVL2_TRIGGER : out std_logic;
+ LVL2_TRIGGER_CODE : out std_logic;
+ LVL2_TRIGGER_TAG : out std_logic_vector(7 downto 0);
+ LVL1_BUSY : in std_logic;
+ LVL2_BUSY : in std_logic;
+ OPT_DTU_DEBUG_REGISTER_00 : out std_logic_vector(31 downto 0);
+ OPT_DTU_DEBUG_REGISTER_01 : out std_logic_vector(31 downto 0));
+ end component;
+
+ component optical_ctu
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ RX_CLK : in std_logic;
+ TX_CLK : in std_logic;
+ OPT_DATA_IN : in std_logic_vector(15 downto 0);
+ OPT_DATA_OUT : out std_logic_vector;
+ OPT_DATA_VALID_IN : in std_logic;
+ OPT_DATA_ERR : in std_logic;
+ OPT_DATA_SEND : out std_logic;
+ LVL1_TRIGGER : in std_logic;
+ LVL1_CODE : in std_logic_vector(3 downto 0);
+ LVL1_TAG : in std_logic_vector(7 downto 0);
+ LVL1_BUSY : out std_logic;
+ LVL2_TRIGGER : in std_logic;
+ LVL2_CODE : in std_logic;
+ LVL2_TAG : in std_logic_vector(7 downto 0);
+ LVL2_BUSY : out std_logic;
+ OPT_CTU_DEBUG_00 : out std_logic_vector(31 downto 0);
+ OPT_CTU_DEBUG_01 : out std_logic_vector(31 downto 0)
+ );
+ end component;
+
+ component simpleupcounter_32bit
+ port (
+ QOUT : out std_logic_vector(31 downto 0);
+ UP : in std_logic;
+ CLK : in std_logic;
+ CLR : in std_logic);
+ end component;
+
+ component up_down_counter
+ generic (
+ NUMBER_OF_BITS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+ UP_IN : in std_logic;
+ DOWN_IN : in std_logic);
+ end component;
+
+ component DCM_100MHz_to_200MHz
+ port (
+ CLKIN_N_IN : in std_logic;
+ CLKIN_P_IN : in std_logic;
+ RST_IN : in std_logic;
+ CLKDV_OUT : out std_logic;
+ CLKIN_IBUFGDS_OUT : out std_logic;
+ CLK0_OUT : out std_logic;
+ CLK2X_OUT : out std_logic;
+ LOCKED_OUT : out std_logic);
+ end component;
+
+ component media_interface
+ generic (
+ HOW_MANY_CHANNELS : positive;
+ SYSTEM : positive
+ );
+ port (
+ RESET : in std_logic;
+ SYSTEM_CLK : in std_logic;
+ TX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)-1 downto 0);
+ RX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+ RXD : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+ RX_K : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ RX_RST : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+ CV : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ TXD : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+ TX_K : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ MEDIA_STATUS : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MEDIA_CONTROL : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_DATAREADY_IN : in std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_DATA_IN : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_READ_OUT : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_DATA_OUT : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_DATAREADY_OUT : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_READ_IN : in std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ MED_STAT_OP : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ MED_CTRL_OP : in std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+ LINK_DEBUG : out std_logic_vector(HOW_MANY_CHANNELS*32-1 downto 0);
+ TX_DIS : out std_logic_vector(HOW_MANY_CHANNELS*16 downto 1);
+ SFP_INP_N : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_INP_P : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_OUT_N : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+ SFP_OUT_P : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0)
+ );
+ end component;
+-------------------------------------------------------------------------------
+-- components for trbnet - cts
+-------------------------------------------------------------------------------
+ component trb_net16_endpoint_hades_cts
+ generic (
+ USE_CHANNEL : channel_config_t;
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ INIT_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_RECEIVE_DATA : channel_config_t;
+ USE_CHECKSUM : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0);
+ REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USE_DAT_PORT : integer range 0 to 1;
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_VAR_ENDPOINT_ID : integer;
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ CLOCK_FREQUENCY : integer range 1 to 200);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ TRG_SEND_IN : in std_logic;
+ TRG_TYPE_IN : in std_logic_vector (3 downto 0);
+ TRG_NUMBER_IN : in std_logic_vector (15 downto 0);
+ TRG_INFORMATION_IN : in std_logic_vector (23 downto 0);
+ TRG_RND_CODE_IN : in std_logic_vector (7 downto 0);
+ TRG_STATUS_BITS_OUT : out std_logic_vector (31 downto 0);
+ TRG_BUSY_OUT : out std_logic;
+ IPU_SEND_IN : in std_logic;
+ IPU_TYPE_IN : in std_logic_vector (3 downto 0);
+ IPU_NUMBER_IN : in std_logic_vector (15 downto 0);
+ IPU_INFORMATION_IN : in std_logic_vector (7 downto 0);
+ IPU_RND_CODE_IN : in std_logic_vector (7 downto 0);
+ IPU_DATA_OUT : out std_logic_vector (31 downto 0);
+ IPU_DATAREADY_OUT : out std_logic;
+ IPU_READ_IN : in std_logic;
+ IPU_STATUS_BITS_OUT : out std_logic_vector (31 downto 0);
+ IPU_BUSY_OUT : out std_logic;
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_REGISTERS_IN : in std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ REGIO_REGISTERS_OUT : out std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ COMMON_STAT_REG_STROBE : out std_logic_vector(std_COMSTATREG-1 downto 0);
+ COMMON_CTRL_REG_STROBE : out std_logic_vector(std_COMCTRLREG-1 downto 0);
+ STAT_REG_STROBE : out std_logic_vector(2**(REGIO_NUM_STAT_REGS)-1 downto 0);
+ CTRL_REG_STROBE : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ REGIO_READ_ENABLE_OUT : out std_logic;
+ REGIO_WRITE_ENABLE_OUT : out std_logic;
+ REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ REGIO_DATAREADY_IN : in std_logic := '0';
+ REGIO_NO_MORE_DATA_IN : in std_logic := '0';
+ REGIO_WRITE_ACK_IN : in std_logic := '0';
+ REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
+ REGIO_TIMEOUT_OUT : out std_logic;
+ REGIO_ONEWIRE_INOUT : inout std_logic;
+ REGIO_ONEWIRE_MONITOR_OUT : out std_logic;
+ REGIO_ONEWIRE_MONITOR_IN : in std_logic;
+ TRIGGER_MONITOR_IN : in std_logic;
+ GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0);
+ LOCAL_TIME_OUT : out std_logic_vector(7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector(31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector(31 downto 0));
+ end component;
+
+-- -----------------------------------------------------------------------------
+-- -- components for trbnet - hades end point
+-- -----------------------------------------------------------------------------
+
+ component trb_net16_endpoint_hades_full
+ generic (
+ USE_CHANNEL : channel_config_t;
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ IBUF_SECURE_MODE : channel_config_t;
+ API_SECURE_MODE_TO_APL : channel_config_t;
+ API_SECURE_MODE_TO_INT : channel_config_t;
+ OBUF_DATA_COUNT_WIDTH : integer range 0 to 7;
+ INIT_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_SEND_DATA : channel_config_t;
+ REPLY_CAN_RECEIVE_DATA : channel_config_t;
+ USE_CHECKSUM : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0);
+ REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0);
+ REGIO_USE_DAT_PORT : integer range 0 to 1;
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ CLOCK_FREQUENCY : integer range 1 to 200);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic;
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_TYPE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRG_RECEIVED_OUT : out std_logic;
+ LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
+ LVL1_ERROR_PATTERN_IN : in std_logic_vector(31 downto 0) := x"00000000";
+ LVL1_TRG_RELEASE_IN : in std_logic := '0';
+ IPU_NUMBER_OUT : out std_logic_vector (15 downto 0);
+ IPU_INFORMATION_OUT : out std_logic_vector (7 downto 0);
+ IPU_START_READOUT_OUT : out std_logic;
+ IPU_DATA_IN : in std_logic_vector (31 downto 0);
+ IPU_DATAREADY_IN : in std_logic;
+ IPU_READOUT_FINISHED_IN : in std_logic;
+ IPU_READ_OUT : out std_logic;
+ IPU_LENGTH_IN : in std_logic_vector (15 downto 0);
+ IPU_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0);
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_REGISTERS_IN : in std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ REGIO_REGISTERS_OUT : out std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ REGIO_READ_ENABLE_OUT : out std_logic;
+ REGIO_WRITE_ENABLE_OUT : out std_logic;
+ REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ REGIO_DATAREADY_IN : in std_logic := '0';
+ REGIO_NO_MORE_DATA_IN : in std_logic := '0';
+ REGIO_WRITE_ACK_IN : in std_logic := '0';
+ REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
+ REGIO_TIMEOUT_OUT : out std_logic;
+ REGIO_IDRAM_DATA_IN : in std_logic_vector(15 downto 0) := (others => '0');
+ REGIO_IDRAM_DATA_OUT : out std_logic_vector(15 downto 0);
+ REGIO_IDRAM_ADDR_IN : in std_logic_vector(2 downto 0) := "000";
+ REGIO_IDRAM_WR_IN : in std_logic := '0';
+ REGIO_ONEWIRE_INOUT : inout std_logic;
+ REGIO_ONEWIRE_MONITOR_IN : in std_logic;
+ REGIO_ONEWIRE_MONITOR_OUT : out std_logic;
+ TRIGGER_MONITOR_IN : in std_logic;
+ GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0);
+ LOCAL_TIME_OUT : out std_logic_vector(7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector (31 downto 0);
+ MED_STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_MPLEX : in std_logic_vector (31 downto 0);
+ IOBUF_CTRL_GEN : in std_logic_vector (4*32-1 downto 0);
+ STAT_ONEWIRE : out std_logic_vector (31 downto 0);
+ STAT_ADDR_DEBUG : out std_logic_vector (15 downto 0));
+ end component;
+
+-- -----------------------------------------------------------------------------
+-- -- components from trbnet - media ineterface
+-- -----------------------------------------------------------------------------
+
+
+ component trb_net16_endpoint_hades_full_handler
+ generic (
+ IBUF_DEPTH : channel_config_t;
+ FIFO_TO_INT_DEPTH : channel_config_t;
+ FIFO_TO_APL_DEPTH : channel_config_t;
+ APL_WRITE_ALL_WORDS : channel_config_t;
+ ADDRESS_MASK : std_logic_vector(15 downto 0);
+ BROADCAST_BITMASK : std_logic_vector(7 downto 0);
+ REGIO_NUM_STAT_REGS : integer range 0 to 6;
+ REGIO_NUM_CTRL_REGS : integer range 0 to 6;
+ REGIO_INIT_CTRL_REGS : std_logic_vector(16*32-1 downto 0);
+ REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0);
+ REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0);
+ REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0);
+ REGIO_COMPILE_TIME : std_logic_vector(31 downto 0);
+ REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0);
+ REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0);
+ REGIO_USE_1WIRE_INTERFACE : integer;
+ REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES;
+ CLOCK_FREQUENCY : integer range 1 to 200;
+ DATA_INTERFACE_NUMBER : integer range 1 to 16;
+ DATA_BUFFER_DEPTH : integer range 9 to 15;
+ DATA_BUFFER_WIDTH : integer range 1 to 32;
+ DATA_BUFFER_FULL_THRESH : integer range 0 to 2**14-2;
+ TRG_RELEASE_AFTER_DATA : integer range 0 to 1;
+ HEADER_BUFFER_DEPTH : integer range 9 to 15;
+ HEADER_BUFFER_FULL_THRESH : integer range 2**8 to 2**14-2);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ CLK_EN : in std_logic := '1';
+ MED_DATAREADY_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_IN : in std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_READ_OUT : out std_logic;
+ MED_STAT_OP_IN : in std_logic_vector(15 downto 0);
+ MED_CTRL_OP_OUT : out std_logic_vector(15 downto 0);
+ TRG_TIMING_TRG_RECEIVED_IN : in std_logic;
+ LVL1_TRG_DATA_VALID_OUT : out std_logic;
+ LVL1_VALID_TIMING_TRG_OUT : out std_logic;
+ LVL1_VALID_NOTIMING_TRG_OUT : out std_logic;
+ LVL1_INVALID_TRG_OUT : out std_logic;
+ LVL1_TRG_TYPE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
+ LVL1_INT_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
+ FEE_TRG_RELEASE_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_TRG_STATUSBITS_IN : in std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
+ FEE_DATA_IN : in std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
+ FEE_DATA_WRITE_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_DATA_FINISHED_IN : in std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ FEE_DATA_ALMOST_FULL_OUT : out std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
+ REGIO_COMMON_STAT_REG_IN : in std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ REGIO_COMMON_CTRL_REG_OUT : out std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+ REGIO_COMMON_STAT_STROBE_OUT : out std_logic_vector(std_COMSTATREG-1 downto 0);
+ REGIO_COMMON_CTRL_STROBE_OUT : out std_logic_vector(std_COMCTRLREG-1 downto 0);
+ REGIO_STAT_REG_IN : in std_logic_vector(2**(REGIO_NUM_STAT_REGS)*32-1 downto 0) := (others => '0');
+ REGIO_CTRL_REG_OUT : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)*32-1 downto 0);
+ REGIO_STAT_STROBE_OUT : out std_logic_vector(2**(REGIO_NUM_STAT_REGS)-1 downto 0);
+ REGIO_CTRL_STROBE_OUT : out std_logic_vector(2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ BUS_ADDR_OUT : out std_logic_vector(16-1 downto 0);
+ BUS_DATA_OUT : out std_logic_vector(32-1 downto 0);
+ BUS_READ_ENABLE_OUT : out std_logic;
+ BUS_WRITE_ENABLE_OUT : out std_logic;
+ BUS_TIMEOUT_OUT : out std_logic;
+ BUS_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0');
+ BUS_DATAREADY_IN : in std_logic := '0';
+ BUS_WRITE_ACK_IN : in std_logic := '0';
+ BUS_NO_MORE_DATA_IN : in std_logic := '0';
+ BUS_UNKNOWN_ADDR_IN : in std_logic := '0';
+ ONEWIRE_INOUT : inout std_logic;
+ ONEWIRE_MONITOR_IN : in std_logic := '0';
+ ONEWIRE_MONITOR_OUT : out std_logic;
+ REGIO_VAR_ENDPOINT_ID : in std_logic_vector (15 downto 0) := (others => '0');
+ TIME_GLOBAL_OUT : out std_logic_vector (31 downto 0);
+ TIME_LOCAL_OUT : out std_logic_vector (7 downto 0);
+ TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0);
+ TIME_TICKS_OUT : out std_logic_vector (1 downto 0);
+ STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_2 : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_DATA_HANDLER_OUT : out std_logic_vector (31 downto 0);
+ STAT_DEBUG_IPU_HANDLER_OUT : out std_logic_vector (31 downto 0);
+ CTRL_MPLEX : in std_logic_vector (31 downto 0) := (others => '0');
+ IOBUF_CTRL_GEN : in std_logic_vector (4*32-1 downto 0) := (others => '0');
+ STAT_ONEWIRE : out std_logic_vector (31 downto 0);
+ STAT_ADDR_DEBUG : out std_logic_vector (15 downto 0));
+ end component;
+
+ component trb_net16_med_tlk
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ TLK_CLK : in std_logic;
+ TLK_ENABLE : out std_logic;
+ TLK_LCKREFN : out std_logic;
+ TLK_LOOPEN : out std_logic;
+ TLK_PRBSEN : out std_logic;
+ TLK_RXD : in std_logic_vector(15 downto 0);
+ TLK_RX_CLK : in std_logic;
+ TLK_RX_DV : in std_logic;
+ TLK_RX_ER : in std_logic;
+ TLK_TXD : out std_logic_vector(15 downto 0);
+ TLK_TX_EN : out std_logic;
+ TLK_TX_ER : out std_logic;
+ SFP_LOS : in std_logic;
+ SFP_TX_DIS : out std_logic;
+ MED_DATAREADY_IN : in std_logic;
+ MED_READ_IN : in std_logic;
+ MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ MED_DATAREADY_OUT : out std_logic;
+ MED_READ_OUT : out std_logic;
+ MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
+ STAT : out std_logic_vector (63 downto 0);
+ STAT_MONITOR : out std_logic_vector (100 downto 0);
+ STAT_OP : out std_logic_vector (15 downto 0);
+ CTRL_OP : in std_logic_vector (15 downto 0));
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- SFP optical power and temperature --component !!!
+ -----------------------------------------------------------------------------
+
+ component edge_to_pulse
+ port (
+ clock : in std_logic;
+ en_clk : in std_logic;
+ signal_in : in std_logic;
+ pulse : out std_logic);
+ end component;
+
+ -----------------------------------------------------------------------------
+ -- trigger logic interface
+ -----------------------------------------------------------------------------
+
+ component trigger_logic
+ generic (
+ TRIGGER_INPUTS_NUMBER : natural;
+ CTS_NUMBER_IPU_DATA : natural);
+ port (
+ CLK : in std_logic;
+ HIGH_FREQ_CLK : in std_logic;
+ RESET : in std_logic;
+ TRIGGER_IN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ SIGNAL_IN : in std_logic_vector(7 downto 0);
+ SIGNAL_OUT : out std_logic_vector(15 downto 0);
+ INPUT_ENABLE : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ DOWNSCALE_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ DELAY_TRIGGER_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ WIDTH_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ TS_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ MDC_TOF_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ DOWNSCALE_REGISTER_CLOCK : in std_logic_vector(3 downto 0);
+ TRIGGER_OUT_EN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ MULTIPLEXER_SELECT : in std_logic_vector(8*3-1 downto 0);
+ TRIGGER_LOGIC_CTRL_IN : in std_logic_vector(31 downto 0);
+ SCALER_OUT : out std_logic_vector(32*32-1 downto 0);
+ BEAM_INHIBIT_IN : in std_logic;
+ NO_TIMING_OUT : out std_logic;
+ LVL1_BUSY_IN : in std_logic;
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_OUT : out std_logic;
+ LVL1_BUSY_OUT : out std_logic;
+ TRIGGER_LOGIC_DEBUG_OUT : out std_logic_vector(31 downto 0);
+ IPU_DATA_IN : in std_logic_vector(31 downto 0);
+ IPU_DATA_VALID_IN : in std_logic;
+ TOKEN_IN : in std_logic;
+ DATA_OUT : out std_logic_vector(31 downto 0);
+ DATA_VALID_OUT : out std_logic;
+ TOKEN_OUT : out std_logic;
+ TRANSMIT_NO_DATA_IN : in std_logic
+ );
+ end component;
+
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+
+-- constant VaaaaaaaaaERSION_NUMBER_TIME : integer := 1245150983; --interface
+ constant HOW_MANY_CHANNELS : integer := 1;
+
+ --clk
+ signal clk_lvds : std_logic;
+ signal addon_clk : std_logic;
+ signal clk : std_logic;
+ signal clk50 : std_logic;
+ signal clk200 : std_logic;
+ signal locked_out : std_logic;
+ attribute period : string;
+ attribute period of clk : signal is "10 ns";
+
+ --reset
+ signal global_reset_counter : std_logic_vector(3 downto 0) := x"0";
+ signal reset_i : std_logic := '0';
+
+ --TDC
+ signal tdc_clk : std_logic;
+ signal tdc_clk_i : std_logic;
+ signal tdc_data_in_i : std_logic_vector(31 downto 0);
+ signal a_data_ready_i : std_logic;
+ signal b_data_ready_i : std_logic;
+ signal c_data_ready_i : std_logic;
+ signal d_data_ready_i : std_logic;
+ signal a_trigg : std_logic;
+ signal b_trigg : std_logic;
+ signal c_trigg : std_logic;
+ signal d_trigg : std_logic;
+ signal reference_signal : std_logic;
+ signal tdc_readout_completed_i : std_logic;
+ signal tdc_data_out_i : std_logic_vector(31 downto 0);
+ signal tdc_data_valid_i : std_logic;
+ signal lvl2_readout_completed_i : std_logic;
+ signal tdc_register_00_i : std_logic_vector(31 downto 0);
+ signal tdc_register_01_i : std_logic_vector(31 downto 0);
+ signal tdc_register_02_i : std_logic_vector(31 downto 0);
+ signal tdc_register_03_i : std_logic_vector(31 downto 0);
+ signal tdc_register_04_i : std_logic_vector(31 downto 0);
+ signal tdc_register_05_i : std_logic_vector(31 downto 0);
+ signal bunch_reset_i : std_logic;
+ signal event_reset_i : std_logic;
+ signal trigger_to_tdc_i : std_logic;
+ signal token_out_i : std_logic;
+ signal token_out_long_a : std_logic;
+ signal token_out_long_b : std_logic;
+ signal fast_ref_trigger : std_logic;
+ signal fast_ref_trigger_synch : std_logic;
+ signal fast_ref_trigger_pulse : std_logic;
+ signal fast_ref_trigger_pulse_synch : std_logic;
+ signal token_in_i : std_logic;
+ signal not_hades_trigger : std_logic;
+ signal trigger_miss_match : std_logic;
+ signal additional_data_i : std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+ signal NUMBER_OFF_ADD_DATA_RANGE : integer := 0;
+ signal self_trigg : std_logic;
+ signal lvl1_finished_i : std_logic;
+ signal lvl2_finished_i : std_logic;
+ signal start_tdc_readout_i : std_logic;
+
+ --common signals for triggers
+ signal lvl1_busy_i : std_logic;
+ signal lvl2_busy_i : std_logic;
+ signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_tag_i : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_i : std_logic;
+ signal lvl2_trigger_synch : std_logic;
+ signal lvl1_trigger_i : std_logic;
+ signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0";
+ signal lvl2_trigger_tag_i : std_logic_vector(15 downto 0) := x"0000";
+ signal lvl2_local_busy_i : std_logic:='0';
+ signal lvl1_local_busy_i : std_logic:='0';
+ signal lvl1_external_busy_i : std_logic;
+ signal lvl2_external_busy_i : std_logic;
+
+ --etrax
+ signal etrax_debug_reg : std_logic_vector(31 downto 0);
+ signal etrax_bus_busy_i : std_logic; --should go to busy logic !?
+ signal etrax_is_ready_to_read_i : std_logic;
+ signal fpga_register_01_i : std_logic_vector(31 downto 0);
+ signal fpga_register_02_i : std_logic_vector(31 downto 0);
+ signal fpga_register_03_i : std_logic_vector(31 downto 0);
+ signal fpga_register_04_i : std_logic_vector(31 downto 0);
+ signal fpga_register_05_i : std_logic_vector(31 downto 0);
+ signal fpga_register_06_i : std_logic_vector(31 downto 0);
+ signal fpga_register_07_i : std_logic_vector(31 downto 0);
+ signal fpga_register_08_i : std_logic_vector(31 downto 0);
+ signal fpga_register_09_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0e_i : std_logic_vector(31 downto 0);
+ signal fpga_register_0f_i : std_logic_vector(31 downto 0);
+-- signal r_register_i : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+-- signal rw_register_i : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ type r_register_array is array(0 to R_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ signal r_register_i : r_register_array;
+ type rw_register_array is array(0 to RW_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ signal rw_register_i : rw_register_array;
+ signal fs_pc_i : std_logic_vector(17 downto 0);
+ signal fs_pb_i : std_logic_vector(16 downto 0);
+ signal fs_pb_17i : std_logic;
+ -- tlk
+ signal tlk_rx_clk_r : std_logic_vector(3 downto 0);
+ signal tlk_clk_r : std_logic_vector(0 downto 0);
+ signal tlk_register_00_i : std_logic_vector(31 downto 0);
+ signal tlk_register_01_i : std_logic_vector(31 downto 0);
+ signal cv_i : std_logic_vector(7 downto 0);
+ signal rx_k_i : std_logic_vector(7 downto 0);
+ signal tx_k_i : std_logic_vector(7 downto 0);
+ signal media_status_i : std_logic_vector(15 downto 0);
+ signal media_control_i : std_logic_vector(15 downto 0);
+ signal link_debug_i : std_logic_vector(31 downto 0);
+ signal sfp_los_vect : std_logic_vector(0 downto 0);
+ signal tlk_rxd_i : std_logic_vector(63 downto 0);
+ signal tlk_txd_i : std_logic_vector(63 downto 0);
+
+ --sfp
+ signal sfp_data_out : std_logic_vector(15 downto 0);
+ signal sfp_external_valid : std_logic;
+
+ --rw external interaface
+ signal external_address_i : std_logic_vector(31 downto 0);
+ signal external_data_in_i : std_logic_vector(31 downto 0);
+ signal external_data_out_i : std_logic_vector(31 downto 0);
+ signal external_ack_i : std_logic;
+ signal external_valid_i : std_logic;
+ signal external_mode_i : std_logic_vector(15 downto 0);
+
+ --dsp
+ signal dsp_strobe_i : std_logic;
+ signal dsp_external_valid_i : std_logic;
+ signal dsp_hbr_i : std_logic;
+ signal dspdat_out_i : std_logic_vector(31 downto 0);
+ signal dspdat_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_out_i : std_logic_vector(31 downto 0);
+ signal dspaddr_i : std_logic_vector(31 downto 0);
+ signal dsp_register_00_i : std_logic_vector(31 downto 0);
+ signal DSP_WRL_i : std_logic;
+ signal DSP_RD_i : std_logic;
+ signal dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+ signal dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+ signal dsp_bm_reg : std_logic;
+ signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_0 : std_logic;
+ signal dsp_link_clk_out_0 : std_logic;
+ signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+ signal dsp_link_clk_in_1 : std_logic;
+ signal dsp_link_clk_out_1 : std_logic;
+
+ --sdram
+ signal sdram_register_00_i : std_logic_vector(31 downto 0);
+ signal sdram_external_valid_i : std_logic:='0';
+ signal sdram_data_out_i : std_logic_vector(31 downto 0);
+ signal vsd_cs_i : std_logic;
+ signal dqmh_i : std_logic;
+ signal dqml_i : std_logic;
+ signal rd_en_i : std_logic;
+ signal wr_en_i : std_logic;
+ signal wr_ready_i : std_logic;
+ signal rd_ready_i : std_logic;
+ signal data_in_i : std_logic_vector(31 downto 0);
+ signal data_out_i : std_logic_vector(31 downto 0);
+ signal sdram_busy_i : std_logic;
+ signal sdram_debug_i : std_logic_vector(31 downto 0);
+ signal readout_sdram_int_clk : std_logic;
+ signal sdram_data_ready_i : std_logic;
+ --scalers
+ type scaler_counter_arr is array(0 to 7) of std_logic_vector(31 downto 0);
+ signal scaler_counter : scaler_counter_arr;
+ signal scaler_pulse : std_logic_vector(7 downto 0);
+
+ --ctu
+ signal lvl1_ctu_status_i : std_logic_vector(31 downto 0);
+ signal lvl2_ctu_status_i : std_logic_vector(31 downto 0);
+
+ --dtu
+ signal dtu_debug_00_i : std_logic_vector(31 downto 0);
+ signal busy_or_error : std_logic;
+ signal optical_tdc_trigger_reg_1 : std_logic;
+ signal optical_tdc_trigger_reg_2 : std_logic;
+ signal optical_tdc_trigger_reg_3 : std_logic;
+ signal optical_tdc_trigger : std_logic;
+
+ --other
+ signal check_pulse : std_logic;
+ signal check_counter : std_logic_vector(16 downto 0);
+ signal lvds_add_on_data : std_logic_vector(31 downto 0);
+ signal ado_lv_out_i : std_logic_vector(4 downto 0);
+
+ signal self_trigg_counter : std_logic_vector(7 downto 0);
+ signal r_register_vector : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+ signal rw_register_vector : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+ type temp_sens_array is array (3 downto 0) of std_logic_vector(11 downto 0);
+ signal temp_sens_i : temp_sens_array;
+ type temp_sens_status_array is array (3 downto 0) of std_logic_vector(31 downto 0);
+ signal temp_sens_status_i : temp_sens_status_array;
+
+ -- media interface
+ signal med_dataready_out_i : std_logic_vector(0 downto 0);
+ signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_packet_num_out_i : std_logic_vector (HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ signal med_read_in_i : std_logic_vector(0 downto 0);
+ signal med_dataready_in_i : std_logic_vector(0 downto 0);
+ signal med_data_in_i : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+ signal med_read_out_i : std_logic_vector(0 downto 0);
+ signal med_stat_op_in_i : std_logic_vector (15 downto 0);
+ signal med_ctrl_op_out_i : std_logic_vector (15 downto 0);
+ signal med_packet_num_in_i : std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+ --trbnet values do not uncomment or remove
+
+-- constant cts_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"53000000";
+-- constant cts_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant cts_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+-- constant tof_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"81000000";
+-- constant tof_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant tof_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ef";
+-- constant rpc_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"83000000";
+-- constant rpc_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant rpc_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"df";
+-- constant fwall_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"81000000";
+-- constant fwall_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant fwall_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ef";
+-- constant start_regio_hardware_version_i : std_logic_vector(31 downto 0) := x"82000000";
+-- constant start_regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+-- constant start_broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+ constant regio_hardware_version_i : std_logic_vector(31 downto 0) := x"53000000";
+ constant regio_compile_version_i : std_logic_vector(15 downto 0) := x"0001";
+ constant broadcast_bitmask_i : std_logic_vector(7 downto 0) := x"ff";
+
+ --trbnet -cts
+ signal not_ipu_dataready_out_i : std_logic;
+ signal ipu_dataready_out_end_pulse : std_logic;
+ signal dummy_lvl1_trigger : std_logic;
+ signal dummy_lvl2_trigger : std_logic;
+ signal clk40_a : std_logic;
+ signal clk40_b : std_logic;
+ signal clk40_c : std_logic;
+ signal not_tdc_clk : std_logic;
+ signal counter_for_clk : std_logic_vector(7 downto 0);
+ signal lvl2_valid_i : std_logic;
+ signal timing_signal : std_logic;
+ signal apv_signal : std_logic;
+ signal no_timing : std_logic;
+ --lvl1
+ signal trg_send_in_i : std_logic;
+ signal trg_type_in_i : std_logic_vector (3 downto 0);
+ signal trg_number_in_i : std_logic_vector (15 downto 0);
+ signal trg_information_in_i : std_logic_vector (23 downto 0);
+ signal trg_status_bits_out_i : std_logic_vector (31 downto 0);
+ signal trg_timing_trg_received_in_i : std_logic;
+ signal data_valid_pulse,lvl1_valid_timing_trg_out_i,lvl1_valid_timing_trg_out_pulse,lvl1_valid_notiming_trg_out_i,lvl1_valid_notiming_trg_out_pulse,lvl1_invalid_trg_out_i,lvl1_invalid_trg_out_pulse : std_logic;
+ signal ref_time_cntr,trigg_data_valid_cntr,valid_timing_trg_cntr,valid_notiming_trg_cntr,invalid_trg_cntr : std_logic_vector(7 downto 0);
+
+
+ --lvl2
+ signal trg_busy_out_i : std_logic := '0';
+ signal ipu_send_in_i : std_logic;
+ signal ipu_type_in_i : std_logic_vector (3 downto 0);
+ signal ipu_number_in_i : std_logic_vector (15 downto 0);
+ signal ipu_information_in_i : std_logic_vector (7 downto 0);
+ signal ipu_data_out_i : std_logic_vector (31 downto 0);
+ signal ipu_dataready_out_i : std_logic;
+ signal ipu_read_in_i : std_logic;
+ signal ipu_status_bits_out_i : std_logic_vector (31 downto 0);
+ signal ipu_busy_out_i : std_logic := '0';
+
+ --reg io
+ signal regio_common_stat_reg_in_i : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
+ signal regio_common_ctrl_reg_out_i : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+-- constant REGIO_NUM_STAT_REGS : integer := R_REGISTERS_NUMBER;
+-- constant REGIO_NUM_CTRL_REGS : integer := RW_REGISTERS_NUMBER;
+ signal regio_registers_in_i : std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+ signal regio_registers_out_i : std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+ signal regio_addr_out_i : std_logic_vector(16-1 downto 0);
+ signal regio_read_enable_out_i : std_logic;
+ signal regio_write_enable_out_i : std_logic;
+ signal regio_data_out_i : std_logic_vector(32-1 downto 0);
+ signal regio_data_in_i : std_logic_vector(32-1 downto 0) := (others => '0');
+ signal regio_dataready_in_i : std_logic := '0';
+ signal regio_no_more_data_in_i : std_logic := '0';
+ signal regio_write_ack_in_i : std_logic := '0';
+ signal regio_unknown_addr_in_i : std_logic := '0';
+ signal regio_timeout_out_i : std_logic;
+ signal regio_onewire_inout_i : std_logic;
+ signal regio_onewire_monitor_out_i : std_logic;
+ signal regio_onewire_monitor_in_i : std_logic;
+
+--trbnet endpoint
+ signal trigger_monitor_in_i : std_logic;
+ signal global_time_out_i : std_logic_vector(31 downto 0);
+ signal local_time_out_i : std_logic_vector(7 downto 0);
+ signal time_since_last_trg_out_i : std_logic_vector(31 downto 0);
+ signal timer_us_tick_out_i : std_logic;
+ signal stat_debug_1_i : std_logic_vector(31 downto 0);
+ signal stat_debug_2_i : std_logic_vector(31 downto 0);
+ signal regio_idram_data_in_i : std_logic_vector(15 downto 0) := (others => '0');
+ signal regio_idram_data_out_i : std_logic_vector(15 downto 0);
+ signal regio_idram_addr_in_i : std_logic_vector(2 downto 0) := "000";
+ signal regio_idram_wr_in_i : std_logic := '0';
+ signal stat_debug_ipu_i : std_logic_vector (31 downto 0);
+ signal ipu_read_out_i : std_logic := '0';
+ signal ipu_dataready_in_i : std_logic;
+ signal ipu_length_in_i : std_logic_vector(15 downto 0);
+ signal lvl2_trigger_i_pulse : std_logic;
+ signal cntr_for_dummy_header : std_logic_vector(1 downto 0) := "00";
+ signal ipu_data_in_i : std_logic_vector(31 downto 0);
+ --vulom
+ signal vulom_event : std_logic_vector(31 downto 0);
+ signal vulom_event_valid : std_logic;
+ signal vulom_readout_start : std_logic;
+ signal vulom_readout_end : std_logic;
+ signal vulom_busy : std_logic;
+ signal vulom_lvl1_trigger_i : std_logic;
+ signal vulom_lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+ signal vulom_lvl1_trigger_tag_i : std_logic_vector(15 downto 0);
+ signal ext_lvl1_trigger_i : std_logic := '0';
+ signal ext_tag : std_logic_vector(7 downto 0) := x"00";
+ signal ext_lvl1_trigger_pulse : std_logic;
+ signal lvl1_vulom_trigger : std_logic;
+ signal trbnet_data_finished_out_i : std_logic_vector(0 downto 0);
+ signal trbnet_data_write_out_i : std_logic_vector(0 downto 0);
+ signal trbnet_data_out_i : std_logic_vector(31 downto 0);
+ --opt ctu
+ signal opt_lvl1_busy_i : std_logic;
+ signal opt_lvl2_busy_i : std_logic;
+ signal not_lvl1_busy_opt : std_logic;
+ signal opt_ctu_debug_i : std_logic_vector(31 downto 0);
+ signal opt_busy_lvl1_or_lvl2 : std_logic;
+ signal lvl2_opt_busy_pulse : std_logic;
+ signal not_lvl2_opt_busy : std_logic;
+
+ --spi
+ --a
+ signal spi_sck_a : std_logic;
+ signal spi_cs_a : std_logic;
+ signal spi_sdi_a : std_logic;
+ signal spi_sdo_a : std_logic;
+ --b
+ signal spi_sck_b : std_logic;
+ signal spi_cs_b : std_logic;
+ signal spi_sdi_b : std_logic;
+ signal spi_sdo_b : std_logic;
+ --c
+ signal spi_sck_c : std_logic;
+ signal spi_cs_c : std_logic;
+ signal spi_sdi_c : std_logic;
+ signal spi_sdo_c : std_logic;
+ --d
+ signal spi_sck_d : std_logic;
+ signal spi_cs_d : std_logic;
+ signal spi_sdi_d : std_logic;
+ signal spi_sdo_d : std_logic;
+
+ --test signals
+ signal test_a1 : std_logic;
+ signal test_a2 : std_logic;
+ signal test_b1 : std_logic;
+ signal test_b2 : std_logic;
+ signal test_c1 : std_logic;
+ signal test_c2 : std_logic;
+ signal test_d1 : std_logic;
+ signal test_d2 : std_logic;
+ signal test_counter_1 : std_logic_vector(31 downto 0);
+ signal test_counter_2 : std_logic_vector(31 downto 0);
+ signal trigger_for_test_signal : std_logic;
+ signal ref_time_counter : std_logic_vector(7 downto 0);
+
+
+ signal lvl1_cts_busy_out_i : std_logic;
+ signal lvl2_cts_busy_out_i : std_logic;
+ signal lvl1_rnd_number_out_i : std_logic_vector(7 downto 0);
+ signal lvl2_rnd_number_out_i : std_logic_vector(7 downto 0);
+ signal lvl1_in_chain_busy : std_logic;
+ signal lvl2_in_chain_busy : std_logic;
+ signal lvl1_in_chain_busy_end : std_logic;
+ signal lvl2_in_chain_busy_end : std_logic;
+ signal lvl1_all_busy_or : std_logic;
+ signal lvl2_all_busy_or : std_logic;
+
+ --lvl1 trigger logic
+ signal trigger_rw_valid_out_i : std_logic;
+ signal trigger_rw_data_out_i : std_logic_vector(31 downto 0);
+
+ signal save_lvl2_finished : std_logic;
+
+ --trb tester
+ signal trb_tester_busy : std_logic;
+
+ --event builder id
+ signal counter_for_event_builder_id : std_logic_vector(31 downto 0);
+ signal event_builder_id_switch : std_logic_vector(3 downto 0);
+ signal event_builder_id : std_logic_vector(3 downto 0);
+
+ --trigger logic
+ signal trigger_in_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal signal_in_i : std_logic_vector(7 downto 0);
+ signal signal_out_i : std_logic_vector(15 downto 0);
+ signal input_enable_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal downscale_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal delay_trigger_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal width_register_i : std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ signal ts_gating_disable_i : std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+-- signal mdc_tof_gating_disable_i : std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+-- signal downscale_register_clock_i : std_logic_vector(3 downto 0);
+ signal trigger_out_en_i : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ signal multiplexer_select_i : std_logic_vector(8*3-1 downto 0);
+ signal dtu_code_select_i : std_logic_vector(4 downto 0);
+ signal beam_inhibit_in_i : std_logic;
+ signal lvl1_busy_in_i : std_logic;
+ signal lvl1_trigger_tag_out_i : std_logic_vector(15 downto 0);
+ signal lvl1_trigger_code_out_i : std_logic_vector(3 downto 0);
+ signal lvl1_trigger_out_i : std_logic;
+ signal trigger_logic_debug_out_i : std_logic_vector(31 downto 0);
+ signal triggbox_token_in : std_logic;
+ signal triggbox_data_out : std_logic_vector(31 downto 0);
+ signal triggbox_data_valid_out : std_logic;
+ signal triggbox_token_out : std_logic;
+ signal trigbox_busy_out : std_logic;
+ signal scaler_out_i : std_logic_vector(32*32 -1 downto 0);
+ signal fee_trg_release_in_i : std_logic_vector(0 downto 0);
+begin
+
+
+
+
+ ----------------------------------------------------------------------------
+ -- Global reset
+ ----------------------------------------------------------------------------
+ MAKE_START_RESET : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ if global_reset_counter < x"e" then
+ global_reset_counter <= global_reset_counter + 1;
+ reset_i <= '1';
+ elsif med_stat_op_in_i(13) = '1' and TRBNET_ENABLE > 0 then
+ global_reset_counter <= x"0";
+ reset_i <= '0';
+ else
+ global_reset_counter <= global_reset_counter;
+ reset_i <= '0';
+ end if;
+ end if;
+ end process MAKE_START_RESET;
+
+ -- add reseet from etrax and from trbnet( . . .)
+
+ ----------------------------------------------------------------------------
+ -- LVDS signals
+ ----------------------------------------------------------------------------
+
+ -- CLK ----------------------------------------------------------------------
+ IBUFGDS_CLK : IBUFDS
+ generic map (
+ DIFF_TERM => true)
+ port map (
+ O => CLK,
+ I => VIRT_CLK,
+ IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+-- here !!!!
+-- DCM_INST: DCM_100MHz_to_200MHz
+-- -- generic map (
+-- -- IOSTANDARD => "LVDS_25_DCI")
+-- port map (
+-- CLKIN_N_IN => VIRT_CLKB,
+-- CLKIN_P_IN => VIRT_CLK,
+-- RST_IN => '0',
+-- CLKDV_OUT => clk50,
+-- CLKIN_IBUFGDS_OUT => open,
+-- CLK0_OUT => clk,
+-- CLK2X_OUT => clk200,
+-- LOCKED_OUT => locked_out);
+
+ -- AddOn clk ----------------------------------------------------------------
+ IBUFGDS_ADDCLK : IBUFDS
+ generic map (
+ DIFF_TERM => true)
+ port map (
+ O => addon_clk, --CLK,
+ I => ADDON_TO_TRB_CLKINP,
+ IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port)
+ );
+
+ -- TDC ----------------------------------------------------------------------
+ IBUFGDS_TDC_CLK : IBUFGDS
+ generic map (
+ DIFF_TERM => true) --
+ port map (
+ O => tdc_clk,
+ I => REF_TDC_CLK,
+ IB => REF_TDC_CLKB -- Diff_n clock buffer input (connect to top-level port)
+ );
+ IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg);
+ IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg);
+ IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg);
+ IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg);
+ OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_BU_RESET, OB => A_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_EV_RESET, OB => A_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_BU_RESET, OB => B_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_EV_RESET, OB => B_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_BU_RESET, OB => C_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_EV_RESET, OB => C_TDC_EV_RESETB, I => event_reset_i);
+ OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_BU_RESET, OB => D_TDC_BU_RESETB, I => bunch_reset_i);
+ OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_EV_RESET, OB => D_TDC_EV_RESETB, I => event_reset_i);
+
+ --fast trigg-----------------------------------------------------------------
+ IBUFDS_FAST_TRIGGER : IBUFDS generic map (DIFF_TERM => true) port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger);
+
+ -- SPI ----------------------------------------------------------------------
+
+ --A
+ OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SCK, OB => A_SCKB, I => spi_sck_a);
+ OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SDO, OB => A_SDOB, I => spi_sdo_a);
+ OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_CS, OB => A_CSB, I => spi_cs_a);
+ IBUFDS_SDI_A : IBUFDS generic map (DIFF_TERM => true) port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a);
+ spi_sck_a <= fpga_register_07_i(0);
+ spi_sdo_a <= fpga_register_07_i(1);
+ spi_cs_a <= fpga_register_07_i(2);
+ fpga_register_08_i(0) <= spi_sdi_a;
+
+ --B
+ OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SCK, OB => B_SCKB, I => spi_sck_b);
+ OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SDO, OB => B_SDOB, I => spi_sdo_b);
+ OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_CS, OB => B_CSB, I => spi_cs_b);
+ IBUFDS_SDI_B : IBUFDS generic map (DIFF_TERM => true) port map (I => B_SDI, IB => B_SDIB, O => spi_sdi_b);
+ spi_sck_b <= fpga_register_07_i(3);
+ spi_sdo_b <= fpga_register_07_i(4);
+ spi_cs_b <= fpga_register_07_i(5);
+ fpga_register_08_i(1) <= spi_sdi_b;
+
+ --C
+ OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SCK, OB => C_SCKB, I => spi_sck_c);
+ OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SDO, OB => C_SDOB, I => spi_sdo_c);
+ OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_CS, OB => C_CSB, I => spi_cs_c);
+ IBUFDS_SDI_C : IBUFDS generic map (DIFF_TERM => true) port map (I => C_SDI, IB => C_SDIB, O => spi_sdi_c);
+ spi_sck_c <= fpga_register_07_i(6);
+ spi_sdo_c <= fpga_register_07_i(7);
+ spi_cs_c <= fpga_register_07_i(8);
+ fpga_register_08_i(2) <= spi_sdi_c;
+
+ --D
+ OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SCK, OB => D_SCKB, I => spi_sck_d);
+ OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SDO, OB => D_SDOB, I => spi_sdo_d);
+ OBUFDS_CS_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_CS, OB => D_CSB, I => spi_cs_d);
+ IBUFDS_SDI_D : IBUFDS generic map (DIFF_TERM => true) port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d);
+ spi_sck_d <= fpga_register_07_i(9);
+ spi_sdo_d <= fpga_register_07_i(10);
+ spi_cs_d <= fpga_register_07_i(11);
+ fpga_register_08_i(3) <= spi_sdi_d;
+
+
+ -----------------------------------------------------------------------------
+ -- Test signals
+ -----------------------------------------------------------------------------
+ OBUFDS_TEST_A1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST1, OB => A_TEST1B, I => test_a1);
+ OBUFDS_TEST_A2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST2, OB => A_TEST2B, I => test_a2);
+ OBUFDS_TEST_B1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST1, OB => B_TEST1B, I => test_b1);
+ OBUFDS_TEST_B2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST2, OB => B_TEST2B, I => test_b2);
+ OBUFDS_TEST_C1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST1, OB => C_TEST1B, I => test_c1);
+ OBUFDS_TEST_C2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST2, OB => C_TEST2B, I => test_c2);
+ OBUFDS_TEST_D1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST1, OB => D_TEST1B, I => test_d1);
+ OBUFDS_TEST_D2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST2, OB => D_TEST2B, I => test_d2);
+ --i should be able to switch on off 1 or 2
+ COUNTER_FOR_TEST_1 : process (CLK, reset_i, test_counter_1)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_1 > x"F4240"then --0.1kHz
+-- if reset_i = '1' or test_counter_1 = x"00140"then --for sim
+ test_counter_1 <= (others => '0');
+ else
+ test_counter_1 <= test_counter_1 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_1;
+ COUNTER_FOR_TEST_2 : process (CLK, reset_i, test_counter_2)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or test_counter_2 = x"F4240" then --0.1kHz
+ test_counter_2 <= (others => '0');
+ else
+ test_counter_2 <= test_counter_2 + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_TEST_2;
+ --lenght of signal depend on this condition: test_counter_1 < x". ."
+ --frequency in process:. . or test_counter_x > x". ."
+ test_a1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(0) = '1') else '1';
+ test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2) = '1') else '1';
+ test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4) = '1') else '1';
+ test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6) = '1') else '1';
+ test_a2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(1) = '1') else '1';
+ test_b2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(3) = '1') else '1';
+ test_c2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(5) = '1') else '1';
+ test_d2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(7) = '1') else '1';
+
+ trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1) = '1' or fpga_register_06_i(2) = '1')) else '0';
+-------------------------------------------------------------------------------
+-- rpc temperature
+-------------------------------------------------------------------------------
+ GENERAT_ONE_WIRE_CONNECTION : for i in 0 to 3 generate
+
+ onewire_interface : trb_net_onewire
+ generic map(
+ USE_TEMPERATURE_READOUT => 1,
+ CLK_PERIOD => 10
+ )
+ port map(
+ CLK => CLK,
+ RESET => reset_i,
+ --connection to 1-wire interface
+ ONEWIRE => TEMP_SENS(i),
+ --connection to id ram, according to memory map in TrbNetRegIO
+ DATA_OUT => open,
+ ADDR_OUT => open,
+ WRITE_OUT => open,
+ TEMP_OUT => temp_sens_i(i),
+ STAT => temp_sens_status_i(i)
+ );
+ end generate GENERAT_ONE_WIRE_CONNECTION;
+
+ r_register_i(15)(11 downto 0) <= temp_sens_i(0);
+ r_register_i(15)(23 downto 12) <= temp_sens_i(1);
+ r_register_i(16)(11 downto 0) <= temp_sens_i(2);
+ r_register_i(16)(23 downto 12) <= temp_sens_i(3);
+
+-- ADD_LVDS IN------------------------------------------------------------------
+
+ ADO_LVDS_IN_PROC : for line in 0 to 25 generate --62 lines in total
+ IBUFDS_LVDS : IBUFDS
+ generic map (
+ DIFF_TERM => true
+ )
+ port map (
+ I => ADO_LVDS_IN(line*2),
+ IB => ADO_LVDS_IN(line*2+1),
+ O => lvds_add_on_data(line)
+ );
+ end generate ADO_LVDS_IN_PROC;
+
+-- ADD_LVDS OUT------------------------------------------------------------------
+
+ ADO_LVDS_OUT_PROC : for line in 0 to 4 generate
+ OBUFDS_LVDS_LINE : OBUFDS
+ generic map (
+ IOSTANDARD => "LVDS_25"
+ )
+ port map (
+ O => ADO_LVDS_OUT(line*2),
+ OB => ADO_LVDS_OUT(line*2+1),
+ I => ado_lv_out_i(line)
+ );
+ end generate ADO_LVDS_OUT_PROC;
+
+
+-------------------------------------------------------------------------------
+-- tiger sharc dma
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- TRBnet - cts
+-------------------------------------------------------------------------------
+
+ TRBnet_CTS : if TRBNET_ENABLE = 2 generate
+
+
+ THE_TRBNET_CTS : trb_net16_endpoint_hades_cts
+ generic map (
+ USE_CHANNEL => (c_YES, c_YES, c_NO, c_YES),
+ IBUF_DEPTH => (6, 6, 6, 6),
+ FIFO_TO_INT_DEPTH => (6, 6, 6, 6),
+ FIFO_TO_APL_DEPTH => (6, 6, 6, 6),
+ INIT_CAN_SEND_DATA => (c_YES, c_YES, c_NO, c_NO),
+ REPLY_CAN_SEND_DATA => (c_NO, c_NO, c_NO, c_YES),
+ REPLY_CAN_RECEIVE_DATA => (c_YES, c_YES, c_NO, c_NO),
+ USE_CHECKSUM => (c_NO, c_YES, c_YES, c_YES),
+ APL_WRITE_ALL_WORDS => (c_NO, c_NO, c_NO, c_NO),
+ BROADCAST_BITMASK => broadcast_bitmask_i,
+ REGIO_NUM_STAT_REGS => 2, --log2 of number of status registers
+ REGIO_NUM_CTRL_REGS => 4, --log2 of number of ctrl registers
+ --standard values for out
+ REGIO_INIT_CTRL_REGS => (others => '0'),
+ --set to 0 for unused ctr
+ REGIO_USED_CTRL_REGS => "0000000000000001",
+ --set to 0 for each unuse
+ REGIO_USED_CTRL_BITMASK => (others => '1'),
+ REGIO_USE_DAT_PORT => c_YES, --internal data port
+ REGIO_INIT_ADDRESS => x"FFFF",
+ REGIO_INIT_UNIQUE_ID => x"0000_0000_0000_0001",
+ REGIO_INIT_BOARD_INFO => x"0000_0000",
+ REGIO_INIT_ENDPOINT_ID => x"0001",
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ REGIO_COMPILE_VERSION => regio_compile_version_i,
+ REGIO_HARDWARE_VERSION => regio_hardware_version_i,
+ REGIO_USE_1WIRE_INTERFACE => c_YES, --c_YES,c_NO,c_MONITOR
+ REGIO_USE_VAR_ENDPOINT_ID => c_NO,
+ CLOCK_FREQUENCY => 100
+ )
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i(0),
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => '1', --med_read_out_i(0),
+ MED_DATAREADY_IN => med_dataready_out_i(0),
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i(0),
+ MED_STAT_OP_IN => med_stat_op_in_i,
+ MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+ TRG_SEND_IN => lvl1_trigger_i,
+ TRG_TYPE_IN => lvl1_trigger_code_i,
+ TRG_NUMBER_IN => lvl1_trigger_tag_i,
+ TRG_INFORMATION_IN => trg_information_in_i,--rw_register_i(8)(23 downto 0),--(others => '0'),
+ TRG_RND_CODE_IN => lvl1_rnd_number_out_i,
+ TRG_STATUS_BITS_OUT => trg_status_bits_out_i,
+ TRG_BUSY_OUT => trg_busy_out_i,
+ IPU_SEND_IN => lvl2_trigger_i,
+ IPU_TYPE_IN => lvl2_trigger_code_i,
+ IPU_NUMBER_IN => lvl2_trigger_tag_i,
+ IPU_INFORMATION_IN => x"00",
+ IPU_RND_CODE_IN => lvl2_rnd_number_out_i,
+ IPU_DATA_OUT => ipu_data_out_i,
+ IPU_DATAREADY_OUT => ipu_dataready_out_i,
+ IPU_READ_IN => '1', --ipu_read_in_i,
+ IPU_STATUS_BITS_OUT => ipu_status_bits_out_i,
+ IPU_BUSY_OUT => ipu_busy_out_i,
+ REGIO_COMMON_STAT_REG_IN => regio_common_stat_reg_in_i,--(others => '0'), --regio_common_stat_reg_in_i,
+ REGIO_COMMON_CTRL_REG_OUT => open, --regio_common_ctrl_reg_out_i,
+ REGIO_REGISTERS_IN => regio_registers_in_i,
+ REGIO_REGISTERS_OUT => open,--regio_registers_out_i,
+ COMMON_STAT_REG_STROBE => open,
+ COMMON_CTRL_REG_STROBE => open,
+ STAT_REG_STROBE => open,
+ CTRL_REG_STROBE => open,
+ REGIO_ADDR_OUT => regio_addr_out_i,
+ REGIO_READ_ENABLE_OUT => regio_read_enable_out_i,
+ REGIO_WRITE_ENABLE_OUT => regio_write_enable_out_i,
+ REGIO_DATA_OUT => regio_data_out_i,
+ REGIO_DATA_IN => regio_data_in_i,
+ REGIO_DATAREADY_IN => regio_dataready_in_i,
+ REGIO_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+ REGIO_WRITE_ACK_IN => regio_write_ack_in_i,
+ REGIO_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+ REGIO_TIMEOUT_OUT => regio_timeout_out_i,
+ REGIO_ONEWIRE_INOUT => TEMP_SENS(4),--regio_onewire_inout_i,
+ REGIO_ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+ REGIO_ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+ TRIGGER_MONITOR_IN => trigger_monitor_in_i,
+ GLOBAL_TIME_OUT => global_time_out_i,
+ LOCAL_TIME_OUT => local_time_out_i,
+ TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+ TIMER_TICKS_OUT => open,
+ STAT_DEBUG_1 => stat_debug_1_i,
+ STAT_DEBUG_2 => stat_debug_2_i);
+
+ lvl2_local_busy_i <= lvl1_busy_i or lvl2_busy_i;
+
+ UPDATE_EB_CNTR : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or (counter_for_event_builder_id = rw_register_i(9) and lvl1_finished_i = '1') then
+ counter_for_event_builder_id <= (others => '0');
+ elsif lvl1_finished_i = '1' then
+ counter_for_event_builder_id <= counter_for_event_builder_id + 1;
+ else
+ counter_for_event_builder_id <= counter_for_event_builder_id;
+ end if;
+ end if;
+ end process UPDATE_EB_CNTR;
+
+ UPDATE_EB_ID : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ event_builder_id_switch <= (others => '0');
+ elsif counter_for_event_builder_id = 0 and rw_register_i(9) /= x"00000000" and lvl1_finished_i = '1' then
+ event_builder_id_switch <= event_builder_id_switch + 1;
+ else
+ event_builder_id_switch <= event_builder_id_switch;
+ end if;
+ end if;
+ end process UPDATE_EB_ID;
+
+ SWITCH_EB_ID : process (CLK)
+ begin
+ if rising_edge(CLK) then
+ case event_builder_id_switch is
+ when x"0" => event_builder_id <= rw_register_i(10)(3 downto 0);
+ when x"1" => event_builder_id <= rw_register_i(10)(7 downto 4);
+ when x"2" => event_builder_id <= rw_register_i(10)(11 downto 8);
+ when x"3" => event_builder_id <= rw_register_i(10)(15 downto 12);
+ when x"4" => event_builder_id <= rw_register_i(10)(19 downto 16);
+ when x"5" => event_builder_id <= rw_register_i(10)(23 downto 20);
+ when x"6" => event_builder_id <= rw_register_i(10)(27 downto 24);
+ when x"7" => event_builder_id <= rw_register_i(10)(31 downto 28);
+ when x"8" => event_builder_id <= rw_register_i(11)(3 downto 0);
+ when x"9" => event_builder_id <= rw_register_i(11)(7 downto 4);
+ when x"a" => event_builder_id <= rw_register_i(11)(11 downto 8);
+ when x"b" => event_builder_id <= rw_register_i(11)(15 downto 12);
+ when x"c" => event_builder_id <= rw_register_i(11)(19 downto 16);
+ when x"d" => event_builder_id <= rw_register_i(11)(23 downto 20);
+ when x"e" => event_builder_id <= rw_register_i(11)(27 downto 24);
+ when x"f" => event_builder_id <= rw_register_i(11)(31 downto 28);
+ when others => event_builder_id <= rw_register_i(10)(3 downto 0);
+ end case;
+ end if;
+ end process SWITCH_EB_ID;
+
+ trg_information_in_i(23 downto 14) <= (others => '0');
+ trg_information_in_i(13 downto 8) <= rw_register_i(8)(13 downto 8);
+ trg_information_in_i(7) <= no_timing;
+ trg_information_in_i(6 downto 0) <= rw_register_i(8)(6 downto 0);
+
+ ipu_information_in_i(3 downto 0) <= event_builder_id(3 downto 0);
+
+
+ end generate TRBnet_CTS;
+
+
+-- regio_common_stat_reg_in_i(31 downto 0)
+-------------------------------------------------------------------------------
+-- Vulom interafce
+-------------------------------------------------------------------------------
+
+ VULOM_EN : if TRBV2_TYPE = 4 generate
+ vulom_busy <= lvl1_busy_i or opt_lvl1_busy_i;
+ VULOM_INT : vulom_interface
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ clk200 => clk200,
+ DATA_CLK => ADO_TTL(46),
+ RESET => reset_i,
+ DATA_IN => ADO_TTL(42 downto 41), --lvds_add_on_data (3 downto 0),
+ BUSY => open,
+ ACK_TO_VULOM => ADO_TTL(34),
+ ERR_TO_VULOM => ADO_TTL(33),
+ API_DATA => open,
+ API_RUN_OUT => vulom_busy, --lvl1_busy_i, --lvl1_cts_busy or lvl1_system_busy, --apl_run_out_i,
+ API_SHORT_TRANSFER => open,
+ API_DTYPE => open,
+ API_SEND => lvl1_vulom_trigger,
+ API_WRITE_IN => open,
+ API_TARGET_ADDRESS => open,
+ API_SEQNR_OUT => x"00",
+ VULOM_INT_REG => fpga_register_09_i, --vulom_int_reg_00,
+ INTERFACE_CONTROL => fpga_register_06_i(11 downto 8),
+ EVENT_OUT => vulom_event,
+ EVENT_VALID => vulom_event_valid, --counter_1_i,
+ EVENT_READOUT_START => vulom_readout_start,
+ EVENT_READOUT_END => vulom_readout_end,
+ START_READOUT => vulom_lvl1_trigger_i,
+ LVL1_TRANSFER_BUSY => lvl1_busy_i, --lvl1_cts_busy --lvl1_cts_busy or lvl1_system_busy
+ LVL1_TRIGGER_CODE => vulom_lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG => vulom_lvl1_trigger_tag_i(7 downto 0)
+ );
+ ADO_TTL(42 downto 40) <= (others => 'Z');
+-- ADO_TTL(34) <= '1';
+
+ end generate VULOM_EN;
+
+
+
+-------------------------------------------------------------------------------
+-- cts
+-------------------------------------------------------------------------------
+
+ CTS_GENERATE : if TRBNET_ENABLE /= 1 and TRBV2_TYPE /=7 and DTU_ENABLE = 0 generate
+ THE_CTS : cts
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ CLK40_IN => TDC_CLK,
+ LVL1_LVDS_TRIGGER_IN => lvds_add_on_data(4 downto 0),
+ LVL1_TTL_TRIGGER_IN => ADO_TTL(20 downto 16),
+ LVL1_FAST_TRIGG_IN => fast_ref_trigger,
+ LVL1_TIMING_TRIGGER_OUT => timing_signal,--ado_lv_out_i(0),
+ LVL1_APV_TRIGGER_OUT => apv_signal,--ado_lv_out_i(1),
+ LVL1_TRIGBOX_TRIGGER_IN => lvl1_trigger_out_i,
+ LVL1_TRIGBOX_TRIGGER_CODE_IN => lvl1_trigger_code_out_i,
+ LVL1_TRIGBOX_TRIGGER_TAG_IN => lvl1_trigger_tag_out_i,
+ LVL1_TRIGBOX_BUSY_IN => trigbox_busy_out,
+ LVL1_LOCAL_BUSY => lvl1_local_busy_i, --lvl1_busy_i,
+ LVL1_TRBNET_BUSY => trg_busy_out_i,
+ LVL1_CTS_BUSY_OUT => lvl1_cts_busy_out_i,
+ LVL1_TRIGGER_OUT => lvl1_trigger_i,
+ LVL1_TRIGGER_CODE_OUT => lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG_OUT => lvl1_trigger_tag_i,
+ LVL1_RND_NUMBER_OUT => lvl1_rnd_number_out_i,
+ LVL2_LVDS_TRIGGER_IN => '0', --lvds_add_on_data(6),
+ LVL2_LVDS_TRIGGER_CODE_IN => x"0", --lvds_add_on_data(10 downto 7),
+ LVL2_LVDS_TRIGGER_TAG_IN => x"0000", --lvds_add_on_data(26 downto 11),
+ LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i,
+ LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i,
+ LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i,
+ LVL2_LOCAL_BUSY => lvl2_local_busy_i,
+ LVL2_TRBNET_BUSY => ipu_busy_out_i,
+ LVL2_CTS_BUSY_OUT => lvl2_cts_busy_out_i,
+ LVL2_TRIGGER_OUT => lvl2_trigger_i,
+ LVL2_TRIGGER_CODE_OUT => lvl2_trigger_code_i,
+ LVL2_TRIGGER_TAG_OUT => lvl2_trigger_tag_i,
+ LVL2_RND_NUMBER_OUT => lvl2_rnd_number_out_i,
+ CTS_STASUS_0UT_0 => r_register_i(17), --91
+ CTS_STASUS_0UT_1 => r_register_i(18), --92
+ CTS_STASUS_0UT_2 => r_register_i(19), --93
+ CTS_CTRL_IN_0 => rw_register_i(5),
+ CTS_CTRL_IN_1 => rw_register_i(6),
+ CTS_CTRL_IN_2 => rw_register_i(7)
+ );
+
+ --ado_lv_out_i <= (others => '0');
+ ENABLE_TIMING_TRIGGER_OUT: if TRBV2_TYPE = 5 or TRBV2_TYPE = 3 generate
+ ado_lv_out_i(0) <= timing_signal;
+ ado_lv_out_i(1) <= apv_signal;
+ end generate ENABLE_TIMING_TRIGGER_OUT;
+
+ end generate CTS_GENERATE;
+
+
+ MAKE_SILENT_LVDS_OUT: if TRBNET_ENABLE /= 2 generate
+ ado_lv_out_i <= (others => '0');
+ end generate MAKE_SILENT_LVDS_OUT;
+
+ ENABLE_CTS_SIGNALS: if TRBNET_ENABLE = 2 generate
+
+ ado_lv_out_i(2) <= lvl1_cts_busy_out_i;
+ ado_lv_out_i(3) <= lvl2_cts_busy_out_i;
+ ado_lv_out_i(4) <= tdc_clk;--counter_for_clk(0);-- xor clk40_a;
+
+ end generate ENABLE_CTS_SIGNALS;
+
+
+
+ ENABLE_DEBUG_CTS : if DEBUG_OPTION = 2 generate
+
+-- ADO_TTL(0) <= lvl1_trigger_i;--TDC_CLK;
+-- ADO_TTL(1) <= lvl1_cts_busy_out_i;
+-- ADO_TTL(2) <= lvl2_local_busy_i;
+ ADO_TTL(3) <= lvl1_finished_i;
+-- ADO_TTL(4) <= lvl2_trigger_i;
+ ADO_TTL(4) <= lvl1_trigger_i;
+-- ADO_TTL(5) <= lvl2_finished_i;
+ ADO_TTL(5) <= lvl1_cts_busy_out_i;
+ ADO_TTL(6) <= trg_busy_out_i;--ipu_read_in_i;
+ ADO_TTL(7) <= ipu_dataready_out_i;
+-- ADO_TTL(8) <= tdc_register_01_i(0);
+-- trigger_register_00_i
+ ADO_TTL(11 downto 8) <= tdc_register_01_i(23 downto 20);
+ ADO_TTL(15 downto 12) <= ipu_data_out_i(31 downto 28);
+ end generate ENABLE_DEBUG_CTS;
+
+
+ STAND_ALONE_TRB: if TRBV2_TYPE = 0 and TRBNET_ENABLE = 0 generate
+ lvl1_local_busy_i <= lvl1_busy_i;
+ lvl2_local_busy_i <= lvl2_busy_i;
+ end generate STAND_ALONE_TRB;
+
+ ADDON_PLUS_TRB: if TRBV2_TYPE = 1 and TRBNET_ENABLE = 0 generate
+ lvl1_local_busy_i <= lvl1_busy_i;
+ lvl2_local_busy_i <= lvl2_busy_i;
+ end generate ADDON_PLUS_TRB;
+
+
+ RATE_DIODS_EN : if TRBNET_ENABLE = 1 or TRBV2_TYPE = 7 generate
+
+ THE_CTS : cts
+ generic map (
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ CLK40_IN => TDC_CLK,
+ LVL1_LVDS_TRIGGER_IN => "00000",
+ LVL1_TTL_TRIGGER_IN => "00000",
+ LVL1_FAST_TRIGG_IN => lvl1_trigger_i,
+ LVL1_TIMING_TRIGGER_OUT => open,
+ LVL1_APV_TRIGGER_OUT => open,
+ LVL1_TRIGBOX_TRIGGER_IN => '0',
+ LVL1_TRIGBOX_TRIGGER_CODE_IN => (others => '0'),
+ LVL1_TRIGBOX_TRIGGER_TAG_IN => (others => '0'),
+ LVL1_TRIGBOX_BUSY_IN => '0',
+ LVL1_LOCAL_BUSY => dummy_lvl1_trigger,
+ LVL1_TRBNET_BUSY => '0',
+ LVL1_CTS_BUSY_OUT => open,
+ LVL1_TRIGGER_OUT => dummy_lvl1_trigger,
+ LVL1_TRIGGER_CODE_OUT => open,
+ LVL1_TRIGGER_TAG_OUT => open,
+ LVL1_RND_NUMBER_OUT => open,
+ LVL2_LVDS_TRIGGER_IN => '0', --lvds_add_on_data(6),
+ LVL2_LVDS_TRIGGER_CODE_IN => x"0", --lvds_add_on_data(10 downto 7),
+ LVL2_LVDS_TRIGGER_TAG_IN => x"0000", --lvds_add_on_data(26 downto 11),
+ LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i,
+ LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i,
+ LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i,
+ LVL2_LOCAL_BUSY => dummy_lvl2_trigger,
+ LVL2_TRBNET_BUSY => '0',
+ LVL2_CTS_BUSY_OUT => open,
+ LVL2_TRIGGER_OUT => dummy_lvl2_trigger,
+ LVL2_TRIGGER_CODE_OUT => open,
+ LVL2_TRIGGER_TAG_OUT => open,
+ LVL2_RND_NUMBER_OUT => open,
+ CTS_STASUS_0UT_0 => r_register_i(17), --91
+ CTS_STASUS_0UT_1 => r_register_i(18), --92
+ CTS_STASUS_0UT_2 => r_register_i(19), --93
+ CTS_CTRL_IN_0 => x"00000400",
+ CTS_CTRL_IN_1 => (others => '0'),
+ CTS_CTRL_IN_2 => (others => '0')
+ );
+
+ end generate RATE_DIODS_EN;
+
+
+ -- simple dtu without trbnet
+
+ SIMPLE_DTU_SYSTEM: if TRBNET_ENABLE = 0 and TRBV2_TYPE = 7 generate
+
+ LVL1_TRIGGER_GENERATE : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_tag_i <= (others => '0');
+ lvl1_trigger_code_i <= (others => '0');
+ elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"1" then
+ lvl1_trigger_i <= '1';
+ lvl1_trigger_tag_i <= x"00" & med_data_out_i(7 downto 0);
+ lvl1_trigger_code_i <= med_data_out_i(11 downto 8);
+ else
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_tag_i <= lvl1_trigger_tag_i;
+ lvl1_trigger_code_i <= lvl1_trigger_code_i;
+ end if;
+ end if;
+ end process LVL1_TRIGGER_GENERATE;
+
+ LVL2_TRIGGER_GENERATE : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ lvl2_trigger_i <= '0';
+ elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"2" then
+ lvl2_trigger_i <= '1';
+ else
+ lvl2_trigger_i <= '0';
+ end if;
+ end if;
+ end process LVL2_TRIGGER_GENERATE;
+
+ SEND_LVL1_LVL2_ACK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '0';
+ elsif lvl1_finished_i = '1' and lvl2_finished_i = '1' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"1000";
+ save_lvl2_finished <= '1';
+ elsif lvl1_finished_i = '1' and lvl2_finished_i = '0' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"1000";
+ save_lvl2_finished <= '0';
+ elsif lvl1_finished_i = '0' and lvl2_finished_i = '1' then
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '1';
+ elsif lvl1_finished_i = '0' and lvl2_finished_i = '0' and save_lvl2_finished = '1' then
+ med_dataready_in_i(0) <= '1';
+ med_data_in_i <= x"2000";
+ save_lvl2_finished <= '0';
+ else
+ med_dataready_in_i(0) <= '0';
+ med_data_in_i <= x"0000";
+ save_lvl2_finished <= '0';
+ end if;
+ end if;
+ end process SEND_LVL1_LVL2_ACK;
+ med_read_in_i(0) <= '1';
+ ado_lv_out_i <= (others => '0');
+
+ end generate SIMPLE_DTU_SYSTEM;
+
+
+ -- trbnet end point
+
+ TRBnet_END_POINT : if TRBNET_ENABLE = 1 generate
+
+ --debug triggers
+ --ref
+ HOW_MANY_REF_TIMES_IN: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => ref_time_cntr,
+ UP_IN => trg_timing_trg_received_in_i,
+ DOWN_IN => '0');
+ --data valid
+ DATA_VALID_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_trigger_i,
+ pulse => data_valid_pulse);
+
+ HOW_MANY_TRIGG_DATA_VALID: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => trigg_data_valid_cntr,
+ UP_IN => data_valid_pulse,
+ DOWN_IN => '0');
+
+ --valid timing
+ VALID_TIMING_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_valid_timing_trg_out_i,
+ pulse => lvl1_valid_timing_trg_out_pulse);
+
+ HOW_MANY_TRIGG_VALID_TIMING: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => valid_timing_trg_cntr,
+ UP_IN => lvl1_valid_timing_trg_out_pulse,
+ DOWN_IN => '0');
+
+ --valid_notiming
+ VALID_NOTIMING_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_valid_notiming_trg_out_i,
+ pulse => lvl1_valid_notiming_trg_out_pulse);
+
+ HOW_MANY_TRIGG_VALID_NOTIMING: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => valid_notiming_trg_cntr,
+ UP_IN => lvl1_valid_notiming_trg_out_pulse,
+ DOWN_IN => '0');
+
+ --invalid_trg
+ INVALID_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl1_invalid_trg_out_i,
+ pulse => lvl1_invalid_trg_out_pulse);
+
+ HOW_MANY_TRIGG_INVALID: up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => RESET_i,
+ COUNT_OUT => invalid_trg_cntr,
+ UP_IN => lvl1_invalid_trg_out_pulse,
+ DOWN_IN => '0');
+ r_register_i(27) <= ref_time_cntr & trigg_data_valid_cntr & valid_timing_trg_cntr & valid_notiming_trg_cntr;
+ r_register_i(28) <= x"dbeaca" & invalid_trg_cntr;
+
+
+ --handler component and logic
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => fast_ref_trigger,
+ pulse => trg_timing_trg_received_in_i);
+
+ THE_TRB_NET16_ENDPOINT_HADES_FULL_HANDLER : trb_net16_endpoint_hades_full_handler
+ generic map (
+ IBUF_DEPTH => (6,6,6,6),
+ FIFO_TO_INT_DEPTH => (6,6,6,6),
+ FIFO_TO_APL_DEPTH => (1,1,1,1),
+ APL_WRITE_ALL_WORDS => (c_NO,c_NO,c_NO,c_NO),
+ ADDRESS_MASK => x"FFFF",
+ BROADCAST_BITMASK => broadcast_bitmask_i,
+ REGIO_NUM_STAT_REGS => 3,
+ REGIO_NUM_CTRL_REGS => 3,
+ REGIO_INIT_CTRL_REGS => (others => '0'),
+ REGIO_INIT_ADDRESS => x"FFFF",
+ REGIO_INIT_BOARD_INFO => x"1111_2222",
+ REGIO_INIT_ENDPOINT_ID => x"0001",
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ REGIO_COMPILE_VERSION => regio_compile_version_i,
+ REGIO_HARDWARE_VERSION => regio_hardware_version_i,
+ REGIO_USE_1WIRE_INTERFACE => c_YES,
+ REGIO_USE_VAR_ENDPOINT_ID => c_NO,
+ CLOCK_FREQUENCY => 100,
+ DATA_INTERFACE_NUMBER => 1,
+ DATA_BUFFER_DEPTH => 14,
+ DATA_BUFFER_WIDTH => 32,
+ DATA_BUFFER_FULL_THRESH => 2**14-2048,
+ TRG_RELEASE_AFTER_DATA => c_YES,
+ HEADER_BUFFER_DEPTH => 9,
+ HEADER_BUFFER_FULL_THRESH => 2**9-16)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ CLK_EN => '1',
+ MED_DATAREADY_OUT => med_dataready_in_i(0),
+ MED_DATA_OUT => med_data_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_in_i,
+ MED_READ_IN => '1',
+ MED_DATAREADY_IN => med_dataready_out_i(0),
+ MED_DATA_IN => med_data_out_i,
+ MED_PACKET_NUM_IN => med_packet_num_out_i,
+ MED_READ_OUT => med_read_in_i(0),
+ MED_STAT_OP_IN => med_stat_op_in_i,
+ MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+ TRG_TIMING_TRG_RECEIVED_IN => trg_timing_trg_received_in_i,--TRG_TIMING_TRG_RECEIVED_IN,
+ LVL1_TRG_DATA_VALID_OUT => lvl1_trigger_i,
+ LVL1_VALID_TIMING_TRG_OUT => lvl1_valid_timing_trg_out_i,
+ LVL1_VALID_NOTIMING_TRG_OUT => lvl1_valid_notiming_trg_out_i,
+ LVL1_INVALID_TRG_OUT => lvl1_invalid_trg_out_i,
+ LVL1_TRG_TYPE_OUT => lvl1_trigger_code_i,
+ LVL1_TRG_NUMBER_OUT => lvl1_trigger_tag_i,
+ LVL1_TRG_CODE_OUT => open,--lvl1_trigger_code_i,
+ LVL1_TRG_INFORMATION_OUT => open,--LVL1_TRG_INFORMATION_OUT,
+ LVL1_INT_TRG_NUMBER_OUT => open,--
+ FEE_TRG_RELEASE_IN => fee_trg_release_in_i,--
+ FEE_TRG_STATUSBITS_IN => (others => '0'),
+ FEE_DATA_IN => ipu_data_in_i,
+ FEE_DATA_WRITE_IN => trbnet_data_write_out_i,
+ FEE_DATA_FINISHED_IN => trbnet_data_finished_out_i,
+ FEE_DATA_ALMOST_FULL_OUT => open,
+ REGIO_COMMON_STAT_REG_IN => (others => '0'),
+ REGIO_COMMON_CTRL_REG_OUT => open,
+ REGIO_COMMON_STAT_STROBE_OUT => open,
+ REGIO_COMMON_CTRL_STROBE_OUT => open,
+ REGIO_STAT_REG_IN => (others => '0'),
+ REGIO_CTRL_REG_OUT => regio_registers_out_i,
+ REGIO_STAT_STROBE_OUT => open,
+ REGIO_CTRL_STROBE_OUT => open,
+ BUS_ADDR_OUT => regio_addr_out_i,
+ BUS_DATA_OUT => regio_data_out_i,
+ BUS_READ_ENABLE_OUT => regio_read_enable_out_i,
+ BUS_WRITE_ENABLE_OUT => regio_write_enable_out_i ,
+ BUS_TIMEOUT_OUT => regio_timeout_out_i,
+ BUS_DATA_IN => regio_data_in_i,
+ BUS_DATAREADY_IN => regio_dataready_in_i,
+ BUS_WRITE_ACK_IN => regio_write_ack_in_i,
+ BUS_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+ BUS_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+ ONEWIRE_INOUT => TEMP_SENS(4),
+ ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+ ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+ REGIO_VAR_ENDPOINT_ID => open,
+ TIME_GLOBAL_OUT => global_time_out_i,
+ TIME_LOCAL_OUT => local_time_out_i,
+ TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+ TIME_TICKS_OUT => open,
+ STAT_DEBUG_IPU => stat_debug_ipu_i,
+ STAT_DEBUG_1 => stat_debug_1_i,
+ STAT_DEBUG_2 => stat_debug_2_i,
+ STAT_DEBUG_DATA_HANDLER_OUT => open,
+ STAT_DEBUG_IPU_HANDLER_OUT => open,
+ CTRL_MPLEX => (others => '0'),
+ IOBUF_CTRL_GEN => (others => '0'),
+ STAT_ONEWIRE => open,
+ STAT_ADDR_DEBUG => open);
+
+ trbnet_data_write_out_i(0) <= ipu_dataready_in_i;
+ trbnet_data_finished_out_i(0) <= lvl2_finished_i;
+ fee_trg_release_in_i(0) <= lvl1_finished_i;
+ ipu_read_out_i <= '1';
+
+ DEBUG_TRBNET_HANDLER : if DEBUG_OPTION = 7 generate
+ ADO_TTL(0) <= lvl1_trigger_i;
+ ADO_TTL(1) <= fee_trg_release_in_i(0);
+ ADO_TTL(2) <= trbnet_data_write_out_i(0);
+ ADO_TTL(3) <= trbnet_data_finished_out_i(0);
+ ADO_TTL(7 downto 4) <= ipu_data_in_i(31 downto 28);
+ ADO_TTL(11 downto 8) <= tdc_register_01_i(27 downto 24);
+ ADO_TTL(12) <= tdc_register_02_i(12);
+ ADO_TTL(13) <= tdc_register_02_i(13);
+ ADO_TTL(14) <= tdc_register_02_i(14);
+ ADO_TTL(15) <= tdc_register_02_i(29);
+
+-- ADO_TTL(4) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+-- ADO_TTL(0) <=
+
+
+ end generate DEBUG_TRBNET_HANDLER;
+-- THE_TRBNET_END_POINT : trb_net16_endpoint_hades_full
+-- generic map(
+-- USE_CHANNEL => (c_YES, c_YES, c_NO, c_YES),
+-- IBUF_DEPTH => (6, 6, 6, 6),
+-- FIFO_TO_INT_DEPTH => (6, 6, 6, 6),
+-- FIFO_TO_APL_DEPTH => (1, 1, 1, 1),
+-- IBUF_SECURE_MODE => (c_YES, c_YES, c_YES, c_YES),
+-- API_SECURE_MODE_TO_APL => (c_YES, c_YES, c_YES, c_YES),
+-- API_SECURE_MODE_TO_INT => (c_YES, c_YES, c_YES, c_YES),
+-- OBUF_DATA_COUNT_WIDTH => std_DATA_COUNT_WIDTH,
+-- INIT_CAN_SEND_DATA => (c_NO, c_NO, c_NO, c_NO),
+-- REPLY_CAN_SEND_DATA => (c_YES, c_YES, c_YES, c_YES),
+-- REPLY_CAN_RECEIVE_DATA => (c_NO, c_NO, c_NO, c_NO),
+-- USE_CHECKSUM => (c_NO, c_YES, c_YES, c_YES),
+-- APL_WRITE_ALL_WORDS => (c_NO, c_NO, c_NO, c_NO),
+-- BROADCAST_BITMASK => x"FF",
+-- REGIO_NUM_STAT_REGS => 3, --log2 of number of status registers
+-- REGIO_NUM_CTRL_REGS => 3, --log2 of number of ctrl registers
+-- --standard values for output registers
+-- REGIO_INIT_CTRL_REGS => (others => '0'),
+-- --set to 0 for unused ctrl registers to save resources
+-- REGIO_USED_CTRL_REGS => "0000000000000001",
+-- --set to 0 for each unused bit in a register
+-- REGIO_USED_CTRL_BITMASK => (others => '1'),
+-- REGIO_USE_DAT_PORT => c_YES, --internal data port
+-- REGIO_INIT_ADDRESS => x"FFFF",
+-- REGIO_INIT_UNIQUE_ID => x"1000_2000_3654_4876",
+-- REGIO_INIT_BOARD_INFO => x"1111_2222",
+-- REGIO_INIT_ENDPOINT_ID => x"0001",
+-- REGIO_COMPILE_TIME => x"00000000",
+-- REGIO_COMPILE_VERSION => x"0001",
+-- REGIO_HARDWARE_VERSION => x"12345678",
+-- REGIO_USE_1WIRE_INTERFACE => c_YES, --c_YES,c_NO,c_MONITOR
+-- CLOCK_FREQUENCY => 100
+-- )
+-- port map (
+-- CLK => CLK,
+-- RESET => reset_i,
+-- CLK_EN => '1',
+-- MED_DATAREADY_OUT => med_dataready_in_i(0),
+-- MED_DATA_OUT => med_data_in_i,
+-- MED_PACKET_NUM_OUT => med_packet_num_in_i,
+-- MED_READ_IN => '1', --med_read_out_i(0),
+-- MED_DATAREADY_IN => med_dataready_out_i(0),
+-- MED_DATA_IN => med_data_out_i,
+-- MED_PACKET_NUM_IN => med_packet_num_out_i,
+-- MED_READ_OUT => med_read_in_i(0),
+-- MED_STAT_OP_IN => med_stat_op_in_i,
+-- MED_CTRL_OP_OUT => med_ctrl_op_out_i,
+-- LVL1_TRG_TYPE_OUT => lvl1_trigger_code_i, --open,
+-- LVL1_TRG_RECEIVED_OUT => lvl1_trigger_i,
+-- LVL1_TRG_NUMBER_OUT => lvl1_trigger_tag_i,
+-- LVL1_TRG_CODE_OUT => open, --lvl1_trigger_code_i,
+-- LVL1_TRG_INFORMATION_OUT => open,
+-- LVL1_ERROR_PATTERN_IN => (others => '0'),
+-- LVL1_TRG_RELEASE_IN => lvl1_finished_i,
+-- IPU_NUMBER_OUT => lvl2_trigger_tag_i,
+-- IPU_INFORMATION_OUT => open,
+-- IPU_START_READOUT_OUT => lvl2_trigger_i,
+-- IPU_DATA_IN => ipu_data_in_i, --(others => '0'),
+-- IPU_DATAREADY_IN => ipu_dataready_in_i, --tdc_data_valid_i,
+-- IPU_READOUT_FINISHED_IN => lvl2_finished_i,
+-- IPU_READ_OUT => ipu_read_out_i,
+-- IPU_LENGTH_IN => ipu_length_in_i,--(others => '0'),
+-- IPU_ERROR_PATTERN_IN => (others => '0'),
+-- REGIO_COMMON_STAT_REG_IN => (others => '0'),
+-- REGIO_COMMON_CTRL_REG_OUT => open,
+-- REGIO_REGISTERS_IN => (others => '0'),
+-- REGIO_REGISTERS_OUT => regio_registers_out_i,
+-- REGIO_ADDR_OUT => regio_addr_out_i,
+-- REGIO_READ_ENABLE_OUT => regio_read_enable_out_i,
+-- REGIO_WRITE_ENABLE_OUT => regio_write_enable_out_i,
+-- REGIO_DATA_OUT => regio_data_out_i,
+-- REGIO_DATA_IN => regio_data_in_i,
+-- REGIO_DATAREADY_IN => regio_dataready_in_i,
+-- REGIO_NO_MORE_DATA_IN => regio_no_more_data_in_i,
+-- REGIO_WRITE_ACK_IN => regio_write_ack_in_i,
+-- REGIO_UNKNOWN_ADDR_IN => regio_unknown_addr_in_i,
+-- REGIO_TIMEOUT_OUT => regio_timeout_out_i,
+-- REGIO_IDRAM_DATA_IN => regio_idram_data_in_i,
+-- REGIO_IDRAM_DATA_OUT => regio_idram_data_out_i,
+-- REGIO_IDRAM_ADDR_IN => regio_idram_addr_in_i,
+-- REGIO_IDRAM_WR_IN => regio_idram_wr_in_i,
+-- REGIO_ONEWIRE_INOUT => TEMP_SENS(4),--regio_onewire_inout_i,
+-- REGIO_ONEWIRE_MONITOR_IN => regio_onewire_monitor_in_i,
+-- REGIO_ONEWIRE_MONITOR_OUT => regio_onewire_monitor_out_i,
+-- TRIGGER_MONITOR_IN => trigger_monitor_in_i,
+-- GLOBAL_TIME_OUT => global_time_out_i,
+-- LOCAL_TIME_OUT => local_time_out_i,
+-- TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_out_i,
+-- TIMER_TICKS_OUT => open,--timer_us_tick_out_i,
+-- STAT_DEBUG_IPU => stat_debug_ipu_i,
+-- STAT_DEBUG_1 => stat_debug_1_i,
+-- STAT_DEBUG_2 => stat_debug_2_i,
+-- MED_STAT_OP => open,
+-- CTRL_MPLEX => (others => '0'),
+-- IOBUF_CTRL_GEN => (others => '0'),
+-- STAT_ONEWIRE => open,
+-- STAT_ADDR_DEBUG => open);
+
+ ENABLE_DEBUG_ENDPOINT : if DEBUG_OPTION = 1 generate
+
+ ADO_TTL(3) <= lvl1_finished_i;
+ ADO_TTL(4) <= lvl1_trigger_i;
+ ADO_TTL(5) <= fee_trg_release_in_i(0);
+ ADO_TTL(6) <= trbnet_data_finished_out_i(0);
+ ADO_TTL(7) <= ipu_dataready_in_i;
+ ADO_TTL(15 downto 8) <= ipu_data_in_i(31 downto 24);
+ end generate ENABLE_DEBUG_ENDPOINT;
+
+ EXT_LVL2_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => lvl2_trigger_i,
+ pulse => lvl2_trigger_i_pulse);
+
+
+-- MAKE_DUMMY_DATA_IN : process (CLK, reset_i)
+-- begin
+-- if rising_edge(CLK) then
+-- if reset_i= '1' or (cntr_for_dummy_header = 1 and ipu_read_out_i = '1') or (cntr_for_dummy_header = 3 and lvl2_finished_i = '0')then
+-- ipu_dataready_in_i <= '0';
+-- cntr_for_dummy_header <= cntr_for_dummy_header(0) & cntr_for_dummy_header(1);
+-- elsif lvl2_trigger_i_pulse = '1' or (cntr_for_dummy_header = 2 and ipu_read_out_i = '1') then
+-- ipu_dataready_in_i <= '1';
+-- cntr_for_dummy_header <= cntr_for_dummy_header +1;
+-- elsif lvl2_finished_i = '1' then
+-- ipu_dataready_in_i <= '0';
+-- cntr_for_dummy_header <= "00";
+-- end if;
+-- end if;
+-- end process MAKE_DUMMY_DATA_IN;
+---- ipu_data_in_i <= x"0" & x"4" & x"83" & x"0000" when cntr_for_dummy_header < 2 else x"00000000";
+
+ end generate TRBnet_END_POINT;
+
+-- -----------------------------------------------------------------------------
+-- -- media to api, api to media
+-- -----------------------------------------------------------------------------
+
+ TRBnet_MEDIA : if TRBNET_ENABLE > 0 or TRBV2_TYPE = 7 or (TRBV2_TYPE=0 and DTU_ENABLE=2)generate
+
+ TLK_RX_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r(0)
+ );
+
+ TLK_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r(0)
+ );
+
+ TLK_API_INT : trb_net16_med_tlk
+ port map (
+ RESET => reset_i,
+ CLK => CLK,
+ TLK_CLK => tlk_clk_r(0),
+ TLK_ENABLE => TLK_ENABLE,
+ TLK_LCKREFN => TLK_LCKREFN,
+ TLK_LOOPEN => TLK_LOOPEN,
+ TLK_PRBSEN => TLK_PRBSEN,
+ TLK_RXD => TLK_RXD,
+ TLK_RX_CLK => tlk_rx_clk_r(0),
+ TLK_RX_DV => TLK_RX_DV,
+ TLK_RX_ER => TLK_RX_ER,
+ TLK_TXD => TLK_TXD,
+ TLK_TX_EN => TLK_TX_EN,
+ TLK_TX_ER => TLK_TX_ER,
+ SFP_LOS => SFP_LOS,
+ SFP_TX_DIS => SFP_TX_DIS,
+ MED_DATAREADY_IN => med_dataready_in_i(0),
+ MED_READ_IN => med_read_in_i(0),
+ MED_DATA_IN => med_data_in_i,
+ MED_PACKET_NUM_IN => med_packet_num_in_i,
+ MED_DATAREADY_OUT => med_dataready_out_i(0),
+ MED_READ_OUT => med_read_out_i(0),
+ MED_DATA_OUT => med_data_out_i,
+ MED_PACKET_NUM_OUT => med_packet_num_out_i,
+ STAT => open,
+ STAT_MONITOR => open,
+ STAT_OP => med_stat_op_in_i,
+ CTRL_OP => x"0000");
+ DGOOD <= not med_stat_op_in_i(9);
+ end generate TRBnet_MEDIA;
+
+ DINT <= lvds_add_on_data(0) and
+ lvds_add_on_data(1) and
+ lvds_add_on_data(2) and
+ lvds_add_on_data(3) and
+ lvds_add_on_data(4) and
+ lvds_add_on_data(5) and
+ lvds_add_on_data(6) and
+ lvds_add_on_data(7) and
+ lvds_add_on_data(8) and
+ lvds_add_on_data(9) and
+ lvds_add_on_data(10) and
+ lvds_add_on_data(11) and
+ lvds_add_on_data(12) and
+ lvds_add_on_data(13) and
+ lvds_add_on_data(14) and
+ lvds_add_on_data(15) and
+ lvds_add_on_data(16) and
+ lvds_add_on_data(17) and
+ lvds_add_on_data(18) and
+ lvds_add_on_data(19) and
+ lvds_add_on_data(20) and
+ lvds_add_on_data(21) and
+ lvds_add_on_data(22) and
+ lvds_add_on_data(23) and
+ lvds_add_on_data(24) and
+ lvds_add_on_data(25) and
+ addon_clk ;
+
+-------------------------------------------------------------------------------
+-- lvl1 trigger logic
+-------------------------------------------------------------------------------
+ENBLE_TRIGGER_LOGIC: if TRBV2_TYPE = 3 generate
+
+-- THE_CLK_300: clk_300
+-- port map (
+-- CLKIN_IN => CLK,
+-- RST_IN => reset_i,
+-- CLKFX_OUT => clk200,
+-- CLK0_OUT => open,
+-- LOCKED_OUT => LOCKED_OUT);
+
+
+ THE_CLOCK200: clock200
+ port map (
+ CLKIN_IN => CLK,
+ RST_IN => reset_i,
+ CLK0_OUT => open,--CLK0_OUT,
+ CLK2X_OUT => clk200,
+ LOCKED_OUT => LOCKED_OUT);
+
+ trigger_in_i <= lvds_add_on_data(19 downto 16) & ADO_TTL(29 downto 24) & ADO_TTL(21 downto 16) & lvds_add_on_data(15 downto 0);
+ ADO_TTL(29 downto 24) <= (others => 'Z');
+ ADO_TTL(21 downto 16) <= (others => 'Z');
+ signal_in_i <= (others => '0') ;
+-- ADO_TTL(35 downto 32) <= signal_out_i(3 downto 0);
+
+ ADO_TTL(2 downto 1) <= signal_out_i(1 downto 0);
+
+ ADO_TTL(36) <= timing_signal;
+ SCALER_REGISTER: for i in 0 to 31 generate
+ r_register_i(i+27) <= scaler_out_i ((i+1)*32 -1 downto i*32);
+ end generate SCALER_REGISTER;
+ ADO_TTL(0) <= 'Z';
+ beam_inhibit_in_i <= ADO_TTL(0);
+ downscale_register_i <= rw_register_i(16) & rw_register_i(15) & rw_register_i(14) & rw_register_i(13);--
+ --16 -d0 ; 15 - cf ; 14 - ce ; 13 -cd
+ delay_trigger_register_i <= rw_register_i(20) & rw_register_i(19) & rw_register_i(18) & rw_register_i(17);
+ --20 -d4 ; 19 - d3 ; 18 - d2 ; 17 -d1
+ width_register_i <= rw_register_i(24) & rw_register_i(23) & rw_register_i(22) & rw_register_i(21);
+ --24 -d8 ; 23 - d7 ; 22 - d6 ; 21 -d5
+ THE_TRIGGER_LOGIC: trigger_logic
+ generic map (
+ TRIGGER_INPUTS_NUMBER => TRIGGER_INPUTS_NUMBER,
+ CTS_NUMBER_IPU_DATA => CTS_NUMBER_IPU_DATA)
+ port map (
+ CLK => CLK,
+ HIGH_FREQ_CLK => clk200,
+ RESET => reset_i,
+ TRIGGER_IN => trigger_in_i,
+ SIGNAL_IN => signal_in_i,
+ SIGNAL_OUT => signal_out_i,
+ INPUT_ENABLE => rw_register_i(12),
+ DOWNSCALE_REGISTER => downscale_register_i,
+ DELAY_TRIGGER_REGISTER => delay_trigger_register_i,
+ WIDTH_REGISTER => width_register_i,
+ TS_GATING_DISABLE => rw_register_i(25), --d9
+ MDC_TOF_GATING_DISABLE => (others => '0'),
+ DOWNSCALE_REGISTER_CLOCK => x"0",
+ TRIGGER_OUT_EN => rw_register_i(26), --da
+ MULTIPLEXER_SELECT => rw_register_i(27)(23 downto 0), --db
+ TRIGGER_LOGIC_CTRL_IN => rw_register_i(28), --dc
+ SCALER_OUT => scaler_out_i,
+ BEAM_INHIBIT_IN => beam_inhibit_in_i,
+ NO_TIMING_OUT => no_timing,
+ LVL1_BUSY_IN => lvl1_cts_busy_out_i,
+ LVL1_TRIGGER_TAG_OUT => lvl1_trigger_tag_out_i,
+ LVL1_TRIGGER_CODE_OUT => lvl1_trigger_code_out_i,
+ LVL1_TRIGGER_OUT => lvl1_trigger_out_i,
+ LVL1_BUSY_OUT => trigbox_busy_out,
+ TRIGGER_LOGIC_DEBUG_OUT => r_register_i(25),
+ IPU_DATA_IN => ipu_data_out_i,
+ IPU_DATA_VALID_IN => ipu_dataready_out_i,
+ TOKEN_IN => triggbox_token_in,
+ DATA_OUT => triggbox_data_out,
+ DATA_VALID_OUT => triggbox_data_valid_out,
+ TOKEN_OUT => triggbox_token_out,
+ TRANSMIT_NO_DATA_IN => rw_register_i(5)(30)
+-- IPU_DATA_IN => ...
+ );
+end generate ENBLE_TRIGGER_LOGIC;
+DUMMY_TRIGGER_LOGIC: if TRBV2_TYPE /= 3 generate
+ trigbox_busy_out <= '0';
+ lvl1_trigger_out_i <= '0';
+end generate DUMMY_TRIGGER_LOGIC;
+---------------------------------------------------------------------------
+-- tdc interface
+---------------------------------------------------------------------------
+ --debug
+
+-- ADO_TTL(0) <= not_hades_trigger;
+-- ADO_TTL(1) <= trigger_to_tdc_i;
+--
+-- ADO_TTL(3) <= A_DATA_READY;
+-- ADO_TTL(4) <= B_DATA_READY;
+-- ADO_TTL(5) <= C_DATA_READY;
+-- ADO_TTL(6) <= D_DATA_READY;
+
+-- ADO_TTL(8) <= TOKEN_IN;
+-- ADO_TTL(9) <= lvl2_busy_i;
+-- ADO_TTL(10) <= bunch_reset_i;
+-- ADO_TTL(11) <= event_reset_i;
+-- ADO_TTL(12) <= TDC_CLK;
+ --end debug
+ VIRT_TRST <= not fpga_register_06_i(5); --'1';
+ TDC_RESET <= '0'; --fpga_register_06_i(5);--'0';
+
+ --TDC TRB
+ TDC_INT_FOR_DIFF_PLATFORMSa : if TRBV2_TYPE = 0 or TRBV2_TYPE = 6 or TRBV2_TYPE = 7 generate
+-- ADO_TTL(7) <= lvl1_busy_i;--token_out_i;
+-- ADO_TTL(2) <= lvl1_busy_i;
+ start_tdc_readout_i <= lvl1_trigger_i;
+ tdc_clk_i <= TDC_CLK;
+ readout_sdram_int_clk <= TDC_CLK;
+ tdc_data_in_i <= TDC_OUT;
+ a_data_ready_i <= A_DATA_READY;
+ b_data_ready_i <= B_DATA_READY;
+ c_data_ready_i <= C_DATA_READY;
+ d_data_ready_i <= D_DATA_READY;
+ token_in_i <= TOKEN_IN;
+ TOKEN_OUT <= token_out_i;
+ ADO_TTL(46) <= 'Z'; --for the hub on the veto
+ end generate TDC_INT_FOR_DIFF_PLATFORMSa;
+
+ --Shower or MDC
+ TDC_INT_FOR_DIFF_PLATFORMSb : if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ tdc_clk_i <= addon_clk;
+ readout_sdram_int_clk <= addon_clk;
+ tdc_data_in_i <= ADO_TTL(38 downto 7);
+ a_data_ready_i <= ADO_TTL(3);
+ b_data_ready_i <= ADO_TTL(3);
+ c_data_ready_i <= ADO_TTL(3);
+ d_data_ready_i <= ADO_TTL(3);
+ token_in_i <= ADO_TTL(2);
+ ADO_TTL(1) <= token_out_long_b; --longer token !?
+ TOKEN_OUT <= '0';
+
+ MAKE_LONGER : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ token_out_long_a <= '0';
+ token_out_long_b <= '0';
+ else
+ token_out_long_a <= token_out_i;
+ token_out_long_b <= token_out_long_a or token_out_i;
+ end if;
+ end if;
+ end process MAKE_LONGER;
+
+ end generate TDC_INT_FOR_DIFF_PLATFORMSb;
+
+ --CTS plus Vulom
+ TDC_INT_FOR_DIFF_PLATFORMSc : if TRBV2_TYPE = 4 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= vulom_event;
+ a_data_ready_i <= vulom_event_valid; --start_readout_i;
+ b_data_ready_i <= vulom_event_valid; --start_readout_i;
+ c_data_ready_i <= vulom_event_valid; --start_readout_i;
+ d_data_ready_i <= vulom_event_valid; --start_readout_i;
+ token_in_i <= vulom_readout_end;
+ vulom_readout_start <= token_out_i; --longer token !?
+ TOKEN_OUT <= '0';
+ end generate TDC_INT_FOR_DIFF_PLATFORMSc;
+
+ --Only CTS plus IPU readout
+ TDC_INT_FOR_DIFF_PLATFORMSd : if TRBV2_TYPE = 5 generate
+ start_tdc_readout_i <= lvl2_trigger_i;
+ not_ipu_dataready_out_i <= not ipu_busy_out_i;
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_ipu_dataready_out_i,
+ pulse => ipu_dataready_out_end_pulse);
+
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= ipu_data_out_i;
+ a_data_ready_i <= ipu_dataready_out_i;
+ b_data_ready_i <= ipu_dataready_out_i;
+ c_data_ready_i <= ipu_dataready_out_i;
+ d_data_ready_i <= ipu_dataready_out_i;
+ token_in_i <= ipu_dataready_out_end_pulse;
+ TOKEN_OUT <= '0';
+
+ end generate TDC_INT_FOR_DIFF_PLATFORMSd;
+
+ TDC_INT_FOR_DIFF_PLATFORMSe : if TRBV2_TYPE = 3 generate
+ start_tdc_readout_i <= lvl1_trigger_i;
+ tdc_clk_i <= CLK;
+ readout_sdram_int_clk <= CLK;
+ tdc_data_in_i <= triggbox_data_out;
+ a_data_ready_i <= triggbox_data_valid_out;
+ b_data_ready_i <= triggbox_data_valid_out;
+ c_data_ready_i <= triggbox_data_valid_out;
+ d_data_ready_i <= triggbox_data_valid_out;
+ token_in_i <= triggbox_token_out;
+ triggbox_token_in <= token_out_i;
+ TOKEN_OUT <= '0';
+ end generate TDC_INT_FOR_DIFF_PLATFORMSe;
+
+
+
+ DEBUG_STAND_ALONE: if DEBUG_OPTION = 4 generate
+ ADO_TTL(0) <= lvl1_trigger_i;
+ ADO_TTL(1) <= lvl1_finished_i;
+ MAKE_BUSY_FOR_TRB_TESTER : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or lvl2_finished_i = '1' then
+ trb_tester_busy <= '0';
+ elsif lvl1_busy_i = '1' then
+ trb_tester_busy <= '1';
+ end if;
+ end if;
+ end process MAKE_BUSY_FOR_TRB_TESTER;
+
+ ADO_TTL(2) <= trb_tester_busy;--lvl1_busy_i;
+ ADO_TTL(3) <= A_DATA_READY;
+ ADO_TTL(4) <= B_DATA_READY;
+ ADO_TTL(5) <= C_DATA_READY;
+ ADO_TTL(6) <= D_DATA_READY;
+ ADO_TTL(7) <= token_out_i;
+ ADO_TTL(8) <= TOKEN_IN;
+ ADO_TTL(9) <= lvl2_trigger_i;
+ ADO_TTL(10) <= bunch_reset_i;
+ ADO_TTL(11) <= event_reset_i;
+ ADO_TTL(12) <= TDC_CLK;
+ end generate DEBUG_STAND_ALONE;
+
+
+ TDC_INT : tdc_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ NUMBER_OFF_ADD_DATA => NUMBER_OFF_ADD_DATA,
+ TRBV2_TYPE => TRBV2_TYPE,
+ USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM,
+ CHECK_REF_TIME => CHECK_REF_TIME,
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ TDC_CLK => tdc_clk_i,
+ RESET => reset_i,
+ TDC_DATA_IN => tdc_data_in_i,
+ START_TDC_READOUT => start_tdc_readout_i, --lvl1_trigger_i,
+ A_TDC_ERROR => A_TDC_ERROR,
+ B_TDC_ERROR => B_TDC_ERROR,
+ C_TDC_ERROR => C_TDC_ERROR,
+ D_TDC_ERROR => D_TDC_ERROR,
+ A_TDC_READY => a_data_ready_i,
+ B_TDC_READY => b_data_ready_i,
+ C_TDC_READY => c_data_ready_i,
+ D_TDC_READY => d_data_ready_i,
+ SEND_TDC_TOKEN => token_out_i,
+ RECEIVED_TDC_TOKEN => token_in_i,
+ GET_TDC_DATA => GET_DATA,
+ LVL2_READOUT_COMPLETED => lvl2_readout_completed_i,
+ LVL1_TAG => lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_RND_CODE => lvl1_rnd_number_out_i, --apl_seqnr_out_i, --tdc_tag_i,
+ LVL1_CODE => lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i(7 downto 0), --apl_seqnr_out_i, --tdc_tag_i,
+ HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16),
+ ADDITIONAL_DATA => additional_data_i,
+ LVL2_TRIGGER => lvl2_trigger_i,
+ TDC_DATA_OUT => tdc_data_out_i,
+ TDC_DATA_VALID => tdc_data_valid_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ ETRAX_IS_BUSY => FS_PB_17,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_BUSY => lvl2_busy_i,
+ TDC_REGISTER_00 => tdc_register_00_i,
+ TDC_REGISTER_01 => tdc_register_01_i,
+ TDC_REGISTER_02 => tdc_register_02_i,
+ TDC_REGISTER_03 => tdc_register_03_i,
+ TDC_REGISTER_04 => tdc_register_04_i,
+ TDC_REGISTER_05 => fpga_register_0e_i,
+ BUNCH_RESET => bunch_reset_i,
+ EVENT_RESET => event_reset_i,
+ DELAY_TRIGGER => fpga_register_06_i(31 downto 24),
+ DELAY_TOKEN => fpga_register_0e_i(23 downto 16),
+ TDC_START => trigger_to_tdc_i,
+ TRIGGER_WITH_GEN_EN => fpga_register_06_i(8),
+ SELF_TAG_COUNT_EN => rw_register_i(3)(0),
+ TRIGGER_WITH_GEN => not_hades_trigger,
+ TRB_ID => rw_register_i(4),
+ LVL1_FINISHED => lvl1_finished_i,
+ LVL2_FINISHED => lvl2_finished_i,
+ TRBNET_HEADER_BUILD => rw_register_i(3)(31),
+ EVENT_SIZE => ipu_length_in_i,
+ RD_EN => rd_en_i,
+ WR_EN => wr_en_i,
+ WR_READY => wr_ready_i,
+ RD_READY => rd_ready_i,
+ DATA_IN => data_out_i,
+ DATA_OUT => data_in_i,
+ SDRAM_BUSY => sdram_busy_i,
+ TRBNET_DATA_FINISHED_OUT => open,-- trbnet_data_finished_out_i(0),
+ TRBNET_DATA_WRITE_OUT => open,
+ TRBNET_DATA_OUT => open--trbnet_data_out_i
+ );
+ -----------------------------------------------------------------------------
+ -- sdram to tdc interface
+ -----------------------------------------------------------------------------
+-- THE_SDRAM_FPGA_INTERFACE : sdram_fpga_interface
+-- generic map
+-- (
+-- USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM
+-- )
+-- port map (
+-- CLK => CLK,
+-- READOUT_CLK => TDC_CLK, --readout_sdram_int_clk,
+-- RESET => reset_i,
+-- RD_EN => rd_en_i,
+-- WR_EN => wr_en_i,
+-- WR_READY => wr_ready_i,
+-- RD_READY => rd_ready_i,
+-- DATA_IN => data_in_i,
+-- DATA_OUT => data_out_i,
+-- SDRAM_BUSY => sdram_busy_i,
+-- INTERNAL_STROBE => external_ack_i,
+-- INTERNAL_DATA_IN => external_data_out_i,
+-- INTERNAL_DATA_OUT => sdram_data_out_i,
+-- INTERNAL_ADDRESS => external_address_i,
+-- INTERNAL_MODE => external_mode_i,
+-- INTERNAL_VALID => sdram_external_valid_i,
+-- SDRAM_DEBUG_00 => r_register_i(22),
+-- SDRAM_DEBUG_01 => r_register_i(23),
+-- SDRAM_DEBUG_02 => r_register_i(24),
+-- CLK_SDRAM => VSD_CLOCK,
+-- CKE => VSD_CKE,
+-- CS => vsd_cs_i,
+-- RAS => VSD_RAS,
+-- CAS => VSD_CAS,
+-- WE => VSD_WE,
+-- BA => VSD_BA,
+-- A => VSD_A,
+-- DQ => VSD_D,
+-- DQMH => dqmh_i,
+-- DQML => dqml_i
+-- );
+--DISABLE_EXTERNAL_SDRAM_IN_READOUT: if USE_EXTERNAL_SDRAM = 0 generate
+-- sdram_busy_i <= '0';
+--end generate DISABLE_EXTERNAL_SDRAM_IN_READOUT;
+
+ENABLE_EXTERNAL_SDRAM_IN_READOUT: if USE_EXTERNAL_SDRAM = 1 generate
+
+
+ THE_SDRAM_CONTROLLER: sdram_controller
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_CLK_IN => TDC_CLK,
+ DATA_WRITE => wr_en_i,
+ WRITE_READY => open,
+ WRITE_DATA_IN => data_in_i,
+ WRITE_BUSY => open,
+ DATA_READ => sdram_data_ready_i,
+ DATA_READ_REQUEST => rd_en_i,
+ READ_READY => sdram_data_ready_i,
+ READ_DATA_OUT => data_out_i,
+ READ_BUSY => open,
+ RAM_DEBUG_00 => r_register_i(22),
+ RAM_DEBUG_01 => r_register_i(23),
+ RAM_DEBUG_02 => r_register_i(24),
+ SDARM_CLK => VSD_CLOCK,
+ CKE => VSD_CKE,
+ CE => vsd_cs_i,
+ RAS => VSD_RAS,
+ CAS => VSD_CAS,
+ WE => VSD_WE,
+ BA => VSD_BA,
+ ADDR => VSD_A,
+ DATA => VSD_D,
+ DQMH => dqmh_i,
+ DQML => dqml_i);
+
+ sdram_busy_i <= '0';
+ VSD_DQML(0) <= dqml_i;
+ VSD_DQML(1) <= dqmh_i;
+ VSD_DQML(2) <= dqml_i;
+ VSD_DQML(3) <= dqmh_i;
+
+ VSD_CSEH <= vsd_cs_i;
+ VSD_CSEL <= vsd_cs_i;
+
+ ENABLE_DEBUG_SDRAM_READOUT : if DEBUG_OPTION = 5 generate
+
+ ADO_TTL(0) <= r_register_i(23)(4); --write data from tdc
+ ADO_TTL(1) <= r_register_i(23)(1); --read fifo to sdram
+ ADO_TTL(3) <= r_register_i(23)(0); --read fifo from sdram
+ ADO_TTL(4) <= r_register_i(22)(28); --write fifo from sdram
+ ADO_TTL(5) <= r_register_i(23)(6); -- read state
+ ADO_TTL(6) <= r_register_i(23)(7); --write state
+
+-- ADO_TTL(8) <= r_register_i(23)(6);
+-- ADO_TTL(11 downto 9) <= r_register_i(22)(15 downto 13);
+ ADO_TTL(15 downto 8) <= r_register_i(22)(23 downto 16);
+-- ADO_TTL(11 downto 8) <= r_register_i(22)(27 downto 24);
+-- ADO_TTL(9) <= r_register_i(23)(3);
+-- ADO_TTL(10) <= r_register_i(22)(30);
+-- ADO_TTL(11) <= r_register_i(23)(2);
+---- ADO_TTL(15 downto 8) <= r_register_i(23)(7 downto 0);
+-- ADO_TTL(8) <= r_register_i(22)(19);
+-- ADO_TTL(9) <= r_register_i(22)(29);
+-- ADO_TTL(10) <= r_register_i(22)(21);
+-- ADO_TTL(11) <= r_register_i(22)(25);
+-- ADO_TTL(12) <= r_register_i(22)(8);
+-- --ADO_TTL(12) <= lvl1_trigger_i;
+-- --ADO_TTL(13) <= lvl2_trigger_i;
+
+-- ADO_TTL(13) <= r_register_i(23)(0);
+-- ADO_TTL(14) <= r_register_i(24)(0);
+-- ADO_TTL(15) <= r_register_i(23)(25);
+
+ end generate ENABLE_DEBUG_SDRAM_READOUT;
+
+end generate ENABLE_EXTERNAL_SDRAM_IN_READOUT;
+
+ -----------------------------------------------------------------------------
+ -- lvl1 trigger logic
+ -----------------------------------------------------------------------------
+
+
+
+ OMIT_PROBLEM_WITH_MISS_REF : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ optical_tdc_trigger_reg_1 <= '0';
+ optical_tdc_trigger_reg_2 <= '0';
+ optical_tdc_trigger_reg_2 <= '0';
+ optical_tdc_trigger <= '0';
+ else
+ optical_tdc_trigger_reg_1 <= lvl1_trigger_i;
+ optical_tdc_trigger_reg_2 <= optical_tdc_trigger_reg_1;
+ optical_tdc_trigger_reg_3 <= optical_tdc_trigger_reg_2;
+ optical_tdc_trigger <= optical_tdc_trigger_reg_1 or optical_tdc_trigger_reg_2 or optical_tdc_trigger_reg_3;
+ end if;
+ end if;
+ end process OMIT_PROBLEM_WITH_MISS_REF;
+
+
+ EXT_TRIGGER_1 : edge_to_pulse
+ port map (
+ clock => TDC_CLK,
+ en_clk => '1',
+ signal_in => optical_tdc_trigger, --fast_ref_trigger_synch,
+ pulse => fast_ref_trigger_pulse);
+
+ SYNCH_WITHC_TDC_CLK : process (TDC_CLK, reset_i)
+ begin -- process SYNCH_WITHC_TDC_CLK
+ if rising_edge(TDC_CLK) then
+ if reset_i = '1' then -- asynchronous reset (active low)
+ fast_ref_trigger_pulse_synch <= '0';
+ else
+ fast_ref_trigger_pulse_synch <= fast_ref_trigger_pulse;
+ end if;
+ end if;
+ end process SYNCH_WITHC_TDC_CLK;
+
+ a_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ b_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ c_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+ d_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+
+ BLINK_TDC_DIODS_ON_TRBv2c : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ A_TDC_POWERUP <= '1'; --in trbv2c this is a diod in trbv2b real!!!
+ B_TDC_POWERUP <= '1'; -- -//-
+ C_TDC_POWERUP <= '1'; -- -//-
+ D_TDC_POWERUP <= '1'; -- -//-
+ elsif rw_register_i(3)(8) = '0' then --trbv2b deafoult '0'
+ A_TDC_POWERUP <= '1';
+ B_TDC_POWERUP <= '1';
+ C_TDC_POWERUP <= '1';
+ D_TDC_POWERUP <= '1';
+ else
+ A_TDC_POWERUP <= not r_register_i(18)(22);
+ B_TDC_POWERUP <= not r_register_i(18)(23);
+ C_TDC_POWERUP <= not r_register_i(18)(24);
+ D_TDC_POWERUP <= not r_register_i(18)(25);
+ end if;
+ end if;
+ end process BLINK_TDC_DIODS_ON_TRBv2c;
+
+ DBAD <= lvl1_busy_i;
+ DWAIT <= lvl2_busy_i;
+
+ LVL1_TAG_COUNT : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => TDC_CLK,
+ RESET => reset_i,
+ COUNT_OUT => ref_time_counter,
+ UP_IN => fast_ref_trigger_pulse,
+ DOWN_IN => '0');
+
+ CHECK_REF_AND_DIGITAL_TRIGGER : process (CLK, reset_i, lvl1_trigger_i, ref_time_counter, lvl1_trigger_tag_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ trigger_miss_match <= '0';
+ elsif lvl1_trigger_i = '1' and (ref_time_counter /= lvl1_trigger_tag_i(7 downto 0)) then
+ trigger_miss_match <= '1';
+ elsif fast_ref_trigger_pulse = '1' and (ref_time_counter /= lvl1_trigger_tag_i(7 downto 0)) then
+ trigger_miss_match <= '0'; --trigger_miss_match;
+ end if;
+ end if;
+ end process CHECK_REF_AND_DIGITAL_TRIGGER;
+
+ fpga_register_0a_i(15 downto 0) <= ref_time_counter & lvl1_trigger_tag_i(7 downto 0);
+ fpga_register_0a_i(30) <= not_hades_trigger;
+ fpga_register_0a_i(31) <= fast_ref_trigger;
+-----------------------------------------------------------------------------
+-- MULTIPLEX_DATA_TO_ETRAX
+-----------------------------------------------------------------------------
+
+
+
+ MULTIPLEX_DATA_TO_ETRAX : process(CLK, reset_i, external_mode_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ external_data_in_i <= (others => '0');
+ elsif external_mode_i(7 downto 0) = x"01" then
+ external_data_in_i <= dsp_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"02" then
+ external_data_in_i <= sdram_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"05" then
+ external_data_in_i <= trigger_rw_data_out_i;
+ elsif external_mode_i(7 downto 0) = x"06" then
+ external_data_in_i <= x"0000" & sfp_data_out;
+ else
+ external_data_in_i <= x"babe" & external_mode_i;
+ end if;
+ end if;
+ end process MULTIPLEX_DATA_TO_ETRAX;
+-- dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+ --\@@##$$%%^^&&**((( put dsp_strobe to the dsp interface (extarnal mode has
+ -- to be there)
+-- sdram_strobe_i <= '1' when external_mode_i(7 downto 0) = x"02" and external_ack_i = '1' else '0';
+-- external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or sfp_external_valid;
+ external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or trigger_rw_valid_out_i or sfp_external_valid ;
+-------------------------------------------------------------------------------
+-- sfp interface
+-------------------------------------------------------------------------------
+ Sfp_Interface_1: Sfp_Interface
+ generic map (
+ I2C_SPEED => X"0050") --for 100MHz CLK it is ~ 312.5kz
+ port map (
+ CLK_IN => CLK,
+ RST_IN => reset_i,
+ START_PULSE => external_ack_i,
+ DEVICE_ADDRESS => external_mode_i(7 downto 0),
+ DATA_OUT => sfp_data_out,--external_data_in_i(15 downto 0),--r_register_i(20)(15 downto 0),
+ SCL => SCL,
+ SDA => SDA,
+ EN_RESET => external_address_i(15),
+ READ_DONE => sfp_external_valid, --external_valid_i
+ DEBUG => r_register_i(21),
+ SFP_ADDRESS => external_address_i);
+ r_register_i(20)(15 downto 0) <= sfp_data_out;
+-- Sfp_Interface_1 : Sfp_Interface
+-- generic map (
+-- device_add => "1010001",
+-- temp_start_add => "01100000",
+-- opt_pwr_start_add => "01101000",
+-- I2C_SPEED => "11111101")
+-- port map (
+-- CLK_IN => CLK,
+-- RST_IN => reset_i,
+-- START_PULSE => external_ack_i,
+-- TEMP_DATA_OUT => r_register_i(20)(15 downto 0),
+-- OPT_DATA_OUT => r_register_i(20)(31 downto 16),
+-- SCL => SDA,--SCL,
+-- SDA => SCL,--SDA,
+-- EN_RESET => external_address_i(15),
+-- DEBUG => r_register_i(21),
+-- SFP_ADDRESS => external_address_i
+-- -- DEVICE_ADDRESS => external_mode_i(7 downto 0)
+-- );
+-- SFP_MOD_LOW <= '0';
+
+-- ENABLE_SFP_DEBUG : if DEBUG_OPTION = 3 generate
+-- ADO_TTL(4 downto 0) <= r_register_i(21)(4 downto 0);
+-- ADO_TTL(15 downto 8) <= r_register_i(21)(15 downto 8);
+-- end generate ENABLE_SFP_DEBUG;
+
+-------------------------------------------------------------------------------
+-- etrax interface
+-------------------------------------------------------------------------------
+ ETRAX_IRQ <= '1';
+
+
+ ETRAX_INTERFACE_LOGIC : etrax_interfacev2
+ generic map (
+ ENABLE_DMA => ENABLE_DMA,
+ RW_SYSTEM => RW_SYSTEM,
+ RW_REGISTERS_NUMBER => RW_REGISTERS_NUMBER,
+ R_REGISTERS_NUMBER => R_REGISTERS_NUMBER,
+ TRBNET_ENABLE => TRBNET_ENABLE
+ )
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ DATA_BUS => tdc_data_out_i,
+ ETRAX_DATA_BUS_B => FS_PB,
+ ETRAX_DATA_BUS_B_17 => FS_PB_17,
+ ETRAX_DATA_BUS_C => FS_PC,
+ ETRAX_DATA_BUS_E => open,
+ IPU_READY_IN => ipu_read_out_i,
+ IPU_DATAREADY_OUT => ipu_dataready_in_i,
+ IPU_DATA_OUT => ipu_data_in_i,
+ DATA_VALID => tdc_data_valid_i,
+ ETRAX_BUS_BUSY => etrax_bus_busy_i,
+ ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+ TDC_TCK => VIRT_TCK,
+ TDC_TDI => VIRT_TDI,
+ TDC_TMS => VIRT_TMS,
+ TDC_TRST => open, --VIRT_TRST,
+ TDC_TDO => VIRT_TDO,
+ TDC_RESET => open, --TDC_RESET,
+ EXTERNAL_ADDRESS => external_address_i,
+ EXTERNAL_DATA_OUT => external_data_out_i,
+ EXTERNAL_DATA_IN => external_data_in_i,
+ EXTERNAL_ACK => external_ack_i,
+ EXTERNAL_VALID => external_valid_i,
+ EXTERNAL_MODE => external_mode_i,
+ RW_REGISTER => rw_register_vector,
+ R_REGISTER => r_register_vector,
+ LVL2_VALID => lvl2_valid_i,--lvl2_trigger_code_i(3),
+ TRB_LVL2_BUSY => lvl2_busy_i,
+ REGIO_ADDR_IN => regio_addr_out_i,
+ REGIO_READ_ENABLE_IN => regio_read_enable_out_i,
+ REGIO_WRITE_ENABLE_IN => regio_write_enable_out_i,
+ REGIO_DATA_IN => regio_data_out_i,
+ REGIO_DATA_OUT => regio_data_in_i,
+ REGIO_DATAREADY_OUT => regio_dataready_in_i,
+ REGIO_NO_MORE_DATA_OUT => regio_no_more_data_in_i,
+ REGIO_WRITE_ACK_OUT => regio_write_ack_in_i,
+ REGIO_UNKNOWN_ADDR_OUT => regio_unknown_addr_in_i,
+ DEBUG_REGISTER_OO => etrax_debug_reg
+ );
+
+ lvl2_valid_i <= lvl2_trigger_code_i(3) or rw_register_i(3)(4);
+
+ DEBUG_TRBNET_REGIO: if DEBUG_OPTION = 6 generate
+ ADO_TTL(3) <= regio_addr_out_i(0);
+ ADO_TTL(4) <= regio_read_enable_out_i; --read fifo to sdram
+ ADO_TTL(5) <= regio_write_enable_out_i; --read fifo from sdram
+ ADO_TTL(6) <= etrax_debug_reg(24);--write fifo from sdram
+ ADO_TTL(7) <= etrax_debug_reg(16); -- read state
+ ADO_TTL(8) <= regio_write_ack_in_i; --write state
+ ADO_TTL(9) <= etrax_debug_reg(0); --write state
+ ADO_TTL(10) <= regio_data_in_i(0);
+ ADO_TTL(11) <= regio_data_out_i(0);
+ ADO_TTL(12) <= etrax_debug_reg(25);
+ ADO_TTL(13) <= CLK;
+ ADO_TTL(14) <= regio_unknown_addr_in_i;
+ ADO_TTL(15) <= CLK;
+-- ADO_TTL(15 downto 8) <= regio_data_out_i(7 downto 0);
+
+
+ end generate DEBUG_TRBNET_REGIO;
+
+ REWRITE_R_REGISTER : for i in 1 to R_REGISTERS_NUMBER generate
+ r_register_vector(32*i-1 downto 32*(i-1)) <= r_register_i(i-1);
+ end generate REWRITE_R_REGISTER;
+
+ REWRITE_RW_REGISTER : for i in 1 to RW_REGISTERS_NUMBER generate
+ rw_register_i(i-1) <= rw_register_vector(32*i-1 downto 32*(i-1));
+ end generate REWRITE_RW_REGISTER;
+
+ fpga_register_01_i <= tdc_register_00_i;
+ fpga_register_02_i <= tdc_register_01_i;
+ fpga_register_03_i <= tdc_register_02_i;
+ fpga_register_04_i <= tdc_register_03_i;
+ fpga_register_05_i <= tdc_register_04_i;
+
+-- fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
+-- fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
+-- fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
+ fpga_register_0c_i <= trigger_miss_match & opt_busy_lvl1_or_lvl2 & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+-- fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
+ r_register_i(0) <= x"aaaabbbb";
+ r_register_i(1) <= tdc_register_00_i;
+ r_register_i(2) <= tdc_register_01_i;
+ r_register_i(3) <= tdc_register_02_i;
+ r_register_i(4) <= tdc_register_03_i;
+ r_register_i(5) <= fpga_register_05_i;
+ r_register_i(6) <= conv_std_logic_vector(VERSION_NUMBER_TIME, 32);
+ r_register_i(7) <= x"abcd0001"; --fpga_register_07_i;
+ r_register_i(8) <= fpga_register_08_i;
+ r_register_i(9) <= fpga_register_09_i;
+ r_register_i(10) <= fpga_register_0a_i;
+ r_register_i(11) <= fpga_register_0b_i;
+ r_register_i(12) <= fpga_register_0c_i;
+ r_register_i(13) <= fpga_register_0d_i;
+-- r_register_i((14+1)*32-1 downto 14*32) <= x"abcd0002";
+ fpga_register_06_i <= rw_register_i(0);
+ fpga_register_07_i <= rw_register_i(1);
+ fpga_register_0e_i <= rw_register_i(2);
+
+
+
+-------------------------------------------------------------------------------
+-- dsp -- remember about offset for
+-- adressing internal registers (0x10000000). DSP is foreseen
+-- for multiprocessor environment
+-------------------------------------------------------------------------------
+
+ DSP_EN : if DSP_INT_ENABLE = 1 generate
+ DSP_DATA_REGISTER : process (CLK, reset_i)
+ begin -- process DSP_DATA_REGISTER
+ if CLK'event and CLK = '1' then
+ if reset_i = '1' then
+ dsp_data_reg_in_i <= x"00000000";
+ dsp_data_reg_out_i <= x"00000000";
+ dsp_bm_reg <= '0';
+ else
+ dsp_bm_reg <= DSP_BM;
+ dsp_data_reg_in_i <= DSPDAT;
+ dsp_data_reg_out_i <= dspdat_out_i;
+ end if;
+ end if;
+ end process DSP_DATA_REGISTER;
+
+ DSP_HBR <= '1';
+ DSP_RESET <= fpga_register_06_i(4);
+ DSP_HBR <= dsp_hbr_i;
+ DSPDAT <= dspdat_out_i;
+ dspdat_in_i <= DSPDAT;
+ DSP_WRL <= DSP_WRL_i;
+ DSP_RD <= DSP_RD_i;
+ DSPADDR <= dspaddr_i;
+ DSP_BOFF <= fpga_register_06_i(5);
+ DSP_IRQ <= x"1";
+ DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+ DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+
+ DSP_INTERFACE_LOGIC : dsp_interface
+ port map (
+ HBR_OUT => dsp_hbr_i,
+ HBG_IN => DSP_HBG,
+ RD_OUT => DSP_RD_i,
+ DSP_DATA_OUT => dspdat_out_i, --DSPDAT to DSP,
+ DSP_DATA_IN => dspdat_in_i, --DSPDAT to FPGA,
+ ADDRESS_DSP => dspaddr_i, --DSPADDR,
+ WRL => DSP_WRL_i,
+ WRH => DSP_WRH,
+ BM_IN => DSP_BM,
+ DSP_RESET => open,
+ BRST => DSP_BRST,
+ ACK => DSP_ACK,
+ CLK => CLK,
+ RESET => reset_i,
+ R_W_ENABLE => external_mode_i(15),
+ TRIGGER => dsp_strobe_i,
+ INTERNAL_DATA_IN => external_data_out_i,
+ INTERNAL_DATA_OUT => dsp_data_out_i, --external_data_in_i,
+ INTERNAL_ADDRESS => external_address_i,
+ VALID_DATA_SENT => dsp_external_valid_i,
+ ACKNOWLEDGE => dsp_strobe_i,
+ DEBUGSTATE_MACHINE => dsp_register_00_i);
+
+ end generate DSP_EN;
+
+ DSP_DISABLE: if DSP_INT_ENABLE = 0 generate
+ dsp_external_valid_i <= '0';
+ DSPDAT <= (others => 'Z');
+ DSP_WRH <= 'Z';
+ DSP_WRL <= 'Z'; --in for virtex to read dsp(it's out =0 if I
+ --write into dsp)
+--OUT
+ DSP_HBR <= '1';
+ DSPADDR <= (others => 'Z');
+ DSP_RD <= 'Z';
+ DSP_BRST <= 'Z';
+ end generate DSP_DISABLE;
+
+
+-------------------------------------------------------------------------------
+-- sdram interface
+-------------------------------------------------------------------------------
+
+-- SDRAM_EN : if SDRAM_INT_ENABLE = 1 generate
+-- SDRAM_INTERFACE_LOGIC : sdram_interface
+-- port map (
+-- CLK_SDRAM => VSD_CLOCK,
+-- CKE => VSD_CKE,
+-- CS => vsd_cs_i,
+-- RAS => VSD_RAS,
+-- CAS => VSD_CAS,
+-- WE => VSD_WE,
+-- DQM => VSD_DQML,
+-- BA => VSD_BA,
+-- A => VSD_A,
+-- DQ => VSD_D,
+-- CLK => CLK,
+-- RESET => reset_i,
+-- TRIGGER => external_ack_i,
+-- INTERNAL_DATA_IN => external_data_out_i,
+-- INTERNAL_DATA_OUT => sdram_data_out_i,
+-- INTERNAL_ADDRESS => external_address_i,
+-- INTERNAL_MODE => external_mode_i,
+-- VALID_DATA_SENT => sdram_external_valid_i,
+-- DEBUGSTATE_MACHINE => sdram_register_00_i);
+
+-- VSD_CSEH <= vsd_cs_i;
+-- VSD_CSEL <= vsd_cs_i;
+---- ADO_TTL(18) <= '1';
+---- ADO_TTL(15 downto 0) <= (others => 'Z');
+-- end generate SDRAM_EN;
+
+-- SDRAM_DISABLE: if SDRAM_INT_ENABLE = 0 generate
+-- sdram_external_valid_i <= '0';
+-- VSD_CLOCK <= '0';
+-- VSD_CSEL <= '1';
+-- VSD_CSEH <= '1';
+-- VSD_RAS <= '1';
+-- VSD_CAS <= '1';
+-- VSD_WE <= '1';
+-- VSD_DQML <= x"0";
+-- VSD_A(12 downto 0) <= (others => '0');
+-- VSD_D <= (others => 'Z');
+-- VSD_BA <= "00";
+-- end generate SDRAM_DISABLE;
+
+-------------------------------------------------------------------------------
+-- opt dtu
+-------------------------------------------------------------------------------
+
+ CTU_DTU_OPT_EN : if DTU_ENABLE = 2 generate
+
+ TLK_TX_ER <= '0';
+ SFP_TX_DIS <= '0'; --fpga_register_06_i(15);
+ TLK_LOOPEN <= '0';
+ TLK_LCKREFN <= '1';
+ TLK_ENABLE <= '1';
+ TLK_PRBSEN <= '0';
+
+-- TLK_RX_CLK_BUFR : BUFR
+-- port map(
+-- CE => '1',
+-- CLR => '0',
+-- I => TLK_RX_CLK,
+-- O => tlk_rx_clk_r(0)
+-- );
+-- tlk_rx_clk_r(0) <= TLK_RX_CLK;
+
+-- TLK_CLK_BUFR : BUFR
+-- port map(
+-- CE => '1',
+-- CLR => '0',
+-- I => TLK_CLK,
+-- O => tlk_clk_r(0)
+-- );
+
+ DTU_EN : if TRBV2_TYPE < 4 generate
+ DTU_OPT_INST : optical_dtu
+ port map (
+ CLK => CLK,
+ RX_CLK => CLK,--tlk_rx_clk_r(0),
+ TX_CLK => CLK,--tlk_clk_r(0),
+ RESET => reset_i,
+ OPT_DATA_IN => med_data_out_i,--TLK_RXD,
+ OPT_DATA_OUT => med_data_in_i,--TLK_TXD,
+ OPT_DATA_VALID_IN => med_dataready_out_i(0),--TLK_RX_DV,
+ OPT_DATA_ERR => '0',--TLK_RX_ER,
+ OPT_DATA_SEND => med_dataready_in_i(0),--TLK_TX_EN,
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_TRIGGER_CODE => lvl1_trigger_code_i,
+ LVL1_TRIGGER_TAG => lvl1_trigger_tag_i(7 downto 0),
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_TRIGGER_CODE => lvl2_trigger_code_i(3),
+ LVL2_TRIGGER_TAG => lvl2_trigger_tag_i(7 downto 0),
+ LVL1_BUSY => lvl1_busy_i,--busy_or_error,
+ LVL2_BUSY => lvl2_busy_i,
+ OPT_DTU_DEBUG_REGISTER_00 => fpga_register_0d_i,
+ OPT_DTU_DEBUG_REGISTER_01 => fpga_register_0b_i
+ );
+ med_read_in_i(0) <= '1';
+ SEND_PACKET_NUM : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ med_packet_num_in_i <= "001";
+ elsif med_dataready_out_i(0)='1' then
+ med_packet_num_in_i <= med_packet_num_in_i+1;
+ else
+ med_packet_num_in_i <= med_packet_num_in_i;
+ end if;
+ end if;
+ end process SEND_PACKET_NUM;
+ ado_lv_out_i <= (others => '0');
+ busy_or_error <= trigger_miss_match or lvl1_busy_i;
+-- busy_or_error <= lvl1_busy_i;
+ ADO_TTL(0) <= TLK_RX_ER;
+ ADO_TTL(1) <= TLK_RX_DV;
+ ADO_TTL(5 downto 2) <= TLK_RXD(15 downto 12);
+ ADO_TTL(6) <= lvl1_trigger_i;
+ ADO_TTL(7) <= lvl1_busy_i;
+ ADO_TTL(8) <= lvl2_trigger_i;
+ ADO_TTL(9) <= lvl2_busy_i;
+ ADO_TTL(10) <= FS_PB_17;
+ ADO_TTL(11) <= tdc_data_valid_i;
+ ADO_TTL(12) <= lvl2_trigger_code_i(3);
+-- ADO_TTL(15 downto 0) <= (others => '0');
+
+ end generate DTU_EN;
+
+---------------------------------------------------------------------------
+-- optical ctu
+---------------------------------------------------------------------------
+
+ CTU_EN : if (TRBV2_TYPE = 4 or TRBV2_TYPE = 5) and TRBNET_ENABLE = 0 generate
+ CTU_OPT_INST : optical_ctu
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ RX_CLK => tlk_rx_clk_r(0),
+ TX_CLK => tlk_clk_r(0),
+ OPT_DATA_IN => TLK_RXD,
+ OPT_DATA_OUT => TLK_TXD,
+ OPT_DATA_VALID_IN => TLK_RX_DV,
+ OPT_DATA_ERR => TLK_RX_ER,
+ OPT_DATA_SEND => TLK_TX_EN,
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_TAG => lvl1_trigger_tag_i(7 downto 0),
+ LVL1_BUSY => opt_lvl1_busy_i,
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_CODE => lvl2_trigger_code_i(3),
+ LVL2_TAG => lvl2_trigger_tag_i(7 downto 0),
+ LVL2_BUSY => opt_lvl2_busy_i,
+ OPT_CTU_DEBUG_00 => fpga_register_0d_i,
+ OPT_CTU_DEBUG_01 => fpga_register_0b_i
+ );
+
+ ADO_TTL(0) <= TLK_RX_ER;
+ ADO_TTL(1) <= TLK_RX_DV;
+ ADO_TTL(5 downto 2) <= TLK_RXD(15 downto 12);
+ ADO_TTL(6) <= lvl1_trigger_i;
+ ADO_TTL(7) <= opt_lvl1_busy_i;
+ ADO_TTL(8) <= lvl2_trigger_i;
+ ADO_TTL(9) <= opt_lvl2_busy_i;
+ ADO_TTL(10) <= opt_busy_lvl1_or_lvl2;
+ ADO_TTL(11) <= fast_ref_trigger;
+ ADO_TTL(12) <= lvl2_trigger_code_i(3);
+ ADO_TTL(39 downto 32) <= (others => 'Z');
+ end generate CTU_EN;
+
+ BUSY_SELECT : if TRBV2_TYPE = 4 generate
+ not_lvl1_busy_opt <= opt_lvl1_busy_i or vulom_busy;
+ end generate BUSY_SELECT;
+
+ SUB_SYSTEM_SELECT : if TRBV2_TYPE = 5 generate
+
+ not_lvl1_busy_opt <= not opt_lvl1_busy_i;
+
+ SYNCH_SIGNALS : process (CLK, reset_i)
+ begin -- process SYNCH_SIGNALS
+ if rising_edge(CLK) then
+ if reset_i = '1' then -- asynchronous reset (active low)
+ ext_lvl1_trigger_i <= '0';
+ lvl1_trigger_i <= '0';
+ lvl1_trigger_code_i <= x"1";
+ lvl1_trigger_tag_i(7 downto 0) <= x"00";
+
+ else
+ ext_lvl1_trigger_i <= (not_hades_trigger and fpga_register_06_i(7) and (not(opt_busy_lvl1_or_lvl2))) or fpga_register_0e_i(0);
+ lvl1_trigger_i <= ext_lvl1_trigger_pulse;
+ lvl1_trigger_code_i <= x"1";
+ lvl1_trigger_tag_i(7 downto 0) <= ext_tag;
+
+ end if;
+ end if;
+ end process SYNCH_SIGNALS;
+ OPT_LVL1_BUSY : process (CLK, reset_i, lvl2_opt_busy_pulse, ext_lvl1_trigger_pulse)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' or lvl2_opt_busy_pulse = '1' then
+ opt_busy_lvl1_or_lvl2 <= '0';
+ elsif (ext_lvl1_trigger_i and fpga_register_06_i(7) and (not(opt_busy_lvl1_or_lvl2))) = '1' then
+ opt_busy_lvl1_or_lvl2 <= '1';
+ end if;
+ end if;
+ end process OPT_LVL1_BUSY;
+
+ EXT_LVL1_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ext_lvl1_trigger_i,
+ pulse => ext_lvl1_trigger_pulse);
+
+ not_lvl2_opt_busy <= not opt_lvl2_busy_i;
+
+ EXT_LVL2_PULSER : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_lvl2_opt_busy,
+ pulse => lvl2_opt_busy_pulse);
+
+ LVL1_TAG_COUNT : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ COUNT_OUT => ext_tag,
+ UP_IN => ext_lvl1_trigger_pulse,
+ DOWN_IN => '0');
+
+ fpga_register_09_i(7 downto 0) <= ext_tag;
+ fpga_register_09_i(31) <= opt_lvl1_busy_i;
+ fpga_register_09_i(15 downto 8) <= lvl2_trigger_tag_i(7 downto 0);
+
+
+ AUTO_LVL2 : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => not_lvl1_busy_opt,
+ pulse => lvl2_trigger_i);
+
+ LVL2_TAG_COUNT : up_down_counter
+ generic map (
+ NUMBER_OF_BITS => 8)
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ COUNT_OUT => lvl2_trigger_tag_i(7 downto 0),
+ UP_IN => lvl2_trigger_i,
+ DOWN_IN => '0');
+
+ lvl2_trigger_code_i(3) <= '0';
+
+ end generate SUB_SYSTEM_SELECT;
+
+ end generate CTU_DTU_OPT_EN;
+
+-----------------------------------------------------------------------------
+-- tlk interface
+-----------------------------------------------------------------------------
+
+ TLK_ISE : if DTU_ENABLE = 0 and TRBV2_TYPE < 4 and TRBNET_ENABLE = 0 generate
+
+ TLK_TX_ER <= '0';
+ SFP_TX_DIS <= '0'; --fpga_register_06_i(15);
+ TLK_LOOPEN <= '0';
+ TLK_LCKREFN <= '1';
+ TLK_ENABLE <= '1';
+ TLK_PRBSEN <= '0';
+ cv_i <= x"f" & "110" & TLK_RX_ER;
+ TLK_TX_EN <= tx_k_i(0);
+ -- TLK_TX_EN <= ;
+ sfp_los_vect(0) <= SFP_LOS;
+ rx_k_i <= x"0" & "000" & TLK_RX_DV;
+ tlk_rxd_i <= x"000000000000" & TLK_RXD;
+ TLK_TXD <= tlk_txd_i(15 downto 0);
+
+ TLK_RX_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_RX_CLK,
+ O => tlk_rx_clk_r(0)
+ );
+
+ TLK_CLK_BUFR : BUFR
+ port map(
+ CE => '1',
+ CLR => '0',
+ I => TLK_CLK,
+ O => tlk_clk_r(0)
+ );
+
+ TLK_INTERFACE_INST : media_interface
+ generic map (
+ HOW_MANY_CHANNELS => HOW_MANY_CHANNELS,
+ SYSTEM => 6
+ )
+ port map (
+ RESET => reset_i,
+ SYSTEM_CLK => CLK,
+ TX_CLK => tlk_clk_r,
+ RX_CLK => tlk_rx_clk_r,
+ RXD => tlk_rxd_i,
+ RX_K => rx_k_i,
+ RX_RST => open,
+ CV => cv_i,
+ TXD => tlk_txd_i,
+ TX_K => tx_k_i,
+ MEDIA_STATUS => media_status_i,
+ MEDIA_CONTROL => media_control_i,
+ MED_DATAREADY_IN => med_dataready_in_i,
+ MED_DATA_IN => x"0000", --med_data_in_i,
+ MED_READ_OUT => open, --med_read_out_i,
+ MED_DATA_OUT => open, --med_data_out_i,
+ MED_DATAREADY_OUT => open, --med_dataready_out_i,
+ MED_READ_IN => med_read_in_i,
+ MED_PACKET_NUM_IN => med_packet_num_in_i,
+ MED_PACKET_NUM_OUT => med_packet_num_out_i,
+ MED_STAT_OP => med_stat_op_in_i,
+ MED_CTRL_OP => med_ctrl_op_out_i,
+ LINK_DEBUG => link_debug_i,
+ TX_DIS => open,
+ SFP_INP_N => x"00",
+ SFP_INP_P => x"00",
+ SFP_OUT_N => open,
+ SFP_OUT_P => open
+ );
+
+ DGOOD <= med_stat_op_in_i(9);
+ media_status_i(0) <= sfp_los_vect(0);
+ fpga_register_0b_i(15 downto 0) <= media_status_i;
+ fpga_register_0d_i <= link_debug_i;
+ end generate TLK_ISE;
+
+
+-------------------------------------------------------------------------------
+-- dtu - there has to be an GP-AddOn with correct configuration see GP_AddOn
+-- directory (not available now). Old HADES bus saved for any case.
+-------------------------------------------------------------------------------
+ DTU_INT_ENABLE : if DTU_ENABLE = 1 generate
+ DTU_INT : dtu_interface
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ LVL1_TRIGGER_BUS => ADO_TTL(0),
+ LVL1_DATA_TRIGGER_BUS => ADO_TTL(1),
+ LVL1_DATA_BUS => ADO_TTL(5 downto 2),
+ LVL1_ERROR_BUS => open,
+ LVL1_BUSY_BUS => ADO_TTL(7),
+ LVL1_TRIGGER => lvl1_trigger_i,
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_TAG => lvl1_trigger_tag_i(7 downto 0),
+ LVL1_BUSY => lvl1_busy_i, --'0',
+ LVL2_TRIGGER_BUS => ADO_TTL(8),
+ LVL2_DATA_TRIGGER_BUS => ADO_TTL(9),
+ LVL2_DATA_BUS => ADO_TTL(13 downto 10),
+ LVL2_ERROR_BUS => open,
+ LVL2_BUSY_BUS => ADO_TTL(15),
+ LVL2_TRIGGER => lvl2_trigger_i,
+ LVL2_CODE => lvl2_trigger_code_i,
+ LVL2_TAG => lvl2_trigger_tag_i(7 downto 0),
+ LVL2_BUSY => lvl2_busy_i,
+ LVL2_TRB_ACK => lvl2_readout_completed_i, --lvl2_trb_ack_i,
+ DTU_DEBUG_00 => dtu_debug_00_i);
+ -- ADO_TTL(7) <= lvl1_busy_i;
+ ADO_TTL(5 downto 0) <= (others => 'Z');
+ ADO_TTL(13 downto 8) <= (others => 'Z');
+ ADO_TTL(34) <= '1';
+ ADO_TTL(33) <= '0';
+ end generate DTU_INT_ENABLE;
+
+-------------------------------------------------------------------------------
+-- ctu - there has to be an GP-AddOn with correct configuration see GP_AddOn
+-- directory (not available now). Old HADES bus Saved for any case.
+-------------------------------------------------------------------------------
+ CTU_INT_ENABLE : if CTU_ENABLE = 1 generate
+ lvl2_trigger_code_i(3) <= '0';
+ ADO_TTL(15 downto 0) <= (others => 'Z');
+
+ CTU_INT : ctu
+ port map (
+ CLK => CLK,
+ RESET => reset_i,
+ LVL1_TRIGG => ADO_TTL(7 downto 0),
+ LVL1_START => lvl1_trigger_i,
+ LVL1_TAG => lvl1_trigger_tag_i(7 downto 0),
+ LVL1_CODE => lvl1_trigger_code_i,
+ LVL1_BUSY => lvl1_busy_i,
+ LVL2_TRIGG => "00", --MU
+ LVL2_START => open, --lvl2_trigger_i, chang to one bit ?
+ LVL2_TAG => open,
+ LVL2_BUSY => lvl2_busy_i,
+ LVL2_DOWNSCALING => fpga_register_06_i(15 downto 8),
+ CTU_CONTROL => x"00000000", --x"0000" & fpga_register_06_i(15 downto 0), --26
+ LVL1_CTU_STATUS => lvl1_ctu_status_i,
+ LVL2_CTU_STATUS => lvl2_ctu_status_i);
+ end generate CTU_INT_ENABLE;
+
+
+--------------------------------------------------------------------------
+-- scalers - there has to be an GP-AddOn with correct configuration see GP_AddOn
+-- directory (not available now).
+-------------------------------------------------------------------------
+ SCALERS_ON_TTL_ENABLE : if SCALERS_ENABLE = 1 generate
+ SCALER : for ttl_line in 0 to 7 generate
+ PULSE_TO_EDGE : edge_to_pulse
+ port map (
+ clock => CLK,
+ en_clk => '1',
+ signal_in => ADO_TTL(ttl_line),
+ pulse => scaler_pulse(ttl_line));
+ SCALER : simpleupcounter_32bit
+ port map (
+ QOUT => scaler_counter(ttl_line),
+ UP => scaler_pulse(ttl_line),
+ CLK => CLK,
+ CLR => reset_i);
+ end generate SCALER;
+ ADO_TTL(7 downto 0) <= (others => 'Z');
+ end generate SCALERS_ON_TTL_ENABLE;
+
+
+
+
+--------------------------------------------------------------------------
+-- others --simple test for clock quality (osciloscope)
+--------------------------------------------------------------------------
+
+ COUNTER_FOR_CLOCK_CHECK : process (CLK, reset_i)
+ begin
+ if rising_edge(CLK) then
+ if reset_i = '1' then
+ check_counter <= (others => '0');
+ else
+ check_counter <= check_counter + 1;
+ end if;
+ end if;
+ end process COUNTER_FOR_CLOCK_CHECK;
+ check_pulse <= '1' when check_counter > x"fffe" else '0';
+
+end trb_v2b_fpga;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+--use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+use STD.TEXTIO.all;
+use IEEE.STD_LOGIC_TEXTIO.all;
+
+entity trigger_interface is
+ generic (
+ TRIGGER_RW_REGISTERS_NUMBER : natural;
+ TRIGGER_R_REGISTERS_NUMBER : natural;
+ TRIGGER_INPUTS_NUMBER : natural
+ );
+ port (
+ RESET : in std_logic;
+ CLK_100 : in std_logic;
+ CLK_50 : in std_logic;
+ CLK_300 : in std_logic;
+ DETECTOR_SIGNALS_IN : in std_logic_vector(21 downto 0);
+ TRIGGER_SIGNALS_OUT : out std_logic_vector(21 downto 0);
+ TRIGGER_DATA_OUT : out std_logic_vector(31 downto 0);
+ TRIGGER_DATA_VALID_OUT : out std_logic;
+ TRIGGER_BUSY_OUT : out std_logic;
+ TRIGGER_BUSY_IN : in std_logic;
+ --read write registers
+ RW_DATA_IN : in std_logic_vector(31 downto 0);
+ RW_DATA_OUT : out std_logic_vector(31 downto 0);
+ RW_ADDRESS_IN : in std_logic_vector(31 downto 0);
+ RW_MODE_IN : in std_logic_vector(15 downto 0);
+ RW_VALID_OUT : out std_logic;
+ RW_ACK_IN : in std_logic;
+ --display
+ DISP_A : out std_logic_vector(6 downto 0);
+ DISP_D : out std_logic_vector(1 downto 0);
+ DISP_WR : out std_logic
+
+ );
+end trigger_interface;
+
+architecture trigger_interface of trigger_interface is
+
+ component display
+ generic (
+ NUMBER_OF_LETTERS : positive);
+ port (
+ CLK : in std_logic;
+ RESET : in std_logic;
+ DISP_A : out std_logic_vector(1 downto 0);
+ DISP_D : out std_logic_vector(6 downto 0);
+ DISP_WR : out std_logic;
+ SENTENCE : in std_logic_vector(NUMBER_OF_LETTERS*8-1 downto 0));
+ end component;
+
+ component trigger_logic
+ generic (
+ TRIGGER_INPUTS_NUMBER : natural);
+ port (
+ CLK : in std_logic;
+ CLK_300MHZ : in std_logic;
+ RESET : in std_logic;
+ TRIGGER_IN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ SIGNAL_IN : in std_logic_vector(7 downto 0);
+ SIGNAL_OUT : out std_logic_vector(15 downto 0);
+ INPUT_ENABLE : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ DOWNSCALE_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ DELAY_TRIGGER_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ WIDTH_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0);
+ TS_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ MDC_TOF_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0);
+ DOWNSCALE_REGISTER_CLOCK : in std_logic_vector(3 downto 0);
+ TRIGGER_OUT_EN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0);
+ MULTIPLEXER_SELECT : in std_logic_vector(8*3-1 downto 0);
+ DELAY_TRIGGER_TO_SAVE : in std_logic_vector(2*8-1 downto 0);
+ LENGTH_TRIGGER_TO_SAVE : in std_logic_vector(2*8-1 downto 0);
+ LVL1_TIMING_OUT : out std_logic;
+ SCALER_OUT : out std_logic_vector((TRIGGER_INPUTS_NUMBER*32-1) downto 0);
+ DTU_CODE_SELECT : in std_logic_vector(4 downto 0);
+ BEAM_INHIBIT_IN : in std_logic;
+ LVL1_BUSY_IN : in std_logic;
+ LVL1_TRIGGER_TAG_OUT : out std_logic_vector(15 downto 0);
+ LVL1_TRIGGER_CODE_OUT : out std_logic_vector(3 downto 0);
+ LVL1_TRIGGER_OUT_OUT : out std_logic;
+ TRIGGER_LOGIC_DEBUG_OUT : out std_logic_vector(31 downto 0));
+ end component;
+
+--rw interface
+ signal r_register_i : std_logic_vector(TRIGGER_R_REGISTERS_NUMBER*32-1 downto 0) := (others => '0');
+ signal rw_register_i : std_logic_vector(TRIGGER_RW_REGISTERS_NUMBER*32-1 downto 0) := (others => '0');
+ --type r_register_array is array(0 to R_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ --signal r_register_i : r_register_array;
+ --type rw_register_array is array(0 to RW_REGISTERS_NUMBER) of std_logic_vector(31 downto 0);
+ --signal rw_register_i : rw_register_array;
+ signal reg_address : integer range 0 to 256 :=1;
+ signal rw_mode_in_saved : std_logic_vector(15 downto 0);
+ signal rw_data_in_saved : std_logic_vector(31 downto 0);
+ signal rw_ack_in_sync : std_logic;
+ signal saved_data_fpga : std_logic_vector(31 downto 0);
+
+begin
+
+ SAVE_RW_SIGNALS : process (CLK_100, RESET, RW_ACK_IN)
+ begin
+ if rising_edge(CLK_100) then
+ if RESET = '1' then
+ rw_mode_in_saved <= (others => '0');
+ reg_address <= 1;
+ rw_data_in_saved <= (others => '0');
+ elsif RW_ACK_IN = '1' then
+ rw_mode_in_saved <= RW_MODE_IN;
+ reg_address <= conv_integer(RW_ADDRESS_IN(7 downto 0));
+ rw_data_in_saved <= RW_DATA_IN;
+ else
+ rw_mode_in_saved <= rw_mode_in_saved;
+ reg_address <= reg_address;
+ rw_data_in_saved <= rw_data_in_saved;
+ end if;
+ end if;
+ end process SAVE_RW_SIGNALS;
+
+ MAKE_SYNC : process (CLK_100, RESET)
+ begin
+ if rising_edge(CLK_100) then
+ if RESET = '1' then
+ rw_ack_in_sync <= '0';
+ RW_VALID_OUT <= rw_ack_in_sync;
+ elsif RW_ACK_IN = '1' and RW_MODE_IN(7 downto 0) = x"5" then
+ rw_ack_in_sync <= '1';
+ RW_VALID_OUT <= rw_ack_in_sync;
+ else
+ rw_ack_in_sync <= '0';
+ RW_VALID_OUT <= rw_ack_in_sync;
+ end if;
+ end if;
+ end process MAKE_SYNC;
+
+ DATA_SOURCE_SELECT : process (CLK_100)
+ begin
+ if rising_edge(CLK_100) then
+ if RESET = '1' then
+ rw_register_i <= (others => '0');
+ else
+ if rw_ack_in_sync = '1' and rw_mode_in_saved = x"8005" and reg_address > 127 and reg_address < 192 then
+ saved_data_fpga <= r_register_i((reg_address+1-128)*32-1 downto ((reg_address-128)*32));
+ elsif rw_ack_in_sync = '1' and rw_mode_in_saved = x"8005" and reg_address > 191 and reg_address < 256 then
+ saved_data_fpga <= rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32);
+ elsif rw_ack_in_sync = '1' and rw_mode_in_saved = x"0005" then
+ rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32) <= rw_data_in_saved;
+ else
+ saved_data_fpga <= saved_data_fpga;
+ end if;
+ end if;
+ end if;
+ end process DATA_SOURCE_SELECT;
+
+ r_register_i(31 downto 0) <= rw_mode_in_saved & X"1010";
+ r_register_i(63 downto 32) <= x"ddaabbcc";
+ r_register_i(95 downto 64) <= x"aabbbaaa";
+ RW_DATA_OUT <= saved_data_fpga;
+
+ THE_TRIGGER_LOGIC: trigger_logic
+ generic map (
+ TRIGGER_INPUTS_NUMBER => TRIGGER_INPUTS_NUMBER)
+ port map (
+ CLK => CLK,
+ CLK_300MHZ => ,
+ RESET => RESET,
+ TRIGGER_IN => TRIGGER_IN,
+ SIGNAL_IN => SIGNAL_IN,
+ SIGNAL_OUT => SIGNAL_OUT,
+ INPUT_ENABLE => INPUT_ENABLE, --32 bit
+ DOWNSCALE_REGISTER => DOWNSCALE_REGISTER, -- 4*32bit
+ DELAY_TRIGGER_REGISTER => DELAY_TRIGGER_REGISTER, --4*32bit
+ WIDTH_REGISTER => WIDTH_REGISTER, --4*32bit
+ TS_GATING_DISABLE => TS_GATING_DISABLE, --32 bit
+ MDC_TOF_GATING_DISABLE => MDC_TOF_GATING_DISABLE, --0
+ DOWNSCALE_REGISTER_CLOCK => DOWNSCALE_REGISTER_CLOCK, --
+ TRIGGER_OUT_EN => TRIGGER_OUT_EN, --32 bit
+ MULTIPLEXER_SELECT => MULTIPLEXER_SELECT, --32 bit
+ DELAY_TRIGGER_TO_SAVE => DELAY_TRIGGER_TO_SAVE,
+ LENGTH_TRIGGER_TO_SAVE => LENGTH_TRIGGER_TO_SAVE,
+ LVL1_TIMING_OUT => LVL1_TIMING_OUT,
+ SCALER_OUT => SCALER_OUT,
+ DTU_CODE_SELECT => DTU_CODE_SELECT,
+ BEAM_INHIBIT_IN => BEAM_INHIBIT_IN,
+ LVL1_BUSY_IN => LVL1_BUSY_IN,
+ LVL1_TRIGGER_TAG_OUT => LVL1_TRIGGER_TAG_OUT,
+ LVL1_TRIGGER_CODE_OUT => LVL1_TRIGGER_CODE_OUT,
+ LVL1_TRIGGER_OUT_OUT => LVL1_TRIGGER_OUT_OUT,
+ TRIGGER_LOGIC_DEBUG_OUT => TRIGGER_LOGIC_DEBUG_OUT);
+
+end trigger_interface;
--- /dev/null
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library work;
+use work.all;
+use work.version.all;
+use work.trb_net_std.all;
+use work.trb_net16_hub_func.all;
+use ieee.std_logic_arith.all;
+
+entity trigger_multiplexer is
+ port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ SIGNALS_IN : in std_logic_vector(31 downto 0);
+ SIGNAL_OUT : out std_logic_vector(3 downto 0)
+ SIGNAL_SELECT : in std_logic_vector(15 downto 0)
+ );
+end trigger_multiplexer;
+
+architecture trigger_multiplexer of trigger_multiplexer is
+
+begin
+
+ ---------------- Outputs
+
+
+--OUTPUT
+ delaygen1 : for i in 1 to 8 generate
+ delay_out : ECO_DELAY port map (
+ clk => clk_300MHz,
+ signal_in => eco_s(i),
+ signal_out => eco_out(i));
+ end generate;
+
+ ECO(8 downto 1) <= eco_out(8 downto 1);
+
+
+ delaygen2 : for i in 9 to 16 generate
+ delay_out : ECO_DELAY port map (
+ clk => clk_300MHz,
+ signal_in => eco_s(i),
+ signal_out => eco_out(i));
+ end generate;
+
+ ECO(16 downto 9) <= eco_out(16 downto 9);
+
+pti5_ts_mux : process(clk_300MHz)
+begin
+ if rising_edge(clk_300MHz) then
+ case SCALER_MDC_TOF_SELECT(7 downto 4) is
+ when x"0" => mdc_mux <= mdc_s1(0);
+ when x"1" => mdc_mux <= mdc_s1(1);
+ when x"2" => mdc_mux <= mdc_s1(2);
+ when x"3" => mdc_mux <= mdc_s1(3);
+ when x"4" => mdc_mux <= mdc_s1(4);
+ when x"5" => mdc_mux <= mdc_s1(5);
+ when others => mdc_mux <= '0';
+ end case;
+
+ case SCALER_MDC_TOF_SELECT(3 downto 0) is
+ when x"0" => tof_mux <= tof_one_clock(0);
+ when x"1" => tof_mux <= tof_one_clock(1);
+ when x"2" => tof_mux <= tof_one_clock(2);
+ when x"3" => tof_mux <= tof_one_clock(3);
+ when x"4" => tof_mux <= tof_one_clock(4);
+ when x"5" => tof_mux <= tof_one_clock(5);
+ when others => tof_mux <= '0';
+ end case;
+ end if;
+end process pti5_ts_mux;
+
+assign : process(clk_300MHz)
+begin
+ if rising_edge(clk_300MHz) then
+
+ eco_s(1) <= pti1_delayed_and_tof_mult2_pulse; --PTI1_DELAYED; -- Latches before downscale
+ eco_s(2) <= PTI2_DELAYED;
+ eco_s(3) <= PTI3_DELAYED;
+ eco_s(4) <= PTI4_DELAYED;
+ eco_s(5) <= PTI5_DELAYED;
+ eco_s(6) <= TS_DELAYED;
+ eco_s(7) <= VS_DELAYED;
+-- ECO(8) is mux 0
+ eco_s(8) <= CLOCK_DOWNSCALED;
+ eco_s(9) <= (OR_ON_OFF(0) and ((PTI1_DOWNSCALED and TS_GATING_DISABLE(1)) or (PTI1_and_GTS and not TS_GATING_DISABLE(1))));
+ eco_s(10) <= (OR_ON_OFF(1) and ((PTI2_DOWNSCALED and TS_GATING_DISABLE(2)) or (PTI2_and_GTS and not TS_GATING_DISABLE(2))));
+ eco_s(11) <= (OR_ON_OFF(2) and ((PTI3_DOWNSCALED and TS_GATING_DISABLE(3)) or (PTI3_and_GTS and not TS_GATING_DISABLE(3))));
+ eco_s(12) <= (OR_ON_OFF(3) and ((PTI4_DOWNSCALED and TS_GATING_DISABLE(4)) or (PTI4_and_GTS and not TS_GATING_DISABLE(4))));
+ eco_s(13) <= (OR_ON_OFF(4) and ((PTI5_DOWNSCALED and TS_GATING_DISABLE(5)) or (PTI5_and_GTS and not TS_GATING_DISABLE(5))));
+ eco_s(14) <= TS_READY and OR_ON_OFF(5);
+ eco_s(15) <= VS_READY and OR_ON_OFF(6);
+-- ECO(16) is mux 1
+ eco_s(16) <= CLOCK_READY and OR_ON_OFF(7) and (not out_inhibit) and (not cal_inhibit);
+
+-- Multiplexers
+ case MUX_SELECTOR_1 is --0x5c
+ when "0000" => mux_out(0) <= PTI1_DELAYED; --0
+ when "0001" => mux_out(0) <= PTI2_DELAYED;
+ when "0010" => mux_out(0) <= PTI3_DELAYED; --2
+ when "0011" => mux_out(0) <= PTI4_DELAYED;
+ when "0100" => mux_out(0) <= PTI5_DELAYED; --4
+ when "0101" => mux_out(0) <= TS_DELAYED;
+ when "0110" => mux_out(0) <= VS_DELAYED; --6
+ when "0111" => mux_out(0) <= PTI1_and_GTS;
+ when "1000" => mux_out(0) <= PTI2_and_GTS; --8
+ when "1001" => mux_out(0) <= PTI3_and_GTS;
+ when "1010" => mux_out(0) <= PTI4_and_GTS; --a
+ when "1011" => mux_out(0) <= PTI5_and_GTS;
+ when "1100" => mux_out(0) <= TS_READY; --c
+ when "1101" => mux_out(0) <= VS_READY;
+ when "1110" => mux_out(0) <= OR_out; --e
+ when "1111" => mux_out(0) <= GLOBAL_TIMING_SIGNAL_OUT;
+ when others => mux_out(0) <= 'X';
+ end case;
+ case MUX_SELECTOR_2 is --0x60
+ when "0000" => mux_out(1) <= PTI1_DELAYED; --0
+ when "0001" => mux_out(1) <= PTI2_DELAYED;
+ when "0010" => mux_out(1) <= m3_smart; --2
+ when "0011" => mux_out(1) <= PTI4_DELAYED;
+ when "0100" => mux_out(1) <= PTI5_DELAYED; --4
+ when "0101" => mux_out(1) <= tof_mult_2_width;
+ when "0110" => mux_out(1) <= VS_DELAYED; --6
+ when "0111" => mux_out(1) <= tof_mux;
+ when "1000" => mux_out(1) <= TS_DELAYED and not VS_WIDTH_SET; --8
+ when "1001" => mux_out(1) <= tof_mult_2_width_out;
+ when "1010" => mux_out(1) <= VS_READY; --a
+ when "1011" => mux_out(1) <= mdc_tof_trigger_width_set;
+ when "1100" => mux_out(1) <= pti1_delayed_and_tof_mult2; --c
+ when "1101" => mux_out(1) <= VS_READY;
+ when "1110" => mux_out(1) <= CLOCK_READY; --e
+ when "1111" => mux_out(1) <= mdc_mux;
+ when others => mux_out(1) <= 'X';
+
+ end case;
+
+ end if;
+end process assign;
+
+end trigger_multiplexer;
--- /dev/null
+
+--## attention, automatically generated. Don't change by hand.
+library ieee;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.std_logic_ARITH.ALL;
+USE IEEE.std_logic_UNSIGNED.ALL;
+use ieee.numeric_std.all;
+
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+package version is
+
+ constant VERSION_NUMBER_TIME : integer := 1385553776;
+
+end package version;
+
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$315e~703-Xnzd}foo8#5+758'8;"?.+1:2:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0593457339:;>95?01:7?56?8=1;<NK;;13341=7<9:?7=6?00927>7)88>0=84FNQWW>DBCZH6:87>115921?OIX\^1MIJ]B=37>58582;<6D@_UU8SVJAXMDZ_URZGRDQK840=878<7<95IORVP?VUGNUNA]ZV_UJQAVNXIZYN^Y2>6;2=62=6?3CE\XZ5PSMD[@KW\PU_D_K\H^LFCDRB48<1<3?i;059MKVR\3ZYCJQIUMN\PMTB[A6::7>127923?OIX\^1\_AH_GWOHZROZLYCSL]\ESV?53<76;<0=:4FNQWW>UTHOVL^@AQ[HSGPLZHBOH^N0<850?03?41=AGZ^X7~}of]fiur~W}byi~f31783:71<9>0BB][[:qplcZcjx}sTxe|jsi]bwvcu|5;=6=0=7:34>LHW]]0{~biPelrw}ZrozlycSckhaug?53<768l0=:4FNQWW>uthoVl~`aQ{hsgpl9712949:6?8:HLSQQ<wzfmTjxbc_ujqavnXizyn~y2>6;2=63=6?3CE\XZ5psmd[cskdV~c~h}g_ogdeqc;9?0;2<j4168LQQVR\3NNNXIPRE]SJQT;9?0;2<j4168LQQVR\3NNNXIPWS]SJQT;9?0;2<j4168LQQVR\3XOSIO[A^ALQKR;9?0;2<j4168LQQVR\3]YSIO[A^ALQKR;9?0;2<o4168LQQVR\3njxlQlotlw840=878>7<95OTVSQQ<cmk\7flS\7fjPpovq[ujr{8Uy0<850?3e?41=G\^[YY4kecwd[wbXxg~yS\7f2>6;2=5c=6?3E^X][[:egaqbYulVzex\7fQx<0494;4238=1CXZ_UU8gags`W~xT|cz}_qnvw4Yp48<1<3<:;059KPRW]]0oio{h_vp\tkruWyf~\7f?Qx<0494;7a38=1CXZ_UU8gags`W~xT|cz}_s>22?699o1:;7AZTQWW>ace}nU|~R~ats]t840=87;o7<95OTVSQQ<ulVnjxlQlotlw840=87;o7<95OTVSQQ<pzVnjxlQlotlw840=87;0><<4338JJUSS2MCJ0>?50?31?64=AGZ^X7JFB=12>586:2996D@_UU8GMK:493:5=?5<2;KMTPR=l`d7?<4?>01877<H]]Z^X7J@A=12>586;2996B[[PTV9@JD;;80;2<:4338LQQVR\3NDYY2<1;2=51=4:3E^X][[:emvp956294?79>?00380?OIX\^1HD^N<283:47<<3CE\XZ5DHRA86<768:087GAPTV9S@G;;3:5==5;:HLSQQ<PMK686=0>2:69KPRW]]0OC]O33;2=57=32F__\XZ5DNRA86<76o1?zav;0gd251>*99;0:?599595=<053>L?7:HIF09;0>>789>04?:>4::7647<1=12<=7=;847?<01111JHI\N<1<:?DBCZH6:<374AEFQE976601JHI\N<00==>GCL[K7=>0m;@FGVD:6<3:556OKDSC?518?3HNO^L2>>99B@ATF4;437LJKR@>0:==FLMXJ0907;@FGVD:2611JHI\N<7<;?DBCZH6<255NDEPB8=8?3HNO^L26>99B@ATE49427LJKRC>24;?<IMNYN1?>>89B@ATE488556OKDS@?568e3HNO^O2>4;2==>GCL[H7=907;@FGVG:6611JHI\M<3<;?DBCZK68255NDEPA818?3HNO^O2:>99B@ATE4?437LJKRC>4:==FLMXI0507;@FGVG:>6j1J@@A\T^GNTQ_e3HFFC^ZPFTNO=>GTQGIT^HI=;CD7?G@AN:1IY?64BTQ\MK@H92Ij7NO\C@FFMKGf3JKXOLJJIO@a?FGTKHNNCXZNb:ABWFGCMF__N?5LE59@A5653JC?7NBDA59@HND43JFY56M@MLKWP@B03JXNMYKK1:Fe?ACE]NUYM@QJMQVZa>BBJ\MT^LCPFTNOb>BBJ\MT^LCPRE]FJc=CMK_LS_OB_VP\AK773MOIYJQ]D^RMPW:768;0HHLZG^PG[UHSZ5;;2<?4DD@VCZTCWYD_^1?>>038@@DROVXOS]@[R=31:47<LLH^KR\K_QLWV97468;0HHLZG^PG[UHSZ5;?2<?4DD@VCZTCWYD_^1?:>018@@DROVXOS]@[R=35>58692NNNXIPRE]SJQT;9?4:<6JJBTE\VAYWF]X7=3??;EGAQBYULVZEX_2=>028@@DROVXOS]@[R=1=55=CMK_LS_JPPOVQ818682NNNXIPRE]SJQT;=7;;7IKMUF]Q@ZVI\[6=2<>4DD@VCZTCWYD_^191119GAGS@W[NT\CZ]<9<24>BBJ\MT^IQ_NUP?=;773MOIYJQXR^RMPW:768;0HHLZG^UQ[UHSZ5;;2<?4DD@VCZQUWYD_^1?>>038@@DROV]YS]@[R=31:47<LLH^KRY]_QLWV97468;0HHLZG^UQ[UHSZ5;?2<?4DD@VCZQUWYD_^1?:>018@@DROV]YS]@[R=35>58692NNNXIPWS]SJQT;9?4:<6JJBTE\SWYWF]X7=3??;EGAQBYPZVZEX_2=>028@@DROV]YS]@[R=1=55=CMK_LSZ\PPOVQ818682NNNXIPWS]SJQT;=7;;7IKMUF]TVZVI\[6=2<>4DD@VCZQUWYD_^191119GAGS@W^XT\CZ]<9<24>BBJ\MT[_Q_NUP?=;4<L@<0HDO30?58@LG;994<7IGN<03=3>BNI5;92:5KI@>27;1<L@K7=908;EKB8439?2NBM1?9>69GMD:6?7=0HDO319<4?AOF4835:6JFA=3=3>BNI58;2:5KI@>15;1<L@K7>?08;EKB8759?2NBM1<;>69GMD:5=7=0HDO327<4?AOF4;=5;6JFA=0;:2=CAH695384DHC?6;1<L@K7?=06;EKB867=87=0HDO330<5?AOF4:4=7IGN<5<5?AOF4<4=7IGN<7<5?AOF4>4=7IGN<9<5?AOF404=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:17:FJF9726>1OEO2>6?58@LD;9>4<7IGM<0:=3>BNJ5;22;5KIC>2:2=CAK69<394DH@?64803MCI0?<17:FJF9446>1OEO2=4?58@LD;:<4<7IGM<34=3>BNJ58<2:5KIC>1<;1<L@H7>409;EKA87803MCI0>>19:FJF956294<7IGM<23=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ535:6JFN=2=3>BNF5;;2:5KIO>25;1<L@D7=?08;EKM8459?2NBB1?;>69GMK:6=7=0HD@317<4?AOI48=5;6JFN=3;:2=CAG6:5384DHL?5;1<L@D7>=08;EKM8779?2NBB1<=>69GMK:5;7=0HD@325<4?AOI4;?5;6JFN=05:2=CAG69;394DHL?6=803MCE0?716:FJJ949?2NBB1=?>89GMK:493:5;6JFN=12:3=CAG682;5KIO>7:3=CAG6>2;5KIO>5:3=CAG6<2;5KIO>;:3=CAG622:5KIQC?4;1<L@ZJ0<08;EKSE94912NB\L2<:1<4?AOWI595;6JFPC>3:2=CAYH7=394DHRA878>3MC[N1=50?58@LVE4:4=7IAN<1<4?AIF48:5;6J@A=32:2=CGH6:>394DNC?56803MEJ0<:17:FLE9726>1OCL2>6?58@JG;9>4<7IAN<0:=3>BHI5;22;5KO@>2:2=CGH69<394DNC?64803MEJ0?<17:FLE9446>1OCL2=4?58@JG;:<4<7IAN<34=3>BHI58<2:5KO@>1<;1<LFK7>409;EMB87803MEJ0>>19:FLE956294<7IAN<23=2>BHI595:6J@A=6=2>BHI5?5:6J@A=4=2>BHI5=5:6J@A=:=2>BHI535;6J@A^PFC3=CGK6;2:5KOC>24;1<LFH7=<08;EMA8449?2NDN1?<>69GKG:6<7=0HBL314<4?AIE48<5;6J@B=34:2=CGK6:4394DN@?5<813MEI0<08;EMA8769?2NDN1<>>69GKG:5:7=0HBL322<4?AIE4;>5;6J@B=06:2=CGK69:394DN@?62803MEI0?617:FLF94>6?1OCO2=>69GKG:48730HBL33083:2=CGK68=384DN@?7;0<LFH78384DN@?1;0<LFH7:384DN@?3;0<LFH74384DN@?=;1<LFHT^HI8;EMSE969?2ND\L2>>69GKUG;:730HB^N<283:2=CGYK7?394DNRA85803ME[N1?17:FLTG:5601OC]L33;2=3>BHXK682:5KOTV?4;><LF__0<>18:FLQQ:69720HB[[<00=<>BH]]6:?364DNWW842902NDYY2>5?:8@JSS48<546J@UU>23;><LF__0<618:FLQQ:617=0HB[[<0<;?AIR\58;255KOTV?648?3ME^X1<=>99GKPR;::437IAZT=07:==CG\^7>807;EMVP941611OCXZ326<;?AIR\583255KOTV?6<803ME^X1<18:FLQQ:487k0HB[[<2394;><LF__0>?17:FLQQ:46>1OCXZ34?58@JSS4<4<7IAZT=4=3>BH]]6<2:5KOTV?<;1<LF__040>;D08A13<MDZ_U>5JN@18AKD53O897K9:;GCOW@4<NK90JIM;;GF@A6=ALL90JI^;;GFSA7=AM=1MJ=6;;GDAB1=ANLL?7KHIE59EQIJ23Okg\7fh?4G29DJA7<A;1B<?5F139J67=N;11BBDZ__12;?LHN\YU;=55FNHVS[54?3@DBX]Q?399JJLRWW9>37D@FTQ]31==NF@^[S=87;HLJPUY7?01BBDZ\T@VF3>OIA]U;<:5FNHV\441<AGC_S=<8;HLJPZ64?2CEEYQ?469JJLRX8<=0ECG[_144?LHN\V:<;6GAIU]3<2=NF@^T<494IOKW[5G03@DBXR>M7:KMMQY7K>1BBDZP0E58MKOSW9O<7D@FT^2E3>OIA]U:<:5FNHV\541<AGC_S<<8;HLJPZ74?2CEEYQ>469JJLRX9<=0ECG[_044?LHN\V;<;6GAIU]2<2=NF@^T=494IOKW[4G03@DBXR?M7:KMMQY6K>1BBDZP1E58MKOSW8O<7D@FT^3E3>OIA]U9<:5FNHV\641<AGC_S?<8;HLJPZ44?2CEEYQ=469JJLRX:<=0ECG[_344?LHN\V8<;6GAIU]1<2=NF@^T>494IOKW[7G03@DBXR<M7:KMMQY5K>1BBDZP2E58MKOSW;O<7D@FT^0E3>OIA]U8<:5FNHV\741<AGC_S><8;HLJPZ54?2CEEYQ<469JJLRX;<=0ECG[_244?LHN\V9<;6GAIU]0<2=NF@^T?494IOKW[6G03@DBXR=M7:KMMQY4K>1BBDZP3E58MKOSW:O<7D@FT^1E2>OIA]UJ:6GAIU]A=>OIA]UMEAK<;HLU57=NF_OYXRMCK^FLEZTBO880ECXJRU]@HNYCGKUYIJ=4IN@1?IO53EE=7AANDDF4?II@AJKG96B@WDP7?ISS9=1GYY<9;MWW6ZJ33E__?;5CUU1\@1=K]]>=7A[[4^F5?ISS<VF>7@[WCX78IP^A=<1FYUH95:OV\C123D_SJ5m4M`hlvScu{`eeo6CfnnpUawungg80B<=4N020?K76;2D:>>5A1268J45>;2D:895A1527?K73:=1E=9:;;O3711=I9==?7C?;829M505<F8<?7C?9659M53133G;=495A17;0?K70<2D:;=:4N0520>H6?;>0B<9<4:L2312<F8=>86@>7768J410<2D:;5:4N05:7>H60=1E=5>;;O3;51=I918?7C?7359M5=233G;3995A1947?K7??=1E=56;;O3;=6=I90>0B<7?4:L2=42<F83986@>9268J4?3<2D:58:4N0;50>H61>>0B<774:L2=<4<F;90B?>;;O0301=I:9??7C<?659M65133G8;495A21;0?K46<2D9==:4N3320>H59;>0B??<4:L1512<F;;>86@=1768J770<2D9=5:4N33:7>H5:=1E>?>;;O0171=I:;>?7C<=559M67033G89;95A23:7?K451:1E>>:4N3130>H5;8>0B?==4:L1762<F;9?86@=3468J751<2D9?::4N31;0>H5;090B?::;O07103<F;>>;85A257:1>H5<?;87C<:3:L121=I:?>?7C<9659M63?43G8<86@=7018J7>43G82?6@<029M745<F:887C=<3:L006=I;<90B>8:;O1:303<F:3<:85A38541>H41>2>7C=67878J6??8<1E?46>5:L0==423G924>;4N2;;00=I;02>96@<9946?K5>0>?0B>77849M7<>>=2D854>:;O1:=43<F:32>85A38;01>H410>>7C=69478J6?>><1E?4785:L0=<>23G9254;4N52340=I<9::>6@:2:L57>H10;1E;>5A7108J=5<F1>87C693:L;<7=I1:1E5=:4N8040>H>:0>0B4=>4:L:762<F09>86@63668J<5><2D28<:4N8600>H><<>0B4:84:L:0<2<F0?:86@65268J<32<2D29::4N856b>HEWK_X\D@PPSMSW2=IM]]D^F94NNOFVBC33GEEI<5@2:MH<>IQM[LGCZ?4P59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQAd=WZFMTI@^[Y068TWI@WLG[XTQ[HSGPL9699<1[^BIPELRW]ZROZLYC0<>1149SVJAXMDZ_URZGRDQK84799<1[^BIPELRW]ZROZLYC0<<1149SVJAXMDZ_URZGRDQK84599<1[^BIPELRW]ZROZLYC0<:1149SVJAXMDZ_URZGRDQK84399>1[^BIPELRW]ZROZLYC0<850?36?UTHOVOF\YWPTIPFWM:6>7;?7]\@G^GNTQ_X\AXN_E2>>068TWI@WLG[XTQ[HSGPL9499=1[^BIPELRW]ZROZLYC0>0>4:RQKBYBEY^RSYF]ERJ?0;733YXDKRKBPU[\PMTB[A6>2<:4PSMD[@KW\PU_D_K\H=4=51=WZFMTI@^[Y^VKV@UO4>4:86^]OF]FIUR^W]BYI^F38?37?UTHOVOF\YWPTIPFWM:>68h0\_AH_DOSP\YS@[OXDRO\SDPW8586k2ZYCJQJMQVZ[QNUMZBTM^]JRU>24;7d3YXDKRKBPU[\PMTB[AUJ_^K]T=32:4e<X[ELSHC_TX]WLWCT@VKX_H\[<00=5f=WZFMTI@^[Y^VKV@UOWHYXI_Z312<2g>VUGNUNA]ZV_UJQAVNXIZYN^Y2>4?3`?UTHOVOF\YWPTIPFWMYF[ZOYX1?:>0g8TWI@WLG[XTQ[HSGPLZGT[LX_0<850?3`?UTHOVOF\YWPTIPFWMYF[ZOYX1?9>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0<0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]692<l4PSMD[@KW\PU_D_K\H^CPW@TS4:4:n6^]OF]FIUR^W]BYI^FPARQFVQ:368h0\_AH_DOSP\YS@[OXDRO\SDPW8086j2ZYCJQJMQVZ[QNUMZBTM^]JRU>5:4d<X[ELSHC_TX]WLWCT@VKX_H\[<6<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y27>0`8TWI@WLG[XTQ[HSGPLZGT[LX_040>b:RQKBYBEY^RSYF]ERJ\J@AF\L6;2<m4PSMD[@KW\PU_D_K\H^LFCDRB48:5=n5_RNE\AHVSQV^C^H]G_OGDEQC;984:o6^]OF]FIUR^W]BYI^FPNDEBP@:6:7;h7]\@G^GNTQ_X\AXN_EQAEFCWA97468i0\_AH_DOSP\YS@[OXDR@JG@VF84299j1[^BIPELRW]ZROZLYCSCKHAUG?5086m2ZYCJQJMQVZ[QNUMZBTBHINTD>22?699j1[^BIPELRW]ZROZLYCSCKHAUG?5386j2ZYCJQJMQVZ[QNUMZBTBHINTD>2:4d<X[ELSHC_TX]WLWCT@VDNKLZJ<3<2f>VUGNUNA]ZV_UJQAVNXFLMJXH2<>0`8TWI@WLG[XTQ[HSGPLZHBOH^N090>b:RQKBYBEY^RSYF]ERJ\J@AF\L6>2<l4PSMD[@KW\PU_D_K\H^LFCDRB4?4:n6^]OF]FIUR^W]BYI^FPNDEBP@:068h0\_AH_DOSP\YS@[OXDR@JG@VF8=86j2ZYCJQJMQVZ[QNUMZBTBHINTD>::<=WZFMTJXBC129SVJAXN\FGSYF]ERJ?4;733YXDKRHZLM]WLWCT@5;;2<:4PSMD[CSKDV^C^H]G<03=51=WZFMTJXBC_UJQAVN;9;4:86^]OF]EQIJX\AXN_E2>3?37?UTHOVL^@AQ[HSGPL97368>0\_AH_GWOHZROZLYC0<;1179SVJAXN\FGSYF]ERJ?53<768>0\_AH_GWOHZROZLYC0<81129SVJAXN\FGSYF]ERJ?5;743YXDKRHZLM]WLWCT@585=>5_RNE\BPJKW]BYI^F33?30?UTHOVL^@AQ[HSGPL9299:1[^BIPFTNO[QNUMZB793?<;QPLCZ@RDEU_D_K\H=4=56=WZFMTJXBC_UJQAVN;?7;87]\@G^DVHIYS@[OXD161129SVJAXN\FGSYF]ERJ?=;7f3YXDKRHZLM]WLWCT@VKX_H\[<1<2f>VUGNUMYABPTIPFWMYF[ZOYX1??>0`8TWI@WO_G@RZGRDQK[DUTM[^7=<0>b:RQKBYA]EFTXE\JSI]BWVCU\5;92<l4PSMD[CSKDV^C^H]G_@QPAWR;9:4:n6^]OF]EQIJX\AXN_EQNSRGQP97368h0\_AH_GWOHZROZLYCSL]\ESV?5086l2ZYCJQIUMN\PMTB[AUJ_^K]T=35>586j2ZYCJQIUMN\PMTB[AUJ_^K]T=35:4g<X[ELSK[CL^VKV@UOWHYXI_Z31?3b?UTHOVL^@AQ[HSGPLZGT[LX_0?0>a:RQKBYA]EFTXE\JSI]BWVCU\595=l5_RNE\BPJKW]BYI^FPARQFVQ:368k0\_AH_GWOHZROZLYCSL]\ESV?1;7f3YXDKRHZLM]WLWCT@VKX_H\[<7<2e>VUGNUMYABPTIPFWMYF[ZOYX1911`9SVJAXN\FGSYF]ERJ\EVUBZ]632<o4PSMD[CSKDV^C^H]G_@QPAWR;17;j7]\@G^DVHIYS@[OXDR@JG@VF8586j2ZYCJQIUMN\PMTB[AUEIJO[E=33:4d<X[ELSK[CL^VKV@UOWGOLMYK310<2f>VUGNUMYABPTIPFWMYIMNK_I1?=>0`8TWI@WO_G@RZGRDQK[KC@I]O7=>0>b:RQKBYA]EFTXE\JSI]MABGSM5;?2<l4PSMD[CSKDV^C^H]G_OGDEQC;9<4:h6^]OF]EQIJX\AXN_EQAEFCWA971294:n6^]OF]EQIJX\AXN_EQAEFCWA97168k0\_AH_GWOHZROZLYCSCKHAUG?5;7f3YXDKRHZLM]WLWCT@VDNKLZJ<3<2e>VUGNUMYABPTIPFWMYIMNK_I1=11`9SVJAXN\FGSYF]ERJ\J@AF\L6?2<o4PSMD[CSKDV^C^H]G_OGDEQC;=7;j7]\@G^DVHIYS@[OXDR@JG@VF8386i2ZYCJQIUMN\PMTB[AUEIJO[E=5=5d=WZFMTJXBC_UJQAVNXFLMJXH27>0c8TWI@WO_G@RZGRDQK[KC@I]O753?4Q79QEHD6>o1YM@QJXUGMWLIIWHl0^LCPEYVFJVOHFVH=7_JPCMI24>TCWMK_MRM@UOV?4;763[NTHLZN_BMVJQ:687;:7_JPD@VB[FIRF]6:=3?>;SF\@DRFWJE^BY2>2?32?WBXLH^JSNAZNU>27;763[NTHLZN_BMVJQ:6<7;:7_JPD@VB[FIRF]6:93?<;SF\@DRFWJE^BY2>6;2=54=ULVNJXLQLOTLW8409991YHRJNT@]@KPHS484:<6\K_ECWEZEH]G^7>3??;SF\@DRFWJE^BY2<>028VAYCI]KTOB[AT=6=55=ULVNJXLQLOTLW808682XOSIO[A^ALQKR;>7;;7_JPD@VB[FIRF]6<2<>4RE]GEQGXKF_EX161119Q@ZBF\HUHCX@[<8<6?WBXMGi0^HOK_VKGPMYFk2XNMIQXIEVK[G0<ZLMHIL84RDE@AG5<ZZ^:7^64S@TF@DRF9:1XE@QLOMNJWLIIWJBNOF84SNWQG@1<[[\J@RO8;RPUEIYE<2YX^L:4SRPA0>R^XLo0Y=!heo]bw+``iz30YCJJRGNLS0=RXYOYm6[\ES]BHLGTk2_XI_QYIRKAH@3<^HFBH>5YCB;8RLCPW]S[I;5XE@>3:3=PMH6:2;5XE@>1:==PMH686=09;VGB86813^OI0=09;VGA84813^OI0?07;VGA86<76?1\IO2<>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWKn0[_G[E^UJ@QNXIm1\^DZJ_VKGPMYE>2]YSLMD6:UQ[FJL991\^RJNT@]@KPHS494:=6Y]_ECWEZEH]G^7==0>1:UQ[AGSIVIDYCZ310<25>QUWMK_MRM@UOV?578692]YSIO[A^ALQKR;9:4:=6Y]_ECWEZEH]G^7=90>1:UQ[AGSIVIDYCZ314<27>QUWMK_MRM@UOV?53<768;0[_QKAUC\GJSI\5;=2<>4WS]GEQGXKF_EX1?1119TVZBF\HUHCX@[<3<24>QUWMK_MRM@UOV?7;773^XTHLZN_BMVJQ:368:0[_QKAUC\GJSI\5?5==5XR^FBPDYDG\D_0;0>0:UQ[AGSIVIDYCZ37?33?RTXLH^JSNAZNU>;:46<_[UOMYOPCNWMP9?9=2]YSH@>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG:;YMQG\0<PZ^GEO94XRV\CKB03QY_S[MLb:Z\GJTBW@DMC<>4X^ALV@YNFOET@D][6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`l;`nnkvrXmdz\7fuo5nllmppZ`rde<0nlmduqf8fdel}yUfm\7fejr29`hnb<lh~jSnaznu*3-a=ci}kTob{at)3*a>bf|hUhcx`{(02*a>bf|hUhcx`{(03*a>bf|hUhcx`{(00*a>bf|hUhcx`{(01*a>bf|hUhcx`{(06*a>bf|hUhcx`{(07*a>bf|hUhcx`{(04*`>bf|hUhcx`{(3+g?agsiVidycz'3(f8`drfWje~by&;)e9geqgXkf\7fex%;&d:fbpdYdg|d\7f$;'k;ecweZeh}g~#;$j4d`vb[firf}"3%i5kauc\gjsi|!3"h6jnt`]`kphs494:<6jnt`]`kphs48<1<394dckwawt602nnnxiPre]sjqtXxe\7fx=R|30?3:?ace}nUyhR~ats]shpu6W{6:<3?6;egaqbYulVzex\7fQ\7fltq2[w:697;27ikmuf]q`Zvi|{U{`x}>_s>26;7>3moiyjQ}d^rmpwYwd|y:S\7f2>3?3:?ace}nUyhR~ats]shpu6W{6:83?6;egaqbYulVzex\7fQ\7fltq2[w:6=7;i7ikmuf]q`Zvi|{U{`x}>_s>22?69901oio{h_sf\tkruWyf~\7f<Q}<04=5==cmk\7flS\7fjPpovq[ujr{8Uy0<0>8:fffpaXzmU{by|Ppmwp5Zt;:7;37ikmuf]q`Zvi|{U{`x}>_s>0:4><llh~kR|k_qlwvZvk}z;T~1:1199gags`W{nT|cz}_qnvw4Yu4<4:46jjbte\vaYwf}xT|a{|1^p?2;7?3moiyjQ}d^rmpwYwd|y:S\7f28>0:8``droVxoS}`{r^roqv7Xz525=55kecwd[wbXxg~yS}bzs0]q8<86:2nnnxiPre]sjqtXz5:5=>5kecwd[wbXxg~yS\7f2>0?30?ace}nUyhR~ats]q84799:1oio{h_sf\tkruW{6:>3?<;egaqbYulVzex\7fQ}<01=56=cmk\7flS\7fjPpovq[w:6<7;87ikmuf]q`Zvi|{Uy0<;1149gags`W{nT|cz}_s>22?699:1oio{h_sf\tkruW{6::3?=;egaqbYulVzex\7fQ}<0<26>bbj|mT~iQ\7fnup\v9499;1oio{h_sf\tkruW{682<<4dd`vcZtcWyd\7f~R|34?31?ace}nUyhR~ats]q8086:2nnnxiPre]sjqtXz5<5=?5kecwd[wbXxg~yS\7f28>008``droVxoS}`{r^p?<;753moiyjQ}d^rmpwYu404:>6jjbte\vaYwf}xT{1>1129gags`W{nT|cz}_v>24;743moiyjQ}d^rmpwYp48;5=>5kecwd[wbXxg~ySz2>2?30?ace}nUyhR~ats]t84599:1oio{h_sf\tkruW~6:83?<;egaqbYulVzex\7fQx<07=50=cmk\7flS\7fjPpovq[r:6>3:5=>5kecwd[wbXxg~ySz2>6?31?ace}nUyhR~ats]t8486:2nnnxiPre]sjqtX\7f585=?5kecwd[wbXxg~ySz2<>008``droVxoS}`{r^u?0;753moiyjQ}d^rmpwYp4<4:>6jjbte\vaYwf}xT{181139gags`W{nT|cz}_v>4:44<llh~kR|k_qlwvZq;07;97ikmuf]q`Zvi|{U|040>8:fffpaX\7f{U{by|Ppmwp5Zq;87;27ikmuf]tvZvi|{U{`x}>_v>24;7>3moiyjQxr^rmpwYwd|y:Sz2>1?3:?ace}nU|~R~ats]shpu6W~6:>3?6;egaqbYpzVzex\7fQ\7fltq2[r:6;7;27ikmuf]tvZvi|{U{`x}>_v>20;7>3moiyjQxr^rmpwYwd|y:Sz2>5?3a?ace}nU|~R~ats]shpu6W~6::7>1189gags`W~xT|cz}_qnvw4Yp48<5=55kecwd[rtXxg~yS}bzs0]t848602nnnxiPws]sjqtXxe\7fx=Ry32?3;?ace}nU|~R~ats]shpu6W~682<64dd`vcZquWyd\7f~R~cur3\s929911oio{h_vp\tkruWyf~\7f<Qx<4<2<>bbj|mT{\7fQ\7fnup\tist9V}7:3?7;egaqbYpzVzex\7fQ\7fltq2[r:06820hhlzg^uq[uhszVzgy~?Pw=:=5==cmk\7flSz|Ppovq[ujr{8U|040>8:fffpaX\7f{U{by|Ppmwp6Zq;87;27ikmuf]tvZvi|{U{`x}=_v>24;7>3moiyjQxr^rmpwYwd|y9Sz2>1?3:?ace}nU|~R~ats]shpu5W~6:>3?6;egaqbYpzVzex\7fQ\7fltq1[r:6;7;27ikmuf]tvZvi|{U{`x}=_v>20;7>3moiyjQxr^rmpwYwd|y9Sz2>5?3a?ace}nU|~R~ats]shpu5W~6::7>1189gags`W~xT|cz}_qnvw7Yp48<5=55kecwd[rtXxg~yS}bzs3]t848602nnnxiPws]sjqtXxe\7fx>Ry32?3;?ace}nU|~R~ats]shpu5W~682<64dd`vcZquWyd\7f~R~cur0\s929911oio{h_vp\tkruWyf~\7f?Qx<4<2<>bbj|mT{\7fQ\7fnup\tist:V}7:3?7;egaqbYpzVzex\7fQ\7fltq1[r:06820hhlzg^uq[uhszVzgy~<Pw=:=5==cmk\7flSz|Ppovq[ujr{;U|040>2:fffpaX\7f{U{by|Pr=2=56=cmk\7flSz|Ppovq[w:687;87ikmuf]tvZvi|{Uy0<?1129gags`W~xT|cz}_s>26;743moiyjQxr^rmpwYu4895=>5kecwd[rtXxg~yS\7f2>4?30?ace}nU|~R~ats]q84399<1oio{h_vp\tkruW{6::7>1129gags`W~xT|cz}_s>22;753moiyjQxr^rmpwYu484:>6jjbte\swYwf}xT~1<1139gags`W~xT|cz}_s>0:44<llh~kRy}_qlwvZt;<7;97ikmuf]tvZvi|{Uy080>2:fffpaX\7f{U{by|Pr=4=57=cmk\7flSz|Ppovq[w:06880hhlzg^uq[uhszVx743?=;egaqbYpzVzex\7fQ}<8<26>bbj|mT{\7fQ\7fnup\s9699:1oio{h_vp\tkruW~6:<3?<;egaqbYpzVzex\7fQx<03=56=cmk\7flSz|Ppovq[r:6:7;87ikmuf]tvZvi|{U|0<=1129gags`W~xT|cz}_v>20;743moiyjQxr^rmpwYp48?5=85kecwd[rtXxg~ySz2>6;2=56=cmk\7flSz|Ppovq[r:6>7;97ikmuf]tvZvi|{U|0<0>2:fffpaX\7f{U{by|Pw=0=57=cmk\7flSz|Ppovq[r:46880hhlzg^uq[uhszV}783?=;egaqbYpzVzex\7fQx<4<26>bbj|mT{\7fQ\7fnup\s9099;1oio{h_vp\tkruW~6<2<<4dd`vcZquWyd\7f~Ry38?31?ace}nU|~R~ats]t8<813mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'8;ekm,4>.?2nbb%?6)79gmk.5!>1oec&=0(58`lh/:8#<7iga(30*3>bnf!88%:5kio*10,1<l`d#>8'8;ekm,70.?2nbb%<8)69gmk.50 =0hd`'28+5?aoi :#<7iga(22*3>bnf!9:%;5kio*7-3=cag">%;5kio*5-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?;8`lh;;80;2:5kio>05;0<l`d7?384dhl?0;0<l`d79384dhl?2;0<l`d7;384dhl?<;0<l`d75394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,><lf\7f\7f$<9&8:flqq.60 20hb{{(0;*3>bh}}"9%55kotv+65/?3me~x%<>)99gkpr/:;#37iazt)00-==cg|~#>9'7;emvp-42!11ocxz'27+;?air|!8<%55kotv+6=/?3me~x%<6)69gkpr/; 20hb{{(22*<>bh}}"8=$94dnww,1/03me~x%;&7:flqq.1!>1ocxz'7(58`jss 1#<7iazt);*3>bh}}6;255kotv?558?3me~x1?>>99gkpr;9;437iazt=30:==cg|~7=907;emvp972611ocxz317<;?air|5;<255kotv?5=8?3me~x1?6>69gkpr;9720hb{{<32=<>bh}}69=364dnww874902ndyy2=3?:8`jss4;>546j`uu>11;><lf\7f\7f0?818:flqq:5?720hb{{<3:=<>bh}}695394dnww878?3me~x1=?>`9gkpr;;80;255kotv?74803me~x1=17:flqq:36>1ocxz35?58`jss4?4<7iazt=5=3>bh}}632:5kotv?=;><mmcm>cik5:gntq\7f592lbjbQheogqeqiuW\7f9T?!.Losgdak&>&;c::6hffn]dakcui}eyS{=P3^cp0>`rde8<7axc1^djbjg(|dz$Sni vhpwa}Y6;b]Tjdh`.oel<>h`kk\7fb`i8j;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0=09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1??>608jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<\vq0a3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8479?;1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:697Uyx;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=?082:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?=>^pw2c=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>27;153geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8459W{~=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;?2:<4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=90Pru4e?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<07=37=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>21;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97=:7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8j;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0?081:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=34=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36>;0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7939>;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<7<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919?81ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632:?4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw39?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<\vq123geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^nvp969W@D]S=9?;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>628jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<03=35=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?578082dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6;7=;7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;?2:>4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<;1719mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|317<47>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>22;Yu|?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu484=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0>09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2;>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<4<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8281n2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?6?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu404=96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<1<52>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48:5:;5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=32:30<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:>389;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5681>2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<:1679mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9726?<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>6?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz32?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;;7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz36?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;?7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz38?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ?629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[4033geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<;:4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^3221=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW88=86``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP1247?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6<?>0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?:659mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[401;2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T>;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^157>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<?90bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR;93:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\235<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V==?6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP8718jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ?0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7<39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;1?3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<3Q}t678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9766>20bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>^pw30=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4885;55aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=[wr0=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=>088:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?568Xz}=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>4?5;?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6<7Uyx:;4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=36:2><ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;>2R|{759mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8480?2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}949?>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0?0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:46>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1=1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5<5;:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<7<\vq133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6<2:94nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=5=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs74398;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>;:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir04087:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?=;Yu|>h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Ugyy2?>^KMRZ60>2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<>1779mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9766><0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>2?55?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9:4<:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<06=33=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;>2:84nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>22;1>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=;0Pru56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|32?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|34?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|38?56?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;17<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop969>j1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5581k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>25;0d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=31:3e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<01=2f=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz315<5g>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>5?4`?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?9>7`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<09b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}692;l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4:4=n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:36?h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8081j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>5:3d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<6<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y27>7`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f04099:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V:=56``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ71i2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^332d=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>17c8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=?8n;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW89=m6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ73>h1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]213g<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP174:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?86;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[10>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_44:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;86;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW><27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=0>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_8:5?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4943;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:=g<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7==0Pru:4?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48;54l5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>25;Yu|1=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw313<;e>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;92R|{869mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6;72j7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<01=[wr??2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?;>9c8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9=4T~y68;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az84390h1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>5?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=366;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz76:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959001ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw<3=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2574nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?0;Yu|1<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?::?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4T~y69;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838?12dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv;2>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5445aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:Zts0?1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>9;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;07Uyx584nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?=;>>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir040Pru:g?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZjr|5:5SD@Y_1:;?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;;2564nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479011ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<;<>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?367;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9736120bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<07=<==ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=;07b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6>7Uyx594nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq848??2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2=>958jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4:43;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>7:=1<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey08077:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:161=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<6<;3>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{632594nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8<80l2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8580m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8469?l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9766>o0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6:7=n7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9:4<i6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48>5;h5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;>2:k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6::39k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=39k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7>39k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7?39k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7839k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7939k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:39k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7;39k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7439k;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7539l;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}6;2:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;;2:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;:2:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;92:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;82:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;?2:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;>2:j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;=2:m4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5;5;n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphs4;4<o6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;;7=h7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjq:36>i0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp939?j1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw8380k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?3;1d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>;:2e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=;=3g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_15a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>7b9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<>8c:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=<9l;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:>:m4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;8;n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8><o6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9<=h7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6>>h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ40j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\72d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^64f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP56`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR88b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T;:l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V2<n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX1?o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;87<m7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48:5;?5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<3Q}t7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<46>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=32:Zts>o1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7=97ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4885S\7fz9f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?<>608jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw312<\vq0a3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8429?;1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6<7Uyx;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=8082:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?:>^pw2`=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:27<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7=:7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4;4T~y8j;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0>081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1=1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=34=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26>;0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;=7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:39>;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0;0Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<6<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=5=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9>9?81ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:?6Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp622:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs753Q}t678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSa{{<1<\MKPX8>:0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu48:5;=5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=<080:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>2?53?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=30:26<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8429?91ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9<4<<6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6::39<;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?9>^pw2c=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5;0a3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949>o1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<m7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5>5:k5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7938i;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1816g9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?4e?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=:=2c=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?=;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<389;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5581>2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<?1679mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9756?<0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>3?45?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9=4=:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<07=23=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;=2;;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>2:33<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}692;;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>0:33<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6?2;;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>6:33<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6=2;;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>4:33<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}632;;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>::35<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V:=?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP1768jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ77>=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<?94:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\57033geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:?;:4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^3721=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8?=86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP1740?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY5>:1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS>8<;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]726=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ9629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[2043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U3:>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_857?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:76>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1??>6:8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9776Vx\7f;85aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=3==ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48;5S\7fz85:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?578002dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=?0Pru56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6;7=37ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>3?]qp23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;?2:64nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=37:Zts?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<;1799mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8439W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;103geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8380?2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:?6>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;17=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>^pw3g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZjr|5:5SD@Y_155?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;994<:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<03=33=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;92:84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>27;113geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=9086:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8439??1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?9>6;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6>7Uyx:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6?2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>6:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{632:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<1<5g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>0?4`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?>>7a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<<16b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>09c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:838l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;>2;m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48<5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?7;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=6=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz35?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1816c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7;38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|525:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[50>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_04b?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<>9a:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;::l5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6:?k0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\560f3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_065e>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?:6`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U::;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX:?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\73?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP47;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T9;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX>?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\33?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP87;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T5::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=2=30=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48:5;55aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=[wr0=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=<088:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?548Xz}=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>2?5;?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7Uyx:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=30:2><ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;82R|{749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8429?11ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<:1_sv41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9<4<46``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw314<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0>087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1:1769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az818Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<6<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=:=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~414T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535S\7fz8b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_mww858XAG\T<:84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>24;113geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=<086:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8449??1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?<>648jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6<7==7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|314<42>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu48<5;45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=35:Zts?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1=1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1;1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~191749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9>9?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1716c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<38l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;;2;m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48;5:n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9;4=o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6;7<h7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9736?i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8439>j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5381j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>2:3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<3<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2<>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0909b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6>2;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4?4=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:06?h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8=81j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>::3?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP07;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX99<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[471i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^312d=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>37c8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=98n;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8?=m6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ71>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]12<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ<689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U?:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY2>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]52<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ8689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U3:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY>0?1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>958jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9943m6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:Zts0>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>1?:b?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48;5S\7fz77:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}97561k0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw313<\vq>03geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<=18`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6;7Uyx594nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?518?i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?;>^pw<2=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:936n;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8439W{~3:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=<<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2R|{879mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56130bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?366;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz76:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939001ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>^pw<3=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2574nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|1<0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?::?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y69;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8?12dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv;2>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535445aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::Zts0m1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~T`xz30?]JJSY7011ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|311<;<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:=367;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9756120bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<01=<==ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=9078:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6=7237ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=35:=d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<81_sv;3>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:2594nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq878??2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2<>958jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=43;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>6:=1<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0;077:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:061=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<9<;3>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{622:j4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6;2:k4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:<39j;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=<08e:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<<17d9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?<>6g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>4?5f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz314<4a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<04=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=3=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=0=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=6=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=7=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=4=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=5=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=:=3a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=;=3f=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<1<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz311<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz310<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz313<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz312<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz315<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz314<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz317<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz31?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~by2=>6a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fex1=17b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7f0908c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~7939l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}6=2:m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|5=5;n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphs414<o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;17=i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY7?k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[41d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]242e<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^323f=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_004g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP125`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>46a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?:7b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<88b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T>:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V9<n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<>h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ30j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\22d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^54f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP86`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR7=8:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[f\7f;87827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>24;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot2>1?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp6:>3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\g|:6;7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>20;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot2>5?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp6:2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}949:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<2<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWjs783<7;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\g|:26;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQly=4=6==ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir0:0=8:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[f\7f;07837ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>::7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUgyy2?>3c8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYk}}6:<3<n;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\hpr;9849m6``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww8449:h1ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=30:7g<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUgyy2>4?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xd|~7=80=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss48<5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp979:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=0=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1=1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5>5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp939:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=4=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x191289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|525>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp9?9:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=2=6d=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~1??>3c8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcY\7fg{6:=3<n;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\|jt;9;49m6``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq8459:h1ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=37:7g<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUsc\7f2>5?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xpfx7=;0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu484956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq878512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<2<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey090=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu4<4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq838512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<6<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey050=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu404946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?4;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot2>0?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6:=3<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:6:7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>27;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot2>4?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6:93<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:66;20bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQly=0=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir0>0=8:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;<7837ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>6:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu181299mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~4>4946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?<;4?3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot26>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:6878j7ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPltv?5485i2dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSa{{<00=6d=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1?<>3c8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6:83<n;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\hpr;9<49m6``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww8409:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=3=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1<1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|595>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp929:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=7=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x181289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|5=5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp9>9:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=;=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVrd~1>12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz5;;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:6978j7ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPxnp?5785i2dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSua}<01=6d=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVrd~1?;>3c8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcY\7fg{6:93<n;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\|jt;9?4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq848512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSua}<3<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0>0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[}iu4=4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq808512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSua}<7<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0:0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[}iu414956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq8<8692dd~`ijn/dofim(F8::=6``rlefj+`kjea$B<?>1:llvhabf'lgnae N0025>hhzdmnb#hcbmi,J45692dd~`ijn/dofim(F8>:=6``rlefj+`kjea$B<;>1:llvhabf'lgnae N0425>hhzdmnb#hcbmi,J41692dd~`ijn/dofim(F82:=6``rlefj+`kjea$B<7>1:llvhabf'lgnae N3225>hhzdmnb#hcbmi,J77692dd~`ijn/dofim(F;8:=6``rlefj+`kjea$B?=>1:llvhabf'lgnae N3625>hhzdmnb#hcbmi,J73692dd~`ijn/dofim(F;<:=6``rlefj+`kjea$B?9>1:llvhabf'lgnae N3:25>hhzdmnb#hcbmi,J7?692dd~`ijn/dofim(F:::=6``rlefj+`kjea$B>?>1:llvhabf'lgnae N2025>hhzdmnb#hcbmi,J65692dd~`ijn/dofim(F:>:=6``rlefj+`kjea$B>;>1:llvhabf'lgnae N2425>hhzdmnb#hcbmi,J61692dd~`ijn/dofim(F:2:=6``rlefj+`kjea$B>7>0:llvhabf'lgnae N733?kiuenoe"kbmlj-M346<ffxfkh`!fm`oo*H?991ec\7fcheo,ehgjl'G3846``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ\7fnup\cfY\7fg{:;<=Q]erwop45?3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVzex\7fQhc^zlv5679VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%~iv Mymq[uhszVmhSua}0121[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(ulq%Ftb|Ppovq[beXpfx;<==PRdqvhq7402dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U{by|Pgb]{kw678=UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZvi|{UloRv`r1231ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>9_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt789=T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos234=YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>?9^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89;;S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3447XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc\7f>?13]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R~ats]dgZ~hz9::?R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!rez,I}iuWyd\7f~Ril_ymq4573W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?007\V`urd};8n6``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ{mq]ueisb>V<Ttb|?012\|j:76:n0bb|bgdl-bidkc&igg`ak/bz-va~(EqeySyc\7f_wcoq`0X>Vrd~=>?0^zl85869:n0bb|bgdl-bidkc&igg`ak/bz-va~(EqeySyc\7f_wcoq`0X>Vrd~=>?0^zl85859=:0bb|bgdl-bidkc&igg`ak/bz-va~(EqeySyc\7f_wcoq`0X>Vrd~=>?0^zl858Xjmn;>95aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^210>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW88>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1106?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX988>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1306?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX9:8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1506?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX9<8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1707?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX:;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ<259mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ25<2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS8<;;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\272<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU<>95aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^:10>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW08j7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLPxnp34565j2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}012357g<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?000a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=?>2`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;??l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678:;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4563:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123044f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0171a>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>:_RU36d=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?63`8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<;<=c:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:=><<i;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789<Tnij=2`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;;?l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678>;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq456?:l1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123<ZUP8;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm30?04?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad48:5>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>25;403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmh0<<1269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:6;78<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril<06=62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj6:93<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8409:?1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTkn2>>348jjtjold%jalck.aoohic'jr%~iv povq[be;:78=7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril<2<12>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`k5>5>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>6:70<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni7:3<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8285>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo161279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:>6;?0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmP0378jjtjold%jalck.aoohic'jr%~iv povq[beX9;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmP1105?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW8;9:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^3163=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojU:??84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\51413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS<;=6:llvhabf'lgnae cminka)dp'xot"~ats]dgZ71:<1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ=249mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY4:<1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ;249mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY2:<1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ9249mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY0:<1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ7249mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY>:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=2=6d=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>1??>3c8jjtjold%jalck.aoohic'jr%~iv povq[beXp;6:=3<n;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;9;49m6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z18459:h1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=37:7g<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?2>5?0b?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87=;0=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5484956``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1878512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<2<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9090=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54<4956``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1838512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<6<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9050=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5404946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[54?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P13;8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U:<?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7Y69;30bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]267?<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q>33;8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U:8?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7Y6=;30bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]227><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q=299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V9946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[14?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P53:8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U=>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6Z1502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=_90;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8T5?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|969:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<02=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0<?1289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f4885>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{8459:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<06=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0<;1289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f48<5>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{848502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv32?0;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq682?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|929:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<4<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr7:3<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}:06;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=:=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs040=7:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X8;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^31<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT==<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y69;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^316==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<==8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X9=837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]217><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTtR?9269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW;8<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]062=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS9<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y2:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_704?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU<>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[=403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ62c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<=<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89::>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw678;8i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4564:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23414e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01266g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?070a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>82c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<5<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:2>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw67998i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4576:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23574e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01306g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?150a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=?:319mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V:Ttb|?01206>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S=Qwos234576;;1ec\7fcheo,ehgjl'jf`abj cy,q`})seyU}ma{j6^2\|jt789:98>=4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y7Wqey<=>?30007>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S=Qwos234556?:90bb|bgdl-bidkc&igg`ak/bz-va~(|dzTzlbze7]3[}iu89:;?><<2:llvhabf'lgnae cminka)dp'xot"zbp^tbhpc1W9Usc\7f>?011472=ig{glic ilcnh+fjlefn$ou }dy-wiuYqie\7fn:R>Pxnp34564Wdk{=8=9;omqibci&ofi`f!lljol`*e\7f&{ns#yc\7f_wcoq`0X8Vrd~=>?02]neu14>2dd~`ijn/dofim(keafci!lx/pg|*rjxV|j`xk9_1]{kw67899Tal~7379mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V:Ttb|?012\idv6::?0bb|bgdl-bidkc&igg`ak/bz-va~(|dzTzlbze7]3[}iu89:;S`o\7f5228jjtjold%jalck.aoohic'jr%~iv tlr\rdjrm?U:Sua}012377=ig{glic ilcnh+fjlefn$ou }dy-wiuYqie\7fn:R?Pxnp34564;:<0bb|bgdl-bidkc&igg`ak/bz-va~(|dzTzlbze7]2[}iu89:;S`o\7f1016?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T=Rv`r1234Zkfx?9;7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\6Z~hz9:;<><4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y5Wqey<=>?4211?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T>Rv`r123400492dd~`ijn/dofim(keafci!lx/pg|*rjxV|j`xk9_3]{kw6789=8>6``rlefj+`kjea$oaeboe-`|+tcp&~f|Rxnltg5[7Y\7fg{:;<=9?379mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V8Ttb|?012\idv60:<0bb|bgdl-bidkc&igg`ak/bz-va~(|dzTzlbze7]1[}iu89:;S`o\7f2416?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T>Rv`r1234Zkfx:9;7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\7Z~hz9:;<><4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y4Wqey<=>?1311?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T?Rv`r123477492dd~`ijn/dofim(keafci!lx/pg|*rjxV|j`xk9_2]{kw678998>6``rlefj+`kjea$oaeboe-`|+tcp&~f|Rxnltg5[6Y\7fg{:;<==<349mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V9Ttb|?0120[VQ7;<1ec\7fcheo,ehgjl'jf`abj cy,q`})seyU}ma{j6^1\|jt789:Tnij;319mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V>Ttb|?01200>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S9Qwos2345YT_99;7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\1Z~hz9:;<>:4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y2Wqey<=>?_RU375=ig{glic ilcnh+fjlefn$ou }dy-wiuYqie\7fn:R8Pxnp3456402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw6789UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1235ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=>=_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt7899T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2341YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^rmpwY`kVrd~=>?5^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:=S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3451XZly~`y?<8:llvhabf'lgnae cminka)dp'}yt"Cwos]sjqtXojUsc\7f>?09]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*rt\7f'Drd~R~ats]dgZ~hz9:;5R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuWyd\7f~Ril_ymq4577W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\tkruWniTtb|?003\V`urd};846``rlefj+`kjea$oaeboe-`|+qup&Gsc\7fQ\7fnup\cfY\7fg{:;=?Q]erwop45?3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVzex\7fQhc^zlv566;VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%{\7fv Mymq[uhszVmhSua}0137[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<<;PRdqvhq74j2dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U\7fa}Qyamwf2Z0Xpfx;<=>Pxn>3:6b<ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuW}g{S{ocud4\2Z~hz9:;<Rv`<1<256b<ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuW}g{S{ocud4\2Z~hz9:;<Rv`<1<1516<ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuW}g{S{ocud4\2Z~hz9:;<Rv`<1<\fab7:=1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNR>=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[4423geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT==<:;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\54423geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=?<:;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\56423geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=9<:;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\50423geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=;<;;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\672<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKU8>95aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^610>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEW<8?7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLP6368jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY0:=1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNR6=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[<4f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?0121f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>?13c8jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY\7fg{:;<<<m;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\|jt789;:>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567;;h0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos234675i2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}01276g=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?400b?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=;=e:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:>S^Y?2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;:?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678?89o6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4561:88m7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp3450Xjmn9>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567?;h0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos234275i2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012;6`=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?8^QT470<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWni7<3<8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8469:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTkn2>1?04?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad4885>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>27;403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh0<:1269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:6=78<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<04=63=ig{glic ilcnh+fjlefn$ou xry-sjqtXoj6:2?84nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa?6;413geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh0>0=6:llvhabf'lgnae cminka)dp'}yt"~ats]dg929:?1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTkn2:>348jjtjold%jalck.aoohic'jr%{\7fv povq[be;>78=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<6<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k525>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>::73<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniT<?;4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\570<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniT==<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[475>2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloR?=279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY6;;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmP1505?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW8?9:6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^3560=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU9>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]060=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU?>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]660=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU=>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]460=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU3>85aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]:6<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>1>12`9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:5;;2?o4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7:6978j7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0?5785i2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<01=6d=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>1?;>3c8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;6:93<n;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4;9?4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1848512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<3<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90>0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54=4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1808512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<7<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90:0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5414956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z18<8502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_10;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T=?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y68;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]257?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q>23;8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;U:??74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y6<;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]217?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q>63:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;U9>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6Z5502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_50;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T9?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y1:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^51<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S5<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X1;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=2=6<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs0<>1289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f48;5>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{8449:01ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<01=6<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs0<:1289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f48?5>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{8409:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<0<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7>3<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:46;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=6=6==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs080=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~;>7837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y>4:7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt161299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f4049;6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\471<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR?=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X99837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y]257><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR?=299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fW89946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\514?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ>53:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpV;=>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{[7403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ<269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fW=8<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y]662=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsS;<8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}Y0:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_904?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU2>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw67898i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq4566:k1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23474e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}01206g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc\7f>?050a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>:2c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;<;<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89:<>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw67818i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq456>:k1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23554e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}01326g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc\7f>?130a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=?<2c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;=9<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89;>?=5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z6Xpfx;<=><2:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W9Usc\7f>?013277=ig{glic ilcnh+fjlefn$ou xry-wiuYqie\7fn:R>Pxnp34565<:90bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]3[}iu89:;?<<<3:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W9Usc\7f>?0112365<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q?_ymq4567;:88>6``rlefj+`kjea$oaeboe-`|+qup&~f|Rxnltg5[5Y\7fg{:;<==8369mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V:Ttb|?0120[hgw9<9=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'}g{S{ocud4\4Z~hz9:;<>Qbaq502>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S=Qwos23455Xehz3?;5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z6Xpfx;<=>Pm`r2663<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q?_ymq4567Wdk{9>>4nnpnc`h)nehgg"mcklmg+f~)\7f{r$x`~Pv`nva3Y6Wqey<=>?339mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V;Ttb|?0120760<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q>_ymq4567Wdk{=<=:;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X9Vrd~=>?0^obt3573geyajka.gnahn)ddbgdh"mw.vp{+qkwW\7fkgyh8P2^zlv5678:80bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]1[}iu89:;8>==;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X:Vrd~=>?04405>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S?Qwos234514:2dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_3]{kw6789=;?;5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z4Xpfx;<=>Pm`r2<60<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q=_ymq4567Wdk{>8=:;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X:Vrd~=>?0^obt6573geyajka.gnahn)ddbgdh"mw.vp{+qkwW\7fkgyh8P3^zlv5678:80bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]0[}iu89:;=?==;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X;Vrd~=>?03305>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S>Qwos234554:2dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_2]{kw678998?85aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z5Xpfx;<=><_RU370=ig{glic ilcnh+fjlefn$ou xry-wiuYqie\7fn:R=Pxnp3456Xjmn??=5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z2Xpfx;<=><4:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W=Usc\7f>?01]PS5573geyajka.gnahn)ddbgdh"mw.vp{+qkwW\7fkgyh8P5^zlv5678:>0bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]6[}iu89:;S^Y?319mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V<Ttb|?0122b>hhzdmnb#hcbmi,vaYci}kTob{at^k\44`<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ7582dd~`ijn/dofim(zmUomyoPcnwmpZoX998;7ca}mfgm*cjedb%yhRjnt`]`kphsW`U:=?>4nnpnc`h)nehgg"|k_ecweZeh}g~TeR?=219mkwk`mg$m`obd/sf\`drfWje~byQf_0114>hhzdmnb#hcbmi,vaYci}kTob{at^k\51473geyajka.gnahn)ulVnjxlQlotlw[lY6=;:0bb|bgdl-bidkc&xoSio{a^alqkrXaV;==k5aosodak(adkf`#\7fjPd`vb[firf}UbS??i;omqibci&ofi`f!}d^fbpdYdg|d\7fSdQ<1g9mkwk`mg$m`obd/sf\`drfWje~byQf_53e?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h]65c=ig{glic ilcnh+wbXlh~jSnaznu]j[37a3geyajka.gnahn)ulVnjxlQlotlw[lY09o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW1;m7ca}mfgm*cjedb%yhRjnt`]`kphsW`U2>=5aosodak(adkf`#\7f~}elmg+WGJW[NTIC?=6:llvhabf'lgnae tipnka)fmeelen!JMQVZ[lhq:m1ec\7fcheo,ehgjl'}byabj adnlcle(MDZ_URgav0]JJSY7:k1ec\7fcheo,ehgjl'}byabj adnlcle(iegd\7fyQjmqvz[l553geyajka.gnahn)s`{gdh"ojlnejg*gkefy\7fShc\7ftx]j[jt789:8?6``rlefj+`kjea$xe|boe-baii`aj%j``a|t^gntq\7fXaVey<=>?13f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy2?>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy2>>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy2=>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy2<>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy2;>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy2:>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy29>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf5*efz{seiy28>2g8jjtjold%jalck.vkvhic'hogcjgl/bcf5*cvkhyn"ogh.lns_5[)nz~%akg}su1a?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)byjkxi#lfg/oot^7Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+`wdizo%ndi!mmrX6X(jam$fot=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-fufgtm'hbk#ccpZ1^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk>/ds`evc)j`m%aa~T4\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!jqbcpa+dno'gg|V;R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP:P bie,ng|5e3geyajka.gnahn)s`{gdh"ojlnejg*efm8%n}no|e/`jc+kkxR=V"`gk.laz7g=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'l{hm~k!bhe-iiv\0T$fei bcx04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q95:5>:5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3?5;403geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=1<1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7;;78<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1=6=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;793<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5909:>1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?37?04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q9525>k5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3\4Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5Z7Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7X:Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q9V9Tmcj?01226c=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;T8Road123444a3geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=R;Paof34566:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?P6^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1^5\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3\<Zhh|9:;<<<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7<3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7=3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7>3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7?3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~783<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~793<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7:3<k;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-`ewt~fl~7;3=j;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ2^*cus&dlb~~z<b:llvhabf'lgnae tipnka)fmeelen!lad0,atef{l$iej blqY2Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[3_-ilb)ejs8n6``rlefj+`kjea$xe|boe-baii`aj%hmh< epabw`(ean$f`}U<]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"k~c`qf*go`&df{W9S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nemmdmf)dil8$i|mnsd,amb(jdyQ>Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&ozol}j.ckd*hjwS?W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(mxij\7fh mif,nhu]0U'gbh#cly2`8jjtjold%jalck.vkvhic'hogcjgl/bcf6*cvkhyn"ogh.lns_=[)e`n%anw=7:llvhabf'lgnae tipnka)fmeelen!lad0,r4:76;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x><0<13>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8692?94nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t28685?2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<2;>358jjtjold%jalck.vkvhic'hogcjgl/bcf6*p64<49;6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0>5:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:0:0=7:llvhabf'lgnae tipnka)fmeelen!lad0,r4:?6;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x>_1]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0]2[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t2[7Yffm:;<=?=f:llvhabf'lgnae tipnka)fmeelen!lad0,r4Y4Whdo<=>?13d8jjtjold%jalck.vkvhic'hogcjgl/bcf6*p6W=Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8U>Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:S;Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<Q8_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x>_9]mkq6789;996``rlefj+`kjea$xe|boe-baii`aj%hc`~Pad311>hhzdmnb#hcbmi,pmtjgm%jiaahib-`khvXil8996``rlefj+`kjea$xe|boe-bbii`aj%MYABPiot1g>hhzdmnb#hcbmi,pmtjgm%jjaahib-EQIJXag|:SD@Y_10b?kiuenoe"kbmlj-wlwkhl&km`bifc.coijusWo\7fg`Rg<1:llvhabf'lgnae tipnka)fneelen!nllmppZ`rdeUbSb|?01206>hhzdmnb#hcbmi,pmtjgm%jjaahib-bhhit|Vl~`aQf_np34566:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp959:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp929:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp939:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp909:m1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#no}rxlfp919;l1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(mxij\7fh mif,nhu]3U'gbh#cly2`8jjtjold%jalck.vkvhic'hlgcjgl/bce5*cvkhyn"ogh.lns_0[)e`n%anw<b:llvhabf'lgnae tipnka)fneelen!lag3,atef{l$iej blqY5Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.grgdub&kcl"`b\7f[6_-ilb)ejs8n6``rlefj+`kjea$xe|boe-bbii`aj%hmk? epabw`(ean$f`}U7]/oj`+kdq;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x><1<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~86:2?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t28785?2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$z<2<>358jjtjold%jalck.vkvhic'hlgcjgl/bce5*p64=49;6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0>6:71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:0;0=7:llvhabf'lgnae tipnka)fneelen!lag3,r4:06;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x><9<1b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0]7[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t2[0Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag3,r4Y1Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce5*p6W>Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8U3Sca{012357b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>3:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>2:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>1:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>0:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>7:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>6:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>5:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>4:6c<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ozol}j.ckd*hjwS9W%j~z!mgkqwq5e3geyajka.gnahn)s`{gdh"oilnejg*efn;%n}no|e/`jc+kkxR;V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'l{hm~k!bhe-iiv\:T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)byjkxi#lfg/oot^5Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+`wdizo%ndi!mmrX0X(jam$fot=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-fufgtm'hbk#ccpZ7^*hoc&dir?o5aosodak(adkf`#yf}mnf,ecjho`i$olh=/ds`evc)j`m%aa~T6\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!jqbcpa+dno'gg|V9R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#h\7flarg-fla)eezP4P bie,ng|403geyajka.gnahn)s`{gdh"oilnejg*efn;%}=1>1269mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7;978<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1=0=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;7?3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5929:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?35?04?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q95<5>:5aosodak(adkf`#yf}mnf,ecjho`i$olh=/w3?3;403geyajka.gnahn)s`{gdh"oilnejg*efn;%}=1612g9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7X8Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q9V;Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;T>Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn;%}=R=Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?P4^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1^7\ekb789::>k5aosodak(adkf`#yf}mnf,ecjho`i$olh=/w3\2Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5Z1Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7X0Vddx=>?0006?kiuenoe"kbmlj-wlwkhl&km`bifc.aliuYfn88>7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ida}Qnf30;?kiuenoe"kbmlj-wlwkhl&ogcjgl/DOSP\YIGGXNK?l4nnpnc`h)nehgg"zgrlmg+`jho`i$I@^[Y^LLJWC@W`;9;6``rlefj+`kjea$xe|boe-fhjank&XJARKBPU[\m7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g4)di{xrbhz30?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,gdtuqgo\7f0<0=a:llvhabf'lgnae tipnka)bdfmbo"m>/bcqv|hb|585>l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:46;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm\7f|vndv?0;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<4<1e>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+fguzpdnx1812`9mkwk`mg$m`obd/ujqijb(meelen!l1.abvw\7fim}6<2>l4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!jqbcpa+dno'gg|V>R.gqw*h`nzz~846``rlefj+`kjea$xe|boe-fhjank&i:#h\7flarg-fla)eezP=P bie,ng|5?3geyajka.gnahn)s`{gdh"kcofk`+f7(mxij\7fh mif,nhu]5U'gbh#cly2:8jjtjold%jalck.vkvhic'lfdkdm c0-fufgtm'hbk#ccpZ1^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h="k~c`qf*go`&df{W9S!mhf-if\7f402dd~`ijn/dofim(|axfci!jlnejg*e6'l{hm~k!bhe-iiv\=T$fei bcx1;?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,atef{l$iej blqY5Y+knl'ghu>64nnpnc`h)nehgg"zgrlmg+`jho`i$o<!jqbcpa+dno'gg|V9R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d9&ozol}j.ckd*hjwS1W%adj!mb{10>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+s7;878?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<2>>368jjtjold%jalck.vkvhic'lfdkdm c0-u5949:=1ec\7fcheo,ehgjl'}byabj emmdmf)d9&|:0>0=4:llvhabf'lgnae tipnka)bdfmbo"m>/w3?0;433geyajka.gnahn)s`{gdh"kcofk`+f7(~86>2?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=4=61=ig{glic ilcnh+qnuefn$iaahib-`5*p64>4986``rlefj+`kjea$xe|boe-fhjank&i:#{?38?0`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4Y7Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c0-u5Z7Xign;<=>>2b9mkwk`mg$m`obd/ujqijb(meelen!l1.t2[7Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m>/w3\7Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]7[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^7\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_7]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i:#{?P7^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<Q7_omw45679;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%hm\7f|vndv?4;4f3geyajka.gnahn)s`{gdh"kcofk`+f4(khxyuck{<0<1e>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+fguzpdnx1<12`9mkwk`mg$m`obd/ujqijb(meelen!l2.abvw\7fim}682?o4nnpnc`h)nehgg"zgrlmg+`jho`i$o?!laspzj`r;<78j7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$ol|}yogw8085i2dd~`ijn/dofim(|axfci!jlnejg*e5'jky~t`jt=4=6d=ig{glic ilcnh+qnuefn$iaahib-`6*efz{seiy28>2`8jjtjold%jalck.vkvhic'lfdkdm c3-fufgtm'hbk#ccpZ2^*cus&dlb~~z<8:llvhabf'lgnae tipnka)bdfmbo"m=/ds`evc)j`m%aa~T1\,nma(jkp937ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$i|mnsd,amb(jdyQ9Q#cfd/o`}6><ffxfkh`!fm`oo*rozdeo#hb`gha,g7)byjkxi#lfg/oot^5Z&dco"`mv399mkwk`mg$m`obd/ujqijb(meelen!l2.grgdub&kcl"`b\7f[5_-ilb)ejs846``rlefj+`kjea$xe|boe-fhjank&i9#h\7flarg-fla)eezP9P bie,ng|5?3geyajka.gnahn)s`{gdh"kcofk`+f4(mxij\7fh mif,nhu]1U'gbh#cly2:8jjtjold%jalck.vkvhic'lfdkdm c3-fufgtm'hbk#ccpZ5^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h>"k~c`qf*go`&df{W5S!mhf-if\7f5<2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;7<3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>2:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9585>95aosodak(adkf`#yf}mnf,aii`aj%h>"x><2<10>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7;<78?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<2:>368jjtjold%jalck.vkvhic'lfdkdm c3-u5909:=1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:0:0=4:llvhabf'lgnae tipnka)bdfmbo"m=/w3?<;4d3geyajka.gnahn)s`{gdh"kcofk`+f4(~8U;Sl`k012357e<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9V;Tmcj?01226f=ig{glic ilcnh+qnuefn$iaahib-`6*p6W;Ujbi>?0131g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X;Vkeh=>?000`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4Y3Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c3-u5Z3Xign;<=>>2b9mkwk`mg$m`obd/ujqijb(meelen!l2.t2[3Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m=/w3\3Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n< v0];[kis89:;=?:4nnpnc`h)nehgg"zgrlmg+`jho`i$obc\7f1nww61=ig{glic ilcnh+qnuefn$iaahib-`khv5g|~9;6``rlefj+`kjea$xe|boe-ehjank&L^@AQAOOPFC7g<ffxfkh`!fm`oo*rozdeo#kb`gha,BPJKWGEE^HIPi005?kiuenoe"kbmlj-wlwkhl&lgcjgl/SCN[CSKDVc9h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4949h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4849h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4;49h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4:49h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4=49h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4<49h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4?49h6``rlefj+`kjea$xe|boe-ehjank&ida}? c`pq}kcs4>48i6``rlefj+`kjea$xe|boe-ehjank&ida}? epabw`(ean$f`}U?]/dpp+kaa{y\7f?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/ds`evc)j`m%aa~T1\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!jqbcpa+dno'gg|V<R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#h\7flarg-fla)eezP?P bie,ng|5e3geyajka.gnahn)s`{gdh"hcofk`+fijx8%n}no|e/`jc+kkxR>V"`gk.laz7g=ig{glic ilcnh+qnuefn$jaahib-`khv6'l{hm~k!bhe-iiv\=T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)byjkxi#lfg/oot^0Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX3X(jam$fot=m;omqibci&ofi`f!{hsol`*`kgnch#nabp0-fufgtm'hbk#ccpZ:^*hoc&dir>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?4;403geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=1?1269mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7;:78<7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!y1=1=62=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;783<8;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5939:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?36?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q95=5>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?<;4a3geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=R>Paof34566:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?P1^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!y1^0\ekb789::>k5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3\7Zgil9:;<<<i;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5Z2Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7X=Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9V<Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;T;Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=R6Pnnv34566:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp959:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp929:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp939:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp909:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp919;l1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!ilnejg*ehey8$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]3U'gbh#cly2`8jjtjold%jalck.vkvhic'ofdkdm cnos6*cvkhyn"ogh.lns_0[)e`n%anw<b:llvhabf'lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY5Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.grgdub&kcl"`b\7f[6_-ilb)ejs8n6``rlefj+`kjea$xe|boe-ehjank&ida}< epabw`(ean$f`}U7]/oj`+kdq;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x><1<13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~86:2?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t28785?2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<2<>358jjtjold%jalck.vkvhic'ofdkdm cnos6*p64=49;6``rlefj+`kjea$xe|boe-ehjank&ida}< v0>6:71<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:0;0=7:llvhabf'lgnae tipnka)adfmbo"m`mq0,r4:06;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x><9<1b>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}< v0]7[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t2[0Yffm:;<=?=f:llvhabf'lgnae tipnka)adfmbo"m`mq0,r4Y1Whdo<=>?13d8jjtjold%jalck.vkvhic'ofdkdm cnos6*p6W>Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~8U3Sca{0123570<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkwWo\7fg`<<9;omqibci&ofi`f!{hsol`*`kgnch#nabp^dvhi4582dd~`ijn/dofim(|dzT|cz}_s]gmc`;878:7ca}mfgm*cjedb%\7fa}Q\7fnup\vZbnno6:<3<>;omqibci&ofi`f!{mq]sjqtXzVnbjk2>1?02?kiuenoe"kbmlj-wiuYwf}xT~Rjffg>26;463geyajka.gnahn)seyU{by|Pr^fjbc:6;78:7ca}mfgm*cjedb%\7fa}Q\7fnup\vZbnno6:83<>;omqibci&ofi`f!{mq]sjqtXzVnbjk2>5?02?kiuenoe"kbmlj-wiuYwf}xT~Rjffg>22;473geyajka.gnahn)seyU{by|Pr^fjbc:66;:0bb|bgdl-bidkc&~f|R~ats]q[aoan585>=5aosodak(adkf`#yc\7f_qlwvZtXl`lm0>0=0:llvhabf'lgnae tlr\tkruW{Uoekh34?03?kiuenoe"kbmlj-wiuYwf}xT~Rjffg>6:76<ffxfkh`!fm`oo*rjxVzex\7fQ}_ekeb909:91ec\7fcheo,ehgjl'}g{S}`{r^p\`l`a4>49<6``rlefj+`kjea$x`~Ppovq[wYcaol743<?;omqibci&ofi`f!{mq]sjqtXzVnbjk26>328jjtjold%jalck.vntZvi|{U|Sigif=2=64=ig{glic ilcnh+qkwWyd\7f~RyPdhde8469:81ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a48;5><5aosodak(adkf`#yc\7f_qlwvZqXl`lm0<<1209mkwk`mg$m`obd/uos[uhszV}Thdhi<01=64=ig{glic ilcnh+qkwWyd\7f~RyPdhde8429:81ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a48?5><5aosodak(adkf`#yc\7f_qlwvZqXl`lm0<81219mkwk`mg$m`obd/uos[uhszV}Thdhi<0<14>hhzdmnb#hcbmi,phvXxg~ySzQkigd?6;473geyajka.gnahn)seyU{by|Pw^fjbc:46;:0bb|bgdl-bidkc&~f|R~ats]t[aoan5>5>=5aosodak(adkf`#yc\7f_qlwvZqXl`lm080=0:llvhabf'lgnae tlr\tkruW~Uoekh36?03?kiuenoe"kbmlj-wiuYwf}xT{Rjffg>4:76<ffxfkh`!fm`oo*rjxVzex\7fQx_ekeb9>9:91ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a4049<6``rlefj+`kjea${}|jmnf,VDKX_[UNB<<>;omqibci&ofi`f!xpsgnka)UIDU\^RKA1001?kiuenoe"kbmlj-ttwcjgm%YM@QXR^GM546592dd~`ijn/dofim(\7fyxnabj R@O\SWYBF889=6``rlefj+`kjea${}|jmnf,VDKX_[UNB<==1:llvhabf'lgnae wqpfijb(ZHGT[_QJN0615>hhzdmnb#hcbmi,sutbefn$^LCPWS]FJ43592dd~`ijn/dofim(\7fyxnabj R@O\SWYBF8<9=6``rlefj+`kjea${}|jmnf,VDKX_[UNB<9=1:llvhabf'lgnae wqpfijb(ZHGT[_QJN0:15>hhzdmnb#hcbmi,sutbefn$^LCPWS]FJ4?5:2dd~`ijn/dofim(\7fyxnabj R@O\SWYBF8U:>>5aosodak(adkf`#z~}elmg+WGJW^XTIC?P1100?kiuenoe"kbmlj-ttwcjgm%YM@QXR^GM5Z76::1ec\7fcheo,ehgjl'~zyi`ak/SCN[RTXMG;T=?<=;omqibci&ofi`f!xpsgnka)UIDU\^RKA1^016>hhzdmnb#hcbmi,sutbefn$^LCPWS]FJ4Y4:;1ec\7fcheo,ehgjl'~zyi`ak/SCN[RTXMG;T8?<4nnpnc`h)nehgg"y\7frdol`*TFEV]YSH@>_401?kiuenoe"kbmlj-ttwcjgm%YM@QXR^GM5Z05:2dd~`ijn/dofim(\7fyxnabj R@O\SWYBF8U<>?5aosodak(adkf`#z~}elmg+WGJW^XTIC?P8308jjtjold%jalck.usv`khl&XJARY]_DL2[<7a3geyajka.gnahn)pzVnjxlQlotlw[lY79o1ec\7fcheo,ehgjl'~xThlzn_bmvjqYnW88;7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U:<?>4nnpnc`h)nehgg"y}_ecweZeh}g~TeR?>219mkwk`mg$m`obd/vp\`drfWje~byQf_0014>hhzdmnb#hcbmi,swYci}kTob{at^k\56473geyajka.gnahn)pzVnjxlQlotlw[lY6<;:0bb|bgdl-bidkc&}ySio{a^alqkrXaV;>>=5aosodak(adkf`#z|Pd`vb[firf}UbS<8>f:llvhabf'lgnae ws]geqgXkf\7fexRgP20d8jjtjold%jalck.uq[agsiVidyczPi^12b>hhzdmnb#hcbmi,swYci}kTob{at^k\04`<ffxfkh`!fm`oo*quWmk\7fmRm`uov\mZ36n2dd~`ijn/dofim(\7f{UomyoPcnwmpZoX>8l0bb|bgdl-bidkc&}ySio{a^alqkrXaV=:j6``rlefj+`kjea${\7fQkauc\gjsi|VcT4<h4nnpnc`h)nehgg"y}_ecweZeh}g~TeR7>7:llvhabf'cenae re]qwqYaj830bb|bgdl-mkdkc&xoS\7f}{_g`\44?<ffxfkh`!io`oo*tcW{y\7fSklP10c8jjtjold%eclck.pg[wusW`d\7fS=?l;omqibci&`di`f!}d^pppZoi|V:T=<m4nnpnc`h)aghgg"|k_sqw[lhsW9U9=55aosodak(nfkf`#\7fjPrrv\v`a6?2dd~`ijn/kmfim(\7f{Uy\7fyQib0:8jjtjold%eclck.uq[wusW{ol9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|5:5=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[d(eagnnoRgasu]b+`hh}}6;2>?92:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7f0=0Pbef71c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt=33:4073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv?55849?90bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_`,amkbbkVce\7fyQn/dllqq:687Uihi::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7f0<?114d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWh$iecjjc^kmwqYf'lddyy2>2?36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{<01=50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu>20;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww84399<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_`,amkbbkVce\7fyQn/dllqq:6>7;=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss48<5?<8<;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~7=;0Pbef71c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt=34:43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv?5=86>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo eomvp97?6:;=?6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss4825Sojk44d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWh$iecjjc^kmwqYf'lddyy2>9?36a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{<0<21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt=03:43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv?6486=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo eomvp94568?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkpr;::4:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|58?2<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~7>80>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~x1<9>07e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxz326<21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt=0;:43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv?6<86=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo eomvp9499<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_`,amkbbkVce\7fyQn/dllqq:487;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss4:;5=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[d(eagnnoRgasu]b+`hh}}68>3?90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7f0><13040?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxz333<\fab3=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo eomvp95468?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkpr;;=4::=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|59?2>?93:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7f0>:1_cfg00`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu>01;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww86099<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_`,amkbbkVce\7fyQn/dllqq:4?7;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss4:25=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[d(eagnnoRgasu]b+`hh}}6853?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7f0>0>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~x1:?>07e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxz340<21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt=61:43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv?0686=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo eomvp92368?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkpr;<<4:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|5>=2<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~78:0>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~x1:7>043?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxz349<0535<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu>7<;Yelm>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss4=35=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[d(eagnnoRgasu]b+`hh}}6?2<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~79=0>619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~x1;?>2357>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{<42=[gbc<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_`,amkbbkVce\7fyQn/dllqq:297;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss4<85=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[d(eagnnoRgasu]b+`hh}}6>?3?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7f08:114d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWh$iecjjc^kmwqYf'lddyy2:5?36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{<44=50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu>63;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww80>99<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_`,amkbbkVce\7fyQn/dllqq:217;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]b*goilliTec}{_`-fjjss4<4:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|5<;2<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZg)j`doinQfnrv\e*cig|~7:<0>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(mge~x18=>07e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxz362<21`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt=4=50c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSl mioffgZoi{}Uj#h``uu>4:43b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"kaotv?<;72m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww8<86=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvp9699?90bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:76:;T_Z>93:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7f0=0<1^QT535<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu>3:67X[^8=?6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss4948=R]X3718jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyy2?>23\WR21;2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww85849VY\9;=4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[g(eagnnoRgasu]a+`hh}}6;2>?PSV457>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{<1<05ZUP?<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:687;=86``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss48:5?<Q\W147?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxz311<05ZUP9?>0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:6879:S^Y=659mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~x1??>23\WR51<2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww8469;8UX[98;;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZd)j`doinQfnrv\f*cig|~7==0<1^QT132<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu>24;56WZ]=:95aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|5;;2>?PSV56b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{<03=50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu>26;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww84599<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:6<7;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss48?5=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[g(eagnnoRgasu]a+`hh}}6::3?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7f0<9114d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyy2>8?350>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{<0:=74YT_9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkpr;904:9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|5;5=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[g(eagnnoRgasu]a+`hh}}69<3?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7f0??114d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyy2=2?36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{<31=50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu>10;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww87399<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:5>7;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss4;=5=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[g(eagnnoRgasu]a+`hh}}6943?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7f0?7114g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyy2=>07e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxz331<21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt=12:43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRl!bhlgafYnfz~Tn"kaotv?7786=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvp95468?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkpr;;=4:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|59>2<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZd)j`doinQfnrv\f*cig|~7?;0>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~x1=8>07e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxz339<21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt=1::43b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRl!bhlgafYnfz~Tn"kaotv?7;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww81699<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:397;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss4=85=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"lfneg`[g(eagnnoRgasu]a+`hh}}6??3?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7f09:114d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyy2;5?36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{<54=50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu>73;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww81>99<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:317;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss4=4:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|5?;2<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZd)j`doinQfnrv\f*cig|~79<0>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~x1;=>07e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxz352<21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt=77:43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRl!bhlgafYnfz~Tn"kaotv?1086=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPb/`jjacdW`dxxRl eomvp93168?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkpr;=>4:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|5?32<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!mioffgZd)j`doinQfnrv\f*cig|~7940>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXj'hbbikl_hlppZd(mge~x1;114d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.`jjacdWk$iecjjc^kmwqYe'lddyy290?36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{<73=50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*dnfmohSo mioffgZoi{}Ui#h``uu>56;72n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww83599<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&hbbikl_c,amkbbkVce\7fyQm/dllqq:168?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkpr;?7;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$nd`keb]a*goilliTec}{_c-fjjss414:9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|535=9o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;87>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8469<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>25;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<00=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`hfWhxymt2>3?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0<:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip6:93:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jn`]bvwg~48<58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:6?7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz84>9<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>2=;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<0<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1<?>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs7><0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq58929l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;::4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$icoPaspb}9436=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oemRo}r`{?6083j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mgkTm\7f|ny=05:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*ciiVky~lw326<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1<7>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs7>40;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:487>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8679<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>06;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<21=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`hfWhxymt2<4?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0>;14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip68:3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jn`]bvwg~4:=58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:407>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz86?9<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>0:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*ciiVky~lw341<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1:>>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs78?0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5>829l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;<=4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$icoPaspb}9226=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oemRo}r`{?0383j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mgkTm\7f|ny=64:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*ciiVky~lw349<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1:6>5c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs783:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jn`]bvwg~4<:58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:297>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8049<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>67;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<46=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`hfWhxymt2:5?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr08814c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip6>;3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jn`]bvwg~4<258o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:217>j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8083j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mgkTm\7f|ny=43:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*ciiVky~lw360<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju18=>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs7:>0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5<58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:06=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oemRo}r`{?<;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<8<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akdXi{xju1>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6:<3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~48;58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:6:7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8459<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>20;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<07=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2>6?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0<914c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6:43:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~48358l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:66=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?6583j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=02:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw323<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akdXi{xju1<<>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmfZguzhs7>90;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq58>29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kab^cqvd\7f;:?4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}9406=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?6=83j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=0::1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw32?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0>>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip68=3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4:858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:4;7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8629<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>01;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<24=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2<7?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0>614c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6853:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4:4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}9276=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?0483j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=61:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw342<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akdXi{xju1:;>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmfZguzhs7880;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq5>=29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kab^cqvd\7f;<>4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}92?6=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?0<83i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=6=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2:0?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr08?14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6>>3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4<958o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:2<7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8039<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>62;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<45=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2:8?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr08714`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6>29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kab^cqvd\7f;>94?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}9066=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?2783j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=40:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw36?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0:0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq5258l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:>6<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\553e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_0221f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0026g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??107g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>>117g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>>107g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>>137g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>>127g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>>157`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>>24f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==?=54f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==?=64f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==?=74f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==?=84f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==?=94a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==?<5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=?5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=>5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<==5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=<5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=;5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=:5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=95e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=85e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=75e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<=65b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<<::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=9>:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=9?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=9<:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=9=:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=9::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=9;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=98:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=99:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=96:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=97:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;=8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::9=;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::9<;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::9?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::9>;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::99;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::98;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::9;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::9:;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::95;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8::94;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:::8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99;<9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6882>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z7790?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[465=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24762k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33643d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02160e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11001f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0366g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??247`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>=64a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==<85b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<?6:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;>4;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:89n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68::>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77;8?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[464:<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5554=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24622k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33703d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02020e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11141f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>02:6g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??387a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>;5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<9>:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;8<;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:?>8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99>89n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68=>>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77<<?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[463><i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5520=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]241>2k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^330<3e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_0261f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0426g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??507`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>:24a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==;<5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<8::c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;98;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:>:8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99?<9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68<2>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77=0?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[461=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24362k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33243d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02560e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11401f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0766g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??647`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>964a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==885b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<;6:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;:4;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:<9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68>:>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77?8?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[460:<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5514=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24222k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33303d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02420e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11541f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>06:6g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??787a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>75b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<5>:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;4<;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:3>8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99289n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y681>>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z770<?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46?><i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55>0=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24=>2k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33<<3e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02:1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0826g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??907`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>624a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==7<5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<4::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1143?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf433436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1466>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2998=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:<>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8??4728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi502625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6550182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c368><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;;4;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<>65g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1043?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf432436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1476>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2988=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:=>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?>4728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi503625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6540182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c369><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;:4;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<?65g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1343?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf431436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1446>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`29;8=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:>>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?=4728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi500625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6570182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c36:><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;94;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<<65g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1243?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf430436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1456>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`29:8=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:?>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?<4728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi501625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6560182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c36;><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;84;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<=65g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1543?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf437436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1426>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`29=8=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:8>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?;4728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi506625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6510182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c36<><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;?4;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<:65g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf436436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1436>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`29<8=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:9>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?:4728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi507625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6500182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c36=><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;>4;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<;65g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1743?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf435436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1406>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`29?8=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?::>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?94728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi504625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6530182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c36>><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;=4;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<865g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1643?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf434436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1416>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`29>8=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:;>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?84728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi505625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6520182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c36?><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;<4;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<965g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1943?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf43;436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e14>6>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2918=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?:4>8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8?74728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi50:625=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d65=0182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c360><;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<;34;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9<665g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:1843?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf43:436<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e14?6=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=;:>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?9=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9?<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;=34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5366b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7110`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1702n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c35?<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=;2>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?958k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8=?5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:307e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4111c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6763a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b053=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2;<?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<9=9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>?:;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8=75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:387f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf466b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7740`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1172n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c33:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya==9>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo??88h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um99;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;;64d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5556b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g77<0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e11?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c32=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2=9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<?:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>9?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8;<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:557e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4761c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6133a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b030=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2=1?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<?29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>:8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9;>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;914d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5706b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7570`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1322n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c31=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=?<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?=;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9;6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;994g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi567e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4531c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6343a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b015=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2?:?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<=?9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>;8;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8995g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:767e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf45;1c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d63<3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0>2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3?8<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=1;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?3>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um95=:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;744d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5976b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7;20`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1=12n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3?0<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=13>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?29k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>5=;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj87>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:937e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4;01c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6=13a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0?2=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`21??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<3<9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>55;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8765g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_17e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]225=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6[46192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X99:==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T==?91:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P11055>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\555192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X99>==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T==;91:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P11455>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\551192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X992==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T==790:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P1042?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]255063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y698<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<U:=?8>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q>1242?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]251063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y69<<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<U:=;8>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q>1642?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]25=063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y690<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<U:>;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9R?=0738jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5^31537<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z75:?;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V;9?;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9R?=4738jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5^31137<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z75>?;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V;9;;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9R?=8738jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5^31=36<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z74>81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W89;:<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S<=>609mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_01124=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6[454>81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W89?:<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S<=:609mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_01524=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6[450>81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W893:<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S<=6619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_0655>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\516192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X9=;==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T=9<91:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P15155>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\512192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X9=?==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T=9891:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P15555>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\51>192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X9=3=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T=88>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q>5142?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]214063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y6=;<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<U:9>8>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q>5542?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]210063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y6=?<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<U:9:8>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q>5942?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]21<073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y6>?;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V;=<;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9R?91738jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5^35637<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z71;?;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V;=8;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9R?95738jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5^35237<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z71??;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V;=4;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um9R?99728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi5^3424=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6[417>81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W8=::<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S<9=609mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_05024=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6[413>81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W8=>:<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S<99609mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_05424=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d6[41?>81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W8=2:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S<691:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P19255>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\5=7192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X918==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T=5=91:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P19655>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\5=3192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X91<==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T=5991:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P19:55>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\5=?182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c3X90<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn<U:5=;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q=619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_3254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\64073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y5:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V88:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S?:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P2443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]1236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z40>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W;2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T>4;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q<619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_2254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\74073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y4:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V98:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S>:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P3443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]0236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z50>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W:2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T?4;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q;619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_5254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\04073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y3:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V>8:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S9:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P4443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]7236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z20>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W=2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T84;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q:619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_4254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\14073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y2:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V?8:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S8:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P5443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]6236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z30>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W<2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T94;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q9619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_7254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\24073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y1:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V<8:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S;:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P6443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]5236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z00>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W?2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T:4;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q8619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_6254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\34073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y0:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V=8:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S::90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P7443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]4236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z10>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W>2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T;4;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q7619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_9254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\<4073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y?:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V28:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S5:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P8443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4];236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z>0>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W12=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T44;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj8Q6619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh:_8254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g7\=4073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b0Y>:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya=V38:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl>S4:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk;P9443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf4]:236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e1Z?0>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`2W02=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo?T54;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj;?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk8>04d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi6036b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g4260`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e2452n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c06<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya>8?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo<::8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um:<9:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk8>84d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi60;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g411c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d5653a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b346=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`1:;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn?889k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl=>9;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj;<:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh9277e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf7041c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d56=3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b34>=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]24Z`1;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya>::>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z77Wo<8=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um:9;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj;;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk895d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh974g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT==Qi697f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf7;6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g4\40`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e2Z7182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c0X99<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn?U:=;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um:R?=619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh9_0154>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g4\51073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b3Y6=?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya>V;=:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl=S<990:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk8P1943?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf7]2=0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e2Z4182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c0X:9<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn?U9=;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X99Um:R<=619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh9_3154>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR??_g4\61073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_02\b3Y5=?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\55Ya>V8=:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl=S?990:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;;Sk8P2943?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf7]1=0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP11]e2Z5182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^33[c0X;9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[46Xn?U?9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y68Vl=S8;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8:Tj;Q95g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:<Rh9_67e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<>Pf7];1c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>0^d5[<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_036f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>14a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<??5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>?5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>>5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>=5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<><5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>;5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>:5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>95e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>85e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>75e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<>65b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=<?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<>:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<<:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<=:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<8:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<9:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<6:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=<7:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:=?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>=;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:><;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>>;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>9;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>:;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>5;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:>4;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;:?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;8<8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;8=8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;8>8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;8?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;888j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;898j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;8:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;8;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;848j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;858m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98;?9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>;9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>:9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>99i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>89i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>?9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>>9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>=9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698><9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>39i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698>29n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y698?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<:>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<;>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<8>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<9>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<>>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<<>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<=>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<2>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769<3>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z769??o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>9?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>8?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>;?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>:?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>=?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476><?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>??o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>>?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>1?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476>0?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[476?<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54708<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54709<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5470:<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5470;<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5470<<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5470=<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5470><n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5470?<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54700<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54701<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\547?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>7=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>6=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>5=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>4=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>3=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>2=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>1=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>0=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254>>=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]254?2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3260e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10031f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1336g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>237g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=217g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=207g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=237g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=227g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=257g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=247g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=277g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=267g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=297g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=287`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?=34a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<<;5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=?;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>8>:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>8?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>8<:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>8=:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>8::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>8;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>88:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>89:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>86:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>87:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:>;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:=;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:<;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:>;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:9;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9::;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:5;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9:4;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;9;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<<8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<=8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<>8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<88j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<98j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<48j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X988<58m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98839i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;2;9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;2:9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;299i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;289i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;2?9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;2>9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;2=9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;2<9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;239i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;229n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69;3>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:0:>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:0;>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:08>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:09>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:0>>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:0?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:0<>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:0=>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:02>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76:03>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76;<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5457=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25667=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25666=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25665=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25664=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25663=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25662=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25661=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25660=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]2566?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]2566>=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25672l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327462l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327472l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327442l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327452l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327422l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327432l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327402l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^327412l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3274>2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3274?2k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32773d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03070e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10171f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1276g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>377`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?<74a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<=75b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=>7:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:88m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98>;9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69=;>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76<;?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[473;<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5423=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25132k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32033d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03730e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP106;1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>15;6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>54a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<;?5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=8?:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:9?;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;>?8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98??9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69<?>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76=??h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[472?<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\543?=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]250?2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3220e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10431f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1736g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>637`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?934a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<8;5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=;;:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;::;;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;=;8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98<39n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69?3>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76?<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5417=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25272k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32373d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03470e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10571f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1676g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>777`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?874a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<975b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=:7:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:48m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X982;9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y691;>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z760;?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47?;<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54>3=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25=32k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32<33d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03;30e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10:;1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>19;6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>94a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<7?5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=4?:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:5?;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;2?8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X983?9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y690?>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z761??h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47>?<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54??=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25<?2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c32m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c36=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`299<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<;;<;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9<>>619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:11054>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7246073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b077<?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=8:>:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>==890:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;>0643?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf433<36<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e146>=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`298<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<;:<;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9<?>619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:10054>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7256073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b076<?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=8;>:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>=<890:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;>1643?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf432<36<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e147>=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`29;<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<;9<;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9<<>619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:13054>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7266073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b075<?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=88>:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>=?890:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;>267e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4301c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6513a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b072=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`29??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<;<9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>=5;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8?65d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5326b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7150`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e1742n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c35;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=;>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?998h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9?8:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;=74d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi53:6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g71=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e163a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b057=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2;8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<999k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>?>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8=;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:347e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4151c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6723a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b05?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2;0?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo??<8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um99?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;;24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5516b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7700`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e1132n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c33><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya===>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo??48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um997:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:517e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4721c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6173a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b034=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2==?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<?>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>9;;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8;85g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:597e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf47:1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d620`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e1362n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c319<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=?8>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?=?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9;::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;954d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5746b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7530`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e13>2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c311<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<=;9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>;<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj89=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:727e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4571c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6303a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b011=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2?>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<=39k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>;4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj86:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;704d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5936b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7;60`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e1=52n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3?<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=1?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?3:8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um959:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;784d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi59;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7:1c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6=53a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0?6=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`21;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<389k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>59;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj87:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:977e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4;41c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6==3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0?>=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U::=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y69Vl>S<>91:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;P11255>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\557192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X998==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T===91:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;P11655>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\553192dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X99<==6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T==991:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;:Sk;P11:55>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\55?182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X98<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U:==8>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q>1042?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4]257063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y69:<:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U:=98>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q>1442?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4]253063geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y69><:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U:=58>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q>1843?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<?Pf4]2637<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e1Z758?;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=V;9=;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R?=2738jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^31737<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP10]e1Z75<?;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\54Ya=V;99;?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R?=6728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^3025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[42182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X9<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U::;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R?8619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_0:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\5<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y5>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W;:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T><8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q=2728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^0025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[72182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X:<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U9:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R<8619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_3:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\6<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y4>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W::=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T?<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q<2728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^1025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[62182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X;<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U8:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R=8619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_2:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\7<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y3>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W=:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T8<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q;2728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^6025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[12182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X<<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U?:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R:8619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_5:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\0<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y2>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W<:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T9<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q:2728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^7025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[02182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X=<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U>:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R;8619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_4:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\1<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y1>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W?:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T:<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q92728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^4025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[32182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X><<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U=:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R88619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_7:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\2<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y0>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W>:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T;<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q82728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^5025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[22182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X?<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U<:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R98619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_6:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\3<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y?>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W1:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T4<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q72728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^:025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[=2182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X0<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U3:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R68619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_9:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\<<3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_03\b0Y>>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]25Z`2W0:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z76Wo?T5<8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8;Tj8Q62728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=<Qi5^;025=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>1^d6[<2182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^32[c3X1<<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[47Xn<U2:;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X98Um9R78619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:=Rh:_8:54>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?>_g7\=<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_006f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=14a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=???5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>?5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>>5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>=5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><><5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>;5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>:5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>95e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>85e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>75e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><>65b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:><?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<>:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<<:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<=:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<8:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<9:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<6:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=<7:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9=?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>=;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:><;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>>;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>9;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>:;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>5;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:>4;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88:?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;8<8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;8=8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;8>8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;8?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;888j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;898j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;8:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;8;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;848j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;858m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;;?9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>;9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>:9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>99i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>89i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>?9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>>9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>=9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8><9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>39i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8>29n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:8?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<:>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<;>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<8>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<9>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<>>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<<>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<=>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<2>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759<3>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z759??o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>9?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>8?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>;?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>:?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>=?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446><?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>??o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>>?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>1?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446>0?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[446?<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57708<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57709<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5770:<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5770;<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5770<<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5770=<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5770><n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5770?<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57700<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57701<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\577?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>7=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>6=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>5=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>4=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>3=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>2=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>1=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>0=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264>>=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]264?2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3160e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13031f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>2336g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=237g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=217g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=207g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=237g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=227g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=257g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=247g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=277g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=267g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=297g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=287`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<=34a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?<;5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>?;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>8>:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>8?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>8<:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>8=:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>8::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>8;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>88:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>89:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>86:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>87:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9>;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:=;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:<;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:?;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:>;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:9;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:;;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889::;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:5;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889:4;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW889;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<<8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<=8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<>8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<?8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<88j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<98j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<48j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;8<58m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;839i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;2;9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;2:9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;299i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;289i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;2?9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;2>9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;2=9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;2<9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;239i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;229n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:;3>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:0:>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:0;>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:08>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:09>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:0>>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:0?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:0<>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:0=>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:02>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75:03>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75;<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5757=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26667=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26666=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26665=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26664=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26663=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26662=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26661=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26660=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]2666?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]2666>=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26672l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317462l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317472l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317442l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317452l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317422l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317432l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317402l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^317412l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3174>2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3174?2k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31773d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00070e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13171f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>2276g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=377`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<<74a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?=75b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>>7:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;988m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;>;9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:=;>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75<;?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[443;<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5723=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26132k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31033d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00730e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP136;1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>25;6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=54a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?;?5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>8?:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;99?;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88>?8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;??9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:<?>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75=??h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[442?<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\573?=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]260?2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3120e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13431f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>2736g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=637`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<934a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?8;5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>;;:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9:;;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88=;8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;<39n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:?3>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75?<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5717=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26272k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31373d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00470e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13571f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>2676g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=777`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<874a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?975b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>:7:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;948m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;2;9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:1;>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z750;?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[44?;<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57>3=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26=32k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31<33d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00;30e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13:;1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>29;6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=94a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?7?5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>4?:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;95?;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW882?8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;3?9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:0?>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z751??h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[44>?<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57??=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26<?2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31[c32m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31[c36=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26Z`299?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[44Xn<;:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:Vl>=?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88Tj8?<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>Rh:157e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<Pf4361c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>2^d6533a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00\b070=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26Z`291?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[44Xn<;29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:Vl>>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;Um9?>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9Sk;=14d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?Qi5306b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=_g7170`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13]e1722n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31[c35=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57Ya=;<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75Wo?9;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;Um9?6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9Sk;=94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=?Qi527e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<Pf4131c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>2^d6743b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00\b022m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31[c32=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26Z`2><o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57Ya=>?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[44Xn<2>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75Wo?29k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:Vl>S=;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88Tj8Q>619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>Rh:_0254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=_g7\54073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00\b0Y6:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57Ya=V;8:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:Vl>S<:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9Sk;P1443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<Pf4]2236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13]e1Z70>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26Z`2W82=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75Wo?T=4;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88Tj8Q=619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>Rh:_3254>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=_g7\64073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_00\b0Y5:?:0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57Ya=V88:=5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6:Vl>S?:90:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;9Sk;P2443?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<<Pf4]1236<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13]e1Z40>91ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]26Z`2W;2=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75Wo?T>4;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW88Tj8Q<619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:>Rh:_226b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?=_g7\00`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP13]e1Z32n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^31[c3X><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\57Ya=V=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z75Wo?T48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9;Um9R7:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;89o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;8?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[4568<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5676=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27477=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27476=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27475=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27474=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27473=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27442l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^305732l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^305702l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^305712l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3057>2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3057?2k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^30563c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012753c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012743c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012773c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012763c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012713c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012703c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012733c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_012723c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_0127=3c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_0127<3d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_01200b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123740b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123750b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123760b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123770b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123700b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123710b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123720b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP123730b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP1237<0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP1237=0e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP12361a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30731a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30721a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30711a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30701a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30771a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30761a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30751a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>30741a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>307;1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>307:1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>3046g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?<167`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<=>84a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=>?65c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:??;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW899<8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9:8:9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;;8>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z74::?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[455<<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5642=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27702k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^30623d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_011<0e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP120:1g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>327`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<=<04a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=>=>5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:?><:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;8?>;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW89888m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9:9>9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;:<>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z74;>?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[4540<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\565>=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]2713d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_01740e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP12621f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>3506g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?<427`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<=;44a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=>::5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:?98:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;88:;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW89?48m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9:>29o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;<?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[4528<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5636=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27042k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^30163d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_01600e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP12761f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>3446g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?<567`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<=:84a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=>;65c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:?;;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW89=<8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9:<:9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;?8>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z74>:?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[451<<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5602=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27302k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^30223d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_015<0e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP124:1g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>367`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<=804a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=>9>5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:?:<:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;8;>;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW89<88m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9:=>9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;><>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z74?>?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[4500<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\561>=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27=3d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_01;40e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP12:21f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>3906g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?<827`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<=744a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=>6:5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:?58:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;84:;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW89348m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9:229o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6;0?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[45>8<i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\56?6=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]27<42k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^30=63d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_01:00g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP157a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<:>5b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:8<>:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;?=<;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW8>:>8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9=;89n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6<8>>o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z739<?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[426><i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5170=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]204>2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3760e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP15011f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ>4376g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?;277`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS<:=74a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=9<75b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU:8?7:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV;??8m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X9=9;9n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y6<:;>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z73<<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\5132j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^3720d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP1556f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR?;84`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT=97:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj5;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um4<;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um4<>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj5?>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk6>24g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh7127f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi8066a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf9361`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:220c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d;523b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e<4>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b=7>=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c>5=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c>58<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`?:8?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya0;8>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn1889h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo2988k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl3>8;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um4?8:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj5<85d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk6=84g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh7287g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi827f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi8226a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf9121a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:71a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:61a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:51a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:41a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:;1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g::1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:\40c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d;[43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e<Z77=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c>X98?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya0V;99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2Wo2T=>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<Um4R?;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk6P147e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi8^351c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:\523a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e<Z7?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6[c>X90?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0Ya0V8>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn1U9<8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl3S??:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj5Q=24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9Rh7_316b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf9]100`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d;[732n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b=Y5><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1Z`?W;=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z3Xn1U948h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=Vl3S?7:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?Tj5Q<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>Sk6P317f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8Qi8^66a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;Pf9]61`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:_g:\20c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5^d;[23b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4]e<Z>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7\b=Y>=j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c12l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b272m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b277=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c169<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`09;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya?89>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn>;?9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo=:98k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<=;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um;<9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj:?75d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk9>94f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh824g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh8217f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi7336a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf6011`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g5170c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d4613b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e3732m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b241=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c15?<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`0:1?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya?;3>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn>9>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn>9;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo=8=8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<88j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<98j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<:8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<48j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<S=;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um;R?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj:Q>04d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh8_036b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf6]260`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d4[452n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b2Y6<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`0W8?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn>U::8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<S<9:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj:Q>84d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh8_0;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf6]11c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g5\653a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e3Z46=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c1X:;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya?V889k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo=T>9;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um;R<:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk9P277e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi7^041c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g5\6=3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e3Z4>=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c1X;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`0W::>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn>U?9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo=T98k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl<S;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um;R9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj:Q75d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk9P94a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh75e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk6>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk6>04g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh7107f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi8006a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf9301`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g:200c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d;503b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<402m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b=70=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c>60<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`?90?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya0;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya0;:>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn18:9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo29>8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl3>>;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um4?::e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj5<:5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk6=64g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh7267f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi83:6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf90:1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g:01`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g:040c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d;743c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<13c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<03c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<33c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<23c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<=3c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<<3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<Z62m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b=Y6=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c>X99?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya0V;:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo2T=?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?Um4R?<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=Sk6P157e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi8^361c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g:\533a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<Z70=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c>X91?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3Ya0V;29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1Wo2T>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl3S?>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj5Q=14d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh7_306b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8Pf9]170`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d;[722n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b=Y5=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`?W;<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0Xn1U9;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>Vl3S?6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<Tj5Q=94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:Rh7_27e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;Qi8^131`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9_g:\00c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6^d;[03b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7]e<Z02m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4\b=Y0=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5[c>X0<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2Z`?W0?h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya><n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`19<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`199?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya>8;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn?;99h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo<:?8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl==9;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um:<;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;?95d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk8>74g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh9197f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi60;6`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf706a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf7031`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g4150c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d5673b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e2752m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b343=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c05=<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`1:??n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya>;=>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn?839h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo<958j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl=?8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl=?=;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um:>?:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;::d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;;:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;8:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;9:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;6:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;7:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;Q?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk8P14d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh9_026b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf7]250`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d5[442n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b3Y6;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`1W8>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn?U:98h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl=S<8:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;Q>74d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh9_0:6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf7]2=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d5[73a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e2Z47=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c0X:8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya>V899k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo<T>>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um:R<;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk8P247e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi6^051c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g4\623a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e2Z4?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c0X:0?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya>V9>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn?U8<8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl=S9;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um:R;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj;Q95d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk8P74g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh9_97f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi6^;6g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf67g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi707f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7026a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6321`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5260c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d4563b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3422m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b272=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c16><o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`09>?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?82>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>;29i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=99h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=9<8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl<><;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;?<:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj:<<5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9=44g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh8247f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7346a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6041`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g51<0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d46<3c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e363b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3662m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b256=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c14:<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0;:?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?:>>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>9>9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=8:8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl<?:;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;>6:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj:=65e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9;04g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh8407f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7506a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6601`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5700c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d4003b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3102m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b220=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c130<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0<0?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?<?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?<:>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>?:9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=>>8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl<9>;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;8::e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj:;:5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9:64g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh8567f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi74:6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf67:1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g551`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5540c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d4243b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3342m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b204=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c10=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1?=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1>=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1X8<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0W8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?V;;9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=T=<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;R?=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9P127e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7^371c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5\503a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3Z71=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1X9>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?V;39k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=T=4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;R<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj:Q=04d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh8_336b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6]160`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d4[752n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b2Y5<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0W;?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>U9:8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl<S?9:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj:Q=84d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh8_3;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6]01c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5\753a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3Z56=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1X;;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?V989k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=T?9;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;R=:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9P377e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7^141c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5\7=3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3Z5>=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1X<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0W=:>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>U?=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl<S9<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV=Tj:Q;34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT;Rh8_566b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6]710`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d4[102n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b2Y3?<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0W=2>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>U?58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X?Vl<S8;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;R;?5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9P507e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7^711c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ8_g5\163a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_6]e3Z33=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]4[c1X=<?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?V?=9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y0Wo=T9:;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW>Um;R;75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU<Sk9P587f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS:Qi7^46b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR9Pf6]540`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP7^d4[372n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^5\b2Y1:<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\3Z`0W>?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[2Ya?V2>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z1Xn>U29n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn<;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn<;;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo?:=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl>=?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um9<=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj8?;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk;>54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:177f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi5056a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf43;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g72=0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d660c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d6653b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e1772m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b045=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c35;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`2:=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya=;?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn<8=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo?9;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl>>5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um9?7:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj8=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj8=?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk;<14f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:44f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:54f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:64f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:74f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:84f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:_17f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi5^36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf4]240`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d6[472n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b0Y6:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`2W89>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn<U:88h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl>S<;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj8Q>64d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:_056b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf4]2<0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d6[4?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b0Y5=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c3X:9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya=V8:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo?T>?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um9R<<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk;P257e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi5^061c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g7\633a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e1Z40=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c3X:1?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya=V829h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo?T?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl>S>>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj8Q;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk;P54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh:_77f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi5^56a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf4];1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g7\=0e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d51a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g421`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4240c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5543b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2442m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b374=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c06<<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`19<?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>8<>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?;<9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<:48k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl==4;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:?>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;<>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8=24g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9227f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6366a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7061`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4120c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5623b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e27>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b34>=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c04=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c048<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1;8?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>:8>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?989h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<888k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=?8;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:>8:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;=85d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8<84g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9387g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi657f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6526a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7621`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4760c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5063b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2122m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b322=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c03><o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1<>?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>=2>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?>29i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<>9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<><8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=9<;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:8<:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;;<5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8:44g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9547f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6446a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7741`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g46<0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d51<3c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e233b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2362m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b306=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c01:<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1>:?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>?>>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?<>9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<=:8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=::;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:;6:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;865e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk885d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8804g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9707f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6606a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7501`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4400c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5303b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2202m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b310=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c000<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1?0?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>1?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>1:>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?2:9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<3>8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=4>;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:5::e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;6:5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8764g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9867f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi69:6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7::1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4:1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4:40c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5=43b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2<42m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b3?4=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0><<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`11<?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V:>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?U:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T==;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:R?>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8P137e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6^301c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4\513a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2Z72=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0X9??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V;<9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T=5;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:R?65d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8P24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9_326b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7]150`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5[742n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b3Y5;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1W;>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?U998h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=S?8:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;Q=74d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9_3:6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7]1=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5[63a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2Z57=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0X;8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V999k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T?>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:R=;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8P347e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6^151c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4\723a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2Z5?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0X;0?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?U?<8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=S9?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;Q;24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9_516b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7]700`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5[132n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b3Y3><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1W==>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?U?48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=S97:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;Q:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8P517e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6^721c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4\173a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2Z34=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0X==?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V?>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T9;;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:R;85g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8P597e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6^7:1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4\20`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5[362n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b3Y19<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1W?8>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?U=?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=S;::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;Q954d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9_746b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7]530`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5[3>2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b3Y11<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1W>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V=;9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T;<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:R9=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk8P727e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi6^571c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4\303a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2Z11=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0X?>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V=39k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T;4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um:R6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;Q704d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9_936b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7];60`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d5[=52n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b3Y?<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`1W1?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn?U3:8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl=S59:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj;Q784d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh9_9;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf7]:1c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g4\=53a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e2Z?6=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c0X1;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya>V389k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo<T59;l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um;8j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl<=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl<==;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um;<?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj:?=5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk9>34g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh8157f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi7076a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf6351`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g5230c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d45=3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e34?2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b242m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b247=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c159<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`0:;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya?;9>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn>8?9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo=998k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl<>;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um;?9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj:<75d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk9=94f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh834g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh8317f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi7236`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf666`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf676`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf646`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf656`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf6:6`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf6;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf6]31`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g5\50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d4[462n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b2Y69<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`0W88>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z>Xn>U:?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X0Vl<S<::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj:Q>54d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh8_046b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf6]230`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d4[4>2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^:\b2Y61<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\<Z`0W;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya?V8;9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo=T><;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um;R<=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU3Sk9P227e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi7^071c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g5\603a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e3Z41=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy];[c1X:>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[=Ya?V839k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y?Wo=T>4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW1Um;R=:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV2Tj:Q<04g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT4Rh8_57f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS5Qi7^76a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR6Pf6]51`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ7_g5\30c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP8^d4[=3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_9]e3Z?212dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;6e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7>5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2==;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0;:9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>9;?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<74=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:513e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8361g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6177a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4?85c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2=5;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW08>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?5:<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=732j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;120d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9356f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7=84`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5?7:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV389o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>;9?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<56=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:00g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP947b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS48:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3<9l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>0<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=<3d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e10b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d650c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6553a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1467=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3688?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=8:99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?:<>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9<>;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;>047e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi50251c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g72423a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e146?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3680?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=8;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<;:<8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>=<?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8?>24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:1016b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf43200`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d65432n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b076><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`298=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<;:48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>=<7:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8?=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;>217e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi50021c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g72673a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1444=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c36:=?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=88>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?:>;;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9<<85d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;>34g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:157f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5076a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4351`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7230c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d65=3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e14?2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b042m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b047=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c359<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2:;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=;9>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<8?9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?998k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>>;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9?9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8<75d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;=94f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:34g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:317f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5236a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4111`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7070c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6713b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1632m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b051=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c34?<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2;1?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=:3>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<>>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<>;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo??=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>8?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um99=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8:;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;;54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:477f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5556a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf46;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g77=0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d610c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6153b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1072m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b035=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c32;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2==?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=<?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<?=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?>;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>95;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um987:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj88:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj88?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;914g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:637f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5716a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4471`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7510c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6233b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1312m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b00?=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c311<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2?<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2?9?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=>;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<=99h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?<?8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>;9;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9:;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8995d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;874g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:797f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi56;6`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4:6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4:31`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7;50c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6<73b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1=52m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0>3=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3?=<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`20??n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=1=>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<239h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?358j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>5=;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um94?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj87=5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;634g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:957f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5876a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4;51`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7:30c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6==3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1<?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y7=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X9<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W8:=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U:<=8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R??1728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_02125=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\555182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y68=<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V;;9;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S<>9619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P11554>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]24=073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z771<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W8;=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U:==8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R?>1728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_03125=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\545182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y69=<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V;:9;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S<?9619mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P10554>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]25=073geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z761<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W88=<6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U:>=8?;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R?=1728jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_00125=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\575182dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y6:=<;7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V;99;>4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S<<95g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P127e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^371c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\503a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z71=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X9>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V;39k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?T=4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8Q=04d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_336b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]160`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6[752n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y5<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W;?>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U9:8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S?9:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8Q=84d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_3;6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]01c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\753a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z56=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X;;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V989k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?T?9;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R=:5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P377e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^141c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\7=3a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z5>=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X<<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W=:>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U?=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S9<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8Q;34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_566b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]710`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6[102n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y3?<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W=2>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U?58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S8;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R;?5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P507e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^711c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\163a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z33=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X=<?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V?=9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?T9:;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R;75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P587f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^46b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]540`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6[372n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y1:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W?9>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U=88h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S;;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8Q964d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_756b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4]5<0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6[3?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y0=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X?9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V=:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?T;?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R9<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P757e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^561c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\333a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z10=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X?1?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V=29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?T48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S5>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8Q714d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_906b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf4];70`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d6[=22n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b0Y?=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`2W1<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn<U3;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl>S56:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj8Q794g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh:_87e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^;31c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\=43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e1Z?5=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c3X1:?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya=V3?9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo?T58;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um9R795g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk;P967e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi5^;;1c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g7\=<3d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e20b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d550c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5553b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2472m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b375=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c06;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`19=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>8?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?;=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<:;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl==5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:<7:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;<:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;<?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8=14g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9237f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6316a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7071`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4110c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5633b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2712m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b34?=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c051<n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1;9?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>:;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?999h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<8?8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=?9;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:>;:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;=95d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8<74g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9397f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi62;6`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf766a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7631`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4750c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5073b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2152m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b323=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c03=<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1<??n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>==>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?>39h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<?58j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=98k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=9=;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:8?:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;;=5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8:34g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9557f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6476a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7751`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4630c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d51=3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e20?2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b302m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b307=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c019<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1>;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>?9>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?<?9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<=98k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=:;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:;9:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;875d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8994f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh974g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9717f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6636a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7511`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4470c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5313b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2232m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b311=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c00?<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1?1?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>>3>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?2>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?2;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<3=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=4?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:5=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;6;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8754g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9877f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6956a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7:;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4;=0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5=53b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2<72m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3?5=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c0>;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`11=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>0?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<T=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S<>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;Q>14d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9_006b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7]270`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5[422n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3Y6=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1W8<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U:;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S<6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;Q>94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9_37e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6^031c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4\643a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2Z45=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c0X::?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>V8?9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<T>8;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:R<95g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8P267e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6^0;1c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4\6<3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2Z52n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3Y48<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1W:;>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U8>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S>=:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;Q<44d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9_276b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7]020`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5[612n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3Y40<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1W:3>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U?9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<T8=;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:R:>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8P437e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6^601c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4\013a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2Z22=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c0X<??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>V><9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<T85;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:R:65d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8P54d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9_426b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7]650`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5[042n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3Y2;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1W<>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U>98h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S88:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;Q:74d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9_4:6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7]6=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5[33a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2Z07=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c0X>8?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>V<99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<T:>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:R8;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8P647e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6^451c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4\223a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2Z0?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c0X>0?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>V=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U<<8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S:?:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;Q824d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT5Rh9_616b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR7Pf7]400`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5[232n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3Y0><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1W>=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U<48h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S:7:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV3Tj;Q75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8P817e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6^:21c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4\<73a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_8]e2Z>4=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]:[c0X0=?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[<Ya>V2>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y>Wo<T4;;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW0Um:R685g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU2Sk8P897e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS4Qi6^::1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ6_g4\=0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP9^d5[<62n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^;\b3Y>9<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\=Z`1W08>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z?Xn?U2?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X1Vl=S4:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<>?4g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C??016e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A11220c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O33472a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5564<o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K778=>m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I99:>8k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;;<;:i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E==>84g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C??096e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A112:0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3351`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L24463n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J4669=l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6888?j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8::?9h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:<<:;f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<>>55d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>0047b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N02231`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L244>3n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J4661=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H68;>m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I998;8k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;;><:i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E==<=4g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C??226e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A11070c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O33602b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5553m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J463<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K77==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H68?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I99=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8:38h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;;59k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:==:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=<?;f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<?>15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>136f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1017a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0370`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3211c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2532b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5413m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J47?<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K761=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6:=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6:9>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9;;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8898h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;9?9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:>9:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=?;;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<<94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?=75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>296f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A13;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N017a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0130`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3051c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2772b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5653m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J453<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K74==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6;?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9:=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8938h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;859j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:89k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:8=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=9?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<:=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?;35g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>456f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1577a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0650`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3731c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L20=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M51?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J433m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J437<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K729=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6=;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9<9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8??8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;>99k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:9;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=89;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<;74d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?:95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>65g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>616f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1737a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0410`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3571c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2212b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5333m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J401<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K71?=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6>1>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9?3?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8=;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;<=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:;?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=:=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<9;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?855g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>776f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1657a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N05;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O34=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2<1c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2<52b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5=73m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J4>5<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K7?;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H60=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I91??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F82=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;3;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:45:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=57;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<7;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<7?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?615g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>936f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1817a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0;70`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3:11c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2=32b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5<13m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J4??<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K7>1=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5<m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K47<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K478=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H588>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:98?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;:88h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8;89k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9<8:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>=8;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?>84d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<?85g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=086g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A206f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2027a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3320`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0261c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1562b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6423m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J772<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K46>=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H59>>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:82?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;;28i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G898h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G89<9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9><:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>?<;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?<<4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<=45g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=246f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2347a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3040`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O01<1c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L16<2c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M662b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6663m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J756<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K44:=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5;:>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I::>?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;9>8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G88:9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9?::j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>>6;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?=64e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<;05g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=406f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2507a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3600`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0701c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1002b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6103m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J720<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K430=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5<0>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:<>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:<:?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;?:8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8>>9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D99>:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>8:;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?;:4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<:65g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=566f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A24:7a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N37:0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O050`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0541c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1242b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6343m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J704<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K41<=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5><>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:?<?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;<<8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8=49k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9:4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>::j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>:>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?9>4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<825g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=726f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2667a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3560`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0421c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1322b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M62>3m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J71><m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K4?<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K4?8=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H508>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:18?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;288h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8389k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D948:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E>58;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?684d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<785g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=886g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A286f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A2827a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N3;20`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O0:61c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L1=62b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M6<23m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J7?2<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K4>>=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H51>>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I:02?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F;328n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G9?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F::?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F::;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G9;=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D8<?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?==;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B>>;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=?55g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<076f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3157a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N22;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O13=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L051c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L0552b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M7473m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J675<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K56;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H49=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;8??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:;=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G9:;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D8=5:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?<7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B><;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B><?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C==15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<236f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3317a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2070`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O1111c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L0632b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M7713m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J64?<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K551=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H4;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H4;9>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;:;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:998h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G98?9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D8?9:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?>;;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B>=94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=<75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<396f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A32;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N267a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2630`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O1751c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L0072b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M7153m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J623<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K53==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H4<?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;==?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:>38h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G9?59j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D899k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D89=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?8?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B>;=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=:35g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<556f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3477a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2750`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O1631c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L01=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M70?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J603m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J607<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K519=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H4>;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;?9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:<?8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G9=99k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D8:;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?;9;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B>874d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=995f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<716f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3637a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2510`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O1471c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L0312b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M7233m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J611<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K50?=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H4?1>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;>3?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:2?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:2;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G93=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D84?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?5=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B>6;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=755g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<876f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3957a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2:;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O1;=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L0=1c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L0=52b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M7<73m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J6?5<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K5>;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H41=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;0??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F:3=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G92;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D855:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?47;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8=>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9>>4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C:?25g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;026f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A4167a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5260`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O6321c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L7422b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M05>3m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J16><m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K26<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K268=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H398>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<88?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=;88h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G>:89k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D?=8:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8<8;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9?84d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C:>85g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;186g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A436f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A4327a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5020`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O6161c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L7662b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M0723m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J142<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K25>=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H3:>>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<;2?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=828i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G>88h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G>8<9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D??<:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8><;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9=<4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C:<45g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;346f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A4247a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5140`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O60<1c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L77<2c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M012b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M0163m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J126<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K23:=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H3<:>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<=>?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=>>8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G>?:9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D?8::j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E896;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9:64e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C::4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C::05g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;506f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A4407a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5700`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O6601c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L7102b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M0003m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J130<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K220=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H3=0>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<?:?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=<:8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G>=>9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D?:>:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8;:;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B98:4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C:965g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;666f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A47:7a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N54:0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O640`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O6441c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L7342b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M0243m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J114<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K20<=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H3?<>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<><?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F==<8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G><49k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D?;4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E85:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E85>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B96>4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C:725g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;826f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A4967a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5:60`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O6;21c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L7<22b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M0=>3m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J1>><m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K2><l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K2>8=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H318>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<08?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=388h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G>289k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D?58:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E848;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9784d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C:685g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;986`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A55f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:05g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:016f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5137a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4210`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7371c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6412b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M1533m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J061<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K37?=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H281>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I=93?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<;;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?:=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>=?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E9<=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8?;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;>55g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:176f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5057a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N43;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O72=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L661c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6652b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M1773m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J045<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K35;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H2:=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I=;??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<8=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?9;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>>5:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E9?7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8=?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;<15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:336f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5217a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4170`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7011c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6732b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M1613m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J05?<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K341=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H2<=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H2<9>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I==;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<>98h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G???9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>89:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E99;;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8:94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;;75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:496f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A55;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N477a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4730`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7651c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6172b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M1053m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J033<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K32==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H2=?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I=<=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<?38h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?>59j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>:9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>:=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E9;?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B88=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;935g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:656f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5777a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4450`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7531c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L62=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M13?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J013m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J017<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K309=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H2?;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I=>9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<=?8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?<99k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>;;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E9:9;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8974d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;895f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:85g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:816f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5937a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4:10`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7;71c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6<12b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M1=33m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J0>1<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K3??=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H201>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I=13?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<3?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<3;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?2=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D>5?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E94=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B87;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;655g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@:976f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5857a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4;;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7:=1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L50a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O430`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O4341c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L5442b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M2543m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J364<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K07<=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H18<>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I>9<?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?:<8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G<;49k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D=<4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E:<:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E:<>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;?74e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C8=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C8=65f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@935g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@9356g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A656f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A6507`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N777a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7730`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O46<1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L521c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L5232c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M222b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M2223l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J3>3m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J3>5<m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K0><l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K0>8=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H111>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H08=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H08?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?9=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F>:38h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G=;59j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<==:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;<?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B:?=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C9>35g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@8156f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7077a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N6350`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O5231c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L45=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M34?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J243m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J247<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K159=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H0:;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?;9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F>8?8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G=999k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<>;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;?9;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B:<74d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C9=95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@835g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@8316f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7237a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N6110`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O5071c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L4712b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M3633m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J251<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K14?=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H0;1>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?=<?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F>??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F>??8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G==8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G==>9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D<;=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;:6;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B:6;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B:694e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C964d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C9645a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@74e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6?25g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7026f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8167a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N9260`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:321c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L;422b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<5>3m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J=6><m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>6<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>68=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?98>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I088?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F1;88h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G2:89k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3=8:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E4<8;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5?84d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6>85g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7186g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A836f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8327a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N9020`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:161c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L;662b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<723m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J=42<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>5>=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?:>>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I0;2?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F1828i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G288h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G28<9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3?<:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E4><;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5=<4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6<45g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7346f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8247a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N9140`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:0<1c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L;7<2c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<12b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<163m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J=26<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>3:=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?<:>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I0=>?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F1>>8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G2?:9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D38::j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E496;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5:64e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6:4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6:05g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7506f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8407a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N9700`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:601c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L;102b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<003m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J=30<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>20=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?=0>o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I0?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I0?:?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F1<:8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G2=>9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3:>:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E4;:;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B58:4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6965g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7666f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A87:7a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N94:0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:40`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:441c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L;342b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<243m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J=14<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>0<=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H??<>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I0><?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F1=<8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G2<49k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3;4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E45:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E45>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B56>4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6725g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7826f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8967a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N9:60`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O:;21c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L;<22b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M<=>3m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J=>><m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>><l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K>>8=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?18>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I008?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F1388h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G2289k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D358:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E448;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B5784d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6685g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@7986`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@605g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6016f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A9137a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N8210`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;371c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:412b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M=533m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<61<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?7?=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H>81>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I193?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F0;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F0;;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3:=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D2=?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E5<=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4?;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7>55g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6176f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A9057a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N83;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;2=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:61c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:652b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M=773m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<45<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?5;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H>:=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I1;??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F08=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G39;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D2>5:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E5?7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4=?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7<15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6336f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A9217a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N8170`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;011c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:732b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M=613m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<5?<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?41=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H><=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H><9>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I1=;?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F0>98h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3??9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D289:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E59;;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4:94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7;75g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6496f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A95;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N877a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N8730`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;651c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:172b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M=053m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<33<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?2==o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H>=?>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I1<=?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F0?38h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3>59j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D2:9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D2:=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E5;?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B48=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7935g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6656f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A9777a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N8450`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;531c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:2=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M=3?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<13m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<17<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?09=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H>?;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I1>9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F0=?8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3<99k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D2;;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E5:9;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4974d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7895f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@685g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6816f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A9937a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N8:10`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;;71c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L:<12b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M==33m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J<>1<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K???=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H>01>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I113?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F03?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F03;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G32=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D25?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E54=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B47;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7655g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@6976f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A9857a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N8;;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O;:=03<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxl6;28;4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&yn`R~fpd>2:03<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxl6928;4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&yn`R~fpd>0:03<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxl6?28;4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&yn`R~fpd>6:00<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxl6>2<;7;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg?1;779<=0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*ubdVzb|h2:>036<>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L sdn\tlvb4<4:=<;7;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg?1;759<20bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*ubdVzb|h2:>0121==ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/rgo[uowm5?5=9?:8:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$\7fhbPphrf8086=8?37ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}k35?3550><ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxl6>2<9>599mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksa93991;>46``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D({lfT|d~j<4<2=43?3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-paiYwayo793<?1458jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`:26;;>46``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D({lfT|d~j<4<1543?3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-paiYwayo793<=14:8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`:26;9:955aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}g\7fe=7=617202dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`zn080=507;?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smuc;=78==864nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&yn`R~fpd>6:716=11ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1;12936<>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L sdn\tlvb4<495<;7;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg?1;579<=0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*ubdVzb|h2:>236<>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L sdn\tlvb4<48=<;8;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg?1;26=>1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1;15074?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smuc;=7<:9:5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}g\7fe=7=34303geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-paiYwayo7936>569mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksa93918??7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}kP0448jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`Y7W8??7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}kP1448jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`Y6W8??7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}kP2468jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`Y4==1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{iR::4:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$\7fhbPphrf[02e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm0=2=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo>31?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i<1<14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k:7?3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre85>58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg6;=7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva4909<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc2?3;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm0=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??0=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??1=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??2=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??3=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??4=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??5=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??6=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??7=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??8=:=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=2=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=3=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=0=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=1=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=6=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=7=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=4=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=5=0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??9=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<>31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i==2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:<1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;;090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8:793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre996=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd685=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg77414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf477494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf477484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4774;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4774:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4774=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4774<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4774?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4774>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf477414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf476494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf476484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4764;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4764:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4764=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4764<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4764?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4764>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf476414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf475494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf475484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4754;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4754:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4754=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4754<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4754?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4754>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf475414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf474494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf474484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4744;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4744:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4744=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4744<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4744?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4744>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf474414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf473494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf473484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4734;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4734:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4734=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4734<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4734?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4734>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf473414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf472494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf472484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4724;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4724:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4724=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4724<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4724?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4724>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf472414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf471494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf471484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4714;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4714:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4714=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4714<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4714?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4714>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf471414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf470494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf470484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4704;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4704:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4704=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4704<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4704?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4704>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf470414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?4;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?4:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?4=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?4<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?4?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?4>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47?414?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>494?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>484?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>4;4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>4:4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>4=4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>4<4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>4?4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>4>4?h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47>414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva54:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`25949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc328683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b03?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm10>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>1=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?><6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<?38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>30?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>31?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>32?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>33?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>34?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>35?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>36?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>37?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?>38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??30?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??31?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??32?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??33?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??34?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??35?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??36?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??37?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=??38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<30?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<31?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<32?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<33?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<34?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<35?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<36?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<37?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?<38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=30?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=31?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=32?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=33?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=34?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=35?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=36?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=37?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?=38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:30?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:31?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:32?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:33?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:34?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:35?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:36?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:37?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?:38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;30?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;31?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;32?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;33?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;34?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;35?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;36?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;37?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?;38?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?830?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?831?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?832?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?833?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?834?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?835?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?836?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?837?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?838?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?930?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?931?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?932?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?933?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?934?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?935?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?936?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?937?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?938?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?630?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?631?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?632?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?633?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?634?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?635?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?636?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?637?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?638?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?730?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?731?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?732?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?733?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?734?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?735?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?736?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?737?6g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?738?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;90?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj887?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9;6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6:5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg754?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf44;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva57:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`275:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`274:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`277:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`276:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`271:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`270:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`273:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`272:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27=:?6=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:76=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:66=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:56=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:46=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:36=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:26=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:16=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:06=n0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27<:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc308483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b01?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm12>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>3=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?<<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<=36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=>28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:?1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?<1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?=1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?>1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;??1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?81614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?91614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?:1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?;1614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?41614e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51>14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51?14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51<14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51=14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51:14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51;14e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51814e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51914e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?51614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8>7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9=6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6<5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg734=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf42;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva51:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`20919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc378=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0738=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0728=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0718=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0708=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0778=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0768=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0758=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0748=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07;8=83l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8583l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8483l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8783l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8683l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8183l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8083l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8383l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8283l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07:8=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm14>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>5=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?:<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<;34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=82:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:91814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;>0:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8?743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:7<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:7=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:7>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:7?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:7:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:7;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?:743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;7<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;7=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;7>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;7?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;7:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;7;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?;743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?87<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?87=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?87>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?87?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?8783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?8793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?87:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?87;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?8743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?97<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?97=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?97>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?97?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?9783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?9793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?97:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?97;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?9743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>7<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>7=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>7>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>7?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>7:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>7;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?>743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??7<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??7=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??7>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??7?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??7:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??7;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9??743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<7<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<7=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<7>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<7?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<7:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<7;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?<743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=7<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=7=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=7>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=7?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=7:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=7;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?=743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?27<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?27=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?27>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?27?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?2783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?2793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?27:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?27;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?2743:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?37<3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?37=3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?37>3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?37?3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?3783:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?3793:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?37:3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?37;3:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?3743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6>5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg714;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf40;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva53:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`22939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc358383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b04?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm17>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>71>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>70>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>73>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>72>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>75>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>74>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>77>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>76>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>79>;:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>3:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>2:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>1:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>0:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>7:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>6:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>5:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>4:1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>78>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?8<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<932?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=:2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:;1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;<080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8=7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9>6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6?5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?85258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?95258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?:5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?;5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?<5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?=5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?>5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7??5258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?05258i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15:58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15;58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15858i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15958i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15>58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15?58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15<58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15=58i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?15258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4>;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5=:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2<959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3;8183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0:?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm19>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>8=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?7<9<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<1<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<0<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<3<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<2<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<5<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<4<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<7<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<6<7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<7?<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<730?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=42>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:51<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;20>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj83783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre906>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd615<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7>4>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4?;07>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5969<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3?5;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm1=0=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?33?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=1:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;793:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre95<58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7;?7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva59>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc038583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b32?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm21>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=0=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<?<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?>35?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>=29>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9<1914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8;050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;;7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:86:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd595858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg464:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf77;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva64:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`15909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc028283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b33?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm23>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=2=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<=<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?<33?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>?2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9>1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k890;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;87;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:;6329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5;5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg44484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf75;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva66:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`17929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc008083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b31?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm22>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=3=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<;<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?:31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>92=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h981=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8?090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;>793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:=6=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5<5=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg43414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva60:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`11949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc068683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b37?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm24>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=5=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<:<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?;38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>;2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9:1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8=0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;<7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:?6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5>5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg414?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf70;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva63:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`13969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc048483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b35?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm26>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=7=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<8<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?936?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>:28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9;1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k830=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;27=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:16929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd505958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg4?4=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf7>;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6=:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`1<919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc0;8=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b3;?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm28>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=9=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<6<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?734?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>42:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h951814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k820:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;3743:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:5:58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg4;97>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva6949<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc0?7;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm2=6=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<35?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>1814c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k87;3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg57494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf66;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva75:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`04959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc138183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b22?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm31>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<0=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=?<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>?30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?<2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8=1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9:0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:;783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;86>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd495<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg564>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf67;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva77:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`06979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc118783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b20?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm33>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<2=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo==<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn><37?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i??27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8?1>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k980<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:97>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;:6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4;5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg544<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf65;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva76:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`079>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc178583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b26?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm35>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<4=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=;<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>:35?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?929>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h881914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9?050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:?7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;<6:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4=5858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg524:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf63;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva70:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`01909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc168283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b27?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm37>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<6=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=9<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>833?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?;2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h8:1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k9=0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:<7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;?6329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd4?5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg50484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf61;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva72:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`03929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc148083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b25?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm36>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<7=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=7<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>631?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?52=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h841=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k93090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj:2793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;16=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd405=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5?414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf6?;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva7<:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`0=949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1:8683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b2;?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm38>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl<9=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=6<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn>738?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?1>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k97=3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre;5858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg5;;7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva7929<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc1?1;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm3=4=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo=37?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i?1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>;0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=:7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<96929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd385958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg274=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf16;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva05:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`74919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc638=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b53?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm40>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;1=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:><2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9?34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8<2:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?=1814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>:0:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=;743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<;6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3:5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg254;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf14;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva07:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`76939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc618383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b50?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm43>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;3=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:<<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9=32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8>2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h??1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>8080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=97:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<:6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3;5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg23494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf12;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva01:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`70959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc678183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b56?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm45>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;4=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:;<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9;30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i882>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?91<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>>0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=?783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<<6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3=5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg224>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf13;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva03:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`72979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc658783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b54?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm47>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;6=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:9<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9837?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8;27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?;1>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k><0<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj==7>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<>6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd3?5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg204<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf11;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva02:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`739>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6;8583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5:?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm49>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;8=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:7<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn9635?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i8529>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?41914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>3050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=37<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<06:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd315858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2>4:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1?;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0<:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`7=909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6:8283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5;?<;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=2=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:31?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i81<14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>7?3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<5>58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;=7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0909<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?3;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;?<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn8>31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i9=2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h><1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?;090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<:793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=96=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd285=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg37414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf07;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva14:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`65949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc728683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b43?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm50>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:1=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;><6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn8?38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i9?2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>>1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?90?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<87?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=;6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd2:5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg354?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf04;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva17:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`67969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc708483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b41?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm52>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:3=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;<<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn8=36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i9>28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>?1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k??0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<>7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre==6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd2<5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg334=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf02;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva11:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`60919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc778=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b47?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm54>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:5=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;:<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn8;34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i982:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>91814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?>0:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<?743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=?6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd2>5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg314;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf00;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva13:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`62939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc758383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b44?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm57>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:7=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;8<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn8932?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i9:2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>;1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?<080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<=7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=>6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd2?5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3?494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0>;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1=:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`6<959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7;8183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4:?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm59>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:8=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;7<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn8730?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i942>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>51<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?20>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<3783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=06>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd215<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3>4>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0?;07>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1969<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?5;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=0=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;33?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i91:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?793:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5<58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;?7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva19>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc438583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b72?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm61>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl90=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo8?<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;>35?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:=29>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=<1914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<;050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?;7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>86:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd195858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg064:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf37;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva24:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`55909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc428283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b73?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm63>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl92=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo8=<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;<33?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:?2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=>1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<90;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?87;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>;6329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd1;5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg04484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf35;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva26:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`57929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc408083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b71?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm62>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl93=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo8;<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;:31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:92=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=81=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<?090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?>793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>=6=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd1<5=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg03414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf33;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva20:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`51949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc468683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b77?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm64>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl95=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo8:<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;;38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:;2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=:1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<=0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?<7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>?6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd1>5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg014?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf30;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva23:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`53969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc448483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b75?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm66>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl97=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo88<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;936?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i::28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=;1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<30=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?27=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>16929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd105958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0?4=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3>;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2=:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`5<919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4;8=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7;?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm68>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl99=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo86<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;734?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:42:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=51814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<20:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?3743:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5:58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;97>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2949<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?7;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=6=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo835?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:1814c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<7;3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg17494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf26;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva35:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`44959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc538183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b62?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm71>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl80=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo9?<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:?30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;<2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<=1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=:0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>;783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?86>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd095<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg164>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf27;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva37:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`46979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc518783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b60?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm73>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl82=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo9=<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:<37?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;?27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<?1>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=80<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>97>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?:6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd0;5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg144<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf25;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva36:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`479>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc578583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b66?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm75>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl84=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo9;<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn::35?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;929>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<81914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=?050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>?7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?<6:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd0=5858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg124:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf23;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva30:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`41909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc568283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b67?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm77>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl86=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo99<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:833?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;;2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<:1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k==0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj><7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre??6329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd0?5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg10484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf21;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva32:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`43929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc548083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b65?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm76>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl87=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo97<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:631?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;52=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<41=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=3090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>2793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?16=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd005=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg1?414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2?;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva3<:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`4=949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc5:8683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6;?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm78>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl89=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo96<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:738?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;1>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=7=3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?5858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg1;;7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva3929<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc5?1;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm7=4=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo937?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k2;0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj1:7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre096929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?85958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>74=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=6;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<5:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;4919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:38=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b93?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm80>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl71=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo6><2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn5?34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i4<2:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h3=1814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k2:0:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj1;743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre0;6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?:5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>54;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=4;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<7:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;6939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:18383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b90?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm83>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl73=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo6<<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn5=32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i4>2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h3?1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k28080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj197:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre0:6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?;5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>3494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=2;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<1:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;0959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:78183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b96?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm85>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl74=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo6;<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn5;30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i482>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h391<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k2>0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj1?783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre0<6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?=5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>24>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=3;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<3:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;2979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:58783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b94?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm87>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl76=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo69<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn5837?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i4;27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h3;1>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k2<0<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj1=7>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre0>6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd??5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>04<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=1;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<2:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;39>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:;8583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9:?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm89>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl78=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo67<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn5635?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i4529>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h341914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k23050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj137<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre006:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?15858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>>4:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=?;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<<:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;=909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc::8283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9;?<;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm8=2=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo631?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i41<14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k27?3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre05>58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>;=7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<909<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:?3;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm8=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo7?<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn4>31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i5=2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h2<1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k3;090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj0:793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre196=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>85=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?7414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<7;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=4:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:5949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;28683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b83?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm90>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl61=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo7><6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn4?38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i5?2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h2>1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k390?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj087?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre1;6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>:5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?54?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<4;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=7:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:7969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;08483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b81?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm92>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl63=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo7<<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn4=36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i5>28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h2?1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k3?0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj0>7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre1=6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd><5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?34=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<2;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=1:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:0919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;78=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b87?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm94>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl65=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo7:<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn4;34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i582:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h291814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k3>0:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj0?743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre1?6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>>5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?14;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<0;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=3:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:2939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;58383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b84?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm97>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl67=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo78<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn4932?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i5:2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h2;1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k3<080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj0=7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre1>6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>?5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg??494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<>;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva==:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:<959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;;8183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8:?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm99>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl68=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo77<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn4730?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i542>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h251<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k320>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj03783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre106>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>15<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?>4>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<?;07>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=969<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;?5;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm9=0=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo733?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i51:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k3793:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre15<58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?;?7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=9>9<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc>3:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl31?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i0?0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj5958l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg:36=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`?1;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm<7<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn1914`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k632964nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^gme4?6<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSh`n183021><ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVoem<7=4`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[`hf9088:964nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^gme4?4<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSh`n181021><ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVoem<7;4`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[`hf90>8:964nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^gmf4?6<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSh`m183021c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVoen<7>37]PS72?3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUnbo?625c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZcij839?;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]fjg7>::<T_Z<;8:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\akd61:>j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qjnc3:7603m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgTicl>9215[VQ5<11ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSh`m1867e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXmgh:59=94d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[`he90>8:R]X27;8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR:V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^778T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX557Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ336X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T111^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V??4\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP==;R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;;:P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\99=V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^770T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX55?Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ33Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>11_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<?>]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:=?S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8;8Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]69=W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_472U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY253[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[034Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>19_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<?6]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:=P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9;:V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^759T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX574Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ317X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T136^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?=5\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=?8R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;9;P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9;2V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^751T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX57[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[013Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>30_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<==]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:?>S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS89?Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6;<W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_451U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY272[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[01;Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>38_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<=R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;?<P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9=;V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^73:T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX515Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ370X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T157^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?;6\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=99R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;?4P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9=3V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^73U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY215[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[072Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>53_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<;<]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:99S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8?>Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6=?W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_430U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY21=[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[07:Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>5\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=;>R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;==P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9?8V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^71;T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX532Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ351X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T174^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?97\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=;6R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;=5P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9?W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_417U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY234[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[051Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>72_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<9;]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:;8S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8==Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6?>W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_41?U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY23<[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[05^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?70\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=5?R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;3>P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\919V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^7?<T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX5=3Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ3;2X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T195^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?78\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=57R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;3Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]619W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_4?6U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY=:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY2=7[)zhg%~"x;.scn+VBW&JKXOLJJD^RQMH(DWZN[SO9>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]61;W%~lc!r.t7*wgj'ZN["NO\C@FF@ZVUAD$HS^J__U45?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:5?S!r`o-v*p3&{kf#no|c`ffmkgX|dz=:6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX5<4Z&{kf"\7f!y4/pbi*ef{jkoid`m_uos34=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<7<]/pbi+t(~=$ym`!\DQ,@EVEFLLNT\_GB.B]P@UYE?81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0;0Y+tfe'x$z9 }al-P@U(DIZIJHHJPPSKN*FYTLYU_:;5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_4?4U'xja#| v5,qeh)dizijhhgaa^vnt30<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?63\,qeh(u'\7f>%~lc c`q`eacnfkU\7fa}9>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]61=W%~lc!r.t7*wgj'ZN["NO\C@FF@ZVUAD$HS^J__C52?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:59S!r`o-v*p3&{kf#^J_.BCPGDBBLVZYE@ L_RFS[Q013geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>95_-vdk)z&|?"\7fob/bcpgdbbagkTx`~96:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\90>V"\7fob.s-u0+tfe&ij\7fnokehla[qkw?81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0;6Y+tfe'x$z9 }al-P@U(DIZIJHHJPPSKN*FYTLYUI;<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_4?2U'xja#| v5,qeh)TLY$HM^MNDDF\TWOJ&JUXH]Q[679mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS83>Q#|nm/p,r1(uid%hm~mnddkmeZrjx?<0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ3:1X(uid$y#{:!r`o,gdudimobboQ{mq52?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:5;S!r`o-v*p3&{kf#^J_.BCPGDBBLVZYE@ L_RFS[G163geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>97_-vdk)z&|?"\7fob/RFS*FGTKHNNHR^]IL,@[VBWW]<=7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY2=3[)zhg%~"x;.scn+fgtkhnnecoPtlr52>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=48R.scn*w)q<'xja"mnsbcgalheW}g{;<5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_4?0U'xja#| v5,qeh)TLY$HM^MNDDF\TWOJ&JUXH]QM709mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS83<Q#|nm/p,r1(uid%XH] LARAB@@BXX[CF"NQ\DQ]W23=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<78]/pbi+t(~=$ym`!larab``oiiV~f|;84nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^7>?T$ym` }/w6-vdk(khyhmikfnc]wiu163geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>99_-vdk)z&|?"\7fob/RFS*FGTKHNNHR^]IL,@[VBWWK=:7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY2==[)zhg%~"x;.scn+VBW&JKXOLJJD^RQMH(DWZN[SY89;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]611W%~lc!r.t7*wgj'jkxoljjioc\phv1>2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T18:^*wgj&{%}8#|nm.abwfgcm`diSyc\7f709mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS832Q#|nm/p,r1(uid%XH] LARAB@@BXX[CF"NQ\DQ]A34=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<76]/pbi+t(~=$ym`!\DQ,@EVEFLLNT\_GB.B]P@UYS>?1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0;:Y+tfe'x$z9 }al-`evefllcemRzbp748jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;25P }al,q+s2)zhg$ol}laegjjgYsey<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY2=X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV112dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T1\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER45>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>=>R.scn*w)q<'xja"]KP/ABWFGCMMU[^DC!C^QGTZD092dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T212^*wgj&{%}8#|nm.QGT+EF[JKOIIQ_RHO-GZUCXV^=:6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX656Z&{kf"\7f!y4/pbi*ef{jkoid`n_uos23=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?>?]/pbi+t(~=$ym`!larab``oijV~f|:?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^479T$ym` }/w6-vdk([MZ%OL]LAEGG[UTNE'IT_I^PB638jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR8;=P }al,q+s2)zhg$_I^!C@Q@EACCWYXBA#MPSER\P30<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<?1\,qeh(u'\7f>%~lc c`q`eacnfhU\7fa}89;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]588W%~lc!r.t7*wgj'jkxoljjio`\phv092dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T210^*wgj&{%}8#|nm.QGT+EF[JKOIIQ_RHO-GZUCXVH<=6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX654Z&{kf"\7f!y4/pbi*UCX'IJ_NOKEE]SVLK)KVYO\RZ96:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:98V"\7fob.s-u0+tfe&ij\7fnokehlb[qkw>?1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[321Y+tfe'x$z9 }al-`evefllcenRzbp638jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR8;?P }al,q+s2)zhg$_I^!C@Q@EACCWYXBA#MPSER\F27<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<?3\,qeh(u'\7f>%~lc SER-GDUDIMOOS]\FM/A\WAVX\?<0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ037X(uid$y#{:!r`o,gdudimobblQ{mq45?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ9<>S!r`o-v*p3&{kf#no|c`ffmkdX|dz<=6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX652Z&{kf"\7f!y4/pbi*UCX'IJ_NOKEE]SVLK)KVYO\RL81:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:9>V"\7fob.s-u0+tfe&YO\#MNSBCGAAYWZ@G%OR]KP^V52>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>=:R.scn*w)q<'xja"mnsbcgalhfW}g{:;5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_763U'xja#| v5,qeh)dizijhhgab^vnt27<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<?5\,qeh(u'\7f>%~lc SER-GDUDIMOOS]\FM/A\WAVXJ>;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ031X(uid$y#{:!r`o,WAV)KHYHMIKK_QPJI+EX[MZTX;84nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^47=T$ym` }/w6-vdk(khyhmikfn`]wiu013geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=04_-vdk)z&|?"\7fob/bcpgdbbaghTx`~81:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:9<V"\7fob.s-u0+tfe&YO\#MNSBCGAAYWZ@G%OR]KP^@45>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>=8R.scn*w)q<'xja"]KP/ABWFGCMMU[^DC!C^QGTZR1>2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T214^*wgj&{%}8#|nm.abwfgcm`djSyc\7f679mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;:=Q#|nm/p,r1(uid%hm~mnddkmfZrjx>;0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ033X(uid$y#{:!r`o,WAV)KHYHMIKK_QPJI+EX[MZTN:?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^47?T$ym` }/w6-vdk([MZ%OL]LAEGG[UTNE'IT_I^PT748jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR8;;P }al,q+s2)zhg$ol}laegjjdYsey<=7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY142[)zhg%~"x;.scn+fgtkhnneclPtlr45>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>=6R.scn*w)q<'xja"]KP/ABWFGCMMU[^DC!C^QGTZD092dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T21:^*wgj&{%}8#|nm.QGT+EF[JKOIIQ_RHO-GZUCXV^=:6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX65>Z&{kf"\7f!y4/pbi*ef{jkoid`n_uos23=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?>7]/pbi+t(~=$ym`!larab``oijV~f|:?4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^471T$ym` }/w6-vdk([MZ%OL]LAEGG[UTNE'IT_I^PB638jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR8;5P }al,q+s2)zhg$_I^!C@Q@EACCWYXBA#MPSER\P30<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<?9\,qeh(u'\7f>%~lc c`q`eacnfhU\7fa}89;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]580W%~lc!r.t7*wgj'jkxoljjio`\phv1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T21_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS34=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W???]/pbi+t(~=$ym`!\DQ,@EVEFLLNT\_GB.B]P@UYE?81ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[333Y+tfe'x$z9 }al-P@U(DIZIJHHJPPSKN*FYTLYU_:;5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_777U'xja#| v5,qeh)dizijhhgaa^vnt30<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<>0\,qeh(u'\7f>%~lc c`q`eacnfkU\7fa}9>;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]598W%~lc!r.t7*wgj'ZN["NO\C@FF@ZVUAD$HS^J__C52?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ9=<S!r`o-v*p3&{kf#^J_.BCPGDBBLVZYE@ L_RFS[Q013geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=10_-vdk)z&|?"\7fob/bcpgdbbagkTx`~96:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:8;V"\7fob.s-u0+tfe&ij\7fnokehla[qkw>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[33^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<=]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ9?P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:=W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_73Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ05Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=7\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>5S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;3V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;74nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^4Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ13Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U<1\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP??S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS:9V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^53U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY01X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T37_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W>9R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR93Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]41T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=56``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX7X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T41_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W9?R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR>9Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]3;T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX01[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[57^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V:9]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ?;P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\<1W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_1?Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?30bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ6^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V;?]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ>=P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\=;W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_05Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ77Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U:5\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP9;S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS<=V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^3?U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY6=X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV112dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T5\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP:=S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS?;V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^05U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY57X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T65_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W;;R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR<=Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]1?T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX2=[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[7;^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3?<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V8R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR=;Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]09T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX37[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[61^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V9;]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ<9P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\??W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_21Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ5;Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U89\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5=>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP;P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\09W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_=7Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ:1Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U73\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP49S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS1?V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^>1U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY;3X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T89_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W57R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7;8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR2V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^?7U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY:5X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T93_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W4=R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR3?Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]>=T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX=3[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[85^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V77]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ25P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^99:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\1T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ:o6``rlefj+kbekf`#yc\7f_scn[wbXmg;o7ca}mfgm*hcjjea$x`~Pr`o\vaYbf820c{k}fmmte>vugnUna}zv159svjaXmdz\7fuRzgrdqk,5/6<2zycjQjmqvz[qnumzb#=$?:;qplcZcjx}sTxe|jsi*24,723yxdkRkbpu{\pmtb{a":=$?:;qplcZcjx}sTxe|jsi*26,723yxdkRkbpu{\pmtb{a":?$?:;qplcZcjx}sTxe|jsi*20,723yxdkRkbpu{\pmtb{a":9$?:;qplcZcjx}sTxe|jsi*22,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pU\7fd\7fk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|\7fah_dosp|Ys`{oxd%9&159svjaXmdz\7fuRzgrdqk,=/6<2zycjQjmqvz[qnumzb#5$?;;qplcZcjx}sTxe|jsi>3:43<x{elShc\7ftx]wlwct`5;;2<;4psmd[`kw|pU\7fd\7fk|h=32:43<x{elShc\7ftx]wlwct`5;92<;4psmd[`kw|pU\7fd\7fk|h=30:43<x{elShc\7ftx]wlwct`5;?2<;4psmd[`kw|pU\7fd\7fk|h=36:41<x{elShc\7ftx]wlwct`5;=6=0>5:rqkbYbey~rSyf}erj?5386<2zycjQjmqvz[qnumzb7=3?;;qplcZcjx}sTxe|jsi>1:42<x{elShc\7ftx]wlwct`595=95\7frne\ahvsqV~c~h}g<5<20>vugnUna}zv_ujqavn;=7;?7}|`g^gntq\7fX|axn\7fe29>068twi`Wlg{xtQ{hsgpl9199=1{~biPelrw}Zrozlyc050>4:rqkbYbey~rSyf}erj?=;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)2*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'1(3`?uthoVof|ywPtipfwmYf{zoyx%??)0a8twi`Wlg{xtQ{hsgplZgt{lx\7f$<?&1b9svjaXmdz\7fuRzgrdqk[dutm{~#=?'>c:rqkbYbey~rSyf}erj\evubz}":?$?l;qplcZcjx}sTxe|jsi]bwvcu|!;?%<m4psmd[`kw|pU\7fd\7fk|h^cpw`ts 8?"=n5\7frne\ahvsqV~c~h}g_`qpawr/9?#:n6~}of]fiur~W}byi~fParqfvq.5!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,6/6j2zycjQjmqvz[qnumzbTm~}jru*7-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(4+2f>vugnUna}zv_ujqavnXizyn~y&9)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$:'>b:rqkbYbey~rSyf}erj\evubz}"3%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 0#:n6~}of]fiur~W}byi~fParqfvq:768i0|\7fah_dosp|Ys`{oxdRo|sdpw84699j1{~biPelrw}ZrozlycSl}|esv?5486k2zycjQjmqvz[qnumzbTm~}jru>26;7d3yxdkRkbpu{\pmtb{aUj\7f~k}t=30:4e<x{elShc\7ftx]wlwct`Vkx\7fh|{<06=5f=wzfmTi`~{y^vkv`uoWhyxi\7fz314<2a>vugnUna}zv_ujqavnXizyn~y2>6;2=5f=wzfmTi`~{y^vkv`uoWhyxi\7fz317<2f>vugnUna}zv_ujqavnXizyn~y2>>0`8twi`Wlg{xtQ{hsgplZgt{lx\7f0?0>b:rqkbYbey~rSyf}erj\evubz}682<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts4=4:n6~}of]fiur~W}byi~fParqfvq:268h0|\7fah_dosp|Ys`{oxdRo|sdpw8386j2zycjQjmqvz[qnumzbTm~}jru>4:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{<9<2f>vugnUna}zv_ujqavnXizyn~y26>0`8twi`Wlg{xtQ{hsgplZhboh~n$='>b:rqkbYbey~rSyf}erj\j`af|l":%<m4psmd[`kw|pU\7fd\7fk|h^lfcdrb 8:"=n5\7frne\ahvsqV~c~h}g_ogdeqc/98#:o6~}of]fiur~W}byi~fPndebp`.6: ;h7}|`g^gntq\7fX|axn\7feQaefcwa-74!8i0|\7fah_dosp|Ys`{oxdR`jg`vf,42.9j1{~biPelrw}ZrozlycSckhaug+50/6k2zycjQjmqvz[qnumzbTbhintd*22,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnk\7fi%:&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5\7frne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntq\7fX|axn\7feQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7e3yxdkRkbpu{\pmtb{aUeijo{e=2=5f=wzfmTi`~{y^vkv`uoWgolmyk311<2g>vugnUna}zv_ujqavnXflmjxh2>1?3`?uthoVof|ywPtipfwmYimnk\7fi1?=>0a8twi`Wlg{xtQ{hsgplZhboh~n0<=11b9svjaXmdz\7fuRzgrdqk[kc`i}o7=90>c:rqkbYbey~rSyf}erj\j`af|l6:93?j;qplcZcjx}sTxe|jsi]mabgsm5;=6=0>c:rqkbYbey~rSyf}erj\j`af|l6::3?m;qplcZcjx}sTxe|jsi]mabgsm5;5=o5\7frne\ahvsqV~c~h}g_ogdeqc;:7;i7}|`g^gntq\7fX|axn\7feQaefcwa9599k1{~biPelrw}ZrozlycSckhaug?0;7e3yxdkRkbpu{\pmtb{aUeijo{e=7=5g=wzfmTi`~{y^vkv`uoWgolmyk36?3a?uthoVof|ywPtipfwmYimnk\7fi1911c9svjaXmdz\7fuRzgrdqk[kc`i}o743?m;qplcZcjx}sTxe|jsi]mabgsm53556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+20>vugnUmyabPtipfwm.68 ;?7}|`g^dvhiYs`{oxd%?>)068twi`Wo\7fg`Rzgrdqk,44.9=1{~biPftno[qnumzb#=>'>4:rqkbYa}efTxe|jsi*20,733yxdkRhzlm]wlwct`!;>%<:4psmd[cskdV~c~h}g(04*56=wzfmTjxbc_ujqavn/: ;87}|`g^dvhiYs`{oxd%=&129svjaXn|fgSyf}erj+0,743yxdkRhzlm]wlwct`!?"=>5\7frne\bpjkW}byi~f'6(30?uthoVl~`aQ{hsgpl-1.9:1{~biPftno[qnumzb#4$?<;qplcZ`rdeU\7fd\7fk|h);*56=wzfmTjxbc_ujqavn;87;?7}|`g^dvhiYs`{oxd1??>068twi`Wo\7fg`Rzgrdqk84799=1{~biPftno[qnumzb7=?0>4:rqkbYa}efTxe|jsi>27;733yxdkRhzlm]wlwct`5;?2<:4psmd[cskdV~c~h}g<07=53=wzfmTjxbc_ujqavn;9?0;2<:4psmd[cskdV~c~h}g<04=56=wzfmTjxbc_ujqavn;97;87}|`g^dvhiYs`{oxd1<1129svjaXn|fgSyf}erj?7;743yxdkRhzlm]wlwct`5>5=>5\7frne\bpjkW}byi~f35?30?uthoVl~`aQ{hsgpl9099:1{~biPftno[qnumzb7;3?<;qplcZ`rdeU\7fd\7fk|h=:=56=wzfmTjxbc_ujqavn;17;j7}|`g^dvhiYs`{oxdRo|sdpw,5/6i2zycjQiumn\pmtb{aUj\7f~k}t)3*5g=wzfmTjxbc_ujqavnXizyn~y&>0(3a?uthoVl~`aQ{hsgplZgt{lx\7f$<?&1c9svjaXn|fgSyf}erj\evubz}":>$?m;qplcZ`rdeU\7fd\7fk|h^cpw`ts 89"=o5\7frne\bpjkW}byi~fParqfvq.6< ;i7}|`g^dvhiYs`{oxdRo|sdpw,43.9k1{~biPftno[qnumzbTm~}jru*22,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(3+2e>vugnUmyabPtipfwmYf{zoyx%=&1`9svjaXn|fgSyf}erj\evubz}"?%<o4psmd[cskdV~c~h}g_`qpawr/= ;j7}|`g^dvhiYs`{oxdRo|sdpw,3/6i2zycjQiumn\pmtb{aUj\7f~k}t)5*5d=wzfmTjxbc_ujqavnXizyn~y&7)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#5$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts494:n6~}of]eqijX|axn\7feQnsrgqp97768h0|\7fah_gwohZrozlycSl}|esv?5486j2zycjQiumn\pmtb{aUj\7f~k}t=31:4d<x{elSk{cl^vkv`uoWhyxi\7fz312<2f>vugnUmyabPtipfwmYf{zoyx1?;>0`8twi`Wo\7fg`Rzgrdqk[dutm{~7=80>d:rqkbYa}efTxe|jsi]bwvcu|5;=6=0>b:rqkbYa}efTxe|jsi]bwvcu|5;=2<o4psmd[cskdV~c~h}g_`qpawr;97;j7}|`g^dvhiYs`{oxdRo|sdpw8786i2zycjQiumn\pmtb{aUj\7f~k}t=1=5d=wzfmTjxbc_ujqavnXizyn~y2;>0c8twi`Wo\7fg`Rzgrdqk[dutm{~793?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4?4:m6~}of]eqijX|axn\7feQnsrgqp9199h1{~biPftno[qnumzbTm~}jru>;:4g<x{elSk{cl^vkv`uoWhyxi\7fz39?3b?uthoVl~`aQ{hsgplZhboh~n$='>a:rqkbYa}efTxe|jsi]mabgsm!;"=o5\7frne\bpjkW}byi~fPndebp`.68 ;i7}|`g^dvhiYs`{oxdR`jg`vf,47.9k1{~biPftno[qnumzbTbhintd*26,7e3yxdkRhzlm]wlwct`Vdnklzj(01*5g=wzfmTjxbc_ujqavnXflmjxh&>4(3a?uthoVl~`aQ{hsgplZhboh~n$<;&1c9svjaXn|fgSyf}erj\j`af|l"::$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb ;#:m6~}of]eqijX|axn\7feQaefcwa-5.9h1{~biPftno[qnumzbTbhintd*7-4g<x{elSk{cl^vkv`uoWgolmyk'5(3b?uthoVl~`aQ{hsgplZhboh~n$;'>a:rqkbYa}efTxe|jsi]mabgsm!="=l5\7frne\bpjkW}byi~fPndebp`.?!8k0|\7fah_gwohZrozlycSckhaug+=,7f3yxdkRhzlm]wlwct`Vdnklzj<1<2f>vugnUmyabPtipfwmYimnk\7fi1??>0`8twi`Wo\7fg`Rzgrdqk[kc`i}o7=<0>b:rqkbYa}efTxe|jsi]mabgsm5;92<l4psmd[cskdV~c~h}g_ogdeqc;9:4:n6~}of]eqijX|axn\7feQaefcwa97368h0|\7fah_gwohZrozlycSckhaug?5086l2zycjQiumn\pmtb{aUeijo{e=35>586j2zycjQiumn\pmtb{aUeijo{e=35:4g<x{elSk{cl^vkv`uoWgolmyk31?3b?uthoVl~`aQ{hsgplZhboh~n0?0>a:rqkbYa}efTxe|jsi]mabgsm595=l5\7frne\bpjkW}byi~fPndebp`:368k0|\7fah_gwohZrozlycSckhaug?1;7f3yxdkRhzlm]wlwct`Vdnklzj<7<2e>vugnUmyabPtipfwmYimnk\7fi1911`9svjaXn|fgSyf}erj\j`af|l632<o4psmd[cskdV~c~h}g_ogdeqc;17<0~iQllj33?wbXlh~jSnaznu*3-46<zmUomyoPcnwmp-7.981yhRjnt`]`kphs 8:"=<5}d^fbpdYdg|d\7f$<?&109q`Zbf|hUhcx`{(00*54=ulVnjxlQlotlw,45.981yhRjnt`]`kphs 8>"=<5}d^fbpdYdg|d\7f$<;&109q`Zbf|hUhcx`{(04*55=ulVnjxlQlotlw,7/682xoSio{a^alqkr/; ;;7\7fjPd`vb[firf}"?%<>4re]geqgXkf\7fex%;&119q`Zbf|hUhcx`{(7+24>tcWmk\7fmRm`uov+3,773{nThlzn_bmvjq.?!8:0~iQkauc\gjsi|!3"==5}d^fbpdYdg|d\7f0=0>1:pg[agsiVidycz311<25>tcWmk\7fmRm`uov?548692xoSio{a^alqkr;9;4:=6|k_ecweZeh}g~7=>0>1:pg[agsiVidycz315<25>tcWmk\7fmRm`uov?5086;2xoSio{a^alqkr;9?0;2<?4re]geqgXkf\7fex1?9>028vaYci}kTob{at=3=55=ulVnjxlQlotlw878682xoSio{a^alqkr;;7;;7\7fjPd`vb[firf}6?2<>4re]geqgXkf\7fex1;1119q`Zbf|hUhcx`{<7<24>tcWmk\7fmRm`uov?3;773{nThlzn_bmvjq:?68:0~iQkauc\gjsi|53596|k_dl5?wbXzz~87\7f}{7:qamqcuz=1x~~z6;tlgaw`kg~?0zlbfd028rltsmqU:?fYPfhdl6d=qa{~ntR?<kV]emciXn`ldSjkaescwkwYq;V9Tt~zP179tvZgdc?1|~Rmck028swYci}kTob{at)2*55=pzVnjxlQlotlw,4/692}ySio{a^alqkr/99#:=6y}_ecweZeh}g~#=<'>1:uq[agsiVidycz'13+25>quWmk\7fmRm`uov+56/692}ySio{a^alqkr/9=#:=6y}_ecweZeh}g~#=8'>1:uq[agsiVidycz'17+24>quWmk\7fmRm`uov+6,773~xThlzn_bmvjq.4!8:0{\7fQkauc\gjsi|!>"==5xr^fbpdYdg|d\7f$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<\7f{UomyoPcnwmp->.991|~Rjnt`]`kphs 0#:<6y}_ecweZeh}g~7<3?>;vp\`drfWje~by2>0?32?rtXlh~jSnaznu>25;763~xThlzn_bmvjq:6:7;:7z|Pd`vb[firf}6:?3?>;vp\`drfWje~by2>4?32?rtXlh~jSnaznu>21;743~xThlzn_bmvjq:6>3:5=<5xr^fbpdYdg|d\7f0<81119tvZbf|hUhcx`{<0<24>quWmk\7fmRm`uov?6;773~xThlzn_bmvjq:468:0{\7fQkauc\gjsi|5>5==5xr^fbpdYdg|d\7f080>0:uq[agsiVidycz36?33?rtXlh~jSnaznu>4:46<\7f{UomyoPcnwmp9>9991|~Rjnt`]`kphs404>7z|Peo48swYu{};:7ÎŲ½Ç¼ÔÈÁ¸À´¶Ú³¿·k4ÑýÓȻѳÀÊ·ÉÈsO@q3222d<HIr?5h4I:582\7fV1e2=326i4>334;1d<5=k88wc=8e;38j61a2=1/?:m53678yV1f2=326i4>334;1d<5=k887^?i0;6:b?6=9:8=48o524`17>U0i3>2j7>51205<0g=:<h9;6j=32d94?7=9rY<n7:69;f956410<k1>8l=3:&03d<31k1}X>:<:182>4<6;1;p_:l548;9`?74:?2>m7<:b318 63728l:7[=8d;0xq767281~>=?50:\7f'6g7=;h1i>>=i:185f?5=>kqC?9j4Z7g90~4?2;31??4=e;\7f'71>=::9m7)=8a;6:f>\4?k09w97m:5;a>xi4090;66g=32:94?=h::;o6=4+2c19664c3g8i>7>4;n005f<72-8i?7<<2e9m6g4=921d>>?m:18'6g5=::8o7c<m2;08?j449h0;6)<m3;006a=i:k81?65`223:>5<#:k91>><k;o0a6?2<3f88=54?:%0a7?44:m1e>o<55:9l6670290/>o=5220g?k4e:3<07b<<2483>!4e;388>i5a2c093>=h::8?6=4+2c19664c3g8i>764;n0066<72-8i?7<<2e9m6g4=121d>><=:18'6g5=::8o7c<m2;c8?j44:80;6)<m3;006a=i:k81n65`2203>5<#:k91>><k;o0a6?e<3f88=k4?:%0a7?44:m1e>o<5d:9l667b290/>o=5220g?k4e:3o07b<<1783>!4e;388>i5a2c09b>=h::;>6=4+2c19664c3g8i>7??;:k1730=831d>>:m:18'6g5=::?i7c<m2;28?j44<h0;6)<m3;001g=i:k81=65`226:>5<#:k91>>;m;o0a6?4<3f88854?:%0a7?44=k1e>o<53:9l6620290/>o=5227a?k4e:3>07b<<4783>!4e;3889o5a2c091>=h::>>6=4+2c19663e3g8i>784;n0016<72-8i?7<<5c9m6g4=?21d>>;=:18'6g5=::?i7c<m2;:8?j44=80;6)<m3;001g=i:k81565`2273>5<#:k91>>;m;o0a6?g<3f888k4?:%0a7?44=k1e>o<5b:9l662b290/>o=5227a?k4e:3i07b<<4e83>!4e;3889o5a2c09`>=h::>h6=4+2c19663e3g8i>7k4;n0001<72-8i?7<<5c9m6g4=n21d>>:<:18'6g5=::?i7c<m2;33?>i31m0;66a;9`83>>o5;=81<75f221b>5<<g==:6=4+2c190<6<f;h96=54o553>5<#:k9184>4n3`1>4=<g=<n6=4+2c190<6<f;h96?54o54g>5<#:k9184>4n3`1>6=<g=<h6=4+2c190<6<f;h96954o54a>5<#:k9184>4n3`1>0=<g=<j6=4+2c190<6<f;h96;54o54:>5<#:k9184>4n3`1>2=<g=<36=4+2c190<6<f;h96554o544>5<#:k9184>4n3`1><=<g=<=6=4+2c190<6<f;h96l54o546>5<#:k9184>4n3`1>g=<g=<86=4+2c190<6<f;h96n54o541>5<#:k9184>4n3`1>a=<g=<:6=4+2c190<6<f;h96h54o543>5<#:k9184>4n3`1>c=<g=?m6=4+2c190<6<f;h96<>4;n66a?6=,;h8697?;o0a6?7632e?9i4?:%0a7?2>82d9n?4>2:9l00e=83.9n>4;919m6g4=9:10c9;m:18'6g5=<0:0b?l=:068?j22i3:1(?l<:5;3?k4e:3;>76a;7683>!4e;3>2<6`=b3822>=h<><1<7*=b287=5=i:k81=:54o556>5<#:k9184>4n3`1>4><3f><87>5$3`0>1?73g8i>7?6;:m736<72-8i?7:60:l1f7<6i21d8:<50;&1f6<3191e>o<51c98k10a290/>o=54828j7d528i07b:94;29 7d42=3;7c<m2;3g?>i3=00;6)<m3;6:4>h5j;0:i65`44:94?"5j:0?5=5a2c095c=<a=9;6=4+2c1901`<f;h96=54i50e>5<#:k9189h4n3`1>4=<a=8o6=4+2c1901`<f;h96?54i50`>5<#:k9189h4n3`1>6=<a=8i6=4+2c1901`<f;h96954i50b>5<#:k9189h4n3`1>0=<a=826=4+2c1901`<f;h96;54i50;>5<#:k9189h4n3`1>2=<a=8<6=4+2c1901`<f;h96554i505>5<#:k9189h4n3`1><=<a=8>6=4+2c1901`<f;h96l54i507>5<#:k9189h4n3`1>g=<a=896=4+2c1901`<f;h96n54i502>5<#:k9189h4n3`1>a=<a=8;6=4+2c1901`<f;h96h54i53e>5<#:k9189h4n3`1>c=<a=;n6=4+2c1901`<f;h96<>4;h62`?6=,;h869:i;o0a6?7632c?=n4?:%0a7?23n2d9n?4>2:9j04d=83.9n>4;4g9m6g4=9:10e9?n:18'6g5=<=l0b?l=:068?l2613:1(?l<:56e?k4e:3;>76g;3783>!4e;3>?j6`=b3822>=n<:?1<7*=b2870c=i:k81=:54i517>5<#:k9189h4n3`1>4><3`>8?7>5$3`0>12a3g8i>7?6;:k777<72-8i?7:;f:l1f7<6i21b8>?50;&1f6<3<o1e>o<51c98m14b290/>o=545d8j7d528i07d:=3;29 7d42=>m7c<m2;3g?>o3910;6)<m3;67b>h5j;0:i65f40594?"5j:0?8k5a2c095c=<g:2:6=44i3120?6=3k9?j7>51;294~N4<m1/?96535d8k7d72900qo?68;295?6=8rB88i5+35:95<><g83<6=44}c4g>5<a8391h4uG35f8^3c=9?q::7?n:3:952<513;26><52d82f?472821>=4=9;11>7>=9>09i7?m:0c95<<603;=6p*<4981716<,8oo6<79;%14e?2>j2.9m44=ad9l7=6=831b>>=6:188m74313:1(?l<:306=>h5j;0;76g=25:94?"5j:09>874n3`1>4=<a;8?;7>5$3`0>74212d9n?4=;:k1610=83.9n>4=24;8j7d52:10e?<;5;29 7d42;8>56`=b387?>o5:=>1<7*=b28160?<f;h96854i3077?6=,;h86?<:9:l1f7<132c9>8?50;&1f6<5:<30b?l=:698m74283:1(?l<:306=>h5j;0376g=25d94?"5j:09>874n3`1><=<a;8?i7>5$3`0>74212d9n?4n;:k161b=83.9n>4=24;8j7d52k10e?<;c;29 7d42;8>56`=b38`?>o5:=h1<7*=b28160?<f;h96i54i307e?6=,;h86?<:9:l1f7<b32c9>9<50;&1f6<5:<30b?l=:g98m74393:1(?l<:306=>h5j;0:<65`23cb>5<<a;98o7>5;n007`<722c9>i850;&1f6<5:l<0b?l=:198m74c=3:1(?l<:30f2>h5j;0:76g=2e694?"5j:09>h84n3`1>7=<a;8o?7>5$3`0>74b>2d9n?4<;:k16a4=83.9n>4=2d48j7d52=10e?<k1;29 7d42;8n:6`=b386?>o5:m:1<7*=b2816`0<f;h96;54i30ga?6=,;h86?<j6:l1f7<032c9>ij50;&1f6<5:l<0b?l=:998m74ck3:1(?l<:30f2>h5j;0276g=2e`94?"5j:09>h84n3`1>d=<a;8om7>5$3`0>74b>2d9n?4m;:k16a?=83.9n>4=2d48j7d52j10e?<k8;29 7d42;8n:6`=b38g?>o5:m=1<7*=b2816`0<f;h96h54i30`b?6=,;h86?<j6:l1f7<a32c9>nk50;&1f6<5:l<0b?l=:028?l44;10;66a=25294?=h:;9m6=44i2:0>5<<g;9:h7>5$3`0>755l2d9n?4?;:m174e=83.9n>4=33f8j7d52810c?=>b;29 7d42;99h6`=b381?>i5;8k1<7*=b28177b<f;h96>54o312=?6=,;h86?==d:l1f7<332e9?<650;&1f6<5;;n0b?l=:498k756?3:1(?l<:311`>h5j;0=76a=33794?"5j:09??j4n3`1>2=<g;9987>5$3`0>755l2d9n?47;:m1775=83.9n>4=33f8j7d52010c?==2;29 7d42;99h6`=b38b?>i5;;;1<7*=b28177b<f;h96o54o3114?6=,;h86?==d:l1f7<d32e9?<h50;&1f6<5;;n0b?l=:e98k756m3:1(?l<:311`>h5j;0n76a=30494?"5j:09??j4n3`1>c=<g;9:97>5$3`0>755l2d9n?4>0:9j670d290/>o=5235`?k4e:3:07d<=6c83>!4e;389;n5a2c095>=n:;<j6=4+2c19671d3g8i>7<4;h012<<72-8i?7<=7b9m6g4=;21b>?87:18'6g5=:;=h7c<m2;68?l45>>0;6)<m3;013f=i:k81965f2345>5<#:k91>?9l;o0a6?0<3`89;94?:%0a7?45?j1e>o<57:9j6714290/>o=5235`?k4e:3207d<=7383>!4e;389;n5a2c09=>=n:;=:6=4+2c19671d3g8i>7o4;h0135<72-8i?7<=7b9m6g4=j21b>?8i:18'6g5=:;=h7c<m2;a8?l45>l0;6)<m3;013f=i:k81h65f234g>5<#:k91>?9l;o0a6?c<3`89:84?:%0a7?45?j1e>o<5f:9j6703290/>o=5235`?k4e:3;;76g=37494?=h::>i6=4+2c19663e3g8i>7>4;n000d<72-8i?7<<5c9m6g4=921d>>:6:18'6g5=::?i7c<m2;08?j44<10;6)<m3;001g=i:k81?65`2264>5<#:k91>>;m;o0a6?2<3f888;4?:%0a7?44=k1e>o<55:9l6622290/>o=5227a?k4e:3<07b<<5283>!4e;3889o5a2c093>=h::?96=4+2c19663e3g8i>764;n0014<72-8i?7<<5c9m6g4=121d>>;?:18'6g5=::?i7c<m2;c8?j44<o0;6)<m3;001g=i:k81n65`226f>5<#:k91>>;m;o0a6?e<3f888i4?:%0a7?44=k1e>o<5d:9l662d290/>o=5227a?k4e:3o07b<<4583>!4e;3889o5a2c09b>=h::>86=4+2c19663e3g8i>7??;:m7=a<722e?5l4?::k1714=831b>?l<:18'6g5=:;i87c<m2;28?l45j;0;6)<m3;01g6=i:k81=65f23`2>5<#:k91>?m<;o0a6?4<3`89n=4?:%0a7?45k:1e>o<53:9j67ga290/>o=523a0?k4e:3>07d<=ad83>!4e;389o>5a2c091>=n:;ko6=4+2c1967e43g8i>784;h01fg<72-8i?7<=c29m6g4=?21b>?ln:18'6g5=:;i87c<m2;:8?l45j00;6)<m3;01g6=i:k81565f23`;>5<#:k91>?m<;o0a6?g<3`89n:4?:%0a7?45k:1e>o<5b:9j67d1290/>o=523a0?k4e:3i07d<=b483>!4e;389o>5a2c09`>=n:;h?6=4+2c1967e43g8i>7k4;h01ef<72-8i?7<=c29m6g4=n21b>?om:18'6g5=:;i87c<m2;33?>o40=0;66g=37594?=h::9i6=44o3175?6=3f88?i4?::k176g=831d8:?50;&1f6<3191e>o<50:9l026=83.9n>4;919m6g4=921d8;k50;&1f6<3191e>o<52:9l03b=83.9n>4;919m6g4=;21d8;m50;&1f6<3191e>o<54:9l03d=83.9n>4;919m6g4==21d8;o50;&1f6<3191e>o<56:9l03?=83.9n>4;919m6g4=?21d8;650;&1f6<3191e>o<58:9l031=83.9n>4;919m6g4=121d8;850;&1f6<3191e>o<5a:9l033=83.9n>4;919m6g4=j21d8;=50;&1f6<3191e>o<5c:9l034=83.9n>4;919m6g4=l21d8;?50;&1f6<3191e>o<5e:9l036=83.9n>4;919m6g4=n21d88h50;&1f6<3191e>o<51198k13b290/>o=54828j7d528;07b::d;29 7d42=3;7c<m2;31?>i3=j0;6)<m3;6:4>h5j;0:?65`44`94?"5j:0?5=5a2c0951=<g=?j6=4+2c190<6<f;h96<;4;n643?6=,;h8697?;o0a6?7132e?;;4?:%0a7?2>82d9n?4>7:9l023=83.9n>4;919m6g4=9110c99;:18'6g5=<0:0b?l=:0;8?j20;3:1(?l<:5;3?k4e:3;j76a;7383>!4e;3>2<6`=b382f>=h<?l1<7*=b287=5=i:k81=n54o547>5<#:k9184>4n3`1>4b<3f>>57>5$3`0>1?73g8i>7?j;:m71=<72-8i?7:60:l1f7<6n21b8>>50;&1f6<3<o1e>o<50:9j07`=83.9n>4;4g9m6g4=921b8?j50;&1f6<3<o1e>o<52:9j07e=83.9n>4;4g9m6g4=;21b8?l50;&1f6<3<o1e>o<54:9j07g=83.9n>4;4g9m6g4==21b8?750;&1f6<3<o1e>o<56:9j07>=83.9n>4;4g9m6g4=?21b8?950;&1f6<3<o1e>o<58:9j070=83.9n>4;4g9m6g4=121b8?;50;&1f6<3<o1e>o<5a:9j072=83.9n>4;4g9m6g4=j21b8?<50;&1f6<3<o1e>o<5c:9j077=83.9n>4;4g9m6g4=l21b8?>50;&1f6<3<o1e>o<5e:9j04`=83.9n>4;4g9m6g4=n21b8<k50;&1f6<3<o1e>o<51198m17c290/>o=545d8j7d528;07d:>c;29 7d42=>m7c<m2;31?>o39k0;6)<m3;67b>h5j;0:?65f40c94?"5j:0?8k5a2c0951=<a=;26=4+2c1901`<f;h96<;4;h602?6=,;h869:i;o0a6?7132c??84?:%0a7?23n2d9n?4>7:9j062=83.9n>4;4g9m6g4=9110e9=<:18'6g5=<=l0b?l=:0;8?l24:3:1(?l<:56e?k4e:3;j76g;3083>!4e;3>?j6`=b382f>=n<;o1<7*=b2870c=i:k81=n54i500>5<#:k9189h4n3`1>4b<3`>:47>5$3`0>12a3g8i>7?j;:k752<72-8i?7:;f:l1f7<6n21d?5?50;9j7=4=831b>?h6:18'6g5=:::27c<m2;28?l45n10;6)<m3;004<=i:k81=65f23d4>5<#:k91>>>6;o0a6?4<3`89j;4?:%0a7?44801e>o<53:9j67`2290/>o=5222:?k4e:3>07d<=f583>!4e;388<45a2c091>=n:;l86=4+2c19666>3g8i>784;h0044<72-8i?7<<089m6g4=?21b>>>?:18'6g5=:::27c<m2;:8?l45no0;6)<m3;004<=i:k81565f23df>5<#:k91>>>6;o0a6?g<3`89ji4?:%0a7?44801e>o<5b:9j67`d290/>o=5222:?k4e:3i07d<=fc83>!4e;388<45a2c09`>=n:;lj6=4+2c19666>3g8i>7k4;h01b7<72-8i?7<<089m6g4=n21b>?h>:18'6g5=:::27c<m2;33?>i40m0;6)<m3;1:3>h5j;0;76a<8b83>!4e;392;6`=b382?>i40k0;6)<m3;1:3>h5j;0976a<8`83>!4e;392;6`=b380?>i4000;6)<m3;1:3>h5j;0?76a<8983>!4e;392;6`=b386?>i40>0;6)<m3;1:3>h5j;0=76a<9483>!4e;392;6`=b384?>i41=0;6)<m3;1:3>h5j;0376a<9283>!4e;392;6`=b38:?>i41;0;6)<m3;1:3>h5j;0j76a<9083>!4e;392;6`=b38a?>i4190;6)<m3;1:3>h5j;0h76a<8g83>!4e;392;6`=b38g?>i40l0;6)<m3;1:3>h5j;0n76a<8783>!4e;392;6`=b38e?>i40<0;6)<m3;1:3>h5j;0:<65`38:94?=n:;2m6=4+2c1967?a3g8i>7>4;h01<`<72-8i?7<=9g9m6g4=921b>?6k:18'6g5=:;3m7c<m2;08?l450j0;6)<m3;01=c=i:k81?65f23:a>5<#:k91>?7i;o0a6?2<3`894l4?:%0a7?451o1e>o<55:9j67>>290/>o=523;e?k4e:3<07d<=9683>!4e;3895k5a2c093>=n:;3=6=4+2c1967?a3g8i>764;h01=0<72-8i?7<=9g9m6g4=121b>?7;:18'6g5=:;3m7c<m2;c8?l451:0;6)<m3;01=c=i:k81n65f23;1>5<#:k91>?7i;o0a6?e<3`895<4?:%0a7?451o1e>o<5d:9j67?7290/>o=523;e?k4e:3o07d<=8983>!4e;3895k5a2c09b>=n:;2<6=4+2c1967?a3g8i>7??;:k1742=831i?:650;394?6|,:>36<77;I143>N4<m1d=4950;9~f62>290:;94lc48274?|@:>o7)=;8;6:g>\1m3;8w?8526815?452;91=i4>f;07>4e=:<08=7?j:0396a<6=3;?6<=513824?{#::<26?=98:m4b?6=3f=n6=44i21b>5<<g?31<75f32d94?=h?m0;66a70;29?j5183:17b?74;29?l50<3:17b=;a;29?j0?2900e><8:188k7ga2900c>:j:188k4?22900c?l::188m6062900c?kl:188k6`e290/>o=53gc8j7d52910c>h6:18'6g5=;ok0b?l=:098k6`?290/>o=53gc8j7d52;10c>h8:18'6g5=;ok0b?l=:298k6`1290/>o=53gc8j7d52=10c>h::18'6g5=;ok0b?l=:498k6`3290/>o=53gc8j7d52?10c9>;:18'6g5=;ok0b?l=:698k164290/>o=53gc8j7d52110c9>=:18'6g5=;ok0b?l=:898k166290/>o=53gc8j7d52h10c9>?:18'6g5=;ok0b?l=:c98k6`a290/>o=53gc8j7d52j10c>hj:18'6g5=;ok0b?l=:e98k6`c290/>o=53gc8j7d52l10c>hl:18'6g5=;ok0b?l=:g98k6`4290/>o=53gc8j7d528:07b:?d;29 7d42=:h7c<m2;28?j27j3:1(?l<:52`?k4e:3;07b:?a;29 7d42=:h7c<m2;08?j2713:1(?l<:52`?k4e:3907b:?8;29 7d42=:h7c<m2;68?j27?3:1(?l<:52`?k4e:3?07b:?6;29 7d42=:h7c<m2;48?j26>3:1(?l<:52`?k4e:3=07b:>5;29 7d42=:h7c<m2;:8?j26<3:1(?l<:52`?k4e:3307b:>3;29 7d42=:h7c<m2;c8?j26:3:1(?l<:52`?k4e:3h07b:>1;29 7d42=:h7c<m2;a8?j2683:1(?l<:52`?k4e:3n07b:?f;29 7d42=:h7c<m2;g8?j27m3:1(?l<:52`?k4e:3l07b:?5;29 7d42=:h7c<m2;33?>i4i80;6)<m3;1b4>h5j;0;76a<9g83>!4e;39j<6`=b382?>i41l0;6)<m3;1b4>h5j;0976a<9e83>!4e;39j<6`=b380?>i41j0;6)<m3;1b4>h5j;0?76a<9c83>!4e;39j<6`=b386?>i41h0;6)<m3;1b4>h5j;0=76a<a`83>!4e;39j<6`=b384?>i4i00;6)<m3;1b4>h5j;0376a<a983>!4e;39j<6`=b38:?>i4i>0;6)<m3;1b4>h5j;0j76a<a783>!4e;39j<6`=b38a?>i4i<0;6)<m3;1b4>h5j;0h76a<a583>!4e;39j<6`=b38g?>i4i:0;6)<m3;1b4>h5j;0n76a<a383>!4e;39j<6`=b38e?>i4100;6)<m3;1b4>h5j;0:<65`3c194?"5j:08n?5a2c094>=h;k;1<7*=b280f7=i:k81=65`3c294?"5j:08n?5a2c096>=h;hl1<7*=b280f7=i:k81?65`3`g94?"5j:08n?5a2c090>=h;hn1<7*=b280f7=i:k81965`3`a94?"5j:08n?5a2c092>=h;ki1<7*=b280f7=i:k81;65`3c`94?"5j:08n?5a2c09<>=h;kk1<7*=b280f7=i:k81565`3c;94?"5j:08n?5a2c09e>=h;k21<7*=b280f7=i:k81n65`3c594?"5j:08n?5a2c09g>=h;k<1<7*=b280f7=i:k81h65`3c794?"5j:08n?5a2c09a>=h;k>1<7*=b280f7=i:k81j65`3``94?"5j:08n?5a2c0955=<g:i>6=4+2c197f2<f;h96=54o2a0>5<#:k91?n:4n3`1>4=<g:i96=4+2c197f2<f;h96?54o2a2>5<#:k91?n:4n3`1>6=<g:i;6=4+2c197f2<f;h96954o2`e>5<#:k91?n:4n3`1>0=<g:hn6=4+2c197f2<f;h96;54o2af>5<#:k91?n:4n3`1>2=<g:io6=4+2c197f2<f;h96554o2a`>5<#:k91?n:4n3`1><=<g:ii6=4+2c197f2<f;h96l54o2ab>5<#:k91?n:4n3`1>g=<g:i26=4+2c197f2<f;h96n54o2a;>5<#:k91?n:4n3`1>a=<g:i<6=4+2c197f2<f;h96h54o2a5>5<#:k91?n:4n3`1>c=<g:ho6=4+2c197f2<f;h96<>4;n;a>5<#:k915l5a2c094>=h100;6)<m3;;b?k4e:3;07b77:18'6g5=1h1e>o<52:9l=2<72-8i?77n;o0a6?5<3f3=6=4+2c19=d=i:k81865`9483>!4e;33j7c<m2;78?j?3290/>o=59`9m6g4=>21dm94?:%0a7??f3g8i>794;nc0>5<#:k915l5a2c09<>=hi;0;6)<m3;;b?k4e:3307bo>:18'6g5=1h1e>o<5a:9le5<72-8i?77n;o0a6?d<3f3m6=4+2c19=d=i:k81o65`9d83>!4e;33j7c<m2;f8?j?c290/>o=59`9m6g4=m21d5n4?:%0a7??f3g8i>7h4;n;0>5<#:k915l5a2c0955=<g:<j6=4+2c1973?<f;h96=54o24;>5<#:k91?;74n3`1>4=<g:<<6=4+2c1973?<f;h96?54o245>5<#:k91?;74n3`1>6=<g:<>6=4+2c1973?<f;h96954o247>5<#:k91?;74n3`1>0=<g:<86=4+2c1973?<f;h96;54o250>5<#:k91?;74n3`1>2=<g:=96=4+2c1973?<f;h96554o252>5<#:k91?;74n3`1><=<g:=;6=4+2c1973?<f;h96l54o24e>5<#:k91?;74n3`1>g=<g:<n6=4+2c1973?<f;h96n54o24g>5<#:k91?;74n3`1>a=<g:<h6=4+2c1973?<f;h96h54o24a>5<#:k91?;74n3`1>c=<g:<96=4+2c1973?<f;h96<>4;n1g3?6=,;h86>j9;o0a6?6<3f9o97>5$3`0>6b13g8i>7?4;n1g0?6=,;h86>j9;o0a6?4<3f9o?7>5$3`0>6b13g8i>7=4;n1g6?6=,;h86>j9;o0a6?2<3f9o=7>5$3`0>6b13g8i>7;4;n1g4?6=,;h86>j9;o0a6?0<3f9n<7>5$3`0>6b13g8i>794;n1gb?6=,;h86>j9;o0a6?><3f9oi7>5$3`0>6b13g8i>774;n1g`?6=,;h86>j9;o0a6?g<3f9oo7>5$3`0>6b13g8i>7l4;n1gf?6=,;h86>j9;o0a6?e<3f9om7>5$3`0>6b13g8i>7j4;n1g=?6=,;h86>j9;o0a6?c<3f9o47>5$3`0>6b13g8i>7h4;n1`b?6=,;h86>j9;o0a6?7732e357>5$3`0>=><f;h96=54o9594?"5j:0346`=b382?>i?>3:1(?l<:9:8j7d52;10c5;50;&1f6<?02d9n?4<;:m;0?6=,;h86564n3`1>1=<g191<7*=b28;<>h5j;0>76a72;29 7d42120b?l=:798k<4=83.9n>478:l1f7<032e2=7>5$3`0>=><f;h96554o8294?"5j:0346`=b38:?>i?n3:1(?l<:9:8j7d52h10c5k50;&1f6<?02d9n?4m;:m;`?6=,;h86564n3`1>f=<g1i1<7*=b28;<>h5j;0o76a7b;29 7d42120b?l=:d98k=g=83.9n>478:l1f7<a32e3=7>5$3`0>=><f;h96<>4;n1f=?6=,;h86>k7;o0a6?6<3f9n;7>5$3`0>6c?3g8i>7?4;n1f2?6=,;h86>k7;o0a6?4<3f9n97>5$3`0>6c?3g8i>7=4;n1f0?6=,;h86>k7;o0a6?2<3f9n?7>5$3`0>6c?3g8i>7;4;n1f6?6=,;h86>k7;o0a6?0<3f9m>7>5$3`0>6c?3g8i>794;n1e5?6=,;h86>k7;o0a6?><3f9m<7>5$3`0>6c?3g8i>774;n1fb?6=,;h86>k7;o0a6?g<3f9ni7>5$3`0>6c?3g8i>7l4;n1f`?6=,;h86>k7;o0a6?e<3f9no7>5$3`0>6c?3g8i>7j4;n1ff?6=,;h86>k7;o0a6?c<3f9nm7>5$3`0>6c?3g8i>7h4;n1f5?6=,;h86>k7;o0a6?7732e:;l4?:%0a7?7012d9n?4?;:m23=<72-8i?7?89:l1f7<632e:;;4?:%0a7?7012d9n?4=;:m230<72-8i?7?89:l1f7<432e:;94?:%0a7?7012d9n?4;;:m236<72-8i?7?89:l1f7<232e:;?4?:%0a7?7012d9n?49;:m234<72-8i?7?89:l1f7<032e:;=4?:%0a7?7012d9n?47;:m22c<72-8i?7?89:l1f7<>32e::h4?:%0a7?7012d9n?4n;:m22a<72-8i?7?89:l1f7<e32e::o4?:%0a7?7012d9n?4l;:m22d<72-8i?7?89:l1f7<c32e::44?:%0a7?7012d9n?4j;:m22=<72-8i?7?89:l1f7<a32e:::4?:%0a7?7012d9n?4>0:9l530=83.9n>4>789m6g4=9810c<8::18'6g5=9>30b?l=:008?j71<3:1(?l<:05:?k4e:3;876a>6283>!4e;3;<56`=b3820>=h9?81<7*=b2823<=i:k81=854o0:2>5<#:k91=:74n3`1>40<3f;3<7>5$3`0>41>3g8i>7?8;:m23c<72-8i?7?89:l1f7<6021d=:k50;&1f6<6?01e>o<51898k41c290/>o=516;8j7d528k07b?8c;29 7d428=27c<m2;3a?>i6?k0;6)<m3;34=>h5j;0:o65`16594?"5j:0:;45a2c095a=<g8<h6=4+2c1952?<f;h96<k4;n355?6=,;h86<96;o0a6?7a32e8?=4?:%0a7?55n2d9n?4?;:m06`<72-8i?7==f:l1f7<632e8>i4?:%0a7?55n2d9n?4=;:m06f<72-8i?7==f:l1f7<432e8>o4?:%0a7?55n2d9n?4;;:m06d<72-8i?7==f:l1f7<232e8>44?:%0a7?55n2d9n?49;:m07<<72-8i?7==f:l1f7<032e8?54?:%0a7?55n2d9n?47;:m072<72-8i?7==f:l1f7<>32e8?;4?:%0a7?55n2d9n?4n;:m070<72-8i?7==f:l1f7<e32e8?94?:%0a7?55n2d9n?4l;:m076<72-8i?7==f:l1f7<c32e8??4?:%0a7?55n2d9n?4j;:m074<72-8i?7==f:l1f7<a32e8>54?:%0a7?55n2d9n?4>0:9j6a6=83.9n>4=cg9m6g4=821b>nk50;&1f6<5ko1e>o<51:9j6fb=83.9n>4=cg9m6g4=:21b>nm50;&1f6<5ko1e>o<53:9j6fd=83.9n>4=cg9m6g4=<21b>no50;&1f6<5ko1e>o<55:9j6f?=83.9n>4=cg9m6g4=>21b>i750;&1f6<5ko1e>o<57:9j6a>=83.9n>4=cg9m6g4=021b>i950;&1f6<5ko1e>o<59:9j6a0=83.9n>4=cg9m6g4=i21b>i;50;&1f6<5ko1e>o<5b:9j6a2=83.9n>4=cg9m6g4=k21b>i=50;&1f6<5ko1e>o<5d:9j6a4=83.9n>4=cg9m6g4=m21b>i?50;&1f6<5ko1e>o<5f:9j6f>=83.9n>4=cg9m6g4=9910e<>>:18'6g5=99:0b?l=:198mc`=83.9n>4>019m6g4=921bji4?:%0a7?7782d9n?4=;:keg?6=,;h86<>?;o0a6?5<3`li6=4+2c19556<f;h96954igc94?"5j:0:<=5a2c091>=nn00;6)<m3;334>h5j;0=76gi8;29 7d428:;7c<m2;58?l`0290/>o=51128j7d52110ek850;&1f6<6891e>o<59:9jb0<72-8i?7??0:l1f7<f32cm87>5$3`0>4673g8i>7l4;hd1>5<#:k91==>4n3`1>f=<ao;1<7*=b28245=i:k81h65ff183>!4e;3;;<6`=b38f?>obn3:1(?l<:023?k4e:3l07dkj:18'6g5=99:0b?l=:028?lcc290/>o=51128j7d528;07dkl:18'6g5=99:0b?l=:008?lce290/>o=51128j7d528907dkn:18'6g5=99:0b?l=:068?lc>290/>o=51128j7d528?07d??8;29 7d428:;7c<m2;35?>o68>0;6)<m3;334>h5j;0:;65f11494?"5j:0:<=5a2c095==<a8:>6=4+2c19556<f;h96<74;h330?6=,;h86<>?;o0a6?7f32c:<>4?:%0a7?7782d9n?4>b:9j554=83.9n>4>019m6g4=9j10ekk50;&1f6<6891e>o<51e98mc5=83.9n>4>019m6g4=9l10eh650;&1f6<6891e>o<51g98m67>290/>o=530:8j7d52910e>?8:18'6g5=;820b?l=:098m671290/>o=530:8j7d52;10e>?::18'6g5=;820b?l=:298m673290/>o=530:8j7d52=10e>?<:18'6g5=;820b?l=:498m675290/>o=530:8j7d52?10e><=:18'6g5=;820b?l=:698m646290/>o=530:8j7d52110e><?:18'6g5=;820b?l=:898m67a290/>o=530:8j7d52h10e>?j:18'6g5=;820b?l=:c98m67c290/>o=530:8j7d52j10e>?l:18'6g5=;820b?l=:e98m67e290/>o=530:8j7d52l10e>?n:18'6g5=;820b?l=:g98m676290/>o=530:8j7d528:07d=?7;29 7d42::=7c<m2;28?l57=3:1(?l<:225?k4e:3;07d=?4;29 7d42::=7c<m2;08?l57;3:1(?l<:225?k4e:3907d=?2;29 7d42::=7c<m2;68?l5793:1(?l<:225?k4e:3?07d=?0;29 7d42::=7c<m2;48?l5683:1(?l<:225?k4e:3=07d=?f;29 7d42::=7c<m2;:8?l57m3:1(?l<:225?k4e:3307d=?d;29 7d42::=7c<m2;c8?l57k3:1(?l<:225?k4e:3h07d=?b;29 7d42::=7c<m2;a8?l57i3:1(?l<:225?k4e:3n07d=?9;29 7d42::=7c<m2;g8?l5703:1(?l<:225?k4e:3l07d<if;29 7d42::=7c<m2;33?>o5n<0;6)<m3;0e0>h5j;0;76g=f283>!4e;38m86`=b382?>o5n;0;6)<m3;0e0>h5j;0976g=f083>!4e;38m86`=b380?>o5n90;6)<m3;0e0>h5j;0?76g=eg83>!4e;38m86`=b386?>o5ml0;6)<m3;0e0>h5j;0=76g=fd83>!4e;38m86`=b384?>o5nm0;6)<m3;0e0>h5j;0376g=fb83>!4e;38m86`=b38:?>o5nk0;6)<m3;0e0>h5j;0j76g=f`83>!4e;38m86`=b38a?>o5n00;6)<m3;0e0>h5j;0h76g=f983>!4e;38m86`=b38g?>o5n>0;6)<m3;0e0>h5j;0n76g=f783>!4e;38m86`=b38e?>o5mm0;6)<m3;0e0>h5j;0:<65f2d094?"5j:09i<5a2c094>=n:l:1<7*=b281a4=i:k81=65f2ed94?"5j:09i<5a2c096>=n:mo1<7*=b281a4=i:k81?65f2ef94?"5j:09i<5a2c090>=n:mi1<7*=b281a4=i:k81965f2e`94?"5j:09i<5a2c092>=n:lh1<7*=b281a4=i:k81;65f2dc94?"5j:09i<5a2c09<>=n:l31<7*=b281a4=i:k81565f2d:94?"5j:09i<5a2c09e>=n:l=1<7*=b281a4=i:k81n65f2d494?"5j:09i<5a2c09g>=n:l?1<7*=b281a4=i:k81h65f2d694?"5j:09i<5a2c09a>=n:l91<7*=b281a4=i:k81j65f2ec94?"5j:09i<5a2c0955=<a;hn6=4+2c196gb<f;h96=54i3``>5<#:k91>oj4n3`1>4=<a;hi6=4+2c196gb<f;h96?54i3`b>5<#:k91>oj4n3`1>6=<a;h26=4+2c196gb<f;h96954i3`;>5<#:k91>oj4n3`1>0=<a;h<6=4+2c196gb<f;h96;54i3a4>5<#:k91>oj4n3`1>2=<a;i=6=4+2c196gb<f;h96554i3a6>5<#:k91>oj4n3`1><=<a;i?6=4+2c196gb<f;h96l54i3a0>5<#:k91>oj4n3`1>g=<a;i96=4+2c196gb<f;h96n54i3a2>5<#:k91>oj4n3`1>a=<a;i;6=4+2c196gb<f;h96h54i3`e>5<#:k91>oj4n3`1>c=<a;h=6=4+2c196gb<f;h96<>4;c14<?6=93:1<v*<4982===O;>=0D>:k;n3:3?6=3th8;44?:083>5}#;=21?9h4H254?M53l2e9n=4?::\7faegc=83>1<7>t$26;>4>b3A9<;6F<4e9K2d=#9h<1=6g9f;29?l1d2900e?l;:188k6442900qool3;291?6=8r.8854>8g9K721<@:>o7E8n;%3b2?7<a?l1<75f7583>>o0k3:17d<m4;29?j55;3:17plnc383>1<729q/?96519g8L6103A9?h6F9a:&2e3<63`<m6=44i6a94?=n:k>1<75`33194?=zjhi:6=4::183\7f!5303;3j6F<769K71b<@?k0(<o9:09j2c<722c<87>5;h5`>5<<a;h?6=44o200>5<<uk<m;7>55;294~"4<10:4k5G3658L62c3-;j:7m4i7d94?=n?=0;66g8c;29?l4e<3:17b==3;29?xd1n?0;684?:1y'71>=91l0D>98;I17`>"6i?0h7d8i:188m22=831b;n4?::k1f1<722e8>>4?::\7fa2c`=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm71294?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi;=?50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e?981<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa355=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm71694?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi:k650;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e?9?1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa350=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm6g;94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi:ko50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e>oh1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa2ce=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm6gf94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi:kk50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e>h91<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd1j90;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg0dj3:1?7>50z&00=<4??1C?:94H26g?l112900e<kj:188k7gc2900qo8m2;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn;ml:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f3d3290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa2fb=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi:o850;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th=oh4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`5f=<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c4`b?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk<im7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj?n;6=4<:183\7f!53039<:6F<769K71b<a><1<75f1dg94?=h:hn1<75rb7``>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm6e394?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e>ko1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd1l;0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl9c183>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo8k3;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg0f<3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f3e429086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn;o9:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi:n:50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa2d>=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`5g0<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th=ml4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk<h:7>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c4bg?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb7a4>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj?kn6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e>j21<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm6b;94?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e>091<7;50;2x 62?282m7E=87:J00a=#9h<1o6g9f;29?l132900e:m50;9j6g2=831d??=50;9~f3?5290>6=4?{%17<?7?k2B8;:5G35f8 4g1281b:k4?::k40?6=3`=26=44i6a94?=h;;91<75rb7;a>5<2290;w)=;8;3;g>N4?>1C?9j4$0c5>4=n>o0;66g84;29?l1>2900e:m50;9l775=831vn;7l:186>5<7s-9?47?7c:J032=O;=n0(<o9:09j2c<722c<87>5;h5:>5<<a>i1<75`33194?=zj?3o6=4::183\7f!5303;3o6F<769K71b<,8k=6<5f6g83>>o0<3:17d96:188m2e=831d??=50;9~f3?b290>6=4?{%17<?7?k2B8;:5G35f8 4g1281b:k4?::k40?6=3`=26=44i6a94?=h;;91<75rb7;e>5<2290;w)=;8;3;g>N4?>1C?9j4$0c5>4=n>o0;66g84;29?l1>2900e:m50;9l775=831vn;o?:186>5<7s-9?47?7c:J032=O;=n0(<o9:09j2c<722c<87>5;h5:>5<<a>i1<75`33194?=zj?3?6=4::183\7f!5303;3o6F<769K71b<,8k=6<5f6g83>>o0<3:17d96:188m2e=831d??=50;9~f3g6290>6=4?{%17<?7?k2B8;:5G35f8 4g1281b:k4?::k40?6=3`=26=44i6a94?=h;;91<75rb7c1>5<2290;w)=;8;3;g>N4?>1C?9j4$0c5>4=n>o0;66g84;29?l1>2900e:m50;9l775=831vn;7::186>5<7s-9?47?7c:J032=O;=n0(<o9:09j2c<722c<87>5;h5:>5<<a>i1<75`33194?=zj?3=6=4::183\7f!5303;3o6F<769K71b<,8k=6<5f6g83>>o0<3:17d96:188m2e=831d??=50;9~f3?0290>6=4?{%17<?7?k2B8;:5G35f8 4g1281b:k4?::k40?6=3`=26=44i6a94?=h;;91<75rb7;;>5<2290;w)=;8;3;g>N4?>1C?9j4$0c5>4=n>o0;66g84;29?l1>2900e:m50;9l775=831vn;76:186>5<7s-9?47?7c:J032=O;=n0(<o9:09j2c<722c<87>5;h5:>5<<a>i1<75`33194?=zj?3j6=4::183\7f!5303;3o6F<769K71b<,8k=6<5f6g83>>o0<3:17d96:188m2e=831d??=50;9~f337290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa20b=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`53=<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th=9k4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk<<57>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c455?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb75b>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj?<86=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e>>h1<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm67794?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl97b83>6<729q/?9653648L6103A9?h6g86;29?l7bm3:17b<nd;29?xd1>>0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg00l3:1?7>50z&00=<4??1C?:94H26g?l112900e<kj:188k7gc2900qo899;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn;9j:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f30e290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa22`=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi:;j50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th=4=4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`514<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c444?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk<>?7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj?=:6=4<:183\7f!53039<:6F<769K71b<a><1<75f1dg94?=h:hn1<75rb776>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm66094?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e><=1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd1?:0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl95883>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo884;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg02j3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f31229086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn;99:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f0?f290>6=4?{%17<?7?n2B8;:5G35f8 4g12j1b:k4?::k40?6=3`=h6=44i3`7>5<<g:886=44}c7:=?6==3:1<v*<4982<f=O;>=0D>:k;%3b2?7<a?l1<75f7583>>o013:17d9l:188k6442900qo;n2;291?6=8r.8854>8b9K721<@:>o7)?n6;38m3`=831b;94?::k4=?6=3`=h6=44o200>5<<uk?j?7>55;294~"4<10:4n5G3658L62c3-;j:7?4i7d94?=n?=0;66g89;29?l1d2900c><<:188yg3f<3:197>50z&00=<60j1C?:94H26g?!7f>3;0e;h50;9j31<722c<57>5;h5`>5<<g:886=44}c7b1?6==3:1<v*<4982<f=O;>=0D>:k;%3b2?7<a?l1<75f7583>>o013:17d9l:188k6442900qo;n6;291?6=8r.8854>8b9K721<@:>o7)?n6;38m3`=831b;94?::k4=?6=3`=h6=44o200>5<<uk?j;7>55;294~"4<10:4n5G3658L62c3-;j:7?4i7d94?=n?=0;66g89;29?l1d2900c><<:188yg3>j3:197>50z&00=<60j1C?:94H26g?!7f>3;0e;h50;9j31<722c<57>5;h5`>5<<g:886=44}c7b<?6==3:1<v*<4982<f=O;>=0D>:k;%3b2?7<a?l1<75f7583>>o013:17d9l:188k6442900qo;n9;291?6=8r.8854>8b9K721<@:>o7)?n6;38m3`=831b;94?::k4=?6=3`=h6=44o200>5<<uk?2o7>55;294~"4<10:4n5G3658L62c3-;j:7?4i7d94?=n?=0;66g89;29?l1d2900c><<:188yg3>l3:197>50z&00=<60j1C?:94H26g?!7f>3;0e;h50;9j31<722c<57>5;h5`>5<<g:886=44}c7:a?6==3:1<v*<4982<f=O;>=0D>:k;%3b2?7<a?l1<75f7583>>o013:17d9l:188k6442900qo;6f;291?6=8r.8854>8b9K721<@:>o7)?n6;38m3`=831b;94?::k4=?6=3`=h6=44o200>5<<uk?j<7>55;294~"4<10:4n5G3658L62c3-;j:7?4i7d94?=n?=0;66g89;29?l1d2900c><<:188yg3f93:197>50z&00=<60j1C?:94H26g?!7f>3;0e;h50;9j31<722c<57>5;h5`>5<<g:886=44}c772?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb470>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm57g94?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e=<?1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd2>o0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl:5683>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo;80;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg3213:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f01629086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn8;m:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi9:<50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa10b=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`636<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th>9k4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk?<87>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c755?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb456>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj<<86=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e=><1<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm55594?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl:6783>6<729q/?9653648L6103A9?h6g86;29?l7bm3:17b<nd;29?xd2<00;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg31?3:1?7>50z&00=<4??1C?:94H26g?l112900e<kj:188k7gc2900qo;;b;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn887:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f02c290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa13?=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi99h50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th>:l4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`614<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c75f?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk?=o7>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c474?6==3:1<v*<4982<f=O;>=0D>:k;%3b2?7<a?l1<75f7583>>o013:17d9l:188k6442900qo8<f;291?6=8r.8854>8g9K721<@:>o7)?n6;a8m3`=831b;94?::k4g?6=3`8i87>5;n117?6=3th=854?:483>5}#;=21=5m4H254?M53l2.:m;4>;h4e>5<<a>>1<75f7883>>o0k3:17b==3;29?xd1<00;684?:1y'71>=91i0D>98;I17`>"6i?0:7d8i:188m22=831b;44?::k4g?6=3f99?7>5;|`50d<72<0;6=u+35:95=e<@:=<7E=;d:&2e3<63`<m6=44i6694?=n?00;66g8c;29?j55;3:17pl94c83>0<729q/?96519a8L6103A9?h6*>a782?l0a2900e::50;9j3<<722c<o7>5;n117?6=3th=8n4?:483>5}#;=21=5m4H254?M53l2.:m;4>;h4e>5<<a>>1<75f7883>>o0k3:17b==3;29?xd1<m0;684?:1y'71>=91i0D>98;I17`>"6i?0:7d8i:188m22=831b;44?::k4g?6=3f99?7>5;|`504<72<0;6=u+35:95=e<@:=<7E=;d:&2e3<63`<m6=44i6694?=n?00;66g8c;29?j55;3:17pl94d83>0<729q/?96519a8L6103A9?h6*>a782?l0a2900e::50;9j3<<722c<o7>5;n117?6=3th=8k4?:483>5}#;=21=5m4H254?M53l2.:m;4>;h4e>5<<a>>1<75f7883>>o0k3:17b==3;29?xd1<;0;684?:1y'71>=91i0D>98;I17`>"6i?0:7d8i:188m22=831b;44?::k4g?6=3f99?7>5;|`506<72<0;6=u+35:95=e<@:=<7E=;d:&2e3<63`<m6=44i6694?=n?00;66g8c;29?j55;3:17pl94583>0<729q/?96519a8L6103A9?h6*>a782?l0a2900e::50;9j3<<722c<o7>5;n117?6=3th=884?:483>5}#;=21=5m4H254?M53l2.:m;4>;h4e>5<<a>>1<75f7883>>o0k3:17b==3;29?xd1<?0;684?:1y'71>=91i0D>98;I17`>"6i?0:7d8i:188m22=831b;44?::k4g?6=3f99?7>5;|`502<72<0;6=u+35:95=e<@:=<7E=;d:&2e3<63`<m6=44i6694?=n?00;66g8c;29?j55;3:17pl:fe83>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo8?a;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn;<::180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f36d290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa270=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi:=k50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th=>:4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`555<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c41<?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk<:>7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj?826=4<:183\7f!53039<:6F<769K71b<a><1<75f1dg94?=h:hn1<75rb737>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm63c94?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e>8<1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd1:k0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl91983>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo8=c;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg06i3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f34c29086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn8hj:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi:<j50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa256=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`55`<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th=<?4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk<:j7>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c430?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb703>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj?:=6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e>;;1<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm61:94?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl92383>6<729q/?9653648L6103A9?h6g86;29?l7bm3:17b<nd;29?xd1::0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl:3783>0<729q/?96519a8L6103A9?h6*>a782?l0a2900e::50;9j3<<722c<o7>5;n117?6=3th>?84?:483>5}#;=21=5h4H254?M53l2.:m;4l;h4e>5<<a>>1<75f7b83>>o5j=0;66a<2283>>{e=:o1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa16`=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm55294?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi99?50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e==81<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa115=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm52594?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi99:50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e==?1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa16>=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm52;94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi9>o50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e=:h1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa16e=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm52f94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi8k=50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th><=4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk?:n7>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c736?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb43`>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj<:?6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e=8n1<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm51494?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl:1d83>6<729q/?9653648L6103A9?h6g86;29?l7bm3:17b<nd;29?xd2810;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg36n3:1?7>50z&00=<4??1C?:94H26g?l112900e<kj:188k7gc2900qo;?a;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn8<?:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f06d290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa177=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi9=k50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th>>?4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`655<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c717?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk>m87>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj<;86=4<:183\7f!53039<:6F<769K71b<a><1<75f1dg94?=h:hn1<75rb5d5>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm50694?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e<o21<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd29<0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl;f`83>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo;>6;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg2ak3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f07029086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn9hj:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi9<650;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa14?=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi9hm50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e=ln1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa1c3=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm5g494?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi9k950;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e=o21<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa1c?=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm5gc94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi9kl50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e=oi1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa1`c=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm5dd94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi9k>50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e=o;1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa1c4=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm5g194?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi9k:50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e=hk1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd2j>0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg3c:3:1?7>50z&00=<4??1C?:94H26g?l112900e<kj:188k7gc2900qo;m9;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn8j<:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f0de290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa1a2=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi9oj50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th>h84?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`6fc<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c7g2?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk?h=7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj<n<6=4<:183\7f!53039<:6F<769K71b<a><1<75f1dg94?=h:hn1<75rb4a0>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm5e:94?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e=j?1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd2l00;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl:c683>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo;ka;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg3fj3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f0ef29086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn8ok:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi9nl50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa1d`=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`6gf<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th>n<4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk?hh7>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c7a7?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb4af>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj<h>6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e=jl1<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm5e294?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e<l81<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa0`5=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm4d`94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi8hm50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e<ln1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa0`c=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm4dd94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi8k>50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e<o;1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa0c4=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm4d694?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi8h;50;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e<l<1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa0`1=83?1<7>t$26;>4>d3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>31<75f7b83>>i4::0;66sm4d:94?3=83:p(>:7:0:`?M50?2B88i5+1`495>o1n3:17d9;:188m2?=831b;n4?::m066<722wi8h750;794?6|,:>36<6l;I143>N4<m1/=l851:k5b?6=3`=?6=44i6;94?=n?j0;66a<2283>>{e<lk1<7;50;2x 62?282h7E=87:J00a=#9h<1=6g9f;29?l132900e:750;9j3f<722e8>>4?::\7fa0d6=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`7ea<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c6`<?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk>jj7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj=i26=4<:183\7f!53039<:6F<769K71b<a><1<75f1dg94?=h:hn1<75rb5`2>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm4bc94?5=83:p(>:7:255?M50?2B88i5f7783>>o6ml0;66a=ae83>>{e<k91<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd3kk0;6>4?:1y'71>=;><0D>98;I17`>o0>3:17d?je;29?j4fl3:17pl;b483>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo:lc;297?6=8r.8854<779K721<@:>o7d99:188m4cb2900c?ok:188yg2e?3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f1ec29086=4?{%17<?50>2B8;:5G35f8m20=831b=hk50;9l6db=831vn9l6:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi8nk50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa0gd=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`7gc<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th?ni4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk>o<7>53;294~"4<108;;5G3658L62c3`==6=44i0gf>5<<g;ko6=44}c6b5?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb5a3>5<4290;w)=;8;142>N4?>1C?9j4i6494?=n9lo1<75`2`f94?=zj=k86=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e<j;1<7=50;2x 62?2:==7E=87:J00a=n??0;66g>ed83>>i5im0;66sm4`794?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl;c383>6<729q/?9653648L6103A9?h6g86;29?l7bm3:17b<nd;29?xd3i>0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg2d;3:1?7>50z&00=<4??1C?:94H26g?l112900e<kj:188k7gc2900qo:n9;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn9m;:180>5<7s-9?47=86:J032=O;=n0e:850;9j5`c=831d>lj50;9~f1ge290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa0f3=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi8n850;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa=`0=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`:a2<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c;f<?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb8g:>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm9dc94?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl6ec83>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo7jc;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn4kk:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi5hk50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3thj<44?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<ukk;m7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zjh:i6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{ei9i1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xdf8m0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188ygg7m3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~fd6a290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fae46=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`b54<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c;g`?6=<3:1<v*<4982<`=O;>=0D>:k;%3b2?e<a?l1<75f7b83>>o5j=0;66a<2283>>{ei:;1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xdf;;0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188ygg4;3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~fd53290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fae63=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`b73<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}cc03?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb`1;>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sma2;94?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pln5583>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qoo:5;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vnl;9:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wim8950;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3thj954?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<ukk>57>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zjh?j6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{ei<h1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xdf=j0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188ygg503:187>50z&00=<60l1C?:94H26g?!7f>3;0e;h50;9j3f<722c9n94?::m066<722wi59k50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th28k4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk3><7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj0?:6=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e1<81<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd>=:0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg?2<3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f<32290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa=00=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`:34<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c;46?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb850>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm96694?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl67483>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo786;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn498:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi5:650;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th2;44?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk3??7>55;294~"4<10:4k5G3658L62c3-;j:7m4i7d94?=n?=0;66g8c;29?l4e<3:17b==3;29?xd>1k0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg?>k3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f<?c290?6=4?{%17<?7aj2B8;:5G35f8m20=831bm84?::k005<722e9mi4?::\7fa=<c=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`:=c<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c;b4?6=<3:1<v*<4982bg=O;>=0D>:k;h55>5<<ah?1<75f35294?=h:hn1<75rb8c2>5<3290;w)=;8;3ef>N4?>1C?9j4i6494?=ni<0;66g<4183>>i5im0;66sm9`094?2=83:p(>:7:0da?M50?2B88i5f7783>>of=3:17d=;0;29?j4fl3:17pl6a283>1<729q/?9651g`8L6103A9?h6g86;29?lg22900e>:?:188k7gc2900qo7me;290?6=8r.8854>fc9K721<@:>o7d99:188md3=831b?9>50;9l6db=831vn4li:187>5<7s-9?47?ib:J032=O;=n0e:850;9je0<722c88=4?::m1ea<722wi5n>50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th2o<4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk3h>7>54;294~"4<10:jo5G3658L62c3`==6=44i`794?=n;=:1<75`2`f94?=zj0i86=4;:183\7f!5303;mn6F<769K71b<a><1<75fa483>>o4<90;66a=ae83>>{e1j>1<7:50;2x 62?28li7E=87:J00a=n??0;66gn5;29?l5383:17b<nd;29?xd>k<0;694?:1y'71>=9oh0D>98;I17`>o0>3:17do::188m6272900c?ok:188yg?d>3:187>50z&00=<6nk1C?:94H26g?l112900el;50;9j716=831d>lj50;9~f<?7290>6=4?{%17<?7?n2B8;:5G35f8 4g1281b:k4?::k40?6=3`=h6=44i3`7>5<<g:886=44}c5b=?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd0i10;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi;l950;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb6c5>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg1f=3:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th<m94?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm7`194?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f2d6290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk=i<7>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl8ag83>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa3dc=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj>ko6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo9nc;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`4eg<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e?hk1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn:o=:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c5b5?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd0no0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi;kk50;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb6dg>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg1ak3:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th<jo4?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm7gc94?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f2`>290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk2;;7>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl70783>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<53=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj1:?6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo6?3;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`;47<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e09;1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn5>?:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c5e<?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd0n>0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi4??50;694?6|,:>36<6m;I143>N4<m1C:l5+1`495>o1n3:17d96:188m2e=831d??=50;9~f=47290?6=4?{%17<?7?j2B8;:5G35f8L3g<,8k=6<5f6g83>>o013:17d9l:188k6442900qo6>f;290?6=8r.8854>8c9K721<@:>o7E8n;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd?9l0;694?:1y'71>=91h0D>98;I17`>N1i2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm80f94?2=83:p(>:7:0:a?M50?2B88i5G6`9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj1;h6=4;:183\7f!5303;3n6F<769K71b<@?k0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c:2f?6=<3:1<v*<4982<g=O;>=0D>:k;I4b?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th3>44?:583>5}#;=21=5l4H254?M53l2B=m6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<7>=83>1<7>t$26;>4>e3A9<;6F<4e9K2d=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn5<8:187>5<7s-9?47?7b:J032=O;=n0D;o4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg>5>3:187>50z&00=<60k1C?:94H26g?M0f3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl72483>1<729q/?96519`8L6103A9?h6F9a:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e0;>1<7:50;2x 62?282i7E=87:J00a=O>h1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb900>5<3290;w)=;8;3;f>N4?>1C?9j4H7c8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk29>7>54;294~"4<10:4o5G3658L62c3A<j7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`;5d<72=0;6=u+35:95=d<@:=<7E=;d:J5e>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi4<750;694?6|,:>36<6m;I143>N4<m1C:l5+1`495>o1n3:17d96:188m2e=831d??=50;9~f2bc290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk=oo7>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl8dc83>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa3ag=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj>n26=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo9k8;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`4`2<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e?l?1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn:k;:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c5f7?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd0m;0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi;h?50;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb6g3>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg1cn3:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th<hh4?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm7e494?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f2b2290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk2<m7>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl77883>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<2>=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj1=<6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo686;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`;30<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e0>>1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn56=:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c:;5?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd?090;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi4:h50;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb95f>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg>0l3:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th3;n4?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm86`94?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f=14290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk2<>7>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl7d183>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<f`=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj1in6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo6ld;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`;gf<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e0jh1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn5mn:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c:g<?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd?l>0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi4i850;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb9f6>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg>c<3:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th3h>4?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm8e094?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f=b6290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk2h57>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl7c983>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<c4=83>1<7>t$26;>4>e3A9<;6F<4e9K2d=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn5h>:187>5<7s-9?47?7b:J032=O;=n0D;o4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg>a83:187>50z&00=<60k1C?:94H26g?M0f3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl7eg83>1<729q/?96519`8L6103A9?h6F9a:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e0lo1<7:50;2x 62?282i7E=87:J00a=O>h1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb9gg>5<3290;w)=;8;3;f>N4?>1C?9j4H7c8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk2no7>54;294~"4<10:4o5G3658L62c3A<j7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`;bd<72=0;6=u+35:95=d<@:=<7E=;d:J5e>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi4k750;694?6|,:>36<6m;I143>N4<m1C:l5+1`495>o1n3:17d96:188m2e=831d??=50;9~f=`?290?6=4?{%17<?7?j2B8;:5G35f8L3g<,8k=6<5f6g83>>o013:17d9l:188k6442900qo6i7;290?6=8r.8854>8c9K721<@:>o7E8n;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd?n?0;694?:1y'71>=91h0D>98;I17`>N1i2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm8g794?2=83:p(>:7:0:a?M50?2B88i5G6`9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj1l?6=4;:183\7f!5303;3n6F<769K71b<@?k0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c:e7?6=<3:1<v*<4982<g=O;>=0D>:k;I4b?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th3io4?:583>5}#;=21=5l4H254?M53l2B=m6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<`g=83>1<7>t$26;>4>e3A9<;6F<4e9K2d=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn5oj:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c:b`?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd?ij0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi4ll50;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb9cb>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg>f13:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th3m54?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm8c494?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f=d2290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk2i87>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl7b283>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa<g4=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj1h:6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo6m0;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`;ec<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e0h=1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn5o9:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c51b?6=;3:1<v*<498033=O;>=0D>:k;h55>5<<a8on6=44o3cg>5<<uk=:i7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<>h4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`44a<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c52`?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`46a<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<<n4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=:o7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<>n4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`44g<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c52f?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`46g<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<<l4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=:m7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<>l4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`44<<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c52=?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`46<<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<<54?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=:47>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<?54?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`453<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c513?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`472<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<=84?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=9:7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<?;4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`451<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c511?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`470<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<=>4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=987>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<?94?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`457<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c517?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`476<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<=<4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=9>7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<??4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`455<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c515?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`474<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<<k4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=9<7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<?=4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`44`<72=0;6=u+35:95cd<@:=<7E=;d:k42?6=3`k>6=44i263>5<<g;ko6=44}c52b?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`46=<72:0;6=u+35:9720<@:=<7E=;d:k42?6=3`;ni7>5;n0b`?6=3th<<:4?:583>5}#;=21=kl4H254?M53l2c<:7>5;hc6>5<<a:>;6=44o3cg>5<<uk=:;7>53;294~"4<10:j95G3658L62c3-;j:7:;;h3:e?6=3`;2n7>5;n0b`?6=3th<:<4?:283>5}#;=21?:84H254?M53l2c<:7>5;h3fa?6=3f8jh7>5;|`415<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa336=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;>h50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<8k4?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;8h50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa36c=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`40`<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa30c=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;>j50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<8i4?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;8j50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa36e=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`40f<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa30e=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;>l50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<8o4?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;8l50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa36g=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`40d<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa33g=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;9650;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<944?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;;750;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa311=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`41=<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa33>=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;9850;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<9:4?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;;950;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa313=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`413<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa330=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;9:50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<984?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;;;50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa315=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`411<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa332=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;9<50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<9>4?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;;=50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa317=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`417<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa334=8391<7>t$26;>6113A9<;6F<4e9j33<722c:ih4?::m1ea<722wi;9>50;694?6|,:>36<hm;I143>N4<m1b;;4?::kb1?6=3`9?<7>5;n0b`?6=3th<9<4?:283>5}#;=21=k:4H254?M53l2.:m;4;4:k2=d<722c:5o4?::m1ea<722wi;8o50;194?6|,:>36>99;I143>N4<m1b;;4?::k2a`<722e9mi4?::\7fa36?=83>1<7>t$26;>4`e3A9<;6F<4e9j33<722cj97>5;h174?6=3f8jh7>5;|`40<<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fa=61=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zj09=6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo7<5;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`:71<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{e1:91<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vn4==:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}c;05?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xd>;o0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wi5>k50;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb81g>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188yg?4k3:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3th2?o4?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66sm92c94?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~f<5>290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<uk3847>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17pl63183>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7fa=7`=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zjhh96=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qoom1;290?6=8r.8854>8c9K721<@:>o7)?n6;38m3`=831b;44?::k4g?6=3f99?7>5;|`bf5<72=0;6=u+35:95=d<@:=<7E=;d:&2e3<63`<m6=44i6;94?=n?j0;66a<2283>>{eihl1<7:50;2x 62?282i7E=87:J00a=#9h<1=6g9f;29?l1>2900e:m50;9l775=831vnloj:187>5<7s-9?47?7b:J032=O;=n0(<o9:09j2c<722c<57>5;h5`>5<<g:886=44}ccb`?6=<3:1<v*<4982<g=O;>=0D>:k;%3b2?7<a?l1<75f7883>>o0k3:17b==3;29?xdfij0;694?:1y'71>=91h0D>98;I17`>"6i?0:7d8i:188m2?=831b;n4?::m066<722wimoo50;694?6|,:>36<6m;I143>N4<m1/=l851:k5b?6=3`=26=44i6a94?=h;;91<75rb``:>5<3290;w)=;8;3;f>N4?>1C?9j4$0c5>4=n>o0;66g89;29?l1d2900c><<:188ygge03:187>50z&00=<60k1C?:94H26g?!7f>3;0e;h50;9j3<<722c<o7>5;n117?6=3thjn:4?:583>5}#;=21=5l4H254?M53l2.:m;4>;h4e>5<<a>31<75f7b83>>i4::0;66smac494?2=83:p(>:7:0:a?M50?2B88i5+1`495>o1n3:17d96:188m2e=831d??=50;9~fdd2290?6=4?{%17<?7?j2B8;:5G35f8 4g1281b:k4?::k4=?6=3`=h6=44o200>5<<ukki87>54;294~"4<10:4o5G3658L62c3-;j:7?4i7d94?=n?00;66g8c;29?j55;3:17plnb283>1<729q/?96519`8L6103A9?h6*>a782?l0a2900e:750;9j3f<722e8>>4?::\7faedd=83>1<7>t$26;>4>e3A9<;6F<4e9'5d0=92c=j7>5;h5:>5<<a>i1<75`33194?=zjhkj6=4;:183\7f!5303;3n6F<769K71b<,8k=6<5f6g83>>o013:17d9l:188k6442900qo?=6483>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1201>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c3163<72=0;6=u+35:95c`<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5605290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg731o0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9;k?6=4;:183\7f!5303;mj6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;9484?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>8<:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=4783>1<729q/?9651gd8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm124f>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37fc<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa57e3290?6=4?{%17<?7an2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg750?0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:=26=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;98:4?:583>5}#;=21=kh4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>6;:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;c183>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13a6>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c31=4<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa56>a290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg75=;0;694?:1y'71>=9ol0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:3j6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?oo4?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=?j?:187>5<7s-9?47?if:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=9b83>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm12;f>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c311a<72=0;6=u+35:95c`<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa56?a290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73l?0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9;ni6=4;:183\7f!5303;mj6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;95h4?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>o?:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=5g83>1<729q/?9651gd8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1247>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37`=<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa57bc290?6=4?{%17<?7an2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg751o0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:<>6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;9:=4?:583>5}#;=21=kh4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>89:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;d883>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13ff>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c31e5<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5600290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg75>80;694?:1y'71>=9ol0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:<36=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?hl4?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=?ji:187>5<7s-9?47?if:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=a083>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm124:>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c3127<72=0;6=u+35:95c`<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa560f290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73lk0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9;o;6=4;:183\7f!5303;mj6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;9m?4?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>8m:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=6283>1<729q/?9651gd8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm124`>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37`f<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa57c6290?6=4?{%17<?7an2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg75i:0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:<o6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;9:94?:583>5}#;=21=kh4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>8i:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;de83>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13g1>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c31<2<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5617290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg75<10;694?:1y'71>=9ol0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:=:6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?o<4?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=?m9:187>5<7s-9?47?if:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=8983>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1251>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c310<<72=0;6=u+35:95c`<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5614290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73k;0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9;i<6=4;:183\7f!5303;mj6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;9444?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>9;:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=4`83>1<729q/?9651gd8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1256>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37g6<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa57e?290?6=4?{%17<?7an2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg750h0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:==6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;98o4?:583>5}#;=21=kh4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>98:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;c583>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13a:>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c31<g<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa561?290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg75<j0;694?:1y'71>=9ol0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:=j6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?o84?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=?mn:187>5<7s-9?47?if:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=8b83>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm125a>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c310a<72=0;6=u+35:95c`<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa561d290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73k?0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9;ii6=4;:183\7f!5303;mj6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;94i4?:583>5}#;=21=kk4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>9k:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?=4d83>1<729q/?9651gd8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm125f>5<3290;w)=;8;3ea>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37g2<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa57ed290?6=4?{%17<?7an2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg750l0;694?:1y'71>=9oo0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:=m6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;98k4?:583>5}#;=21=kh4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>6?:187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;c983>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13ag>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c30gg<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa50bc290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg748m0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<nn6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn8h7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:8::50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<<7f;290?6=8r.8854>fd9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>5ed94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbe1f>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c3730<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`6`=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f466k3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>1i1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj893=7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:>8>50;694?6|,:>36<hi;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;j0;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk4183>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1555>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf75?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`244b=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f40?l3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;181<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm>96=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;;=h4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;6j:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo??1g83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm104e>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cg21?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`20f?=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f44dm3:187>50z&00=<6no1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;ji1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?n=7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:?=k50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;j3;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk4283>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1554>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c31=5<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa50c3290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb3=3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<>31<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm>=6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;;>=4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;6i:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?<8283>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1372>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36a0<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`11=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f420i3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdc<10;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e99896=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;=5<4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>6::187>5<7s-9?47?ie:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qoj;9;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>03194?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb04:6?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2472=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f47083:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdb9?0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9=ij6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;9ok4?:583>5}#;=21=kh4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>mj:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:e783>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1233>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36a2<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`1g=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f420j3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6:081<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?n47>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3tho8o4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=99l:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qoj;c;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>03794?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb04:7?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`27=0=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f442;3:187>50z&00=<6no1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6=l31<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm>o6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?;i4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wih9k50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<>=6;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>68694?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb01;3?6=<3:1<v*<4982b`=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g15<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5540290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg711<0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e99836=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;:;?4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wii<650;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:lc;290?6=8r.8854>fd9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>2e394?2=83:p(>:7:0de?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb00:7?6=<3:1<v*<4982b`=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`27=>=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f442<3:187>50z&00=<6no1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;131<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8>hh7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:>i<50;694?6|,:>36<hi;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=k0;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>5dd94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb0126?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`21c6=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fa30290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73080;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9;3?6=4;:183\7f!5303;mi6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>j<4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wih8650;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:72;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk5883>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm110f>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c35=<<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa56>f290?6=4?{%17<?7am2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg75=<0;694?:1y'71>=9ol0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<l96=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn>n7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:85:50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni;l:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo??2g83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm17;b>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c30<g<72=0;6=u+35:95cc<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`0b=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f46483:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>0i1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8:8=7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:=::50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnh?n:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;cd83>1<729q/?9651gg8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13f0>5<3290;w)=;8;3eb>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c30`4<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa50`4290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg749:0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<l?6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn>i7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:85;50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<<65;290?6=8r.8854>fd9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>5g794?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbe7e>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37<3<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`36=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f464:3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>0n1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj893o7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:>8850;694?6|,:>36<hi;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;i6;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk6083>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm15:4>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf56?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2465=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f40>m3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;1n1<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm<86=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;;?94?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;7i:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo??3483>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1056>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cg2f?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`20f`=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f44c<3:187>50z&00=<6no1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6:0<1<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj893i7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:>8950;694?6|,:>36<hi;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=60;290?6=8r.8854>fd9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>4e294?2=83:p(>:7:0df?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb00g1?6=<3:1<v*<4982bc=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`26<1=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f45>93:187>50z&00=<6nl1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6:<21<7:50;2x 62?28lm7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj892>7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:8i?50;694?6|,:>36<hj;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<<k6;290?6=8r.8854>fg9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>28:94?2=83:p(>:7:0df?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb01:7?6=<3:1<v*<4982b`=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`260?=83>1<7>t$26;>4`a3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f45><3:187>50z&00=<6nl1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<m81<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj88o;7>54;294~"4<10:jk5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:>4750;694?6|,:>36<hj;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=65;290?6=8r.8854>fd9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>24c94?2=83:p(>:7:0de?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb01:2?6=<3:1<v*<4982b`=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`20a5=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f44c03:187>50z&00=<6no1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6:0k1<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj892;7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:>8l50;694?6|,:>36<hi;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=68;290?6=8r.8854>fd9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>4e694?2=83:p(>:7:0df?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb00g=?6=<3:1<v*<4982bc=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`26<d=83>1<7>t$26;>4`b3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f45>13:187>50z&00=<6nl1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6:<i1<7:50;2x 62?28lm7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj892n7>54;294~"4<10:jh5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:8i;50;694?6|,:>36<hj;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<<ka;290?6=8r.8854>fg9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>28f94?2=83:p(>:7:0df?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb01:g?6=<3:1<v*<4982b`=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`260c=83>1<7>t$26;>4`a3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f45>l3:187>50z&00=<6nl1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<m=1<7:50;2x 62?28ln7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj88oo7>54;294~"4<10:jk5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:m8650;694?6|,:>36<6k;I143>N4<m1/=l851:k5b?6=3`=?6=44i6a94?=h;;91<75rb0c63?6=<3:1<v*<4982<a=O;>=0D>:k;%3b2?7<a?l1<75f7583>>o0k3:17b==3;29?xd6i<<1<7:50;2x 62?282o7E=87:J00a=#9h<1=6g9f;29?l132900e:m50;9l775=831vn<o:5;290?6=8r.8854>8e9K721<@:>o7)?n6;38m3`=831b;94?::k4g?6=3f99?7>5;|`2e05=83>1<7>t$26;>4>c3A9<;6F<4e9'5d0=92c=j7>5;h57>5<<a>i1<75`33194?=zj8k>=7>54;294~"4<10:4i5G3658L62c3-;j:7?4i7d94?=n?=0;66g8c;29?j55;3:17pl>a4294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;j8k4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f4g3m3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm1`6g>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:m9m50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg7f<k0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0c7e?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=l:7:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6i=31<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3b02<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<o;6;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e9h>>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2e12=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?n4283>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8k?>7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5d26290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>a5294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;j?k4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f4g4l3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm1`1f>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:m>m50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg7f;k0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0c0e?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=l=6:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6i:21<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3b72<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<o<6;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e9h9>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2e62=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?n3383>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8k8?7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5d56290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl78583>6<729q/?9651g68L6103A9?h6*>a780f>o61h0;66g>9c83>>i5im0;66sm7c194?5=83:p(>:7:0d7?M50?2B88i5+1`497g=n90k1<75f18`94?=h:hn1<75rb94g>5<4290;w)=;8;3e0>N4?>1C?9j4$0c5>6d<a83j6=44i0;a>5<<g;ko6=44}c:5g?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?5e3`;2m7>5;h3:f?6=3f8jh7>5;|`;2g<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<4j2c:5l4?::k2=g<722e9mi4?::\7fa<3g=8391<7>t$26;>4`33A9<;6F<4e9'5d0=;k1b=4o50;9j5<d=831d>lj50;9~f=0>29086=4?{%17<?7a<2B8;:5G35f8 4g12:h0e<7n:188m4?e2900c?ok:188yg>103:1?7>50z&00=<6n=1C?:94H26g?!7f>39i7d?6a;29?l7>j3:17b<nd;29?xd?>>0;6>4?:1y'71>=9o>0D>98;I17`>"6i?08n6g>9`83>>o61k0;66a=ae83>>{e0?<1<7=50;2x 62?28l?7E=87:J00a=#9h<1?o5f18c94?=n90h1<75`2`f94?=zj1<>6=4<:183\7f!5303;m86F<769K71b<,8k=6>l4i0;b>5<<a83i6=44o3cg>5<<uk2=87>53;294~"4<10:j95G3658L62c3-;j:7=m;h3:e?6=3`;2n7>5;n0b`?6=3th3:>4?:283>5}#;=21=k:4H254?M53l2.:m;4<b:k2=d<722c:5o4?::m1ea<722wi4;<50;194?6|,:>36<h;;I143>N4<m1/=l853c9j5<g=831b=4l50;9l6db=831vn58>:180>5<7s-9?47?i4:J032=O;=n0(<o9:2`8m4?f2900e<7m:188k7gc2900qo690;297?6=8r.8854>f59K721<@:>o7)?n6;1a?l7>i3:17d?6b;29?j4fl3:17pl75g83>6<729q/?9651g68L6103A9?h6*>a780f>o61h0;66g>9c83>>i5im0;66sm84g94?5=83:p(>:7:0d7?M50?2B88i5+1`497g=n90k1<75f18`94?=h:hn1<75rb6;`>5<4290;w)=;8;3e0>N4?>1C?9j4$0c5>6d<a83j6=44i0;a>5<<g;ko6=44}c5:f?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?5e3`;2m7>5;h3:f?6=3f8jh7>5;|`4=d<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<4j2c:5l4?::k2=g<722e9mi4?::\7fa3<?=8391<7>t$26;>4`33A9<;6F<4e9'5d0=;k1b=4o50;9j5<d=831d>lj50;9~f2??29086=4?{%17<?7a<2B8;:5G35f8 4g12:h0e<7n:188m4?e2900c?ok:188yg1>?3:1?7>50z&00=<6n=1C?:94H26g?!7f>39i7d?6a;29?l7>j3:17b<nd;29?xd01?0;6>4?:1y'71>=9o>0D>98;I17`>"6i?08n6g>9`83>>o61k0;66a=ae83>>{e?0?1<7=50;2x 62?28l?7E=87:J00a=#9h<1?o5f18c94?=n90h1<75`2`f94?=zj>3?6=4<:183\7f!5303;m86F<769K71b<,8k=6>l4i0;b>5<<a83i6=44o3cg>5<<uk=2?7>53;294~"4<10:j95G3658L62c3-;j:7=m;h3:e?6=3`;2n7>5;n0b`?6=3th<5?4?:283>5}#;=21=k:4H254?M53l2.:m;4<b:k2=d<722c:5o4?::m1ea<722wi;4?50;194?6|,:>36<h;;I143>N4<m1/=l853c9j5<g=831b=4l50;9l6db=831vn:7?:180>5<7s-9?47?i4:J032=O;=n0(<o9:2`8m4?f2900e<7m:188k7gc2900qo97f;297?6=8r.8854>f59K721<@:>o7)?n6;1a?l7>i3:17d?6b;29?j4fl3:17pl88d83>6<729q/?9651g68L6103A9?h6*>a780f>o61h0;66g>9c83>>i5im0;66sm79f94?5=83:p(>:7:0d7?M50?2B88i5+1`497g=n90k1<75f18`94?=h:hn1<75rb9:5>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>10<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk=i97>54;294~"4<10:j;5G3658L62c3-;j:7:9;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`;<f<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<4j2c:5l4?::k2=g<722e9mi4?::\7fa<=d=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<>1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f2de29086=4?{%17<?7a<2B8;:5G35f8 4g12:h0e<7n:188m4?e2900c?ok:188yg1ei3:197>50z&00=<6n11C?:94H26g?!7f>3><7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd?010;694?:1y'71>=9o<0D>98;I17`>"6i?08o6g>9`83>>o61k0;66g>9b83>>i5im0;66sm7c594?2=83:p(>:7:0d5?M50?2B88i5+1`497f=n90k1<75f18`94?=n90i1<75`2`f94?=zj12j6=4::183\7f!5303;m46F<769K71b<,8k=6994i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<uk=i57>55;294~"4<10:j55G3658L62c3-;j:7:8;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3th2>h4?:483>5}#;=21=k64H254?M53l2.:m;4;7:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi48j50;794?6|,:>36<h7;I143>N4<m1/=l85469j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn4<k:187>5<7s-9?47?i6:J032=O;=n0(<o9:2a8m4?f2900e<7m:188m4?d2900c?ok:188yg?5k3:197>50z&00=<6n11C?:94H26g?!7f>39o7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd?=j0;694?:1y'71>=9o<0D>98;I17`>"6i?08o6g>9`83>>o61k0;66g>9b83>>i5im0;66sm84`94?3=83:p(>:7:0d;?M50?2B88i5+1`497a=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb80a>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>6b<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}c;1e?6==3:1<v*<4982b==O;>=0D>:k;%3b2?203`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`;1d<72<0;6=u+35:95c><@:=<7E=;d:&2e3<4l2c:5l4?::k2=g<722c:5n4?::k2=a<722e9mi4?::\7fa<0?=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<>1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<43290>6=4?{%17<?7a02B8;:5G35f8 4g12:n0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg?5=3:187>50z&00=<6n?1C?:94H26g?!7f>39h7d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl62683>1<729q/?9651g48L6103A9?h6*>a7872>o61h0;66g>9c83>>o61j0;66a=ae83>>{e0<91<7;50;2x 62?28l37E=87:J00a=#9h<1?i5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zj1??6=4;:183\7f!5303;m:6F<769K71b<,8k=6>m4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c:62?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?213`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th2=h4?:583>5}#;=21=k84H254?M53l2.:m;4;6:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa=4e=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=;m1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<7c290>6=4?{%17<?7a02B8;:5G35f8 4g12:n0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg?6n3:197>50z&00=<6n11C?:94H26g?!7f>39o7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd?<m0;694?:1y'71>=9o<0D>98;I17`>"6i?0?:6g>9`83>>o61k0;66g>9b83>>i5im0;66sm85`94?3=83:p(>:7:0d;?M50?2B88i5+1`497a=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb96`>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>6b<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}c:7a?6==3:1<v*<4982b==O;>=0D>:k;%3b2?5c3`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`:63<72<0;6=u+35:95c><@:=<7E=;d:&2e3<3?2c:5l4?::k2=g<722c:5n4?::k2=a<722e9mi4?::\7fa<03=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<>1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f=?3290>6=4?{%17<?7a02B8;:5G35f8 4g12:n0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg>>;3:197>50z&00=<6n11C?:94H26g?!7f>39o7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd0k:0;684?:1y'71>=9o20D>98;I17`>"6i?08h6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{e?j81<7;50;2x 62?28l37E=87:J00a=#9h<1?i5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zj0:h6=4::183\7f!5303;m46F<769K71b<,8k=6994i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<uk3;h7>55;294~"4<10:j55G3658L62c3-;j:7=k;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3th3?o4?:483>5}#;=21=k64H254?M53l2.:m;4;7:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi4>m50;794?6|,:>36<h7;I143>N4<m1/=l853e9j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn4>j:180>5<7s-9?47?i4:J032=O;=n0(<o9:2`8m4?f2900e<7m:188k7gc2900qo7>0;291?6=8r.8854>f99K721<@:>o7)?n6;1g?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl73e83>6<729q/?9651g68L6103A9?h6*>a780f>o61h0;66g>9c83>>i5im0;66sm82d94?3=83:p(>:7:0d;?M50?2B88i5+1`497a=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb`4f>5<4290;w)=;8;3e0>N4?>1C?9j4$0c5>12<a83j6=44i0;a>5<<g;ko6=44}cc7f?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?233`;2m7>5;h3:f?6=3f8jh7>5;|`b66<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<3<2c:5l4?::k2=g<722e9mi4?::\7fae56=8391<7>t$26;>4`33A9<;6F<4e9'5d0=<=1b=4o50;9j5<d=831d>lj50;9~f<b?29086=4?{%17<?7a<2B8;:5G35f8 4g12=>0e<7n:188m4?e2900c?ok:188yg?e=3:1?7>50z&00=<6n=1C?:94H26g?!7f>3>?7d?6a;29?l7>j3:17b<nd;29?xd>0k0;6>4?:1y'71>=9o>0D>98;I17`>"6i?0?86g>9`83>>o61k0;66a=ae83>>{e1?21<7=50;2x 62?28l?7E=87:J00a=#9h<1895f18c94?=n90h1<75`2`f94?=zjh<o6=4::183\7f!5303;m46F<769K71b<,8k=69;4i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<ukk?m7>55;294~"4<10:j55G3658L62c3-;j:7::;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thj>?4?:483>5}#;=21=k64H254?M53l2.:m;4;5:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi5kh50;794?6|,:>36<h7;I143>N4<m1/=l85449j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn4j8:186>5<7s-9?47?i8:J032=O;=n0(<o9:578m4?f2900e<7m:188m4?d2900e<7k:188k7gc2900qo7m4;291?6=8r.8854>f99K721<@:>o7)?n6;66?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl68`83>0<729q/?9651g:8L6103A9?h6*>a7871>o61h0;66g>9c83>>o61j0;66g>9e83>>i5im0;66sm97594?3=83:p(>:7:0d;?M50?2B88i5+1`4900=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb`4`>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>13<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}cc7=?6==3:1<v*<4982b==O;>=0D>:k;%3b2?223`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`b64<72<0;6=u+35:95c><@:=<7E=;d:&2e3<3=2c:5l4?::k2=g<722c:5n4?::k2=a<722e9mi4?::\7fa=cc=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<<1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<b1290>6=4?{%17<?7a02B8;:5G35f8 4g12=?0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg?e;3:197>50z&00=<6n11C?:94H26g?!7f>3>>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd>000;684?:1y'71>=9o20D>98;I17`>"6i?0?96g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{e1?<1<7;50;2x 62?28l37E=87:J00a=#9h<1885f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjh<i6=4::183\7f!5303;m46F<769K71b<,8k=69;4i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<ukk?47>55;294~"4<10:j55G3658L62c3-;j:7::;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thj>=4?:483>5}#;=21=k64H254?M53l2.:m;4;5:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi5kj50;794?6|,:>36<h7;I143>N4<m1/=l85429j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn4j::186>5<7s-9?47?i8:J032=O;=n0(<o9:578m4?f2900e<7m:188m4?d2900e<7k:188k7gc2900qo7m2;291?6=8r.8854>f99K721<@:>o7)?n6;66?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl68983>0<729q/?9651g:8L6103A9?h6*>a7871>o61h0;66g>9c83>>o61j0;66g>9e83>>i5im0;66sm97794?3=83:p(>:7:0d;?M50?2B88i5+1`4900=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb`4b>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>13<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}cc73?6==3:1<v*<4982b==O;>=0D>:k;%3b2?223`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`b5c<72<0;6=u+35:95c><@:=<7E=;d:&2e3<3=2c:5l4?::k2=g<722c:5n4?::k2=a<722e9mi4?::\7fa=ce=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<:1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<b3290>6=4?{%17<?7a02B8;:5G35f8 4g12=?0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg?e93:197>50z&00=<6n11C?:94H26g?!7f>3>>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd>0>0;684?:1y'71>=9o20D>98;I17`>"6i?0?96g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{e1?>1<7;50;2x 62?28l37E=87:J00a=#9h<1885f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjh<26=4::183\7f!5303;m46F<769K71b<,8k=69;4i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<ukk?:7>55;294~"4<10:j55G3658L62c3-;j:7::;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thj=h4?:483>5}#;=21=k64H254?M53l2.:m;4;5:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi5kl50;794?6|,:>36<h7;I143>N4<m1/=l85429j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn4j<:186>5<7s-9?47?i8:J032=O;=n0(<o9:578m4?f2900e<7m:188m4?d2900e<7k:188k7gc2900qo7m0;291?6=8r.8854>f99K721<@:>o7)?n6;66?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl68783>0<729q/?9651g:8L6103A9?h6*>a7871>o61h0;66g>9c83>>o61j0;66g>9e83>>i5im0;66sm97194?3=83:p(>:7:0d;?M50?2B88i5+1`4900=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb`4;>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>13<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}cc71?6==3:1<v*<4982b==O;>=0D>:k;%3b2?223`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`b5a<72<0;6=u+35:95c><@:=<7E=;d:&2e3<3=2c:5l4?::k2=g<722c:5n4?::k2=a<722e9mi4?::\7fa=cg=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<:1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<b5290>6=4?{%17<?7a02B8;:5G35f8 4g12=?0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg?fn3:197>50z&00=<6n11C?:94H26g?!7f>3>>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd>0<0;684?:1y'71>=9o20D>98;I17`>"6i?0?96g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{e1?81<7;50;2x 62?28l37E=87:J00a=#9h<1885f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjh<<6=4::183\7f!5303;m46F<769K71b<,8k=69;4i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<ukk?87>55;294~"4<10:j55G3658L62c3-;j:7::;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thj=n4?:483>5}#;=21=k64H254?M53l2.:m;4;5:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi5k750;794?6|,:>36<h7;I143>N4<m1/=l85429j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn4j>:186>5<7s-9?47?i8:J032=O;=n0(<o9:578m4?f2900e<7m:188m4?d2900e<7k:188k7gc2900qo7ne;291?6=8r.8854>f99K721<@:>o7)?n6;66?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl68583>0<729q/?9651g:8L6103A9?h6*>a7871>o61h0;66g>9c83>>o61j0;66g>9e83>>i5im0;66sm97394?3=83:p(>:7:0d;?M50?2B88i5+1`4900=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb`45>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>13<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}cc77?6==3:1<v*<4982b==O;>=0D>:k;%3b2?223`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`b5g<72<0;6=u+35:95c><@:=<7E=;d:&2e3<3=2c:5l4?::k2=g<722c:5n4?::k2=a<722e9mi4?::\7fa=c>=83?1<7>t$26;>4`?3A9<;6F<4e9'5d0=<;1b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<b7290>6=4?{%17<?7a02B8;:5G35f8 4g12=?0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg?fl3:197>50z&00=<6n11C?:94H26g?!7f>3>>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd>0:0;684?:1y'71>=9o20D>98;I17`>"6i?0?96g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{e1?:1<7;50;2x 62?28l37E=87:J00a=#9h<1885f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zj1=;6=4;:183\7f!5303;m:6F<769K71b<,8k=6>m4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c5:b?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?5d3`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thi=>4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi=?4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi=<4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi==4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<k4?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<h4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<i4?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<n4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<o4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<l4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<44?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<54?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<:4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<;4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<84?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<94?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<>4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thi<?4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjjk4?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjjh4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjji4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjjl4?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj44?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj54?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj:4?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj;4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj84?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj94?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj>4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj?4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj<4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjj=4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjik4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjih4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjii4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjin4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji44?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji54?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji84?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji94?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji>4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji?4?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji<4?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thji=4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjhk4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjhh4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjhi4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjhn4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjho4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjhl4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjh44?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjh54?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjh:4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjh;4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjh>4?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjh?4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjok4?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjoh4?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjoi4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjon4?:483>5}#;=21=k64H254?M53l2.:m;48;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjoo4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjol4?:483>5}#;=21=k64H254?M53l2.:m;49;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjo:4?:483>5}#;=21=k64H254?M53l2.:m;4:;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3thjo94?:483>5}#;=21=k64H254?M53l2.:m;4;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3th28=4?:283>5}#;=21=k:4H254?M53l2.:m;4=a:k2=d<722c:5o4?::m1ea<722wi:o?50;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f3d429096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo8m5;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd1j>0;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm6c;94?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj?hi6=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c4a`?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th=nk4?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa2f7=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn;o::181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg0f?3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl9a883>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e>hh1<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb7cg>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk<jj7>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`51`<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi:;>50;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f30529096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo894;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd1>?0;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm67:94?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj?<j6=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c45g?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th=:h4?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa204=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn;;;:181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg02>3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl95983>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e><k1<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb77`>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk?>87>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`613<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi98650;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f03f29096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo;:c;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd2=l0;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm57294?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj<<96=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c750?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th>854?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa11g=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn8:l:181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg33m3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl:5183>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e=<81<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb72a>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk<;h7>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`54c<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi:<?50;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f37429096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo8>5;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd19>0;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm60;94?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj?;i6=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c7eb?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th=<<4?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa255=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn;>::181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg07?3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl90883>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e=9;1<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb420>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk?;97>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`642<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi9=750;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f06e29096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo;?d;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd28o0;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm50394?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj=l>6=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c6e3?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th?j44?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa0cd=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn9hk:181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg2an3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl:b983>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e=kk1<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb4``>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk?ii7>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`6g5<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi9n<50;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f0e329096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo;l6;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd2k10;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm5`a94?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj<kn6=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c7a4?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th>n?4?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa1g2=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn8l9:181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg2fm3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl;b183>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e<k81<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb5`7>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk>i:7>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`7f=<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi8oo50;094?6|,:>36<h<;I143>N4<m1/=l852`9j5<g=831d>lj50;9~f1dd29096=4?{%17<?7a;2B8;:5G35f8 4g12;k0e<7n:188k7gc2900qo:me;296?6=8r.8854>f29K721<@:>o7)?n6;0b?l7>i3:17b<nd;29?xd3i;0;6?4?:1y'71>=9o90D>98;I17`>"6i?09m6g>9`83>>i5im0;66sm4`694?4=83:p(>:7:0d0?M50?2B88i5+1`496d=n90k1<75`2`f94?=zj=k=6=4=:183\7f!5303;m?6F<769K71b<,8k=6?o4i0;b>5<<g;ko6=44}c6b<?6=:3:1<v*<4982b6=O;>=0D>:k;%3b2?4f3`;2m7>5;n0b`?6=3th?ml4?:383>5}#;=21=k=4H254?M53l2.:m;4=a:k2=d<722e9mi4?::\7fa0de=8381<7>t$26;>4`43A9<;6F<4e9'5d0=:h1b=4o50;9l6db=831vn;mn:181>5<7s-9?47?i3:J032=O;=n0(<o9:3c8m4?f2900c?ok:188yg00?3:1>7>50z&00=<6n:1C?:94H26g?!7f>38j7d?6a;29?j4fl3:17pl:6e83>7<729q/?9651g18L6103A9?h6*>a781e>o61h0;66a=ae83>>{e>;>1<7<50;2x 62?28l87E=87:J00a=#9h<1>l5f18c94?=h:hn1<75rb43b>5<5290;w)=;8;3e7>N4?>1C?9j4$0c5>7g<a83j6=44o3cg>5<<uk?o=7>52;294~"4<10:j>5G3658L62c3-;j:7<n;h3:e?6=3f8jh7>5;|`7g2<72;0;6=u+35:95c5<@:=<7E=;d:&2e3<5i2c:5l4?::m1ea<722wi>?=j:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:4?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xde>=0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde>:0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde=j0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde=80;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;90;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:10;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:>0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde9=0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde>;0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde>80;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde<?0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;k0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:h0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:00;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:?0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde9m0;684?:1y'71>=9o20D>98;I17`>"6i?027d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;h0;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;00;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;?0;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;<0;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;;0;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;80;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:j0;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde:k0;684?:1y'71>=9o20D>98;I17`>"6i?0j7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xde;m0;684?:1y'71>=9o20D>98;I17`>"6i?08?6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:i1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:21<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:=1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:>1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:91<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej;l1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej;n1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=i1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=h1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=21<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej==1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=91<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=81<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:l1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej:o1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=o1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=n1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=k1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=31<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=?1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=>1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=;1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=:1<7;50;2x 62?28l37E=87:J00a=#9h<1m6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<o1<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<n1<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<31<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<21<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<?1<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<>1<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej<:1<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej=l1<7;50;2x 62?28l37E=87:J00a=#9h<1n6g>9`83>>o61k0;66g>9b83>>o61m0;66a=ae83>>{ej?:1<7;50;2x 62?28l37E=87:J00a=#9h<18<5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?m6=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?i6=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?j6=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?<6=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?=6=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?86=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zjk?96=4::183\7f!5303;m46F<769K71b<,8k=6o5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zj0;36=4::183\7f!5303;m46F<769K71b<,8k=6>j4i0;b>5<<a83i6=44i0;`>5<<a83o6=44o3cg>5<<uk2?;7>55;294~"4<10:j55G3658L62c3-;j:7=k;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3th2=<4?:483>5}#;=21=k64H254?M53l2.:m;4<d:k2=d<722c:5o4?::k2=f<722c:5i4?::m1ea<722wi49>50;794?6|,:>36<h7;I143>N4<m1/=l853e9j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn56i:186>5<7s-9?47?i8:J032=O;=n0(<o9:2f8m4?f2900e<7m:188m4?d2900e<7k:188k7gc2900qo9me;291?6=8r.8854>f99K721<@:>o7)?n6;1g?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl8be83>0<729q/?9651g:8L6103A9?h6*>a780`>o61h0;66g>9c83>>o61j0;66g>9e83>>i5im0;66sm7b394?3=83:p(>:7:0d;?M50?2B88i5+1`4902=n90k1<75f18`94?=n90i1<75f18f94?=h:hn1<75rb9:f>5<2290;w)=;8;3e<>N4?>1C?9j4$0c5>6b<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g;ko6=44}c::6?6==3:1<v*<4982b==O;>=0D>:k;%3b2?203`;2m7>5;h3:f?6=3`;2o7>5;h3:`?6=3f8jh7>5;|`b62<72<0;6=u+35:95c><@:=<7E=;d:&2e3<6181b=4o50;9j5<d=831b=4m50;9j5<b=831d>lj50;9~f<bd290>6=4?{%17<?7a02B8;:5G35f8 4g1283:7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xd>1;0;684?:1y'71>=9o20D>98;I17`>"6i?0:5<5f18c94?=n90h1<75f18a94?=n90n1<75`2`f94?=zj0>>6=4::183\7f!5303;m46F<769K71b<,8k=6<7>;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n0b`?6=3th9=?l50;794?6|,:>36<h7;I143>N4<m1/=l85749j5<g=831b=4l50;9j5<e=831b=4j50;9l6db=831vn??=8;291?6=8r.8854>f99K721<@:>o7)?n6;:8m4?f2900e<7m:188m4?d2900e<7k:188k7gc2900qo<>2483>0<729q/?9651g:8L6103A9?h6*>a78;?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j4fl3:17pl=13094?3=83:p(>:7:0d;?M50?2B88i5+1`49<>o61h0;66g>9c83>>o61j0;66g>9e83>>i5im0;66sm15ff>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thii;4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`4f290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plme483>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl3;6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f6g<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn?6:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68:21<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3372<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn<;:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdbl>0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbdd5>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<h:50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg771m0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbg22>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thh>k4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47?<3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf0594?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:=44?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~fc6d290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>11194?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukl9>7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa546b290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pli2e83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;397>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5443290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>1bg94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:>k4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47e03:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm132a>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=>o50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76k:0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb06gb?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii?m50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc4?3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smc2c94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uki?97>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag06=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qok;2;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99>?6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`246?=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom:b;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em=n1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg6<?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==;n:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68=l1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg57?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio;850;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76l00;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd5:>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<;;50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc1m3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme9694?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;;=4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f460j3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme9d94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:i94?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46>93:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm10ge>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<5850;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg771j0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb003g?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<hn:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6:9?1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c`f3?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=9k6:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdeml0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbcg;>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn5<4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46>m3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme8094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uko2?7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faa<`=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qok6e;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ejo>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33=c<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnho?:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd691<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33e5<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>nb;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{emk<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c`eb?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==l7:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdbik0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02bg?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiin?50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77im0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbda`>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=5950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77kl0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb03:a?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==m<:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd691n1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3153<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>k9;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98386=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|``4d<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<:i0;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{eml91<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca21?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiii650;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77m<0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbb35>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thnih4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f``7290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljeg83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjj;<6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`24c6=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??fc83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;j57>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faac7=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??fb83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjll86=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|``5=<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhh=:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd69k>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cge0?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==hk:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68oo1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33bc<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<??1;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{emo?1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3245<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<??2;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98h>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`25g1=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?=1e83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;i:7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa51`4290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll1`83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjll26=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|``5g<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhh8:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd699>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca2g?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiik650;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygcaj3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smc0f94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukomm7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5462290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljfb83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;i57>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5461290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>11594?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukomh7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faacc=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom>e;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98hj6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fbc<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<??8;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98:26=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`255g=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>0c83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;;o7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fab56=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?=2183>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;;h7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54de290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll1g83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;io7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54dc290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>4g694?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uki9<7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag77=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoh?2;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{en9>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cd31?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij==50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg768o0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0324?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio?<50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg`7>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm1031>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thm<:4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff44290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>10394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:nh4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~fc6>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>10194?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukl;47>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5472290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>10694?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukl;m7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54da290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pli0c83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;:;7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54e7290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>10494?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:o?4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47d93:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm103;>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:8k;50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg75:80;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbb05>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thh>84?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff40290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pli0g83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjo:o6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`e4`<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnk??:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd698k1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca1<?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij<<50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg`693:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm103a>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=<m50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg769m0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb032a?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<m;:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdd:00;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbg30>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thm=94?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f476n3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf0794?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:o84?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47583:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf0494?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:>?4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47d>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm1002>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=n950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76::0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0016?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=9h9:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd69j21<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca1f?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio?o50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg`603:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf0;94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukl:m7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag7e=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom=d;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e988>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`e5f<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?=8;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{en8h1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3263<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnk?k:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd69;=1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca1a?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<m6:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xda9l0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb031e?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij<h50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76:00;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb03`e?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij?>50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76:k0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb031g?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij??50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76kj0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb031`?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<mm:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6:;91<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c326`<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?ld;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ek:;1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c37b2<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn=?:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdd;;0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbg00>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thm>84?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47483:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf3694?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukl9;7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag65=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoh=6;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98im6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`e6=<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?<1;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98996=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2565=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoh=a;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ek:>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cd1=?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij?l50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76;=0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0302?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wij?m50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76;<0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb03g4?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<=8:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd69:21<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c327<<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?k1;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98n86=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`20c>=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>d383>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj88987>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag60=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoh=e;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ek:?1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cd1b?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio>950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yge403:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf2294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:?o4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f474k3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smf2394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukl8?7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fab64=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>3e83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;8i7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54b3290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pli3583>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjo9>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|``7<<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?k5;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{en:<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c327c<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?;3;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98>;6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2517=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoh<7;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98>96=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2673=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>4583>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;o:7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag6d=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>d683>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;o47>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faa7b=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?;e183>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjj9h6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f6`<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn=k:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdd;l0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd0e>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<>o50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc493:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm111a>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn?=4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f464l3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm111`>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn??4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47ci3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme2694?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uko897>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag6`=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qok<3;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99>;6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`246c=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??3g83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl9=6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`25ad=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??4383>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:?=7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5524290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>1eg94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:hn4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47cl3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm15g2>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:>=j50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yge383:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smc5094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uki?=7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faa6>=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qok<9;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em:k1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca77?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==:::187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdb;k0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd1g>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn?n4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f463>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm10fe>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<9950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77<10;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbb67>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<9750;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc383:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme2g94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uko8j7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa552e290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>1d294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;8l4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f463k3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme5394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:i<4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f463l3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm116f>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=h=50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg758l0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb03f6?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio9850;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg73m;0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd67>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thh8:4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`24290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll4983>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl>>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|``0<<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>:0;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em=<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3317<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnh:8:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68<;1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c32a0<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnh:7:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68<91<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca7e?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii9750;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77==0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd6b>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn8o4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f462>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm10g5>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<8;50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77=10;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd6`>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<8950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77=00;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb03f3?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<k6:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6:9l1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c32a=<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn:l:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6<l91<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca7f?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio9j50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc3m3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme4294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uko?j7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa553e290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plj5383>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjj>n6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f14<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnh;<:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68<i1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c331`<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn:i:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68<n1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c32ad<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>:f;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em<>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg62?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii8;50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77>90;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd74>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<;?50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76mk0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0257?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<kl:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68?81<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3321<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<<>0;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ek<;1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c32aa<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?je;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em<31<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c37a1<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn;=:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdb=h0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd7a>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thh9>4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f461>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme4a94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;::4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff33290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plj5e83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:=47>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa550>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plj5d83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:=m7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54`7290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll5483>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl?m6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f25<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>9c;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99<i6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f24<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?i1;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em?81<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c332`<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?i2;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99<o6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`25c5=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??6g83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjj?<6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`25c2=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?=1083>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjj?36=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`20`3=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom:6;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em?<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg50?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii;;50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77?80;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd4;>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn:44?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff3>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plj6683>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:<87>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa5515290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>06194?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uki>m7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54`2290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plj6`83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl<i6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2423=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??7683>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl<h6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2420=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>f683>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;m:7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faa3b=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??7`83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:<47>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa551>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>20094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:j54?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f42b>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm10d:>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thh9i4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`16290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll5b83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl<m6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`242e=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom:e;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em>:1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg47?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio8h50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77?o0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd51>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<:j50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76nk0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbd57>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<:k50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc0>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme6794?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uki=<7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55>7290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>09394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:jn4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`10290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>09094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;494?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46?;3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme6:94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;484?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47al3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm10df>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=kh50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg759:0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbb41>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:8h950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yge193:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11:4>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thh:>4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`1f290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll6583>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl=i6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f3f<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnh9k:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdb?l0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0034?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii:h50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77010;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02;=?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==6n:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdb080;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbb46>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thn4=4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46?j3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme9094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;9<<4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46?k3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11:g>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<5k50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc?;3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm1321>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<4>50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg770o0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb0037?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=?>;:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6:8>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca53?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio;650;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg73m10;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02:6?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio;750;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc?=3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sme9;94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uko3:7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faa=1=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom9a;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em121<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c3143<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnh6n:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68091<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg;f?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==7;:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd680?1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33=3<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn8m:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdb0j0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02:<?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii5j50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77100;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02:3?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=?>8:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6:921<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg;a?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==7n:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd6:931<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c314d<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>6b;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e9;;>6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`20`g=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qolja;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em0>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c`f=?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wii4;50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygdbj3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11c2>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<l<50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygc>>3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smbda94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uko2;7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faa<>=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??a283>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjl326=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`25=>=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoljd;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99k?6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`f=d<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>n6;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{em0h1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33e0<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnh7l:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68h=1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cg:`?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<66:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68h21<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c32<g<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>n9;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e982j6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`2641=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??a`83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;3o7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa51ce290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plja083>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjlk96=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`aac<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnoh?:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xden80;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbdc0>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<lh50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77il0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbcd1>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thnm94?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`g2290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>0c394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;n=4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`g1290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17plmf283>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;3i7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faad>=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??b383>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjlk<6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fe<<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>m3;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99h?6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fed<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?7f;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99h=6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`25<6=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??b483>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:i;7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa577?290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>18394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:5?4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~fg`2290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>4da94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukhm;7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fafc0=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qoknc;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99h26=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fea<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhoj:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdbj90;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbdce>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thij54?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46ei3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smec394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:594?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46ej3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11``>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thnn?4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~fg`>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>0cf94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:584?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`d4290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljb583>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:ii7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55da290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>0b294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukoi97>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54?1290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>20;94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;o<4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46d:3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smbgc94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:5:4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f47>03:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm15gg>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thijo4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~fg`d290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljb683>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjlh36=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`ffd<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhl6:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68j>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33g0<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnohk:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdbjk0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02`2?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiiom50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygdam3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11a4>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=4750;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygcen3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smecf94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukoii7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55e>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>0b:94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:5l4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46di3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smeb294?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;oi4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46dj3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11a`>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:=4l50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg759h0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb06fa?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<7l:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd690n1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}ca34?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wio=?50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yge7:3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smeb094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukoh87>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag55=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qokl3;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99im6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`24a6=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qokl5;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{emj<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33`7<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhm8:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdd8=0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02g5?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<7i:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdbk00;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02g7?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiin650;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg77l<0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02g0?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiino50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76i90;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbdaa>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<i950;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188yg76i80;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rb02g2?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi=<o=:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68m21<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c315g<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<:jf;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98k86=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|``40<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnn>9:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdbkm0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbdaf>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thnok4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff60290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>0ec94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukoo<7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55be290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll0983>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjln:6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`24ab=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qokk2;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ek931<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33`f<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?n4;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{emm>1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33``<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhj<:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd68l:1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33`c<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhj::187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd69h?1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cgg2?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wi==k=:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xd69h<1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}c33a4<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<?n8;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98k<6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`24`5=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?;f083>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj88:o7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag5e=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qom?b;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{ek9n1<7:50;2x 62?28l=7E=87:J00a=#9h<1=5=4i0;b>5<<a83i6=44i0;`>5<<g;ko6=44}cggf?6=<3:1<v*<4982b3=O;>=0D>:k;%3b2?7?;2c:5l4?::k2=g<722c:5n4?::m1ea<722wiii750;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygcci3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smc1g94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;i;4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f`bc290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>0d594?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukooo7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7faaac=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo??e983>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:n57>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fag5`=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>a`83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjlnm6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fa5<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>ja;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e99oh6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fa4<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>jb;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98ki6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fa7<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vn<>je;290?6=8r.8854>f79K721<@:>o7)?n6;3;7>o61h0;66g>9c83>>o61j0;66a=ae83>>{e98kh6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`24`b=83>1<7>t$26;>4`13A9<;6F<4e9'5d0=9190e<7n:188m4?e2900e<7l:188k7gc2900qo?>ae83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:nj7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa577b290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>4g094?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;:mh4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff76290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pll1183>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zjlo?6=4;:183\7f!5303;m:6F<769K71b<,8k=6<6<;h3:e?6=3`;2n7>5;h3:g?6=3f8jh7>5;|`fa0<72=0;6=u+35:95c0<@:=<7E=;d:&2e3<60:1b=4o50;9j5<d=831b=4m50;9l6db=831vnhk9:187>5<7s-9?47?i6:J032=O;=n0(<o9:0:0?l7>i3:17d?6b;29?l7>k3:17b<nd;29?xdd9;0;694?:1y'71>=9o<0D>98;I17`>"6i?0:4>5f18c94?=n90h1<75f18a94?=h:hn1<75rbb30>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:<k?50;694?6|,:>36<h9;I143>N4<m1/=l851918m4?f2900e<7m:188m4?d2900c?ok:188ygcb03:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm11d7>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3thni:4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46a:3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66smed;94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;;j>4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~ff73290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>1`d94?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<ukonm7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55`1290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljec83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:m97>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa54d7290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljeb83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8:m;7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55`?290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pljee83>1<729q/?9651g48L6103A9?h6*>a782<6=n90k1<75f18`94?=n90i1<75`2`f94?=zj8;i>7>54;294~"4<10:j;5G3658L62c3-;j:7?73:k2=d<722c:5o4?::k2=f<722e9mi4?::\7fa55`>290?6=4?{%17<?7a>2B8;:5G35f8 4g128287d?6a;29?l7>j3:17d?6c;29?j4fl3:17pl>1c394?2=83:p(>:7:0d5?M50?2B88i5+1`495=5<a83j6=44i0;a>5<<a83h6=44o3cg>5<<uk;9=k4?:583>5}#;=21=k84H254?M53l2.:m;4>829j5<g=831b=4l50;9j5<e=831d>lj50;9~f46ai3:187>50z&00=<6n?1C?:94H26g?!7f>3;3?6g>9`83>>o61k0;66g>9b83>>i5im0;66sm10`0>5<3290;w)=;8;3e2>N4?>1C?9j4$0c5>4>43`;2m7>5;h3:f?6=3`;2o7>5;n0b`?6=3th:?l?50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:i9;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>56;94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbb4`>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf1g?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`e7=<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa501f290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb>;3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdc:m0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{enk21<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?j<7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3tho5h4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wijo750;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnio6:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?>4483>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smfed94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07bf?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`27f7=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fad3290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72j?0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<i:6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uknij7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thmil4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wihi;50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnimn:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:cb83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smfg794?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbeg3>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c3345<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`7c=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f471=3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd689h1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?o;7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:?n<50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;j2;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk3883>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm14gg>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf70?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g0c<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5571290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb2i3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd68;;1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?<n7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:<?m50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni8::187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?>6783>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd6294?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbg`b>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36<3<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa56ec290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb0j3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6=0;1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm2=6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>544?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wijn;50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni7>:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:9`83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd8694?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbe;6>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cdg4?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g=3<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7faba>=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f47093:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6=0h1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjon26=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn2;7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:?i650;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni77:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:9b83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm14;g>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cdge?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g=<<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`<g=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43>m3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdalk0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{el0h1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjonh6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn2o7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thmhi4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=<9l:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:9g83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd8f94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb01ge?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`21d7=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fa?a290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72i;0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{enmo1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjmk;6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uknj=7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9l=50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnkk?:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojn2;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plka283>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smfd394?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbgg1>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c323`<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa56be290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72i=0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{elh>1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjmk>6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>m84?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wijh=50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;n6;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plka683>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd`494?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07b3?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`ea1<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`d>=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fcc2290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygbfi3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd69>l1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjoo=6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>m54?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>jl:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:a883>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd``94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07be?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`gef<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`db=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fcc0290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygbfm3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdam10;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<kh6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukln57>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thomk4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=<6?:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojm0;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pliec83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm14cg>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c30`a<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`g7=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43fm3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdcj;0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<km6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uklno7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thon>4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=8l?:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojm5;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pliee83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smfdg94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbe`5>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cfa3?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`25=7=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fcca290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72j80;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:nn6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukni47>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9o<50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnil6:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:b283>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smdcc94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbgd3>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36f1<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`gd=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fadd290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg`a93:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdan;0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e98296=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uknih7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9o;50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnkh<:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojme;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>3ed94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07a3?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`gg5<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa50d?290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg`a<3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdck80;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{elj81<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?i57>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thmj;4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wihn=50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnim;:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qohi7;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plif983>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm10:0>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c30g6<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa50df290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygbd=3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdck?0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<hi6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uklm57>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9om50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnim7:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojl7;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>5cf94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbgdb>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf`=?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`ebg<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`fd=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f471?3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdanj0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<hn6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;8o94?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=8li:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojlc;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plkce83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smfgf94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07`4?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`gg`<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`f`=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43d:3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdanl0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{elm:1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjolm6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukno=7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:=;650;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<>?1;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>3b794?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07`7?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`21f2=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fab5290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72k<0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{elm91<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8:;>7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thoh;4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wihi:50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<>?3;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>5b494?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb0230?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g`2<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`a>=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f47113:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd689?1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?h;7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:?n850;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnij6:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:c983>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smdec94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07`=?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g`g<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5561290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72kh0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{elmi1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjmno6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;;<:4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi==>7:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?>6`83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smdeg94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07`f?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`245?=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~faba290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg74k>0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<io6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uknn=7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9nk50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<>?a;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plke383>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smdd194?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07`b?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`245e=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fac3290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygbb=3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd689n1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8;=n7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9i>50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<>?e;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>3b:94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbeg5>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36`4<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa``1=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43c:3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd689l1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjmo36=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uknn57>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9i=50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnikn:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo??1183>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd3d94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb0225?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2444=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f471k3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6=m>1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm9;6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;8o44?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wih>?50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;k5;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>00194?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07g2?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g77<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`65=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43c03:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd688>1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm9?6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;;=84?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wih>;50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<?9d;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>00594?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb01`e?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`21a?=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43ci3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdc;?0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<ni6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn8;7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:<<650;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni=n:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qoj<8;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>00;94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07gg?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`244g=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fa5e290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb4k3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd69?o1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8::n7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9ho50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=lf;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk5083>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm14ga>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf66?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`21`e=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fa34290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg77:00;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{el<>1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8:9m7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9hk50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni;::187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo??2c83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd4494?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb0347?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`247b=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43a?3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;m81<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm<?6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>;n4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=89k:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qoj96;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk6683>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1115>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c363`<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`3>=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fcde290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg`ek3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdc>00;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e98==6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn=m7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:9:h50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnklk:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?<d283>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd7`94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07;4?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g2f<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa50>6290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg`em3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdc>m0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{el?o1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8?3>7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3tho:k4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wijoh50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni9>:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qohl0;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plic083>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1054>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36<6<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`24=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f45c<3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdc?:0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<2?6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uklh>7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:95;50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni9;:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qoj85;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>59594?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbga0>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cf42?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`eg1<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`21=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f47003:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdak?0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:n>6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>454?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wih:650;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vni96:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:8883>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm14:b>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cd`3?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g3d<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`2e=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f43?j3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdak10;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{el>n1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjoi26=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn<i7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thmol4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=<96:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?<d783>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm14:`>5<3290;w)=;8;3eg>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c36<a<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`2`=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fa>7290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg`dj3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6=1o1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm296=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn3=7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thmon4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=86i:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qoj73;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plice83>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd9694?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb034e?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`eg`<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa56b0290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72190;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{el1?1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjm2<6=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>5?4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wijnh50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<;63;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plk8883>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd9:94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07:0?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`e`4<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`=g=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fcb5290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb?j3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd69>h1<7:50;2x 62?28lh7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjon86=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;>584?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>j6:187>5<7s-9?47?ic:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?:9783>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66smd9a94?2=83:p(>:7:0d`?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb07:3?6=<3:1<v*<4982bf=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`g<a<72=0;6=u+35:95ce<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa`=c=83>1<7>t$26;>4`d3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~fcb3290?6=4?{%17<?7ak2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygb?n3:187>50z&00=<6nj1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdal<0;694?:1y'71>=9oi0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9<336=4;:183\7f!5303;mo6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<ukn2<7>54;294~"4<10:jn5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thmh;4?:583>5}#;=21=km4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wih4<50;694?6|,:>36<hl;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<?8d;290?6=8r.8854>fb9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plid683>1<729q/?9651ga8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm13g0>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c30a5<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5127290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg72n10;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9=>:6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;=954?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;;6:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qojjb;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>31194?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb067g?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2001=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f401<3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<?81<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8<=j7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:::o50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnh>m:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?<0583>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm154g>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c373=<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa53>2290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg730:0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9?3;6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;=5o4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wii=m50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=?f;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>49g94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb06:=?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`22d0=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f423:3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>k;1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8<>m7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thn=:4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>?n:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;4283>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1567>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c351g<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5122290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg71=j0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9??o6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uko9>7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:?<m50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:;6;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>45594?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb046a?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`201>=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f402n3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>?:1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjl8?6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;8=i4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=9:6:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;4`83>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1742>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c370g<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5305290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg71>:0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{em;?1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj89:i7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:89j50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:;e;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>67794?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb067b?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2230=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f401?3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdb:?0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:;m6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?9=4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=9;>:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?96983>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1571>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c352<<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa530f290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygc5?3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;;:1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8>>?7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:88:50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<89b;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>44794?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb045g?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`223b=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f`4?290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg74:80;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9=?=6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?954?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;8j:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;5883>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1753>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c3534<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7faa7?=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f457=3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<<k1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8>>n7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:::<50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<::c;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>66194?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb0440?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`f4a<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5661290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73=m0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9=?n6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;=;84?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=9;i:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?97783>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1754>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}cg3a?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2751=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f42183:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<?;1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8<<47>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:8;=50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<889;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>66`94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rbd2e>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c304=<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa5103290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73><0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9?=h6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?:;4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;9k:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?97d83>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sme0294?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb013=?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`2031=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f42103:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>>l1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8>=57>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th::5>50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<871;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17plj1083>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm122b>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c372d<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa510e290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg710;0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9=<h6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;=4>4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;6;:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qok>2;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>31`94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb065a?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`203`=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f40?>3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6<>:1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8<3;7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th::5650;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vnh?<:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?<0b83>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm1552>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c3737<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa53>>290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg73?:0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9?2j6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;=4o4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wii<:50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<=>1;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>46g94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb064b?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`22<0=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f42?83:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>0=1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8<247>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3thn=44?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=>?;:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;8983>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm15::>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c35e5<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa51>f290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg71i80;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9?k96=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uko:o7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:?<;50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:7b;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>49a94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb04b7?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`20=b=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f40f<3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6>h?1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zjl;o6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;8=;4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=96i:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;9183>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm17c4>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c37=4<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa53g?290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg71i00;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{em8o1<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj89:;7>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:84<50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<:63;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>6`c94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb06:0?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`22dd=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f40fk3:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xdb9o0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9:;36=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?584?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=979:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?9ae83>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm15;4>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c35e`<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7fa53ga290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188ygc583:187>50z&00=<6nm1C?:94H26g?l7>i3:17d?6b;29?l5383:17b<nd;29?xd6;831<7:50;2x 62?28lo7E=87:J00a=n90k1<75f18`94?=n;=:1<75`2`f94?=zj8>247>54;294~"4<10:ji5G3658L62c3`;2m7>5;h3:f?6=3`9?<7>5;n0b`?6=3th:84o50;694?6|,:>36<hk;I143>N4<m1b=4o50;9j5<d=831b?9>50;9l6db=831vn<8m0;290?6=8r.8854>fe9K721<@:>o7d?6a;29?l7>j3:17d=;0;29?j4fl3:17pl>48`94?2=83:p(>:7:0dg?M50?2B88i5f18c94?=n90h1<75f35294?=h:hn1<75rb04a6?6=<3:1<v*<4982ba=O;>=0D>:k;h3:e?6=3`;2n7>5;h174?6=3f8jh7>5;|`22g5=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f`46290?6=4?{%17<?7al2B8;:5G35f8m4?f2900e<7m:188m6272900c?ok:188yg749k0;694?:1y'71>=9on0D>98;I17`>o61h0;66g>9c83>>o4<90;66a=ae83>>{e9=3h6=4;:183\7f!5303;mh6F<769K71b<a83j6=44i0;a>5<<a:>;6=44o3cg>5<<uk;?5i4?:583>5}#;=21=kj4H254?M53l2c:5l4?::k2=g<722c88=4?::m1ea<722wi=;l;:187>5<7s-9?47?id:J032=O;=n0e<7n:188m4?e2900e>:?:188k7gc2900qo?;9d83>1<729q/?9651gf8L6103A9?h6g>9`83>>o61k0;66g<4183>>i5im0;66sm17`6>5<3290;w)=;8;3e`>N4?>1C?9j4i0;b>5<<a83i6=44i263>5<<g;ko6=44}c35f3<72=0;6=u+35:95cb<@:=<7E=;d:k2=d<722c:5o4?::k005<722e9mi4?::\7faa75=83>1<7>t$26;>4`c3A9<;6F<4e9j5<g=831b=4l50;9j716=831d>lj50;9~f=0a290>6=4?{%17<?7a02B8;:5G35f8 4g12:n0e<7n:188m4?e2900e<7l:188m4?c2900c?ok:188yg1>m3:197>50z&00=<6n11C?:94H26g?!7f>39o7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b<nd;29?xdfjo0;694?:1y'71>=91o0D>98;I17`>"6i?0:7d8i:188m2e=831b>o:50;9l775=831vn??=0;297?6=8r.8854>f59K721<@:>o7)?n6;63?l7>i3:17d?6b;29?j4fl3:17pl=10g94?5=83:p(>:7:0d7?M50?2B88i5+1`496d=n90k1<75f18`94?=h:hn1<75rb332e?6=;3:1<v*<4982b1=O;>=0D>:k;%3b2?e<a83j6=44i0;a>5<<g;ko6=44}c025f<72:0;6=u+35:95c2<@:=<7E=;d:&2e3<5i2c:5l4?::k2=g<722e9mi4?::\7fae<c=8391<7>t$26;>4`33A9<;6F<4e9'5d0=:h1b=4o50;9j5<d=831d>lj50;9~fdg529086=4?{%17<?7a<2B8;:5G35f8 4g12;k0e<7n:188m4?e2900c?ok:188yggf;3:1?7>50z&00=<6n=1C?:94H26g?!7f>38j7d?6a;29?l7>j3:17b<nd;29?xdfi=0;6>4?:1y'71>=9o>0D>98;I17`>"6i?09m6g>9`83>>o61k0;66a=ae83>>{eih?1<7=50;2x 62?28l?7E=87:J00a=#9h<1>l5f18c94?=n90h1<75`2`f94?=zjhk=6=4<:183\7f!5303;m86F<769K71b<,8k=6?o4i0;b>5<<a83i6=44o3cg>5<<ukkj;7>53;294~"4<10:j95G3658L62c3-;j:7<n;h3:e?6=3`;2n7>5;n0b`?6=3thjm54?:283>5}#;=21=k:4H254?M53l2.:m;4=a:k2=d<722c:5o4?::m1ea<722wiml750;194?6|,:>36<h;;I143>N4<m1/=l852`9j5<g=831b=4l50;9l6db=831vnl7i:180>5<7s-9?47?i4:J032=O;=n0(<o9:3c8m4?f2900e<7m:188k7gc2900qoon0;297?6=8r.8854>f59K721<@:>o7)?n6;0b?l7>i3:17d?6b;29?j4fl3:17plnc183>1<729q/?96519g8L6103A9?h6*>a782?l0a2900e:m50;9j6g2=831d??=50;9~f3e529096=4?{%17<?7bi2B8;:5G35f8m4?>2900c?ok:188yg01n3:1>7>50z&00=<6mh1C?:94H26g?l7>13:17b<nd;29?xd2><0;6?4?:1y'71>=9lk0D>98;I17`>o6100;66a=ae83>>{e>8i1<7<50;2x 62?28oj7E=87:J00a=n9031<75`2`f94?=zj<;96=4=:183\7f!5303;nm6F<769K71b<a8326=44o3cg>5<<uk?h57>52;294~"4<10:il5G3658L62c3`;257>5;n0b`?6=3th?nk4?:383>5}#;=21=ho4H254?M53l2c:544?::m1ea<722wi55h50;094?6|,:>36<kn;I143>N4<m1b=4750;9l6db=831vn4:=:181>5<7s-9?47?ja:J032=O;=n0e<76:188k7gc2900qoon1;297?6=8r.8854>f59K721<@:>o7)?n6;0b?l7>i3:17d?6b;29?j4fl3:17pl>a4094?2=83:p(>:7:0:g?M50?2B88i5+1`495>o1n3:17d9;:188m2e=831d??=50;9~f4g2<3:187>50z&00=<60m1C?:94H26g?!7f>3;0e;h50;9j31<722c<o7>5;n117?6=3th9=?j50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64d3290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??jf;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46nh0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=21794?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:;;;6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb302f?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk89>;4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`1667=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi>?=l:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77403:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>4283>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59=o1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm207:>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;=87>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c022c<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=:o50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64>2290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??60;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46j:0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=1ef94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8o=6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb33f3?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:i54?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`15`?=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><kn:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77bj3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>eb83>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59ln1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm20gf>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;m<7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c02b4<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=k<50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64`4290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??i4;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46n<0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=1g494?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8l<6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb33e<?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:j44?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`15cd=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><hl:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77al3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>fd83>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59ol1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm2323>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;8;=7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c0147<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9>==50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa6763290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn?<?6;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg458>0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=21:94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:;:26=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb303e?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk89<o4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`165e=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi>?>k:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f747m3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<=0g83>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd5:8;1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm2331>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;8:?7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c0151<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9><;50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa6771290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn?<>7;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg45910;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=20;94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:;;j6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb302g?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk89=i4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`164c=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi>??i:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f74583:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<=2083>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd5:;81<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm2300>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;8987>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c0160<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9>?950;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa674?290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn?<=9;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg45:h0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=23`94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:;8h6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb301`?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk89>h4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`167`=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi>?=?:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f744:3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<=3283>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd5::>1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm2316>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;88:7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c0172<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9>>650;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa675>290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn?<<a;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg45;k0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=13g94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:88m6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb3304?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:?<4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`1564=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><=<:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f774<3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>3483>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59:<1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm2014>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;857>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c027d<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=>l50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa645d290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??<d;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46;l0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=12d94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8>;6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb3375?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:8?4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`1512=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><:::18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f773>3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>4683>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59=21<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm206:>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;?m7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c020g<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=9m50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa642c290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??;f;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46=90;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=14394?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8?96=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb3367?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:994?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`1503=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><;9:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f772?3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>5983>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59<k1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm207a>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;>o7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c021a<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=8k50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa643a290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??90;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46>80;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=17094?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8<86=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb3351?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8::;4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`1531=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><87:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77113:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>6`83>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59?h1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm204`>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;=h7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c022`<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=:>50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa6416290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??82;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46?:0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=16694?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8=>6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb3342?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:;:4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`152>=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><96:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f770j3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>7b83>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59>n1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm205f>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;<j7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c02<5<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=5?50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9y!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84=ab9'770=:hi0b>=m:89m76e=12.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=12d89k46;o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6i1087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64>5290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=:hi0(><9:3c`?k54j330b>=l:89'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:89m70`=12d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??73;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::3c`?!55>38jo6`<3c8:?k54k330(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m330b>;i:89m562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8k36>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg460=0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=38jo6*<2781ef=i;:h156`<3b8:?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d8:?k52n330b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=19494?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=u-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<2481ef=#;;<1>lm4n21a><=i;:i156*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o156`<5g8:?k74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:m54<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:82<6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1>lm4$205>7gd3g98n774n21`><=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f><=i;<l156`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb33;<?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413w/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>7gd3-99:7<nc:l07g<>3g98o774$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i774n27e><=i9:>1<6`>5783?!5393;356*<4581?!7f?390(<o7:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:444?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997<nc:&063<5ij1e?>l59:l07f<>3-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<>3g9>j774n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`15=g=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31q)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<5ij1/??852`a8j65e201e?>m59:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k59:l01c<>3g;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>a980?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><6m:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;52`a8 6412;kh7c=<b;;8j65d201/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b201e?8h59:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77?k3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857s+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422;kh7)==6;0bg>h4;k027c=<c;;8 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;;8j63a201e=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0c;>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>8e83>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;0bg>"4:?09mn5a32`9=>h4;j027)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l027c=:f;;8j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd591o1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<09mn5+33496de<f:9i645a32a9=>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g9=>h4=o027c?<4;28j431291/?9?519;8 6232;1/=l953:&2e=<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm20:e>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33796de<,:8=6?ol;o10f??<f:9h645+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n645a34d9=>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;2=7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7f'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6?ol;%112?4fk2d8?o46;o10g??<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a??<f:?m645a12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4g?2:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c02=7<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?4fk2.8>;4=ab9m76d=12d8?n46;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h46;o16b??<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=4:50;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9yk74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>62e3-99:7=;b:l07g<63g98o7?4$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i7?4n27e>4=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64?4290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;51g08 64128l97c=<b;38j65d281/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b281e?8h51:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??68;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{i9:>1<6`>5783?!5393;356*<4581?!7f?390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<4<k1/??8535`8j65e281e?>m51:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k51:l01c<63-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg461>0;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;3e6>"4:?0:j?5a32`95>h4;j0:7)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l0:7c=:f;38j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=18a94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=ug;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422:>i7)==6;17f>h4;k0:7c=<c;38 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;38j63a281/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:83i6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33795c4<,:8=6<h=;o10f?7<f:9h6<5+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n6<5a34d95>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb33b4?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413we=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<088o5+334971d<f:9i6<5a32a95>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g95>h4=o0:7)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:5k4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?7a:2.8>;4>f39m76d=92d8?n4>;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h4>;o16b?7<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`15d2=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31qc?<4;28j431291/?9?519;8 6232;1/=l953:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6>:m;%112?53j2d8?o4>;o10g?7<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a?7<f:?m6<5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><o<:18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=9o80(><9:0d1?k54j3;0b>=l:09'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:09m70`=92d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77f03:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857sa12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84<4c9'770=;=h0b>=m:09m76e=92.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=92d89k4>;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>a683>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=3;m>6*<2782b7=i;:h1=6`<3b82?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d82?k52n3;0b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59hi1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::26a?!55>39?n6`<3c82?k54k3;0(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m3;0b>;i:09'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm20ca>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1=k<4$205>4`53g98n7?4n21`>4=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f>4=i;<l1=6`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;i<7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7fm562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<24800g=#;;<1?9l4n21a>4=i;:i1=6*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o1=6`<5g82?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c02ec<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997?i2:&063<6n;1e?>l51:l07f<63-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<63g9>j7?4n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=o850;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9yk74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>62e3-99:7=;b:l07g<63g98o7?4$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i7?4n27e>4=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64d2290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;51g08 64128l97c=<b;38j65d281/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b281e?8h51:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??ma;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{i9:>1<6`>5783?!5393;356*<4581?!7f?390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<4<k1/??8535`8j65e281e?>m51:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k51:l01c<63-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46j00;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;3e6>"4:?0:j?5a32`95>h4;j0:7)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l0:7c=:f;38j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=1cg94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=ug;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422:>i7)==6;17f>h4;k0:7c=<c;38 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;38j63a281/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8ho6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33795c4<,:8=6<h=;o10f?7<f:9h6<5+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n6<5a34d95>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb33`6?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413we=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<088o5+334971d<f:9i6<5a32a95>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g95>h4=o0:7)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:o<4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?7a:2.8>;4>f39m76d=92d8?n4>;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h4>;o16b?7<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`15f0=83i96=4?{%17<?55<2B8;:5G35f8^3c=kro1j7<m:3a971<4=39=6>952g804?5?2:31qc?<4;28j431291/?9?519;8 6232;1/=l953:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1?6*>e780?!7b138jo6*>e681?!7b0380(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6mk0:445+1da95=?<,:8>6>:m;%112?53j2d8?o4>;o10g?7<,:>96?ol;%3b0?5<,8k>6>5+34a970d<,:?o6>;m;o16a?7<f:?m6<5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!53=380e:>50;9j34<722c<;7>5;h5;>5<<a82>6=44i21g>5<<a:9n6=44i0:5>5<<a:>=6=44i264>5<<g>81<75`7283>>o3m3:1(?l<:5f8j7d52910e9m50;&1f6<3l2d9n?4>;:k7f?6=,;h869j4n3`1>7=<a=k1<7*=b287`>h5j;0876g;9;29 7d42=n0b?l=:598m01=83.9n>4;d:l1f7<232c>:7>5$3`0>1b<f;h96;54i4794?"5j:0?h6`=b384?>o2<3:1(?l<:5f8j7d52110e8=50;&1f6<3l2d9n?46;:k66?6=,;h869j4n3`1>d=<a<;1<7*=b287`>h5j;0i76g:0;29 7d42=n0b?l=:b98m1`=83.9n>4;d:l1f7<c32c?47>5$3`0>1b<f;h96h54i4g94?"5j:0>h6`=b383?>o2k3:1(?l<:4f8j7d52810e8l50;&1f6<2l2d9n?4=;:k6e?6=,;h868j4n3`1>6=<a<31<7*=b286`>h5j;0?76g97;29 7d42<n0b?l=:498m30=83.9n>4:d:l1f7<132c=97>5$3`0>0b<f;h96:54i7694?"5j:0>h6`=b38;?>o1;3:1(?l<:4f8j7d52010e;<50;&1f6<2l2d9n?4n;:k55?6=,;h868j4n3`1>g=<a?:1<7*=b286`>h5j;0h76g:f;29 7d42<n0b?l=:e98m0>=83.9n>4:d:l1f7<b32cij7>5$3`0>gc<f;h96=54icf94?"5j:0ii6`=b382?>oej3:1(?l<:cg8j7d52;10eoo50;&1f6<em2d9n?4<;:ka=?6=,;h86ok4n3`1>1=<ak21<7*=b28aa>h5j;0>76gm7;29 7d42ko0b?l=:798mg0=83.9n>4me:l1f7<032ci97>5$3`0>gc<f;h96554ic694?"5j:0ii6`=b38:?>oe;3:1(?l<:cg8j7d52h10eo<50;&1f6<em2d9n?4m;:ka4?6=,;h86ok4n3`1>f=<ahl1<7*=b28aa>h5j;0o76gne;29 7d42ko0b?l=:d98mdb=83.9n>4me:l1f7<a32cjo7>5$3`0>gc<f;h96<>4;hca>5<#:k91nh5a2c0954=<ahk1<7*=b28aa>h5j;0:>65fa883>!4e;3hn7c<m2;30?>of03:1(?l<:cg8j7d528>07do8:18'6g5=jl1e>o<51498mf0=83.9n>4me:l1f7<6>21bo84?:%0a7?db3g8i>7?8;:k`0?6=,;h86ok4n3`1>4><3`i86=4+2c19f`=i:k81=454ib094?"5j:0ii6`=b382e>=nk80;6)<m3;`f?k4e:3;i76gl0;29 7d42ko0b?l=:0a8?ldd290/>o=5bd9m6g4=9m10eo?50;&1f6<em2d9n?4>e:9je3<72-8i?7lj;o0a6?7a32cn<7>5$3`0>a`<f;h96=54ieg94?"5j:0oj6`=b382?>ock3:1(?l<:ed8j7d52;10eil50;&1f6<cn2d9n?4<;:kge?6=,;h86ih4n3`1>1=<am31<7*=b28gb>h5j;0>76gk8;29 7d42ml0b?l=:798ma1=83.9n>4kf:l1f7<032co:7>5$3`0>a`<f;h96554ie794?"5j:0oj6`=b38:?>oc<3:1(?l<:ed8j7d52h10ei=50;&1f6<cn2d9n?4m;:kg5?6=,;h86ih4n3`1>f=<am:1<7*=b28gb>h5j;0o76glf;29 7d42ml0b?l=:d98mfc=83.9n>4kf:l1f7<a32chh7>5$3`0>a`<f;h96<>4;ha`>5<#:k91hk5a2c0954=<ajh1<7*=b28gb>h5j;0:>65fc`83>!4e;3nm7c<m2;30?>od13:1(?l<:ed8j7d528>07dm7:18'6g5=lo1e>o<51498m`1=83.9n>4kf:l1f7<6>21bi;4?:%0a7?ba3g8i>7?8;:kf1?6=,;h86ih4n3`1>4><3`o?6=4+2c19`c=i:k81=454id194?"5j:0oj6`=b382e>=nm;0;6)<m3;fe?k4e:3;i76gj1;29 7d42ml0b?l=:0a8?lbc290/>o=5dg9m6g4=9m10ei<50;&1f6<cn2d9n?4>e:9jg2<72-8i?7ji;o0a6?7a32c:<i4?:%0a7?77k2d9n?4?;:k24g<72-8i?7??c:l1f7<632c:<l4?:%0a7?77k2d9n?4=;:k24<<72-8i?7??c:l1f7<432c:=?4?:%0a7?7692d9n?4?;:k255<72-8i?7?>1:l1f7<632c:<k4?:%0a7?7692d9n?4=;:k24`<72-8i?7?>1:l1f7<432c8984?:%0a7?52<2d9n?4?;:k016<72-8i?7=:4:l1f7<632c89?4?:%0a7?52<2d9n?4=;:k014<72-8i?7=:4:l1f7<432c89l4?:%0a7?5212d9n?4?;:k01=<72-8i?7=:9:l1f7<632c89:4?:%0a7?5212d9n?4=;:k013<72-8i?7=:9:l1f7<432e:>n4?:%0a7?75j2d9n?4?;:m26d<72-8i?7?=b:l1f7<632e:>54?:%0a7?75j2d9n?4=;:m262<72-8i?7?=b:l1f7<432e:>;4?:%0a7?75j2d9n?4;;:m260<72-8i?7?=b:l1f7<232e:>94?:%0a7?75j2d9n?49;:m266<72-8i?7?=b:l1f7<032e:>?4?:%0a7?75j2d9n?47;:m264<72-8i?7?=b:l1f7<>32e:>=4?:%0a7?75j2d9n?4n;:m25c<72-8i?7?=b:l1f7<e32e:=i4?:%0a7?75j2d9n?4l;:m25f<72-8i?7?=b:l1f7<c32e:=o4?:%0a7?75j2d9n?4j;:m25d<72-8i?7?=b:l1f7<a32e:=44?:%0a7?75j2d9n?4>0:9l54>=83.9n>4>2c9m6g4=9810c<?8:18'6g5=9;h0b?l=:008?j76>3:1(?l<:00a?k4e:3;876a>1483>!4e;3;9n6`=b3820>=h98>1<7*=b2826g=i:k81=854o010>5<#:k91=?l4n3`1>40<3f;8>7>5$3`0>44e3g8i>7?8;:m274<72-8i?7?=b:l1f7<6021d=>>50;&1f6<6:k1e>o<51898k44a290/>o=513`8j7d528k07b?=e;29 7d4288i7c<m2;3a?>i6:m0;6)<m3;31f>h5j;0:o65`13;94?"5j:0:>o5a2c095a=<g8;n6=4+2c1957d<f;h96<k4;n327?6=,;h86<<m;o0a6?7a32e:8h4?:%0a7?73l2d9n?4?;:m20f<72-8i?7?;d:l1f7<632e:8l4?:%0a7?73l2d9n?4=;:m20<<72-8i?7?;d:l1f7<432e:854?:%0a7?73l2d9n?4;;:m202<72-8i?7?;d:l1f7<232e:8;4?:%0a7?73l2d9n?49;:m200<72-8i?7?;d:l1f7<032e:894?:%0a7?73l2d9n?47;:m206<72-8i?7?;d:l1f7<>32e:8?4?:%0a7?73l2d9n?4n;:m204<72-8i?7?;d:l1f7<e32e:?k4?:%0a7?73l2d9n?4l;:m27`<72-8i?7?;d:l1f7<c32e:?i4?:%0a7?73l2d9n?4j;:m27f<72-8i?7?;d:l1f7<a32e:?o4?:%0a7?73l2d9n?4>0:9l56g=83.9n>4>4e9m6g4=9810c<=6:18'6g5=9=n0b?l=:008?j7403:1(?l<:06g?k4e:3;876a>3683>!4e;3;?h6`=b3820>=h9:<1<7*=b2820a=i:k81=854o076>5<#:k91=9j4n3`1>40<3f;>87>5$3`0>42c3g8i>7?8;:m216<72-8i?7?;d:l1f7<6021d=8<50;&1f6<6<m1e>o<51898k436290/>o=515f8j7d528k07b?:0;29 7d428>o7c<m2;3a?>i6<o0;6)<m3;37`>h5j;0:o65`15`94?"5j:0:8i5a2c095a=<g8>;6=4+2c1951b<f;h96<k4;n301?6=,;h86<:k;o0a6?7a32e:9o4?:%0a7?72i2d9n?4?;:m21<<72-8i?7?:a:l1f7<632e:954?:%0a7?72i2d9n?4=;:m212<72-8i?7?:a:l1f7<432e::=4?:%0a7?72n2d9n?4?;:m21`<72-8i?7?:f:l1f7<632e:9i4?:%0a7?72n2d9n?4=;:m21f<72-8i?7?:f:l1f7<432wi><m::18`6?6=8r.8854<259K721<@:>o7W8j:byf>c<5j38h6>:534802?502;l1?=4<8;1:>x"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<43-;n:7=4$0g:>7gd3-;n;7<4$0g;>7=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3ff?7?12.:in4>889'773=9o80(><9:0d1?k54j3;0b>=l:09'714=:hi0(<o;:29'5d3=;2.89n4<5c9'70b=;<h0b>;j:09m70`=92d:?94?;o362?6<,:>:6<66;%170?4<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*<4481?l172900e:?50;9j32<722c<47>5;h3;1?6=3`98h7>5;h10a?6=3`;3:7>5;h172?6=3`9?;7>5;n51>5<<g>91<75f4d83>!4e;3>o7c<m2;28?l2d290/>o=54e9m6g4=921b8o4?:%0a7?2c3g8i>7<4;h6b>5<#:k918i5a2c097>=n<00;6)<m3;6g?k4e:3>07d;8:18'6g5=<m1e>o<55:9j13<72-8i?7:k;o0a6?0<3`?>6=4+2c190a=i:k81;65f5583>!4e;3>o7c<m2;:8?l34290/>o=54e9m6g4=121b9?4?:%0a7?2c3g8i>7o4;h72>5<#:k918i5a2c09f>=n=90;6)<m3;6g?k4e:3i07d:i:18'6g5=<m1e>o<5d:9j0=<72-8i?7:k;o0a6?c<3`?n6=4+2c191a=i:k81<65f5b83>!4e;3?o7c<m2;38?l3e290/>o=55e9m6g4=:21b9l4?:%0a7?3c3g8i>7=4;h7:>5<#:k919i5a2c090>=n>>0;6)<m3;7g?k4e:3?07d89:18'6g5==m1e>o<56:9j20<72-8i?7;k;o0a6?1<3`<?6=4+2c191a=i:k81465f6283>!4e;3?o7c<m2;;8?l05290/>o=55e9m6g4=i21b:<4?:%0a7?3c3g8i>7l4;h43>5<#:k919i5a2c09g>=n=o0;6)<m3;7g?k4e:3n07d;7:18'6g5==m1e>o<5e:9jfc<72-8i?7lj;o0a6?6<3`ho6=4+2c19f`=i:k81=65fbc83>!4e;3hn7c<m2;08?ldf290/>o=5bd9m6g4=;21bn44?:%0a7?db3g8i>7:4;h`;>5<#:k91nh5a2c091>=nj>0;6)<m3;`f?k4e:3<07dl9:18'6g5=jl1e>o<57:9jf0<72-8i?7lj;o0a6?><3`h?6=4+2c19f`=i:k81565fb283>!4e;3hn7c<m2;c8?ld5290/>o=5bd9m6g4=j21bn=4?:%0a7?db3g8i>7m4;hce>5<#:k91nh5a2c09`>=nil0;6)<m3;`f?k4e:3o07dok:18'6g5=jl1e>o<5f:9jef<72-8i?7lj;o0a6?7732cjn7>5$3`0>gc<f;h96<?4;hcb>5<#:k91nh5a2c0957=<ah31<7*=b28aa>h5j;0:?65fa983>!4e;3hn7c<m2;37?>of?3:1(?l<:cg8j7d528?07dm9:18'6g5=jl1e>o<51798mf3=83.9n>4me:l1f7<6?21bo94?:%0a7?db3g8i>7?7;:k`7?6=,;h86ok4n3`1>4?<3`i96=4+2c19f`=i:k81=l54ib394?"5j:0ii6`=b382f>=nk90;6)<m3;`f?k4e:3;h76gmc;29 7d42ko0b?l=:0f8?ld6290/>o=5bd9m6g4=9l10el850;&1f6<em2d9n?4>f:9ja5<72-8i?7ji;o0a6?6<3`nn6=4+2c19`c=i:k81=65fdb83>!4e;3nm7c<m2;08?lbe290/>o=5dg9m6g4=;21bhl4?:%0a7?ba3g8i>7:4;hf:>5<#:k91hk5a2c091>=nl10;6)<m3;fe?k4e:3<07dj8:18'6g5=lo1e>o<57:9j`3<72-8i?7ji;o0a6?><3`n>6=4+2c19`c=i:k81565fd583>!4e;3nm7c<m2;c8?lb4290/>o=5dg9m6g4=j21bh<4?:%0a7?ba3g8i>7m4;hf3>5<#:k91hk5a2c09`>=nko0;6)<m3;fe?k4e:3o07dmj:18'6g5=lo1e>o<5f:9jga<72-8i?7ji;o0a6?7732cho7>5$3`0>a`<f;h96<?4;haa>5<#:k91hk5a2c0957=<ajk1<7*=b28gb>h5j;0:?65fc883>!4e;3nm7c<m2;37?>od03:1(?l<:ed8j7d528?07dk8:18'6g5=lo1e>o<51798m`0=83.9n>4kf:l1f7<6?21bi84?:%0a7?ba3g8i>7?7;:kf0?6=,;h86ih4n3`1>4?<3`o86=4+2c19`c=i:k81=l54id094?"5j:0oj6`=b382f>=nm80;6)<m3;fe?k4e:3;h76gkd;29 7d42ml0b?l=:0f8?lb5290/>o=5dg9m6g4=9l10en950;&1f6<cn2d9n?4>f:9j55b=83.9n>4>0b9m6g4=821b==l50;&1f6<68j1e>o<51:9j55g=83.9n>4>0b9m6g4=:21b==750;&1f6<68j1e>o<53:9j544=83.9n>4>109m6g4=821b=<>50;&1f6<6981e>o<51:9j55`=83.9n>4>109m6g4=:21b==k50;&1f6<6981e>o<53:9j703=83.9n>4<559m6g4=821b?8=50;&1f6<4==1e>o<51:9j704=83.9n>4<559m6g4=:21b?8?50;&1f6<4==1e>o<53:9j70g=83.9n>4<589m6g4=821b?8650;&1f6<4=01e>o<51:9j701=83.9n>4<589m6g4=:21b?8850;&1f6<4=01e>o<53:9l57e=83.9n>4>2c9m6g4=821d=?o50;&1f6<6:k1e>o<51:9l57>=83.9n>4>2c9m6g4=:21d=?950;&1f6<6:k1e>o<53:9l570=83.9n>4>2c9m6g4=<21d=?;50;&1f6<6:k1e>o<55:9l572=83.9n>4>2c9m6g4=>21d=?=50;&1f6<6:k1e>o<57:9l574=83.9n>4>2c9m6g4=021d=??50;&1f6<6:k1e>o<59:9l576=83.9n>4>2c9m6g4=i21d=<h50;&1f6<6:k1e>o<5b:9l54b=83.9n>4>2c9m6g4=k21d=<m50;&1f6<6:k1e>o<5d:9l54d=83.9n>4>2c9m6g4=m21d=<o50;&1f6<6:k1e>o<5f:9l54?=83.9n>4>2c9m6g4=9910c<?7:18'6g5=9;h0b?l=:038?j76?3:1(?l<:00a?k4e:3;976a>1783>!4e;3;9n6`=b3827>=h98?1<7*=b2826g=i:k81=954o037>5<#:k91=?l4n3`1>43<3f;8?7>5$3`0>44e3g8i>7?9;:m277<72-8i?7?=b:l1f7<6?21d=>?50;&1f6<6:k1e>o<51998k457290/>o=513`8j7d528307b?=f;29 7d4288i7c<m2;3b?>i6:l0;6)<m3;31f>h5j;0:n65`13f94?"5j:0:>o5a2c095f=<g8826=4+2c1957d<f;h96<j4;n32a?6=,;h86<<m;o0a6?7b32e:=>4?:%0a7?75j2d9n?4>f:9l51c=83.9n>4>4e9m6g4=821d=9m50;&1f6<6<m1e>o<51:9l51g=83.9n>4>4e9m6g4=:21d=9750;&1f6<6<m1e>o<53:9l51>=83.9n>4>4e9m6g4=<21d=9950;&1f6<6<m1e>o<55:9l510=83.9n>4>4e9m6g4=>21d=9;50;&1f6<6<m1e>o<57:9l512=83.9n>4>4e9m6g4=021d=9=50;&1f6<6<m1e>o<59:9l514=83.9n>4>4e9m6g4=i21d=9?50;&1f6<6<m1e>o<5b:9l56`=83.9n>4>4e9m6g4=k21d=>k50;&1f6<6<m1e>o<5d:9l56b=83.9n>4>4e9m6g4=m21d=>m50;&1f6<6<m1e>o<5f:9l56d=83.9n>4>4e9m6g4=9910c<=n:18'6g5=9=n0b?l=:038?j7413:1(?l<:06g?k4e:3;976a>3983>!4e;3;?h6`=b3827>=h9:=1<7*=b2820a=i:k81=954o015>5<#:k91=9j4n3`1>43<3f;>97>5$3`0>42c3g8i>7?9;:m211<72-8i?7?;d:l1f7<6?21d=8=50;&1f6<6<m1e>o<51998k435290/>o=515f8j7d528307b?:1;29 7d428>o7c<m2;3b?>i6=90;6)<m3;37`>h5j;0:n65`15d94?"5j:0:8i5a2c095f=<g8>i6=4+2c1951b<f;h96<j4;n374?6=,;h86<:k;o0a6?7b32e:?84?:%0a7?73l2d9n?4>f:9l50d=83.9n>4>5`9m6g4=821d=8750;&1f6<6=h1e>o<51:9l50>=83.9n>4>5`9m6g4=:21d=8950;&1f6<6=h1e>o<53:9l536=83.9n>4>5g9m6g4=821d=8k50;&1f6<6=o1e>o<51:9l50b=83.9n>4>5g9m6g4=:21d=8m50;&1f6<6=o1e>o<53:9~f77di3:1o?4?:1y'71>=;;>0D>98;I17`>\1m3ipi7h52c81g?532:?1?;4<7;0e>66=;10857sa12694>h6=?0;7)=;1;3;=>"4<=097)?n7;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97=4$0g5>6=#9l31>lm4$0g4>7=#9l21>6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8oi6<66;%3fg?7?12.8>84<4c9'770=;=h0b>=m:09m76e=92.88?4=ab9'5d2=;2.:m84<;%16g?52j2.89i4<5c9m70c=92d89k4>;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#;=?1>6g80;29?l162900e:950;9j3=<722c:484?::k07a<722c8?h4?::k2<3<722c88;4?::k002<722e<>7>5;n50>5<<a=o1<7*=b287`>h5j;0;76g;c;29 7d42=n0b?l=:098m1d=83.9n>4;d:l1f7<532c?m7>5$3`0>1b<f;h96>54i5;94?"5j:0?h6`=b387?>o2?3:1(?l<:5f8j7d52<10e8850;&1f6<3l2d9n?49;:k61?6=,;h869j4n3`1>2=<a<>1<7*=b287`>h5j;0376g:3;29 7d42=n0b?l=:898m04=83.9n>4;d:l1f7<f32c>=7>5$3`0>1b<f;h96o54i4294?"5j:0?h6`=b38`?>o3n3:1(?l<:5f8j7d52m10e9650;&1f6<3l2d9n?4j;:k6a?6=,;h868j4n3`1>5=<a<i1<7*=b286`>h5j;0:76g:b;29 7d42<n0b?l=:398m0g=83.9n>4:d:l1f7<432c>57>5$3`0>0b<f;h96954i7594?"5j:0>h6`=b386?>o1>3:1(?l<:4f8j7d52?10e;;50;&1f6<2l2d9n?48;:k50?6=,;h868j4n3`1>==<a?91<7*=b286`>h5j;0276g92;29 7d42<n0b?l=:`98m37=83.9n>4:d:l1f7<e32c=<7>5$3`0>0b<f;h96n54i4d94?"5j:0>h6`=b38g?>o203:1(?l<:4f8j7d52l10eoh50;&1f6<em2d9n?4?;:ka`?6=,;h86ok4n3`1>4=<akh1<7*=b28aa>h5j;0976gma;29 7d42ko0b?l=:298mg?=83.9n>4me:l1f7<332ci47>5$3`0>gc<f;h96854ic594?"5j:0ii6`=b385?>oe>3:1(?l<:cg8j7d52>10eo;50;&1f6<em2d9n?47;:ka0?6=,;h86ok4n3`1><=<ak91<7*=b28aa>h5j;0j76gm2;29 7d42ko0b?l=:c98mg6=83.9n>4me:l1f7<d32cjj7>5$3`0>gc<f;h96i54i`g94?"5j:0ii6`=b38f?>ofl3:1(?l<:cg8j7d52o10elm50;&1f6<em2d9n?4>0:9jeg<72-8i?7lj;o0a6?7632cjm7>5$3`0>gc<f;h96<<4;hc:>5<#:k91nh5a2c0956=<ah21<7*=b28aa>h5j;0:865fa683>!4e;3hn7c<m2;36?>od>3:1(?l<:cg8j7d528<07dm::18'6g5=jl1e>o<51698mf2=83.9n>4me:l1f7<6021bo>4?:%0a7?db3g8i>7?6;:k`6?6=,;h86ok4n3`1>4g<3`i:6=4+2c19f`=i:k81=o54ib294?"5j:0ii6`=b382g>=njj0;6)<m3;`f?k4e:3;o76gm1;29 7d42ko0b?l=:0g8?lg1290/>o=5bd9m6g4=9o10eh>50;&1f6<cn2d9n?4?;:kga?6=,;h86ih4n3`1>4=<ami1<7*=b28gb>h5j;0976gkb;29 7d42ml0b?l=:298mag=83.9n>4kf:l1f7<332co57>5$3`0>a`<f;h96854ie:94?"5j:0oj6`=b385?>oc?3:1(?l<:ed8j7d52>10ei850;&1f6<cn2d9n?47;:kg1?6=,;h86ih4n3`1><=<am>1<7*=b28gb>h5j;0j76gk3;29 7d42ml0b?l=:c98ma7=83.9n>4kf:l1f7<d32co<7>5$3`0>a`<f;h96i54ibd94?"5j:0oj6`=b38f?>odm3:1(?l<:ed8j7d52o10enj50;&1f6<cn2d9n?4>0:9jgf<72-8i?7ji;o0a6?7632chn7>5$3`0>a`<f;h96<<4;hab>5<#:k91hk5a2c0956=<aj31<7*=b28gb>h5j;0:865fc983>!4e;3nm7c<m2;36?>ob?3:1(?l<:ed8j7d528<07dk9:18'6g5=lo1e>o<51698m`3=83.9n>4kf:l1f7<6021bi94?:%0a7?ba3g8i>7?6;:kf7?6=,;h86ih4n3`1>4g<3`o96=4+2c19`c=i:k81=o54id394?"5j:0oj6`=b382g>=nlm0;6)<m3;fe?k4e:3;o76gk2;29 7d42ml0b?l=:0g8?le0290/>o=5dg9m6g4=9o10e<>k:18'6g5=99i0b?l=:198m46e290/>o=511a8j7d52810e<>n:18'6g5=99i0b?l=:398m46>290/>o=511a8j7d52:10e<?=:18'6g5=98;0b?l=:198m477290/>o=51038j7d52810e<>i:18'6g5=98;0b?l=:398m46b290/>o=51038j7d52:10e>;::18'6g5=;<>0b?l=:198m634290/>o=53468j7d52810e>;=:18'6g5=;<>0b?l=:398m636290/>o=53468j7d52:10e>;n:18'6g5=;<30b?l=:198m63?290/>o=534;8j7d52810e>;8:18'6g5=;<30b?l=:398m631290/>o=534;8j7d52:10c<<l:18'6g5=9;h0b?l=:198k44f290/>o=513`8j7d52810c<<7:18'6g5=9;h0b?l=:398k440290/>o=513`8j7d52:10c<<9:18'6g5=9;h0b?l=:598k442290/>o=513`8j7d52<10c<<;:18'6g5=9;h0b?l=:798k444290/>o=513`8j7d52>10c<<=:18'6g5=9;h0b?l=:998k446290/>o=513`8j7d52010c<<?:18'6g5=9;h0b?l=:`98k47a290/>o=513`8j7d52k10c<?k:18'6g5=9;h0b?l=:b98k47d290/>o=513`8j7d52m10c<?m:18'6g5=9;h0b?l=:d98k47f290/>o=513`8j7d52o10c<?6:18'6g5=9;h0b?l=:028?j7603:1(?l<:00a?k4e:3;:76a>1683>!4e;3;9n6`=b3826>=h98<1<7*=b2826g=i:k81=>54o036>5<#:k91=?l4n3`1>42<3f;:87>5$3`0>44e3g8i>7?:;:m276<72-8i?7?=b:l1f7<6>21d=><50;&1f6<6:k1e>o<51698k456290/>o=513`8j7d528207b?<0;29 7d4288i7c<m2;3:?>i6:o0;6)<m3;31f>h5j;0:m65`13g94?"5j:0:>o5a2c095g=<g88o6=4+2c1957d<f;h96<m4;n31=?6=,;h86<<m;o0a6?7c32e:=h4?:%0a7?75j2d9n?4>e:9l545=83.9n>4>2c9m6g4=9o10c<:j:18'6g5=9=n0b?l=:198k42d290/>o=515f8j7d52810c<:n:18'6g5=9=n0b?l=:398k42>290/>o=515f8j7d52:10c<:7:18'6g5=9=n0b?l=:598k420290/>o=515f8j7d52<10c<:9:18'6g5=9=n0b?l=:798k422290/>o=515f8j7d52>10c<:;:18'6g5=9=n0b?l=:998k424290/>o=515f8j7d52010c<:=:18'6g5=9=n0b?l=:`98k426290/>o=515f8j7d52k10c<=i:18'6g5=9=n0b?l=:b98k45b290/>o=515f8j7d52m10c<=k:18'6g5=9=n0b?l=:d98k45d290/>o=515f8j7d52o10c<=m:18'6g5=9=n0b?l=:028?j74i3:1(?l<:06g?k4e:3;:76a>3883>!4e;3;?h6`=b3826>=h9:21<7*=b2820a=i:k81=>54o014>5<#:k91=9j4n3`1>42<3f;8:7>5$3`0>42c3g8i>7?:;:m210<72-8i?7?;d:l1f7<6>21d=8:50;&1f6<6<m1e>o<51698k434290/>o=515f8j7d528207b?:2;29 7d428>o7c<m2;3:?>i6=80;6)<m3;37`>h5j;0:m65`14294?"5j:0:8i5a2c095g=<g8>m6=4+2c1951b<f;h96<m4;n37f?6=,;h86<:k;o0a6?7c32e:8=4?:%0a7?73l2d9n?4>e:9l563=83.9n>4>4e9m6g4=9o10c<;m:18'6g5=9<k0b?l=:198k43>290/>o=514c8j7d52810c<;7:18'6g5=9<k0b?l=:398k430290/>o=514c8j7d52:10c<8?:18'6g5=9<l0b?l=:198k43b290/>o=514d8j7d52810c<;k:18'6g5=9<l0b?l=:398k43d290/>o=514d8j7d52:10qo<>c883>f4=83:p(>:7:207?M50?2B88i5U6d8`\7f`<a2;h1>n4<4;16>60=;>09j7=?:2:97<<z,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22:1/=h853:&2a<<5ij1/=h952:&2a=<53-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5`d=9130(<kl:0::?!55=3;m>6*<2782b7=i;:h1=6`<3b82?!53:38jo6*>a580?!7f=390(>;l:27a?!52l39>n6`<5d82?k52n3;0b<=;:19m500=82.88<4>889'712=:2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$266>7=n?90;66g81;29?l102900e:650;9j5=3=831b?>j50;9j76c=831b=5850;9j710=831b?9950;9l37<722e<?7>5;h6f>5<#:k918i5a2c094>=n<j0;6)<m3;6g?k4e:3;07d:m:18'6g5=<m1e>o<52:9j0d<72-8i?7:k;o0a6?5<3`>26=4+2c190a=i:k81865f5683>!4e;3>o7c<m2;78?l31290/>o=54e9m6g4=>21b984?:%0a7?2c3g8i>794;h77>5<#:k918i5a2c09<>=n=:0;6)<m3;6g?k4e:3307d;=:18'6g5=<m1e>o<5a:9j14<72-8i?7:k;o0a6?d<3`?;6=4+2c190a=i:k81o65f4g83>!4e;3>o7c<m2;f8?l2?290/>o=54e9m6g4=m21b9h4?:%0a7?3c3g8i>7>4;h7`>5<#:k919i5a2c095>=n=k0;6)<m3;7g?k4e:3807d;n:18'6g5==m1e>o<53:9j1<<72-8i?7;k;o0a6?2<3`<<6=4+2c191a=i:k81965f6783>!4e;3?o7c<m2;48?l02290/>o=55e9m6g4=?21b:94?:%0a7?3c3g8i>764;h40>5<#:k919i5a2c09=>=n>;0;6)<m3;7g?k4e:3k07d8>:18'6g5==m1e>o<5b:9j25<72-8i?7;k;o0a6?e<3`?m6=4+2c191a=i:k81h65f5983>!4e;3?o7c<m2;g8?lda290/>o=5bd9m6g4=821bni4?:%0a7?db3g8i>7?4;h`a>5<#:k91nh5a2c096>=njh0;6)<m3;`f?k4e:3907dl6:18'6g5=jl1e>o<54:9jf=<72-8i?7lj;o0a6?3<3`h<6=4+2c19f`=i:k81:65fb783>!4e;3hn7c<m2;58?ld2290/>o=5bd9m6g4=021bn94?:%0a7?db3g8i>774;h`0>5<#:k91nh5a2c09e>=nj;0;6)<m3;`f?k4e:3h07dl?:18'6g5=jl1e>o<5c:9jec<72-8i?7lj;o0a6?b<3`kn6=4+2c19f`=i:k81i65fae83>!4e;3hn7c<m2;d8?lgd290/>o=5bd9m6g4=9910ell50;&1f6<em2d9n?4>1:9jed<72-8i?7lj;o0a6?7532cj57>5$3`0>gc<f;h96<=4;hc;>5<#:k91nh5a2c0951=<ah=1<7*=b28aa>h5j;0:965fc783>!4e;3hn7c<m2;35?>od=3:1(?l<:cg8j7d528=07dm;:18'6g5=jl1e>o<51998mf5=83.9n>4me:l1f7<6121bo?4?:%0a7?db3g8i>7?n;:k`5?6=,;h86ok4n3`1>4d<3`i;6=4+2c19f`=i:k81=n54ica94?"5j:0ii6`=b382`>=nj80;6)<m3;`f?k4e:3;n76gn6;29 7d42ko0b?l=:0d8?lc7290/>o=5dg9m6g4=821bhh4?:%0a7?ba3g8i>7?4;hf`>5<#:k91hk5a2c096>=nlk0;6)<m3;fe?k4e:3907djn:18'6g5=lo1e>o<54:9j`<<72-8i?7ji;o0a6?3<3`n36=4+2c19`c=i:k81:65fd683>!4e;3nm7c<m2;58?lb1290/>o=5dg9m6g4=021bh84?:%0a7?ba3g8i>774;hf7>5<#:k91hk5a2c09e>=nl:0;6)<m3;fe?k4e:3h07dj>:18'6g5=lo1e>o<5c:9j`5<72-8i?7ji;o0a6?b<3`im6=4+2c19`c=i:k81i65fcd83>!4e;3nm7c<m2;d8?lec290/>o=5dg9m6g4=9910enm50;&1f6<cn2d9n?4>1:9jgg<72-8i?7ji;o0a6?7532chm7>5$3`0>a`<f;h96<=4;ha:>5<#:k91hk5a2c0951=<aj21<7*=b28gb>h5j;0:965fe683>!4e;3nm7c<m2;35?>ob>3:1(?l<:ed8j7d528=07dk::18'6g5=lo1e>o<51998m`2=83.9n>4kf:l1f7<6121bi>4?:%0a7?ba3g8i>7?n;:kf6?6=,;h86ih4n3`1>4d<3`o:6=4+2c19`c=i:k81=n54ief94?"5j:0oj6`=b382`>=nl;0;6)<m3;fe?k4e:3;n76gl7;29 7d42ml0b?l=:0d8?l77l3:1(?l<:02`?k4e:3:07d??b;29 7d428:h7c<m2;38?l77i3:1(?l<:02`?k4e:3807d??9;29 7d428:h7c<m2;18?l76:3:1(?l<:032?k4e:3:07d?>0;29 7d428;:7c<m2;38?l77n3:1(?l<:032?k4e:3807d??e;29 7d428;:7c<m2;18?l52=3:1(?l<:277?k4e:3:07d=:3;29 7d42:??7c<m2;38?l52:3:1(?l<:277?k4e:3807d=:1;29 7d42:??7c<m2;18?l52i3:1(?l<:27:?k4e:3:07d=:8;29 7d42:?27c<m2;38?l52?3:1(?l<:27:?k4e:3807d=:6;29 7d42:?27c<m2;18?j75k3:1(?l<:00a?k4e:3:07b?=a;29 7d4288i7c<m2;38?j7503:1(?l<:00a?k4e:3807b?=7;29 7d4288i7c<m2;18?j75>3:1(?l<:00a?k4e:3>07b?=5;29 7d4288i7c<m2;78?j75<3:1(?l<:00a?k4e:3<07b?=3;29 7d4288i7c<m2;58?j75:3:1(?l<:00a?k4e:3207b?=1;29 7d4288i7c<m2;;8?j7583:1(?l<:00a?k4e:3k07b?>f;29 7d4288i7c<m2;`8?j76l3:1(?l<:00a?k4e:3i07b?>c;29 7d4288i7c<m2;f8?j76j3:1(?l<:00a?k4e:3o07b?>a;29 7d4288i7c<m2;d8?j7613:1(?l<:00a?k4e:3;;76a>1983>!4e;3;9n6`=b3825>=h98=1<7*=b2826g=i:k81=?54o035>5<#:k91=?l4n3`1>45<3f;:97>5$3`0>44e3g8i>7?;;:m251<72-8i?7?=b:l1f7<6=21d=>=50;&1f6<6:k1e>o<51798k455290/>o=513`8j7d528=07b?<1;29 7d4288i7c<m2;3;?>i6;90;6)<m3;31f>h5j;0:565`13d94?"5j:0:>o5a2c095d=<g88n6=4+2c1957d<f;h96<l4;n31`?6=,;h86<<m;o0a6?7d32e:>44?:%0a7?75j2d9n?4>d:9l54c=83.9n>4>2c9m6g4=9l10c<?<:18'6g5=9;h0b?l=:0d8?j73m3:1(?l<:06g?k4e:3:07b?;c;29 7d428>o7c<m2;38?j73i3:1(?l<:06g?k4e:3807b?;9;29 7d428>o7c<m2;18?j7303:1(?l<:06g?k4e:3>07b?;7;29 7d428>o7c<m2;78?j73>3:1(?l<:06g?k4e:3<07b?;5;29 7d428>o7c<m2;58?j73<3:1(?l<:06g?k4e:3207b?;3;29 7d428>o7c<m2;;8?j73:3:1(?l<:06g?k4e:3k07b?;1;29 7d428>o7c<m2;`8?j74n3:1(?l<:06g?k4e:3i07b?<e;29 7d428>o7c<m2;f8?j74l3:1(?l<:06g?k4e:3o07b?<c;29 7d428>o7c<m2;d8?j74j3:1(?l<:06g?k4e:3;;76a>3`83>!4e;3;?h6`=b3825>=h9:31<7*=b2820a=i:k81=?54o01;>5<#:k91=9j4n3`1>45<3f;8;7>5$3`0>42c3g8i>7?;;:m273<72-8i?7?;d:l1f7<6=21d=8;50;&1f6<6<m1e>o<51798k433290/>o=515f8j7d528=07b?:3;29 7d428>o7c<m2;3;?>i6=;0;6)<m3;37`>h5j;0:565`14394?"5j:0:8i5a2c095d=<g8?;6=4+2c1951b<f;h96<l4;n37b?6=,;h86<:k;o0a6?7d32e:8o4?:%0a7?73l2d9n?4>d:9l516=83.9n>4>4e9m6g4=9l10c<=::18'6g5=9=n0b?l=:0d8?j72j3:1(?l<:07b?k4e:3:07b?:9;29 7d428?j7c<m2;38?j7203:1(?l<:07b?k4e:3807b?:7;29 7d428?j7c<m2;18?j7183:1(?l<:07e?k4e:3:07b?:e;29 7d428?m7c<m2;38?j72l3:1(?l<:07e?k4e:3807b?:c;29 7d428?m7c<m2;18?xd59jo1<7m=:183\7f!53039986F<769K71b<R?o1ovk5f;0a>7e=;=0897=9:2596c<483936>75}o300?6<f8?=6=5+35395=?<,:>?6?5+1`597>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;53:&2a3<43-;n57<nc:&2a2<53-;n47<4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:io4>889'5`e=9130(><::26a?!55>39?n6`<3c82?k54k3;0(>:=:3c`?!7f<390(<o::29'70e=;<h0(>;k:27a?k52m3;0b>;i:09'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-9?97<4i6294?=n?80;66g87;29?l1?2900e<6::188m65c2900e>=j:188m4>12900e>:9:188m6202900c:<50;9l36<722c?i7>5$3`0>1b<f;h96=54i5a94?"5j:0?h6`=b382?>o3j3:1(?l<:5f8j7d52;10e9o50;&1f6<3l2d9n?4<;:k7=?6=,;h869j4n3`1>1=<a<=1<7*=b287`>h5j;0>76g:6;29 7d42=n0b?l=:798m03=83.9n>4;d:l1f7<032c>87>5$3`0>1b<f;h96554i4194?"5j:0?h6`=b38:?>o2:3:1(?l<:5f8j7d52h10e8?50;&1f6<3l2d9n?4m;:k64?6=,;h869j4n3`1>f=<a=l1<7*=b287`>h5j;0o76g;8;29 7d42=n0b?l=:d98m0c=83.9n>4:d:l1f7<732c>o7>5$3`0>0b<f;h96<54i4`94?"5j:0>h6`=b381?>o2i3:1(?l<:4f8j7d52:10e8750;&1f6<2l2d9n?4;;:k53?6=,;h868j4n3`1>0=<a?<1<7*=b286`>h5j;0=76g95;29 7d42<n0b?l=:698m32=83.9n>4:d:l1f7<?32c=?7>5$3`0>0b<f;h96454i7094?"5j:0>h6`=b38b?>o193:1(?l<:4f8j7d52k10e;>50;&1f6<2l2d9n?4l;:k6b?6=,;h868j4n3`1>a=<a<21<7*=b286`>h5j;0n76gmf;29 7d42ko0b?l=:198mgb=83.9n>4me:l1f7<632cin7>5$3`0>gc<f;h96?54icc94?"5j:0ii6`=b380?>oe13:1(?l<:cg8j7d52=10eo650;&1f6<em2d9n?4:;:ka3?6=,;h86ok4n3`1>3=<ak<1<7*=b28aa>h5j;0<76gm5;29 7d42ko0b?l=:998mg2=83.9n>4me:l1f7<>32ci?7>5$3`0>gc<f;h96l54ic094?"5j:0ii6`=b38a?>oe83:1(?l<:cg8j7d52j10elh50;&1f6<em2d9n?4k;:kba?6=,;h86ok4n3`1>`=<ahn1<7*=b28aa>h5j;0m76gnc;29 7d42ko0b?l=:028?lge290/>o=5bd9m6g4=9810elo50;&1f6<em2d9n?4>2:9je<<72-8i?7lj;o0a6?7432cj47>5$3`0>gc<f;h96<:4;hc4>5<#:k91nh5a2c0950=<aj<1<7*=b28aa>h5j;0::65fc483>!4e;3hn7c<m2;34?>od<3:1(?l<:cg8j7d528207dm<:18'6g5=jl1e>o<51898mf4=83.9n>4me:l1f7<6i21bo<4?:%0a7?db3g8i>7?m;:k`4?6=,;h86ok4n3`1>4e<3`hh6=4+2c19f`=i:k81=i54ic394?"5j:0ii6`=b382a>=ni?0;6)<m3;`f?k4e:3;m76gj0;29 7d42ml0b?l=:198mac=83.9n>4kf:l1f7<632coo7>5$3`0>a`<f;h96?54ie`94?"5j:0oj6`=b380?>oci3:1(?l<:ed8j7d52=10ei750;&1f6<cn2d9n?4:;:kg<?6=,;h86ih4n3`1>3=<am=1<7*=b28gb>h5j;0<76gk6;29 7d42ml0b?l=:998ma3=83.9n>4kf:l1f7<>32co87>5$3`0>a`<f;h96l54ie194?"5j:0oj6`=b38a?>oc93:1(?l<:ed8j7d52j10ei>50;&1f6<cn2d9n?4k;:k`b?6=,;h86ih4n3`1>`=<ajo1<7*=b28gb>h5j;0m76gld;29 7d42ml0b?l=:028?led290/>o=5dg9m6g4=9810enl50;&1f6<cn2d9n?4>2:9jgd<72-8i?7ji;o0a6?7432ch57>5$3`0>a`<f;h96<:4;ha;>5<#:k91hk5a2c0950=<al=1<7*=b28gb>h5j;0::65fe783>!4e;3nm7c<m2;34?>ob=3:1(?l<:ed8j7d528207dk;:18'6g5=lo1e>o<51898m`5=83.9n>4kf:l1f7<6i21bi?4?:%0a7?ba3g8i>7?m;:kf5?6=,;h86ih4n3`1>4e<3`no6=4+2c19`c=i:k81=i54ie094?"5j:0oj6`=b382a>=nk>0;6)<m3;fe?k4e:3;m76g>0e83>!4e;3;;o6`=b383?>o68k0;6)<m3;33g>h5j;0:76g>0`83>!4e;3;;o6`=b381?>o6800;6)<m3;33g>h5j;0876g>1383>!4e;3;:=6`=b383?>o6990;6)<m3;325>h5j;0:76g>0g83>!4e;3;:=6`=b381?>o68l0;6)<m3;325>h5j;0876g<5483>!4e;39>86`=b383?>o4=:0;6)<m3;160>h5j;0:76g<5383>!4e;39>86`=b381?>o4=80;6)<m3;160>h5j;0876g<5`83>!4e;39>56`=b383?>o4=10;6)<m3;16=>h5j;0:76g<5683>!4e;39>56`=b381?>o4=?0;6)<m3;16=>h5j;0876a>2b83>!4e;3;9n6`=b383?>i6:h0;6)<m3;31f>h5j;0:76a>2983>!4e;3;9n6`=b381?>i6:>0;6)<m3;31f>h5j;0876a>2783>!4e;3;9n6`=b387?>i6:<0;6)<m3;31f>h5j;0>76a>2583>!4e;3;9n6`=b385?>i6::0;6)<m3;31f>h5j;0<76a>2383>!4e;3;9n6`=b38;?>i6:80;6)<m3;31f>h5j;0276a>2183>!4e;3;9n6`=b38b?>i69o0;6)<m3;31f>h5j;0i76a>1e83>!4e;3;9n6`=b38`?>i69j0;6)<m3;31f>h5j;0o76a>1c83>!4e;3;9n6`=b38f?>i69h0;6)<m3;31f>h5j;0m76a>1883>!4e;3;9n6`=b3824>=h9821<7*=b2826g=i:k81=<54o034>5<#:k91=?l4n3`1>44<3f;::7>5$3`0>44e3g8i>7?<;:m250<72-8i?7?=b:l1f7<6<21d=<:50;&1f6<6:k1e>o<51498k454290/>o=513`8j7d528<07b?<2;29 7d4288i7c<m2;34?>i6;80;6)<m3;31f>h5j;0:465`12294?"5j:0:>o5a2c095<=<g88m6=4+2c1957d<f;h96<o4;n31a?6=,;h86<<m;o0a6?7e32e:>i4?:%0a7?75j2d9n?4>c:9l57?=83.9n>4>2c9m6g4=9m10c<?j:18'6g5=9;h0b?l=:0g8?j76;3:1(?l<:00a?k4e:3;m76a>4d83>!4e;3;?h6`=b383?>i6<j0;6)<m3;37`>h5j;0:76a>4`83>!4e;3;?h6`=b381?>i6<00;6)<m3;37`>h5j;0876a>4983>!4e;3;?h6`=b387?>i6<>0;6)<m3;37`>h5j;0>76a>4783>!4e;3;?h6`=b385?>i6<<0;6)<m3;37`>h5j;0<76a>4583>!4e;3;?h6`=b38;?>i6<:0;6)<m3;37`>h5j;0276a>4383>!4e;3;?h6`=b38b?>i6<80;6)<m3;37`>h5j;0i76a>3g83>!4e;3;?h6`=b38`?>i6;l0;6)<m3;37`>h5j;0o76a>3e83>!4e;3;?h6`=b38f?>i6;j0;6)<m3;37`>h5j;0m76a>3c83>!4e;3;?h6`=b3824>=h9:k1<7*=b2820a=i:k81=<54o01:>5<#:k91=9j4n3`1>44<3f;847>5$3`0>42c3g8i>7?<;:m272<72-8i?7?;d:l1f7<6<21d=>850;&1f6<6<m1e>o<51498k432290/>o=515f8j7d528<07b?:4;29 7d428>o7c<m2;34?>i6=:0;6)<m3;37`>h5j;0:465`14094?"5j:0:8i5a2c095<=<g8?:6=4+2c1951b<f;h96<o4;n364?6=,;h86<:k;o0a6?7e32e:8k4?:%0a7?73l2d9n?4>c:9l51d=83.9n>4>4e9m6g4=9m10c<:?:18'6g5=9=n0b?l=:0g8?j74=3:1(?l<:06g?k4e:3;m76a>5c83>!4e;3;>m6`=b383?>i6=00;6)<m3;36e>h5j;0:76a>5983>!4e;3;>m6`=b381?>i6=>0;6)<m3;36e>h5j;0876a>6183>!4e;3;>j6`=b383?>i6=l0;6)<m3;36b>h5j;0:76a>5e83>!4e;3;>j6`=b381?>i6=j0;6)<m3;36b>h5j;0876sm20ag>5<d:3:1<v*<498061=O;>=0D>:k;[4f>f}b2o09n7<l:26970<4>39<6?h53180<?5>2t.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<087)?j6;18 4c>2;kh7)?j7;08 4c?2;1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7bj3;356*>eb82<<=#;;?1=k<4$205>4`53g98n7?4n21`>4=#;=81>lm4$0c7>6=#9h?1?6*<5b801g=#;<n1?8l4n27f>4=i;<l1=6`>3583?k72>3:0(>:>:0::?!53<380(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&000<53`=;6=44i6394?=n?>0;66g88;29?l7?=3:17d=<d;29?l54m3:17d?76;29?l53>3:17d=;7;29?j152900c:=50;9j0`<72-8i?7:k;o0a6?6<3`>h6=4+2c190a=i:k81=65f4c83>!4e;3>o7c<m2;08?l2f290/>o=54e9m6g4=;21b844?:%0a7?2c3g8i>7:4;h74>5<#:k918i5a2c091>=n=?0;6)<m3;6g?k4e:3<07d;::18'6g5=<m1e>o<57:9j11<72-8i?7:k;o0a6?><3`?86=4+2c190a=i:k81565f5383>!4e;3>o7c<m2;c8?l36290/>o=54e9m6g4=j21b9=4?:%0a7?2c3g8i>7m4;h6e>5<#:k918i5a2c09`>=n<10;6)<m3;6g?k4e:3o07d;j:18'6g5==m1e>o<50:9j1f<72-8i?7;k;o0a6?7<3`?i6=4+2c191a=i:k81>65f5`83>!4e;3?o7c<m2;18?l3>290/>o=55e9m6g4=<21b::4?:%0a7?3c3g8i>7;4;h45>5<#:k919i5a2c092>=n><0;6)<m3;7g?k4e:3=07d8;:18'6g5==m1e>o<58:9j26<72-8i?7;k;o0a6??<3`<96=4+2c191a=i:k81m65f6083>!4e;3?o7c<m2;`8?l07290/>o=55e9m6g4=k21b9k4?:%0a7?3c3g8i>7j4;h7;>5<#:k919i5a2c09a>=njo0;6)<m3;`f?k4e:3:07dlk:18'6g5=jl1e>o<51:9jfg<72-8i?7lj;o0a6?4<3`hj6=4+2c19f`=i:k81?65fb883>!4e;3hn7c<m2;68?ld?290/>o=5bd9m6g4==21bn:4?:%0a7?db3g8i>784;h`5>5<#:k91nh5a2c093>=nj<0;6)<m3;`f?k4e:3207dl;:18'6g5=jl1e>o<59:9jf6<72-8i?7lj;o0a6?g<3`h96=4+2c19f`=i:k81n65fb183>!4e;3hn7c<m2;a8?lga290/>o=5bd9m6g4=l21bmh4?:%0a7?db3g8i>7k4;hcg>5<#:k91nh5a2c09b>=nij0;6)<m3;`f?k4e:3;;76gnb;29 7d42ko0b?l=:038?lgf290/>o=5bd9m6g4=9;10el750;&1f6<em2d9n?4>3:9je=<72-8i?7lj;o0a6?7332cj;7>5$3`0>gc<f;h96<;4;ha5>5<#:k91nh5a2c0953=<aj?1<7*=b28aa>h5j;0:;65fc583>!4e;3hn7c<m2;3;?>od;3:1(?l<:cg8j7d528307dm=:18'6g5=jl1e>o<51`98mf7=83.9n>4me:l1f7<6j21bo=4?:%0a7?db3g8i>7?l;:kag?6=,;h86ok4n3`1>4b<3`h:6=4+2c19f`=i:k81=h54i`494?"5j:0ii6`=b382b>=nm90;6)<m3;fe?k4e:3:07djj:18'6g5=lo1e>o<51:9j`f<72-8i?7ji;o0a6?4<3`ni6=4+2c19`c=i:k81?65fd`83>!4e;3nm7c<m2;68?lb>290/>o=5dg9m6g4==21bh54?:%0a7?ba3g8i>784;hf4>5<#:k91hk5a2c093>=nl?0;6)<m3;fe?k4e:3207dj::18'6g5=lo1e>o<59:9j`1<72-8i?7ji;o0a6?g<3`n86=4+2c19`c=i:k81n65fd083>!4e;3nm7c<m2;a8?lb7290/>o=5dg9m6g4=l21bok4?:%0a7?ba3g8i>7k4;haf>5<#:k91hk5a2c09b>=nkm0;6)<m3;fe?k4e:3;;76glc;29 7d42ml0b?l=:038?lee290/>o=5dg9m6g4=9;10eno50;&1f6<cn2d9n?4>3:9jg<<72-8i?7ji;o0a6?7332ch47>5$3`0>a`<f;h96<;4;hg4>5<#:k91hk5a2c0953=<al<1<7*=b28gb>h5j;0:;65fe483>!4e;3nm7c<m2;3;?>ob<3:1(?l<:ed8j7d528307dk<:18'6g5=lo1e>o<51`98m`4=83.9n>4kf:l1f7<6j21bi<4?:%0a7?ba3g8i>7?l;:kg`?6=,;h86ih4n3`1>4b<3`n96=4+2c19`c=i:k81=h54ib594?"5j:0oj6`=b382b>=n99n1<7*=b2824f=i:k81<65f11`94?"5j:0:<n5a2c095>=n99k1<7*=b2824f=i:k81>65f11;94?"5j:0:<n5a2c097>=n9881<7*=b28254=i:k81<65f10294?"5j:0:=<5a2c095>=n99l1<7*=b28254=i:k81>65f11g94?"5j:0:=<5a2c097>=n;<?1<7*=b28011=i:k81<65f34194?"5j:08995a2c095>=n;<81<7*=b28011=i:k81>65f34394?"5j:08995a2c097>=n;<k1<7*=b2801<=i:k81<65f34:94?"5j:08945a2c095>=n;<=1<7*=b2801<=i:k81>65f34494?"5j:08945a2c097>=h9;i1<7*=b2826g=i:k81<65`13c94?"5j:0:>o5a2c095>=h9;21<7*=b2826g=i:k81>65`13594?"5j:0:>o5a2c097>=h9;<1<7*=b2826g=i:k81865`13794?"5j:0:>o5a2c091>=h9;>1<7*=b2826g=i:k81:65`13194?"5j:0:>o5a2c093>=h9;81<7*=b2826g=i:k81465`13394?"5j:0:>o5a2c09=>=h9;:1<7*=b2826g=i:k81m65`10d94?"5j:0:>o5a2c09f>=h98n1<7*=b2826g=i:k81o65`10a94?"5j:0:>o5a2c09`>=h98h1<7*=b2826g=i:k81i65`10c94?"5j:0:>o5a2c09b>=h9831<7*=b2826g=i:k81==54o03;>5<#:k91=?l4n3`1>47<3f;:;7>5$3`0>44e3g8i>7?=;:m253<72-8i?7?=b:l1f7<6;21d=<;50;&1f6<6:k1e>o<51598k473290/>o=513`8j7d528?07b?<3;29 7d4288i7c<m2;35?>i6;;0;6)<m3;31f>h5j;0:;65`12394?"5j:0:>o5a2c095==<g89;6=4+2c1957d<f;h96<74;n31b?6=,;h86<<m;o0a6?7f32e:>h4?:%0a7?75j2d9n?4>b:9l57b=83.9n>4>2c9m6g4=9j10c<<6:18'6g5=9;h0b?l=:0f8?j76m3:1(?l<:00a?k4e:3;n76a>1283>!4e;3;9n6`=b382b>=h9=o1<7*=b2820a=i:k81<65`15a94?"5j:0:8i5a2c095>=h9=k1<7*=b2820a=i:k81>65`15;94?"5j:0:8i5a2c097>=h9=21<7*=b2820a=i:k81865`15594?"5j:0:8i5a2c091>=h9=<1<7*=b2820a=i:k81:65`15794?"5j:0:8i5a2c093>=h9=>1<7*=b2820a=i:k81465`15194?"5j:0:8i5a2c09=>=h9=81<7*=b2820a=i:k81m65`15394?"5j:0:8i5a2c09f>=h9:l1<7*=b2820a=i:k81o65`12g94?"5j:0:8i5a2c09`>=h9:n1<7*=b2820a=i:k81i65`12a94?"5j:0:8i5a2c09b>=h9:h1<7*=b2820a=i:k81==54o01b>5<#:k91=9j4n3`1>47<3f;857>5$3`0>42c3g8i>7?=;:m27=<72-8i?7?;d:l1f7<6;21d=>950;&1f6<6<m1e>o<51598k451290/>o=515f8j7d528?07b?:5;29 7d428>o7c<m2;35?>i6==0;6)<m3;37`>h5j;0:;65`14194?"5j:0:8i5a2c095==<g8?96=4+2c1951b<f;h96<74;n365?6=,;h86<:k;o0a6?7f32e:9=4?:%0a7?73l2d9n?4>b:9l51`=83.9n>4>4e9m6g4=9j10c<:m:18'6g5=9=n0b?l=:0f8?j7383:1(?l<:06g?k4e:3;n76a>3483>!4e;3;?h6`=b382b>=h9<h1<7*=b2821d=i:k81<65`14;94?"5j:0:9l5a2c095>=h9<21<7*=b2821d=i:k81>65`14594?"5j:0:9l5a2c097>=h9?:1<7*=b2821c=i:k81<65`14g94?"5j:0:9k5a2c095>=h9<n1<7*=b2821c=i:k81>65`14a94?"5j:0:9k5a2c097>=zj;;o>7>5c383>5}#;=21??:4H254?M53l2P=i7mte;d96g<5k39?6>;537803?4a2::1?54<9;\7fm562=82d:9;4?;%175?7?12.8894=;%3b3?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;18 4c12:1/=h752`a8 4c02;1/=h652:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<km:0::?!7bk3;356*<24800g=#;;<1?9l4n21a>4=i;:i1=6*<4381ef=#9h>1?6*>a480?!52k39>n6*<5e801g=i;<o1=6`<5g82?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/?9;52:k44?6=3`=:6=44i6594?=n?10;66g>8483>>o4;m0;66g<3d83>>o60?0;66g<4783>>o4<>0;66a82;29?j142900e9k50;&1f6<3l2d9n?4?;:k7g?6=,;h869j4n3`1>4=<a=h1<7*=b287`>h5j;0976g;a;29 7d42=n0b?l=:298m1?=83.9n>4;d:l1f7<332c>;7>5$3`0>1b<f;h96854i4494?"5j:0?h6`=b385?>o2=3:1(?l<:5f8j7d52>10e8:50;&1f6<3l2d9n?47;:k67?6=,;h869j4n3`1><=<a<81<7*=b287`>h5j;0j76g:1;29 7d42=n0b?l=:c98m06=83.9n>4;d:l1f7<d32c?j7>5$3`0>1b<f;h96i54i5:94?"5j:0?h6`=b38f?>o2m3:1(?l<:4f8j7d52910e8m50;&1f6<2l2d9n?4>;:k6f?6=,;h868j4n3`1>7=<a<k1<7*=b286`>h5j;0876g:9;29 7d42<n0b?l=:598m31=83.9n>4:d:l1f7<232c=:7>5$3`0>0b<f;h96;54i7794?"5j:0>h6`=b384?>o1<3:1(?l<:4f8j7d52110e;=50;&1f6<2l2d9n?46;:k56?6=,;h868j4n3`1>d=<a?;1<7*=b286`>h5j;0i76g90;29 7d42<n0b?l=:b98m0`=83.9n>4:d:l1f7<c32c>47>5$3`0>0b<f;h96h54icd94?"5j:0ii6`=b383?>oel3:1(?l<:cg8j7d52810eol50;&1f6<em2d9n?4=;:kae?6=,;h86ok4n3`1>6=<ak31<7*=b28aa>h5j;0?76gm8;29 7d42ko0b?l=:498mg1=83.9n>4me:l1f7<132ci:7>5$3`0>gc<f;h96:54ic794?"5j:0ii6`=b38;?>oe<3:1(?l<:cg8j7d52010eo=50;&1f6<em2d9n?4n;:ka6?6=,;h86ok4n3`1>g=<ak:1<7*=b28aa>h5j;0h76gnf;29 7d42ko0b?l=:e98mdc=83.9n>4me:l1f7<b32cjh7>5$3`0>gc<f;h96k54i`a94?"5j:0ii6`=b3824>=nik0;6)<m3;`f?k4e:3;:76gna;29 7d42ko0b?l=:008?lg>290/>o=5bd9m6g4=9:10el650;&1f6<em2d9n?4>4:9je2<72-8i?7lj;o0a6?7232ch:7>5$3`0>gc<f;h96<84;ha6>5<#:k91nh5a2c0952=<aj>1<7*=b28aa>h5j;0:465fc283>!4e;3hn7c<m2;3:?>od:3:1(?l<:cg8j7d528k07dm>:18'6g5=jl1e>o<51c98mf6=83.9n>4me:l1f7<6k21bnn4?:%0a7?db3g8i>7?k;:ka5?6=,;h86ok4n3`1>4c<3`k=6=4+2c19f`=i:k81=k54id294?"5j:0oj6`=b383?>ocm3:1(?l<:ed8j7d52810eim50;&1f6<cn2d9n?4=;:kgf?6=,;h86ih4n3`1>6=<amk1<7*=b28gb>h5j;0?76gk9;29 7d42ml0b?l=:498ma>=83.9n>4kf:l1f7<132co;7>5$3`0>a`<f;h96:54ie494?"5j:0oj6`=b38;?>oc=3:1(?l<:ed8j7d52010ei:50;&1f6<cn2d9n?4n;:kg7?6=,;h86ih4n3`1>g=<am;1<7*=b28gb>h5j;0h76gk0;29 7d42ml0b?l=:e98mf`=83.9n>4kf:l1f7<b32chi7>5$3`0>a`<f;h96k54ibf94?"5j:0oj6`=b3824>=nkj0;6)<m3;fe?k4e:3;:76glb;29 7d42ml0b?l=:008?lef290/>o=5dg9m6g4=9:10en750;&1f6<cn2d9n?4>4:9jg=<72-8i?7ji;o0a6?7232cn;7>5$3`0>a`<f;h96<84;hg5>5<#:k91hk5a2c0952=<al?1<7*=b28gb>h5j;0:465fe583>!4e;3nm7c<m2;3:?>ob;3:1(?l<:ed8j7d528k07dk=:18'6g5=lo1e>o<51c98m`7=83.9n>4kf:l1f7<6k21bhi4?:%0a7?ba3g8i>7?k;:kg6?6=,;h86ih4n3`1>4c<3`i<6=4+2c19`c=i:k81=k54i02g>5<#:k91==m4n3`1>5=<a8:i6=4+2c1955e<f;h96<54i02b>5<#:k91==m4n3`1>7=<a8:26=4+2c1955e<f;h96>54i031>5<#:k91=<?4n3`1>5=<a8;;6=4+2c19547<f;h96<54i02e>5<#:k91=<?4n3`1>7=<a8:n6=4+2c19547<f;h96>54i276>5<#:k91?8:4n3`1>5=<a:?86=4+2c19702<f;h96<54i271>5<#:k91?8:4n3`1>7=<a:?:6=4+2c19702<f;h96>54i27b>5<#:k91?874n3`1>5=<a:?36=4+2c1970?<f;h96<54i274>5<#:k91?874n3`1>7=<a:?=6=4+2c1970?<f;h96>54o00`>5<#:k91=?l4n3`1>5=<g88j6=4+2c1957d<f;h96<54o00;>5<#:k91=?l4n3`1>7=<g88<6=4+2c1957d<f;h96>54o005>5<#:k91=?l4n3`1>1=<g88>6=4+2c1957d<f;h96854o007>5<#:k91=?l4n3`1>3=<g8886=4+2c1957d<f;h96:54o001>5<#:k91=?l4n3`1>==<g88:6=4+2c1957d<f;h96454o003>5<#:k91=?l4n3`1>d=<g8;m6=4+2c1957d<f;h96o54o03g>5<#:k91=?l4n3`1>f=<g8;h6=4+2c1957d<f;h96i54o03a>5<#:k91=?l4n3`1>`=<g8;j6=4+2c1957d<f;h96k54o03:>5<#:k91=?l4n3`1>46<3f;:47>5$3`0>44e3g8i>7?>;:m252<72-8i?7?=b:l1f7<6:21d=<850;&1f6<6:k1e>o<51298k472290/>o=513`8j7d528>07b?>4;29 7d4288i7c<m2;36?>i6;:0;6)<m3;31f>h5j;0::65`12094?"5j:0:>o5a2c0952=<g89:6=4+2c1957d<f;h96<64;n304?6=,;h86<<m;o0a6?7>32e:>k4?:%0a7?75j2d9n?4>a:9l57c=83.9n>4>2c9m6g4=9k10c<<k:18'6g5=9;h0b?l=:0a8?j7513:1(?l<:00a?k4e:3;o76a>1d83>!4e;3;9n6`=b382a>=h9891<7*=b2826g=i:k81=k54o06f>5<#:k91=9j4n3`1>5=<g8>h6=4+2c1951b<f;h96<54o06b>5<#:k91=9j4n3`1>7=<g8>26=4+2c1951b<f;h96>54o06;>5<#:k91=9j4n3`1>1=<g8><6=4+2c1951b<f;h96854o065>5<#:k91=9j4n3`1>3=<g8>>6=4+2c1951b<f;h96:54o067>5<#:k91=9j4n3`1>==<g8>86=4+2c1951b<f;h96454o061>5<#:k91=9j4n3`1>d=<g8>:6=4+2c1951b<f;h96o54o01e>5<#:k91=9j4n3`1>f=<g89n6=4+2c1951b<f;h96i54o01g>5<#:k91=9j4n3`1>`=<g89h6=4+2c1951b<f;h96k54o01a>5<#:k91=9j4n3`1>46<3f;8m7>5$3`0>42c3g8i>7?>;:m27<<72-8i?7?;d:l1f7<6:21d=>650;&1f6<6<m1e>o<51298k450290/>o=515f8j7d528>07b?<6;29 7d428>o7c<m2;36?>i6=<0;6)<m3;37`>h5j;0::65`14694?"5j:0:8i5a2c0952=<g8?86=4+2c1951b<f;h96<64;n366?6=,;h86<:k;o0a6?7>32e:9<4?:%0a7?73l2d9n?4>a:9l506=83.9n>4>4e9m6g4=9k10c<:i:18'6g5=9=n0b?l=:0a8?j73j3:1(?l<:06g?k4e:3;o76a>4183>!4e;3;?h6`=b382a>=h9:?1<7*=b2820a=i:k81=k54o07a>5<#:k91=8o4n3`1>5=<g8?26=4+2c1950g<f;h96<54o07;>5<#:k91=8o4n3`1>7=<g8?<6=4+2c1950g<f;h96>54o043>5<#:k91=8h4n3`1>5=<g8?n6=4+2c1950`<f;h96<54o07g>5<#:k91=8h4n3`1>7=<g8?h6=4+2c1950`<f;h96>54}c02`4<72j81<7>t$26;>6433A9<;6F<4e9Y2`<dsl0m6?l52b800?522:<1?:4=f;13>6>=;00v(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6>5+1d497>"6m009mn5+1d596>"6m1097)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9lh1=574$0g`>4>>3-9997?i2:&063<6n;1e?>l51:l07f<63-9?>7<nc:&2e1<43-;j97=4$27`>63e3-9>h7=:b:l01`<63g9>j7?4n017>5=i9<<1<6*<4082<<=#;=>1>6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 6222;1b;=4?::k45?6=3`=<6=44i6:94?=n91?1<75f32f94?=n;:o1<75f19494?=n;=<1<75f35594?=h?;0;66a83;29?l2b290/>o=54e9m6g4=821b8n4?:%0a7?2c3g8i>7?4;h6a>5<#:k918i5a2c096>=n<h0;6)<m3;6g?k4e:3907d:6:18'6g5=<m1e>o<54:9j12<72-8i?7:k;o0a6?3<3`?=6=4+2c190a=i:k81:65f5483>!4e;3>o7c<m2;58?l33290/>o=54e9m6g4=021b9>4?:%0a7?2c3g8i>774;h71>5<#:k918i5a2c09e>=n=80;6)<m3;6g?k4e:3h07d;?:18'6g5=<m1e>o<5c:9j0c<72-8i?7:k;o0a6?b<3`>36=4+2c190a=i:k81i65f5d83>!4e;3?o7c<m2;28?l3d290/>o=55e9m6g4=921b9o4?:%0a7?3c3g8i>7<4;h7b>5<#:k919i5a2c097>=n=00;6)<m3;7g?k4e:3>07d88:18'6g5==m1e>o<55:9j23<72-8i?7;k;o0a6?0<3`<>6=4+2c191a=i:k81;65f6583>!4e;3?o7c<m2;:8?l04290/>o=55e9m6g4=121b:?4?:%0a7?3c3g8i>7o4;h42>5<#:k919i5a2c09f>=n>90;6)<m3;7g?k4e:3i07d;i:18'6g5==m1e>o<5d:9j1=<72-8i?7;k;o0a6?c<3`hm6=4+2c19f`=i:k81<65fbe83>!4e;3hn7c<m2;38?lde290/>o=5bd9m6g4=:21bnl4?:%0a7?db3g8i>7=4;h`:>5<#:k91nh5a2c090>=nj10;6)<m3;`f?k4e:3?07dl8:18'6g5=jl1e>o<56:9jf3<72-8i?7lj;o0a6?1<3`h>6=4+2c19f`=i:k81465fb583>!4e;3hn7c<m2;;8?ld4290/>o=5bd9m6g4=i21bn?4?:%0a7?db3g8i>7l4;h`3>5<#:k91nh5a2c09g>=nio0;6)<m3;`f?k4e:3n07doj:18'6g5=jl1e>o<5e:9jea<72-8i?7lj;o0a6?`<3`kh6=4+2c19f`=i:k81==54i``94?"5j:0ii6`=b3825>=nih0;6)<m3;`f?k4e:3;976gn9;29 7d42ko0b?l=:018?lg?290/>o=5bd9m6g4=9=10el950;&1f6<em2d9n?4>5:9jg3<72-8i?7lj;o0a6?7132ch97>5$3`0>gc<f;h96<94;ha7>5<#:k91nh5a2c095==<aj91<7*=b28aa>h5j;0:565fc383>!4e;3hn7c<m2;3b?>od93:1(?l<:cg8j7d528h07dm?:18'6g5=jl1e>o<51b98mge=83.9n>4me:l1f7<6l21bn<4?:%0a7?db3g8i>7?j;:kb2?6=,;h86ok4n3`1>4`<3`o;6=4+2c19`c=i:k81<65fdd83>!4e;3nm7c<m2;38?lbd290/>o=5dg9m6g4=:21bho4?:%0a7?ba3g8i>7=4;hfb>5<#:k91hk5a2c090>=nl00;6)<m3;fe?k4e:3?07dj7:18'6g5=lo1e>o<56:9j`2<72-8i?7ji;o0a6?1<3`n=6=4+2c19`c=i:k81465fd483>!4e;3nm7c<m2;;8?lb3290/>o=5dg9m6g4=i21bh>4?:%0a7?ba3g8i>7l4;hf2>5<#:k91hk5a2c09g>=nl90;6)<m3;fe?k4e:3n07dmi:18'6g5=lo1e>o<5e:9jg`<72-8i?7ji;o0a6?`<3`io6=4+2c19`c=i:k81==54iba94?"5j:0oj6`=b3825>=nkk0;6)<m3;fe?k4e:3;976gla;29 7d42ml0b?l=:018?le>290/>o=5dg9m6g4=9=10en650;&1f6<cn2d9n?4>5:9ja2<72-8i?7ji;o0a6?7132cn:7>5$3`0>a`<f;h96<94;hg6>5<#:k91hk5a2c095==<al>1<7*=b28gb>h5j;0:565fe283>!4e;3nm7c<m2;3b?>ob:3:1(?l<:ed8j7d528h07dk>:18'6g5=lo1e>o<51b98mab=83.9n>4kf:l1f7<6l21bh?4?:%0a7?ba3g8i>7?j;:k`3?6=,;h86ih4n3`1>4`<3`;;h7>5$3`0>46d3g8i>7>4;h33f?6=,;h86<>l;o0a6?7<3`;;m7>5$3`0>46d3g8i>7<4;h33=?6=,;h86<>l;o0a6?5<3`;:>7>5$3`0>4763g8i>7>4;h324?6=,;h86<?>;o0a6?7<3`;;j7>5$3`0>4763g8i>7<4;h33a?6=,;h86<?>;o0a6?5<3`9>97>5$3`0>6333g8i>7>4;h167?6=,;h86>;;;o0a6?7<3`9>>7>5$3`0>6333g8i>7<4;h165?6=,;h86>;;;o0a6?5<3`9>m7>5$3`0>63>3g8i>7>4;h16<?6=,;h86>;6;o0a6?7<3`9>;7>5$3`0>63>3g8i>7<4;h162?6=,;h86>;6;o0a6?5<3f;9o7>5$3`0>44e3g8i>7>4;n31e?6=,;h86<<m;o0a6?7<3f;947>5$3`0>44e3g8i>7<4;n313?6=,;h86<<m;o0a6?5<3f;9:7>5$3`0>44e3g8i>7:4;n311?6=,;h86<<m;o0a6?3<3f;987>5$3`0>44e3g8i>784;n317?6=,;h86<<m;o0a6?1<3f;9>7>5$3`0>44e3g8i>764;n315?6=,;h86<<m;o0a6??<3f;9<7>5$3`0>44e3g8i>7o4;n32b?6=,;h86<<m;o0a6?d<3f;:h7>5$3`0>44e3g8i>7m4;n32g?6=,;h86<<m;o0a6?b<3f;:n7>5$3`0>44e3g8i>7k4;n32e?6=,;h86<<m;o0a6?`<3f;:57>5$3`0>44e3g8i>7??;:m25=<72-8i?7?=b:l1f7<6921d=<950;&1f6<6:k1e>o<51398k471290/>o=513`8j7d528907b?>5;29 7d4288i7c<m2;37?>i69=0;6)<m3;31f>h5j;0:965`12194?"5j:0:>o5a2c0953=<g8996=4+2c1957d<f;h96<94;n305?6=,;h86<<m;o0a6?7?32e:?=4?:%0a7?75j2d9n?4>9:9l57`=83.9n>4>2c9m6g4=9h10c<<j:18'6g5=9;h0b?l=:0`8?j75l3:1(?l<:00a?k4e:3;h76a>2883>!4e;3;9n6`=b382`>=h98o1<7*=b2826g=i:k81=h54o030>5<#:k91=?l4n3`1>4`<3f;?i7>5$3`0>42c3g8i>7>4;n37g?6=,;h86<:k;o0a6?7<3f;?m7>5$3`0>42c3g8i>7<4;n37=?6=,;h86<:k;o0a6?5<3f;?47>5$3`0>42c3g8i>7:4;n373?6=,;h86<:k;o0a6?3<3f;?:7>5$3`0>42c3g8i>784;n371?6=,;h86<:k;o0a6?1<3f;?87>5$3`0>42c3g8i>764;n377?6=,;h86<:k;o0a6??<3f;?>7>5$3`0>42c3g8i>7o4;n375?6=,;h86<:k;o0a6?d<3f;8j7>5$3`0>42c3g8i>7m4;n30a?6=,;h86<:k;o0a6?b<3f;8h7>5$3`0>42c3g8i>7k4;n30g?6=,;h86<:k;o0a6?`<3f;8n7>5$3`0>42c3g8i>7??;:m27d<72-8i?7?;d:l1f7<6921d=>750;&1f6<6<m1e>o<51398k45?290/>o=515f8j7d528907b?<7;29 7d428>o7c<m2;37?>i6;?0;6)<m3;37`>h5j;0:965`14794?"5j:0:8i5a2c0953=<g8??6=4+2c1951b<f;h96<94;n367?6=,;h86<:k;o0a6?7?32e:9?4?:%0a7?73l2d9n?4>9:9l507=83.9n>4>4e9m6g4=9h10c<;?:18'6g5=9=n0b?l=:0`8?j73n3:1(?l<:06g?k4e:3;h76a>4c83>!4e;3;?h6`=b382`>=h9=:1<7*=b2820a=i:k81=h54o016>5<#:k91=9j4n3`1>4`<3f;>n7>5$3`0>43f3g8i>7>4;n36=?6=,;h86<;n;o0a6?7<3f;>47>5$3`0>43f3g8i>7<4;n363?6=,;h86<;n;o0a6?5<3f;=<7>5$3`0>43a3g8i>7>4;n36a?6=,;h86<;i;o0a6?7<3f;>h7>5$3`0>43a3g8i>7<4;n36g?6=,;h86<;i;o0a6?5<3th9=i850;a1>5<7s-9?47==4:J032=O;=n0V;k5czg9b?4e2;i1?94<5;15>61=:o08<7=7:2;9yk74<3:0b<;9:19'717=9130(>:;:39'5d1=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d797>"6m?087)?j9;0bg>"6m>097)?j8;08 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>ec82<<=#9li1=574$206>62e3-99:7=;b:l07g<63g98o7?4$261>7gd3-;j87=4$0c6>6=#;<i1?8l4$27g>63e3g9>i7?4n27e>4=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)=;5;08m26=831b;<4?::k43?6=3`=36=44i0:6>5<<a:9o6=44i21f>5<<a82=6=44i265>5<<a:><6=44o6094?=h?:0;66g;e;29 7d42=n0b?l=:198m1e=83.9n>4;d:l1f7<632c?n7>5$3`0>1b<f;h96?54i5c94?"5j:0?h6`=b380?>o313:1(?l<:5f8j7d52=10e8950;&1f6<3l2d9n?4:;:k62?6=,;h869j4n3`1>3=<a<?1<7*=b287`>h5j;0<76g:4;29 7d42=n0b?l=:998m05=83.9n>4;d:l1f7<>32c>>7>5$3`0>1b<f;h96l54i4394?"5j:0?h6`=b38a?>o283:1(?l<:5f8j7d52j10e9h50;&1f6<3l2d9n?4k;:k7<?6=,;h869j4n3`1>`=<a<o1<7*=b286`>h5j;0;76g:c;29 7d42<n0b?l=:098m0d=83.9n>4:d:l1f7<532c>m7>5$3`0>0b<f;h96>54i4;94?"5j:0>h6`=b387?>o1?3:1(?l<:4f8j7d52<10e;850;&1f6<2l2d9n?49;:k51?6=,;h868j4n3`1>2=<a?>1<7*=b286`>h5j;0376g93;29 7d42<n0b?l=:898m34=83.9n>4:d:l1f7<f32c==7>5$3`0>0b<f;h96o54i7294?"5j:0>h6`=b38`?>o2n3:1(?l<:4f8j7d52m10e8650;&1f6<2l2d9n?4j;:kab?6=,;h86ok4n3`1>5=<akn1<7*=b28aa>h5j;0:76gmb;29 7d42ko0b?l=:398mgg=83.9n>4me:l1f7<432ci57>5$3`0>gc<f;h96954ic:94?"5j:0ii6`=b386?>oe?3:1(?l<:cg8j7d52?10eo850;&1f6<em2d9n?48;:ka1?6=,;h86ok4n3`1>==<ak>1<7*=b28aa>h5j;0276gm3;29 7d42ko0b?l=:`98mg4=83.9n>4me:l1f7<e32ci<7>5$3`0>gc<f;h96n54i`d94?"5j:0ii6`=b38g?>ofm3:1(?l<:cg8j7d52l10elj50;&1f6<em2d9n?4i;:kbg?6=,;h86ok4n3`1>46<3`ki6=4+2c19f`=i:k81=<54i`c94?"5j:0ii6`=b3826>=ni00;6)<m3;`f?k4e:3;876gn8;29 7d42ko0b?l=:068?lg0290/>o=5bd9m6g4=9<10en850;&1f6<em2d9n?4>6:9jg0<72-8i?7lj;o0a6?7032ch87>5$3`0>gc<f;h96<64;ha0>5<#:k91nh5a2c095<=<aj81<7*=b28aa>h5j;0:m65fc083>!4e;3hn7c<m2;3a?>od83:1(?l<:cg8j7d528i07dll:18'6g5=jl1e>o<51e98mg7=83.9n>4me:l1f7<6m21bm;4?:%0a7?db3g8i>7?i;:kf4?6=,;h86ih4n3`1>5=<amo1<7*=b28gb>h5j;0:76gkc;29 7d42ml0b?l=:398mad=83.9n>4kf:l1f7<432com7>5$3`0>a`<f;h96954ie;94?"5j:0oj6`=b386?>oc03:1(?l<:ed8j7d52?10ei950;&1f6<cn2d9n?48;:kg2?6=,;h86ih4n3`1>==<am?1<7*=b28gb>h5j;0276gk4;29 7d42ml0b?l=:`98ma5=83.9n>4kf:l1f7<e32co=7>5$3`0>a`<f;h96n54ie294?"5j:0oj6`=b38g?>odn3:1(?l<:ed8j7d52l10enk50;&1f6<cn2d9n?4i;:k``?6=,;h86ih4n3`1>46<3`ih6=4+2c19`c=i:k81=<54ib`94?"5j:0oj6`=b3826>=nkh0;6)<m3;fe?k4e:3;876gl9;29 7d42ml0b?l=:068?le?290/>o=5dg9m6g4=9<10eh950;&1f6<cn2d9n?4>6:9ja3<72-8i?7ji;o0a6?7032cn97>5$3`0>a`<f;h96<64;hg7>5<#:k91hk5a2c095<=<al91<7*=b28gb>h5j;0:m65fe383>!4e;3nm7c<m2;3a?>ob93:1(?l<:ed8j7d528i07djk:18'6g5=lo1e>o<51e98ma4=83.9n>4kf:l1f7<6m21bo:4?:%0a7?ba3g8i>7?i;:k24a<72-8i?7??c:l1f7<732c:<o4?:%0a7?77k2d9n?4>;:k24d<72-8i?7??c:l1f7<532c:<44?:%0a7?77k2d9n?4<;:k257<72-8i?7?>1:l1f7<732c:==4?:%0a7?7692d9n?4>;:k24c<72-8i?7?>1:l1f7<532c:<h4?:%0a7?7692d9n?4<;:k010<72-8i?7=:4:l1f7<732c89>4?:%0a7?52<2d9n?4>;:k017<72-8i?7=:4:l1f7<532c89<4?:%0a7?52<2d9n?4<;:k01d<72-8i?7=:9:l1f7<732c8954?:%0a7?5212d9n?4>;:k012<72-8i?7=:9:l1f7<532c89;4?:%0a7?5212d9n?4<;:m26f<72-8i?7?=b:l1f7<732e:>l4?:%0a7?75j2d9n?4>;:m26=<72-8i?7?=b:l1f7<532e:>:4?:%0a7?75j2d9n?4<;:m263<72-8i?7?=b:l1f7<332e:>84?:%0a7?75j2d9n?4:;:m261<72-8i?7?=b:l1f7<132e:>>4?:%0a7?75j2d9n?48;:m267<72-8i?7?=b:l1f7<?32e:><4?:%0a7?75j2d9n?46;:m265<72-8i?7?=b:l1f7<f32e:=k4?:%0a7?75j2d9n?4m;:m25a<72-8i?7?=b:l1f7<d32e:=n4?:%0a7?75j2d9n?4k;:m25g<72-8i?7?=b:l1f7<b32e:=l4?:%0a7?75j2d9n?4i;:m25<<72-8i?7?=b:l1f7<6821d=<650;&1f6<6:k1e>o<51098k470290/>o=513`8j7d528807b?>6;29 7d4288i7c<m2;30?>i69<0;6)<m3;31f>h5j;0:865`10694?"5j:0:>o5a2c0950=<g8986=4+2c1957d<f;h96<84;n306?6=,;h86<<m;o0a6?7032e:?<4?:%0a7?75j2d9n?4>8:9l566=83.9n>4>2c9m6g4=9010c<<i:18'6g5=9;h0b?l=:0c8?j75m3:1(?l<:00a?k4e:3;i76a>2e83>!4e;3;9n6`=b382g>=h9;31<7*=b2826g=i:k81=i54o03f>5<#:k91=?l4n3`1>4c<3f;:?7>5$3`0>44e3g8i>7?i;:m20`<72-8i?7?;d:l1f7<732e:8n4?:%0a7?73l2d9n?4>;:m20d<72-8i?7?;d:l1f7<532e:844?:%0a7?73l2d9n?4<;:m20=<72-8i?7?;d:l1f7<332e:8:4?:%0a7?73l2d9n?4:;:m203<72-8i?7?;d:l1f7<132e:884?:%0a7?73l2d9n?48;:m201<72-8i?7?;d:l1f7<?32e:8>4?:%0a7?73l2d9n?46;:m207<72-8i?7?;d:l1f7<f32e:8<4?:%0a7?73l2d9n?4m;:m27c<72-8i?7?;d:l1f7<d32e:?h4?:%0a7?73l2d9n?4k;:m27a<72-8i?7?;d:l1f7<b32e:?n4?:%0a7?73l2d9n?4i;:m27g<72-8i?7?;d:l1f7<6821d=>o50;&1f6<6<m1e>o<51098k45>290/>o=515f8j7d528807b?<8;29 7d428>o7c<m2;30?>i6;>0;6)<m3;37`>h5j;0:865`12494?"5j:0:8i5a2c0950=<g8?>6=4+2c1951b<f;h96<84;n360?6=,;h86<:k;o0a6?7032e:9>4?:%0a7?73l2d9n?4>8:9l504=83.9n>4>4e9m6g4=9010c<;>:18'6g5=9=n0b?l=:0c8?j7283:1(?l<:06g?k4e:3;i76a>4g83>!4e;3;?h6`=b382g>=h9=h1<7*=b2820a=i:k81=i54o063>5<#:k91=9j4n3`1>4c<3f;897>5$3`0>42c3g8i>7?i;:m21g<72-8i?7?:a:l1f7<732e:944?:%0a7?72i2d9n?4>;:m21=<72-8i?7?:a:l1f7<532e:9:4?:%0a7?72i2d9n?4<;:m225<72-8i?7?:f:l1f7<732e:9h4?:%0a7?72n2d9n?4>;:m21a<72-8i?7?:f:l1f7<532e:9n4?:%0a7?72n2d9n?4<;:\7fa64b2290h>7>50z&00=<4:=1C?:94H26g?_0b2jqn6k4=b;0`>62=;<08:7=8:3d975<403926p*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84<;%3f2?5<,8o26?ol;%3f3?4<,8o36?5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;nn7?79:&2af<6001/??;51g08 64128l97c=<b;38j65d281/?9<52`a8 4g32:1/=l;53:&01f<4=k1/?8j534`8j63b281e?8h51:l271<73g;>:7>4$262>4>>3-9?87<4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"4<<097d9?:188m27=831b;:4?::k4<?6=3`;397>5;h10`?6=3`98i7>5;h3;2?6=3`9?:7>5;h173?6=3f=96=44o6194?=n<l0;6)<m3;6g?k4e:3:07d:l:18'6g5=<m1e>o<51:9j0g<72-8i?7:k;o0a6?4<3`>j6=4+2c190a=i:k81?65f4883>!4e;3>o7c<m2;68?l30290/>o=54e9m6g4==21b9;4?:%0a7?2c3g8i>784;h76>5<#:k918i5a2c093>=n==0;6)<m3;6g?k4e:3207d;<:18'6g5=<m1e>o<59:9j17<72-8i?7:k;o0a6?g<3`?:6=4+2c190a=i:k81n65f5183>!4e;3>o7c<m2;a8?l2a290/>o=54e9m6g4=l21b854?:%0a7?2c3g8i>7k4;h7f>5<#:k919i5a2c094>=n=j0;6)<m3;7g?k4e:3;07d;m:18'6g5==m1e>o<52:9j1d<72-8i?7;k;o0a6?5<3`?26=4+2c191a=i:k81865f6683>!4e;3?o7c<m2;78?l01290/>o=55e9m6g4=>21b:84?:%0a7?3c3g8i>794;h47>5<#:k919i5a2c09<>=n>:0;6)<m3;7g?k4e:3307d8=:18'6g5==m1e>o<5a:9j24<72-8i?7;k;o0a6?d<3`<;6=4+2c191a=i:k81o65f5g83>!4e;3?o7c<m2;f8?l3?290/>o=55e9m6g4=m21bnk4?:%0a7?db3g8i>7>4;h`g>5<#:k91nh5a2c095>=njk0;6)<m3;`f?k4e:3807dln:18'6g5=jl1e>o<53:9jf<<72-8i?7lj;o0a6?2<3`h36=4+2c19f`=i:k81965fb683>!4e;3hn7c<m2;48?ld1290/>o=5bd9m6g4=?21bn84?:%0a7?db3g8i>764;h`7>5<#:k91nh5a2c09=>=nj:0;6)<m3;`f?k4e:3k07dl=:18'6g5=jl1e>o<5b:9jf5<72-8i?7lj;o0a6?e<3`km6=4+2c19f`=i:k81h65fad83>!4e;3hn7c<m2;g8?lgc290/>o=5bd9m6g4=n21bmn4?:%0a7?db3g8i>7??;:kbf?6=,;h86ok4n3`1>47<3`kj6=4+2c19f`=i:k81=?54i`;94?"5j:0ii6`=b3827>=ni10;6)<m3;`f?k4e:3;?76gn7;29 7d42ko0b?l=:078?le1290/>o=5bd9m6g4=9?10en;50;&1f6<em2d9n?4>7:9jg1<72-8i?7lj;o0a6?7?32ch?7>5$3`0>gc<f;h96<74;ha1>5<#:k91nh5a2c095d=<aj;1<7*=b28aa>h5j;0:n65fc183>!4e;3hn7c<m2;3`?>oek3:1(?l<:cg8j7d528n07dl>:18'6g5=jl1e>o<51d98md0=83.9n>4me:l1f7<6n21bi=4?:%0a7?ba3g8i>7>4;hff>5<#:k91hk5a2c095>=nlj0;6)<m3;fe?k4e:3807djm:18'6g5=lo1e>o<53:9j`d<72-8i?7ji;o0a6?2<3`n26=4+2c19`c=i:k81965fd983>!4e;3nm7c<m2;48?lb0290/>o=5dg9m6g4=?21bh;4?:%0a7?ba3g8i>764;hf6>5<#:k91hk5a2c09=>=nl=0;6)<m3;fe?k4e:3k07dj<:18'6g5=lo1e>o<5b:9j`4<72-8i?7ji;o0a6?e<3`n;6=4+2c19`c=i:k81h65fcg83>!4e;3nm7c<m2;g8?leb290/>o=5dg9m6g4=n21boi4?:%0a7?ba3g8i>7??;:k`g?6=,;h86ih4n3`1>47<3`ii6=4+2c19`c=i:k81=?54ibc94?"5j:0oj6`=b3827>=nk00;6)<m3;fe?k4e:3;?76gl8;29 7d42ml0b?l=:078?lc0290/>o=5dg9m6g4=9?10eh850;&1f6<cn2d9n?4>7:9ja0<72-8i?7ji;o0a6?7?32cn87>5$3`0>a`<f;h96<74;hg0>5<#:k91hk5a2c095d=<al81<7*=b28gb>h5j;0:n65fe083>!4e;3nm7c<m2;3`?>ocl3:1(?l<:ed8j7d528n07dj=:18'6g5=lo1e>o<51d98mf1=83.9n>4kf:l1f7<6n21b==j50;&1f6<68j1e>o<50:9j55d=83.9n>4>0b9m6g4=921b==o50;&1f6<68j1e>o<52:9j55?=83.9n>4>0b9m6g4=;21b=<<50;&1f6<6981e>o<50:9j546=83.9n>4>109m6g4=921b==h50;&1f6<6981e>o<52:9j55c=83.9n>4>109m6g4=;21b?8;50;&1f6<4==1e>o<50:9j705=83.9n>4<559m6g4=921b?8<50;&1f6<4==1e>o<52:9j707=83.9n>4<559m6g4=;21b?8o50;&1f6<4=01e>o<50:9j70>=83.9n>4<589m6g4=921b?8950;&1f6<4=01e>o<52:9j700=83.9n>4<589m6g4=;21d=?m50;&1f6<6:k1e>o<50:9l57g=83.9n>4>2c9m6g4=921d=?650;&1f6<6:k1e>o<52:9l571=83.9n>4>2c9m6g4=;21d=?850;&1f6<6:k1e>o<54:9l573=83.9n>4>2c9m6g4==21d=?:50;&1f6<6:k1e>o<56:9l575=83.9n>4>2c9m6g4=?21d=?<50;&1f6<6:k1e>o<58:9l577=83.9n>4>2c9m6g4=121d=?>50;&1f6<6:k1e>o<5a:9l54`=83.9n>4>2c9m6g4=j21d=<j50;&1f6<6:k1e>o<5c:9l54e=83.9n>4>2c9m6g4=l21d=<l50;&1f6<6:k1e>o<5e:9l54g=83.9n>4>2c9m6g4=n21d=<750;&1f6<6:k1e>o<51198k47?290/>o=513`8j7d528;07b?>7;29 7d4288i7c<m2;31?>i69?0;6)<m3;31f>h5j;0:?65`10794?"5j:0:>o5a2c0951=<g8;?6=4+2c1957d<f;h96<;4;n307?6=,;h86<<m;o0a6?7132e:??4?:%0a7?75j2d9n?4>7:9l567=83.9n>4>2c9m6g4=9110c<=?:18'6g5=9;h0b?l=:0;8?j75n3:1(?l<:00a?k4e:3;j76a>2d83>!4e;3;9n6`=b382f>=h9;n1<7*=b2826g=i:k81=n54o00:>5<#:k91=?l4n3`1>4b<3f;:i7>5$3`0>44e3g8i>7?j;:m256<72-8i?7?=b:l1f7<6n21d=9k50;&1f6<6<m1e>o<50:9l51e=83.9n>4>4e9m6g4=921d=9o50;&1f6<6<m1e>o<52:9l51?=83.9n>4>4e9m6g4=;21d=9650;&1f6<6<m1e>o<54:9l511=83.9n>4>4e9m6g4==21d=9850;&1f6<6<m1e>o<56:9l513=83.9n>4>4e9m6g4=?21d=9:50;&1f6<6<m1e>o<58:9l515=83.9n>4>4e9m6g4=121d=9<50;&1f6<6<m1e>o<5a:9l517=83.9n>4>4e9m6g4=j21d=>h50;&1f6<6<m1e>o<5c:9l56c=83.9n>4>4e9m6g4=l21d=>j50;&1f6<6<m1e>o<5e:9l56e=83.9n>4>4e9m6g4=n21d=>l50;&1f6<6<m1e>o<51198k45f290/>o=515f8j7d528;07b?<9;29 7d428>o7c<m2;31?>i6;10;6)<m3;37`>h5j;0:?65`12594?"5j:0:8i5a2c0951=<g89=6=4+2c1951b<f;h96<;4;n361?6=,;h86<:k;o0a6?7132e:994?:%0a7?73l2d9n?4>7:9l505=83.9n>4>4e9m6g4=9110c<;=:18'6g5=9=n0b?l=:0;8?j7293:1(?l<:06g?k4e:3;j76a>5183>!4e;3;?h6`=b382f>=h9=l1<7*=b2820a=i:k81=n54o06a>5<#:k91=9j4n3`1>4b<3f;?<7>5$3`0>42c3g8i>7?j;:m270<72-8i?7?;d:l1f7<6n21d=8l50;&1f6<6=h1e>o<50:9l50?=83.9n>4>5`9m6g4=921d=8650;&1f6<6=h1e>o<52:9l501=83.9n>4>5`9m6g4=;21d=;>50;&1f6<6=o1e>o<50:9l50c=83.9n>4>5g9m6g4=921d=8j50;&1f6<6=o1e>o<52:9l50e=83.9n>4>5g9m6g4=;21vn??ka;29g7<729q/?9653368L6103A9?h6T9e;axa?`=:k09o7=;:27973<4?38m6>>53980=?{i9:>1<6`>5783?!5393;356*<4581?!7f?390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?5<,8o=6>5+1d;96de<,8o<6?5+1d:96>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0ga>4>>3-;no7?79:&060<4<k1/??8535`8j65e281e?>m51:&007<5ij1/=l:53:&2e0<43-9>o7=:b:&01a<4=k1e?8k51:l01c<63-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+35796>o083:17d9>:188m21=831b;54?::k2<0<722c8?i4?::k07`<722c:4;4?::k003<722c88:4?::m46?6=3f=86=44i5g94?"5j:0?h6`=b383?>o3k3:1(?l<:5f8j7d52810e9l50;&1f6<3l2d9n?4=;:k7e?6=,;h869j4n3`1>6=<a=31<7*=b287`>h5j;0?76g:7;29 7d42=n0b?l=:498m00=83.9n>4;d:l1f7<132c>97>5$3`0>1b<f;h96:54i4694?"5j:0?h6`=b38;?>o2;3:1(?l<:5f8j7d52010e8<50;&1f6<3l2d9n?4n;:k65?6=,;h869j4n3`1>g=<a<:1<7*=b287`>h5j;0h76g;f;29 7d42=n0b?l=:e98m1>=83.9n>4;d:l1f7<b32c>i7>5$3`0>0b<f;h96=54i4a94?"5j:0>h6`=b382?>o2j3:1(?l<:4f8j7d52;10e8o50;&1f6<2l2d9n?4<;:k6=?6=,;h868j4n3`1>1=<a?=1<7*=b286`>h5j;0>76g96;29 7d42<n0b?l=:798m33=83.9n>4:d:l1f7<032c=87>5$3`0>0b<f;h96554i7194?"5j:0>h6`=b38:?>o1:3:1(?l<:4f8j7d52h10e;?50;&1f6<2l2d9n?4m;:k54?6=,;h868j4n3`1>f=<a<l1<7*=b286`>h5j;0o76g:8;29 7d42<n0b?l=:d98mg`=83.9n>4me:l1f7<732cih7>5$3`0>gc<f;h96<54ic`94?"5j:0ii6`=b381?>oei3:1(?l<:cg8j7d52:10eo750;&1f6<em2d9n?4;;:ka<?6=,;h86ok4n3`1>0=<ak=1<7*=b28aa>h5j;0=76gm6;29 7d42ko0b?l=:698mg3=83.9n>4me:l1f7<?32ci87>5$3`0>gc<f;h96454ic194?"5j:0ii6`=b38b?>oe:3:1(?l<:cg8j7d52k10eo>50;&1f6<em2d9n?4l;:kbb?6=,;h86ok4n3`1>a=<aho1<7*=b28aa>h5j;0n76gnd;29 7d42ko0b?l=:g98mde=83.9n>4me:l1f7<6821bmo4?:%0a7?db3g8i>7?>;:kbe?6=,;h86ok4n3`1>44<3`k26=4+2c19f`=i:k81=>54i`:94?"5j:0ii6`=b3820>=ni>0;6)<m3;`f?k4e:3;>76gl6;29 7d42ko0b?l=:048?le2290/>o=5bd9m6g4=9>10en:50;&1f6<em2d9n?4>8:9jg6<72-8i?7lj;o0a6?7>32ch>7>5$3`0>gc<f;h96<o4;ha2>5<#:k91nh5a2c095g=<aj:1<7*=b28aa>h5j;0:o65fbb83>!4e;3hn7c<m2;3g?>oe93:1(?l<:cg8j7d528o07do9:18'6g5=jl1e>o<51g98m`6=83.9n>4kf:l1f7<732coi7>5$3`0>a`<f;h96<54iea94?"5j:0oj6`=b381?>ocj3:1(?l<:ed8j7d52:10eio50;&1f6<cn2d9n?4;;:kg=?6=,;h86ih4n3`1>0=<am21<7*=b28gb>h5j;0=76gk7;29 7d42ml0b?l=:698ma0=83.9n>4kf:l1f7<?32co97>5$3`0>a`<f;h96454ie694?"5j:0oj6`=b38b?>oc;3:1(?l<:ed8j7d52k10ei?50;&1f6<cn2d9n?4l;:kg4?6=,;h86ih4n3`1>a=<ajl1<7*=b28gb>h5j;0n76gle;29 7d42ml0b?l=:g98mfb=83.9n>4kf:l1f7<6821bon4?:%0a7?ba3g8i>7?>;:k`f?6=,;h86ih4n3`1>44<3`ij6=4+2c19`c=i:k81=>54ib;94?"5j:0oj6`=b3820>=nk10;6)<m3;fe?k4e:3;>76gj7;29 7d42ml0b?l=:048?lc1290/>o=5dg9m6g4=9>10eh;50;&1f6<cn2d9n?4>8:9ja1<72-8i?7ji;o0a6?7>32cn?7>5$3`0>a`<f;h96<o4;hg1>5<#:k91hk5a2c095g=<al;1<7*=b28gb>h5j;0:o65fde83>!4e;3nm7c<m2;3g?>oc:3:1(?l<:ed8j7d528o07dm8:18'6g5=lo1e>o<51g98m46c290/>o=511a8j7d52910e<>m:18'6g5=99i0b?l=:098m46f290/>o=511a8j7d52;10e<>6:18'6g5=99i0b?l=:298m475290/>o=51038j7d52910e<??:18'6g5=98;0b?l=:098m46a290/>o=51038j7d52;10e<>j:18'6g5=98;0b?l=:298m632290/>o=53468j7d52910e>;<:18'6g5=;<>0b?l=:098m635290/>o=53468j7d52;10e>;>:18'6g5=;<>0b?l=:298m63f290/>o=534;8j7d52910e>;7:18'6g5=;<30b?l=:098m630290/>o=534;8j7d52;10e>;9:18'6g5=;<30b?l=:298k44d290/>o=513`8j7d52910c<<n:18'6g5=9;h0b?l=:098k44?290/>o=513`8j7d52;10c<<8:18'6g5=9;h0b?l=:298k441290/>o=513`8j7d52=10c<<::18'6g5=9;h0b?l=:498k443290/>o=513`8j7d52?10c<<<:18'6g5=9;h0b?l=:698k445290/>o=513`8j7d52110c<<>:18'6g5=9;h0b?l=:898k447290/>o=513`8j7d52h10c<?i:18'6g5=9;h0b?l=:c98k47c290/>o=513`8j7d52j10c<?l:18'6g5=9;h0b?l=:e98k47e290/>o=513`8j7d52l10c<?n:18'6g5=9;h0b?l=:g98k47>290/>o=513`8j7d528:07b?>8;29 7d4288i7c<m2;32?>i69>0;6)<m3;31f>h5j;0:>65`10494?"5j:0:>o5a2c0956=<g8;>6=4+2c1957d<f;h96<:4;n320?6=,;h86<<m;o0a6?7232e:?>4?:%0a7?75j2d9n?4>6:9l564=83.9n>4>2c9m6g4=9>10c<=>:18'6g5=9;h0b?l=:0:8?j7483:1(?l<:00a?k4e:3;276a>2g83>!4e;3;9n6`=b382e>=h9;o1<7*=b2826g=i:k81=o54o00g>5<#:k91=?l4n3`1>4e<3f;957>5$3`0>44e3g8i>7?k;:m25`<72-8i?7?=b:l1f7<6m21d=<=50;&1f6<6:k1e>o<51g98k42b290/>o=515f8j7d52910c<:l:18'6g5=9=n0b?l=:098k42f290/>o=515f8j7d52;10c<:6:18'6g5=9=n0b?l=:298k42?290/>o=515f8j7d52=10c<:8:18'6g5=9=n0b?l=:498k421290/>o=515f8j7d52?10c<:::18'6g5=9=n0b?l=:698k423290/>o=515f8j7d52110c<:<:18'6g5=9=n0b?l=:898k425290/>o=515f8j7d52h10c<:>:18'6g5=9=n0b?l=:c98k45a290/>o=515f8j7d52j10c<=j:18'6g5=9=n0b?l=:e98k45c290/>o=515f8j7d52l10c<=l:18'6g5=9=n0b?l=:g98k45e290/>o=515f8j7d528:07b?<a;29 7d428>o7c<m2;32?>i6;00;6)<m3;37`>h5j;0:>65`12:94?"5j:0:8i5a2c0956=<g89<6=4+2c1951b<f;h96<:4;n302?6=,;h86<:k;o0a6?7232e:984?:%0a7?73l2d9n?4>6:9l502=83.9n>4>4e9m6g4=9>10c<;<:18'6g5=9=n0b?l=:0:8?j72:3:1(?l<:06g?k4e:3;276a>5083>!4e;3;?h6`=b382e>=h9<:1<7*=b2820a=i:k81=o54o06e>5<#:k91=9j4n3`1>4e<3f;?n7>5$3`0>42c3g8i>7?k;:m205<72-8i?7?;d:l1f7<6m21d=>;50;&1f6<6<m1e>o<51g98k43e290/>o=514c8j7d52910c<;6:18'6g5=9<k0b?l=:098k43?290/>o=514c8j7d52;10c<;8:18'6g5=9<k0b?l=:298k407290/>o=514d8j7d52910c<;j:18'6g5=9<l0b?l=:098k43c290/>o=514d8j7d52;10c<;l:18'6g5=9<l0b?l=:298yg46l00;6n<50;2x 62?2:8?7E=87:J00a=]>l0hwh4i:3`96f<4<39>6>853681b?572:21?44r$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::29'5`0=;2.:i44=ab9'5`1=:2.:i54=;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=hl519;8 4cd28227)==5;3e6>"4:?0:j?5a32`95>h4;j0:7)=;2;0bg>"6i=087)?n5;18 63d2:?i7)=:d;16f>h4=l0:7c=:f;38j453291e=8850:&004<6001/?9:52:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,:>>6?5f7183>>o093:17d98:188m2>=831b=5;50;9j76b=831b?>k50;9j5=0=831b?9850;9j711=831d;?4?::m47?6=3`>n6=4+2c190a=i:k81<65f4b83>!4e;3>o7c<m2;38?l2e290/>o=54e9m6g4=:21b8l4?:%0a7?2c3g8i>7=4;h6:>5<#:k918i5a2c090>=n=>0;6)<m3;6g?k4e:3?07d;9:18'6g5=<m1e>o<56:9j10<72-8i?7:k;o0a6?1<3`??6=4+2c190a=i:k81465f5283>!4e;3>o7c<m2;;8?l35290/>o=54e9m6g4=i21b9<4?:%0a7?2c3g8i>7l4;h73>5<#:k918i5a2c09g>=n<o0;6)<m3;6g?k4e:3n07d:7:18'6g5=<m1e>o<5e:9j1`<72-8i?7;k;o0a6?6<3`?h6=4+2c191a=i:k81=65f5c83>!4e;3?o7c<m2;08?l3f290/>o=55e9m6g4=;21b944?:%0a7?3c3g8i>7:4;h44>5<#:k919i5a2c091>=n>?0;6)<m3;7g?k4e:3<07d8::18'6g5==m1e>o<57:9j21<72-8i?7;k;o0a6?><3`<86=4+2c191a=i:k81565f6383>!4e;3?o7c<m2;c8?l06290/>o=55e9m6g4=j21b:=4?:%0a7?3c3g8i>7m4;h7e>5<#:k919i5a2c09`>=n=10;6)<m3;7g?k4e:3o07dli:18'6g5=jl1e>o<50:9jfa<72-8i?7lj;o0a6?7<3`hi6=4+2c19f`=i:k81>65fb`83>!4e;3hn7c<m2;18?ld>290/>o=5bd9m6g4=<21bn54?:%0a7?db3g8i>7;4;h`4>5<#:k91nh5a2c092>=nj?0;6)<m3;`f?k4e:3=07dl::18'6g5=jl1e>o<58:9jf1<72-8i?7lj;o0a6??<3`h86=4+2c19f`=i:k81m65fb383>!4e;3hn7c<m2;`8?ld7290/>o=5bd9m6g4=k21bmk4?:%0a7?db3g8i>7j4;hcf>5<#:k91nh5a2c09a>=nim0;6)<m3;`f?k4e:3l07dol:18'6g5=jl1e>o<51198mdd=83.9n>4me:l1f7<6921bml4?:%0a7?db3g8i>7?=;:kb=?6=,;h86ok4n3`1>45<3`k36=4+2c19f`=i:k81=954i`594?"5j:0ii6`=b3821>=nk?0;6)<m3;`f?k4e:3;=76gl5;29 7d42ko0b?l=:058?le3290/>o=5bd9m6g4=9110en=50;&1f6<em2d9n?4>9:9jg7<72-8i?7lj;o0a6?7f32ch=7>5$3`0>gc<f;h96<l4;ha3>5<#:k91nh5a2c095f=<aki1<7*=b28aa>h5j;0:h65fb083>!4e;3hn7c<m2;3f?>of>3:1(?l<:cg8j7d528l07dk?:18'6g5=lo1e>o<50:9j``<72-8i?7ji;o0a6?7<3`nh6=4+2c19`c=i:k81>65fdc83>!4e;3nm7c<m2;18?lbf290/>o=5dg9m6g4=<21bh44?:%0a7?ba3g8i>7;4;hf;>5<#:k91hk5a2c092>=nl>0;6)<m3;fe?k4e:3=07dj9:18'6g5=lo1e>o<58:9j`0<72-8i?7ji;o0a6??<3`n?6=4+2c19`c=i:k81m65fd283>!4e;3nm7c<m2;`8?lb6290/>o=5dg9m6g4=k21bh=4?:%0a7?ba3g8i>7j4;hae>5<#:k91hk5a2c09a>=nkl0;6)<m3;fe?k4e:3l07dmk:18'6g5=lo1e>o<51198mfe=83.9n>4kf:l1f7<6921boo4?:%0a7?ba3g8i>7?=;:k`e?6=,;h86ih4n3`1>45<3`i26=4+2c19`c=i:k81=954ib:94?"5j:0oj6`=b3821>=nm>0;6)<m3;fe?k4e:3;=76gj6;29 7d42ml0b?l=:058?lc2290/>o=5dg9m6g4=9110eh:50;&1f6<cn2d9n?4>9:9ja6<72-8i?7ji;o0a6?7f32cn>7>5$3`0>a`<f;h96<l4;hg2>5<#:k91hk5a2c095f=<amn1<7*=b28gb>h5j;0:h65fd383>!4e;3nm7c<m2;3f?>od?3:1(?l<:ed8j7d528l07d??d;29 7d428:h7c<m2;28?l77j3:1(?l<:02`?k4e:3;07d??a;29 7d428:h7c<m2;08?l7713:1(?l<:02`?k4e:3907d?>2;29 7d428;:7c<m2;28?l7683:1(?l<:032?k4e:3;07d??f;29 7d428;:7c<m2;08?l77m3:1(?l<:032?k4e:3907d=:5;29 7d42:??7c<m2;28?l52;3:1(?l<:277?k4e:3;07d=:2;29 7d42:??7c<m2;08?l5293:1(?l<:277?k4e:3907d=:a;29 7d42:?27c<m2;28?l5203:1(?l<:27:?k4e:3;07d=:7;29 7d42:?27c<m2;08?l52>3:1(?l<:27:?k4e:3907b?=c;29 7d4288i7c<m2;28?j75i3:1(?l<:00a?k4e:3;07b?=8;29 7d4288i7c<m2;08?j75?3:1(?l<:00a?k4e:3907b?=6;29 7d4288i7c<m2;68?j75=3:1(?l<:00a?k4e:3?07b?=4;29 7d4288i7c<m2;48?j75;3:1(?l<:00a?k4e:3=07b?=2;29 7d4288i7c<m2;:8?j7593:1(?l<:00a?k4e:3307b?=0;29 7d4288i7c<m2;c8?j76n3:1(?l<:00a?k4e:3h07b?>d;29 7d4288i7c<m2;a8?j76k3:1(?l<:00a?k4e:3n07b?>b;29 7d4288i7c<m2;g8?j76i3:1(?l<:00a?k4e:3l07b?>9;29 7d4288i7c<m2;33?>i6910;6)<m3;31f>h5j;0:=65`10594?"5j:0:>o5a2c0957=<g8;=6=4+2c1957d<f;h96<=4;n321?6=,;h86<<m;o0a6?7332e:=94?:%0a7?75j2d9n?4>5:9l565=83.9n>4>2c9m6g4=9?10c<==:18'6g5=9;h0b?l=:058?j7493:1(?l<:00a?k4e:3;376a>3183>!4e;3;9n6`=b382=>=h9;l1<7*=b2826g=i:k81=l54o00f>5<#:k91=?l4n3`1>4d<3f;9h7>5$3`0>44e3g8i>7?l;:m26<<72-8i?7?=b:l1f7<6l21d=<k50;&1f6<6:k1e>o<51d98k474290/>o=513`8j7d528l07b?;e;29 7d428>o7c<m2;28?j73k3:1(?l<:06g?k4e:3;07b?;a;29 7d428>o7c<m2;08?j7313:1(?l<:06g?k4e:3907b?;8;29 7d428>o7c<m2;68?j73?3:1(?l<:06g?k4e:3?07b?;6;29 7d428>o7c<m2;48?j73=3:1(?l<:06g?k4e:3=07b?;4;29 7d428>o7c<m2;:8?j73;3:1(?l<:06g?k4e:3307b?;2;29 7d428>o7c<m2;c8?j7393:1(?l<:06g?k4e:3h07b?<f;29 7d428>o7c<m2;a8?j74m3:1(?l<:06g?k4e:3n07b?<d;29 7d428>o7c<m2;g8?j74k3:1(?l<:06g?k4e:3l07b?<b;29 7d428>o7c<m2;33?>i6;h0;6)<m3;37`>h5j;0:=65`12;94?"5j:0:8i5a2c0957=<g8936=4+2c1951b<f;h96<=4;n303?6=,;h86<:k;o0a6?7332e:?;4?:%0a7?73l2d9n?4>5:9l503=83.9n>4>4e9m6g4=9?10c<;;:18'6g5=9=n0b?l=:058?j72;3:1(?l<:06g?k4e:3;376a>5383>!4e;3;?h6`=b382=>=h9<;1<7*=b2820a=i:k81=l54o073>5<#:k91=9j4n3`1>4d<3f;?j7>5$3`0>42c3g8i>7?l;:m20g<72-8i?7?;d:l1f7<6l21d=9>50;&1f6<6<m1e>o<51d98k452290/>o=515f8j7d528l07b?:b;29 7d428?j7c<m2;28?j7213:1(?l<:07b?k4e:3;07b?:8;29 7d428?j7c<m2;08?j72?3:1(?l<:07b?k4e:3907b?90;29 7d428?m7c<m2;28?j72m3:1(?l<:07e?k4e:3;07b?:d;29 7d428?m7c<m2;08?j72k3:1(?l<:07e?k4e:3907pl=1ed94?e5290;w)=;8;110>N4?>1C?9j4Z7g9g~c=n38i6?m535801?512:=1>k4<0;1;>6?=ug;887>4n075>5=#;=;1=574$267>7=#9h=1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=;2.:i;4<;%3f=?4fk2.:i:4=;%3f<?4<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2ag<6001/=hm519;8 6422:>i7)==6;17f>h4;k0:7c=<c;38 6252;kh7)?n4;18 4g22:1/?8m534`8 63c2:?i7c=:e;38j63a281/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%171?4<a>:1<75f7083>>o0?3:17d97:188m4>22900e>=k:188m65b2900e<69:188m6212900e>:8:188k24=831d;>4?::k7a?6=,;h869j4n3`1>5=<a=i1<7*=b287`>h5j;0:76g;b;29 7d42=n0b?l=:398m1g=83.9n>4;d:l1f7<432c?57>5$3`0>1b<f;h96954i4594?"5j:0?h6`=b386?>o2>3:1(?l<:5f8j7d52?10e8;50;&1f6<3l2d9n?48;:k60?6=,;h869j4n3`1>==<a<91<7*=b287`>h5j;0276g:2;29 7d42=n0b?l=:`98m07=83.9n>4;d:l1f7<e32c><7>5$3`0>1b<f;h96n54i5d94?"5j:0?h6`=b38g?>o303:1(?l<:5f8j7d52l10e8k50;&1f6<2l2d9n?4?;:k6g?6=,;h868j4n3`1>4=<a<h1<7*=b286`>h5j;0976g:a;29 7d42<n0b?l=:298m0?=83.9n>4:d:l1f7<332c=;7>5$3`0>0b<f;h96854i7494?"5j:0>h6`=b385?>o1=3:1(?l<:4f8j7d52>10e;:50;&1f6<2l2d9n?47;:k57?6=,;h868j4n3`1><=<a?81<7*=b286`>h5j;0j76g91;29 7d42<n0b?l=:c98m36=83.9n>4:d:l1f7<d32c>j7>5$3`0>0b<f;h96i54i4:94?"5j:0>h6`=b38f?>oen3:1(?l<:cg8j7d52910eoj50;&1f6<em2d9n?4>;:kaf?6=,;h86ok4n3`1>7=<akk1<7*=b28aa>h5j;0876gm9;29 7d42ko0b?l=:598mg>=83.9n>4me:l1f7<232ci;7>5$3`0>gc<f;h96;54ic494?"5j:0ii6`=b384?>oe=3:1(?l<:cg8j7d52110eo:50;&1f6<em2d9n?46;:ka7?6=,;h86ok4n3`1>d=<ak81<7*=b28aa>h5j;0i76gm0;29 7d42ko0b?l=:b98md`=83.9n>4me:l1f7<c32cji7>5$3`0>gc<f;h96h54i`f94?"5j:0ii6`=b38e?>ofk3:1(?l<:cg8j7d528:07dom:18'6g5=jl1e>o<51098mdg=83.9n>4me:l1f7<6:21bm44?:%0a7?db3g8i>7?<;:kb<?6=,;h86ok4n3`1>42<3`k<6=4+2c19f`=i:k81=854ib494?"5j:0ii6`=b3822>=nk<0;6)<m3;`f?k4e:3;<76gl4;29 7d42ko0b?l=:0:8?le4290/>o=5bd9m6g4=9010en<50;&1f6<em2d9n?4>a:9jg4<72-8i?7lj;o0a6?7e32ch<7>5$3`0>gc<f;h96<m4;h``>5<#:k91nh5a2c095a=<ak;1<7*=b28aa>h5j;0:i65fa783>!4e;3hn7c<m2;3e?>ob83:1(?l<:ed8j7d52910eik50;&1f6<cn2d9n?4>;:kgg?6=,;h86ih4n3`1>7=<amh1<7*=b28gb>h5j;0876gka;29 7d42ml0b?l=:598ma?=83.9n>4kf:l1f7<232co47>5$3`0>a`<f;h96;54ie594?"5j:0oj6`=b384?>oc>3:1(?l<:ed8j7d52110ei;50;&1f6<cn2d9n?46;:kg0?6=,;h86ih4n3`1>d=<am91<7*=b28gb>h5j;0i76gk1;29 7d42ml0b?l=:b98ma6=83.9n>4kf:l1f7<c32chj7>5$3`0>a`<f;h96h54ibg94?"5j:0oj6`=b38e?>odl3:1(?l<:ed8j7d528:07dml:18'6g5=lo1e>o<51098mfd=83.9n>4kf:l1f7<6:21bol4?:%0a7?ba3g8i>7?<;:k`=?6=,;h86ih4n3`1>42<3`i36=4+2c19`c=i:k81=854id594?"5j:0oj6`=b3822>=nm?0;6)<m3;fe?k4e:3;<76gj5;29 7d42ml0b?l=:0:8?lc3290/>o=5dg9m6g4=9010eh=50;&1f6<cn2d9n?4>a:9ja7<72-8i?7ji;o0a6?7e32cn=7>5$3`0>a`<f;h96<m4;hfg>5<#:k91hk5a2c095a=<am81<7*=b28gb>h5j;0:i65fc683>!4e;3nm7c<m2;3e?>o68m0;6)<m3;33g>h5j;0;76g>0c83>!4e;3;;o6`=b382?>o68h0;6)<m3;33g>h5j;0976g>0883>!4e;3;;o6`=b380?>o69;0;6)<m3;325>h5j;0;76g>1183>!4e;3;:=6`=b382?>o68o0;6)<m3;325>h5j;0976g>0d83>!4e;3;:=6`=b380?>o4=<0;6)<m3;160>h5j;0;76g<5283>!4e;39>86`=b382?>o4=;0;6)<m3;160>h5j;0976g<5083>!4e;39>86`=b380?>o4=h0;6)<m3;16=>h5j;0;76g<5983>!4e;39>56`=b382?>o4=>0;6)<m3;16=>h5j;0976g<5783>!4e;39>56`=b380?>i6:j0;6)<m3;31f>h5j;0;76a>2`83>!4e;3;9n6`=b382?>i6:10;6)<m3;31f>h5j;0976a>2683>!4e;3;9n6`=b380?>i6:?0;6)<m3;31f>h5j;0?76a>2483>!4e;3;9n6`=b386?>i6:=0;6)<m3;31f>h5j;0=76a>2283>!4e;3;9n6`=b384?>i6:;0;6)<m3;31f>h5j;0376a>2083>!4e;3;9n6`=b38:?>i6:90;6)<m3;31f>h5j;0j76a>1g83>!4e;3;9n6`=b38a?>i69m0;6)<m3;31f>h5j;0h76a>1b83>!4e;3;9n6`=b38g?>i69k0;6)<m3;31f>h5j;0n76a>1`83>!4e;3;9n6`=b38e?>i6900;6)<m3;31f>h5j;0:<65`10:94?"5j:0:>o5a2c0954=<g8;<6=4+2c1957d<f;h96<<4;n322?6=,;h86<<m;o0a6?7432e:=84?:%0a7?75j2d9n?4>4:9l542=83.9n>4>2c9m6g4=9<10c<=<:18'6g5=9;h0b?l=:048?j74:3:1(?l<:00a?k4e:3;<76a>3083>!4e;3;9n6`=b382<>=h9::1<7*=b2826g=i:k81=454o00e>5<#:k91=?l4n3`1>4g<3f;9i7>5$3`0>44e3g8i>7?m;:m26a<72-8i?7?=b:l1f7<6k21d=?750;&1f6<6:k1e>o<51e98k47b290/>o=513`8j7d528o07b?>3;29 7d4288i7c<m2;3e?>i6<l0;6)<m3;37`>h5j;0;76a>4b83>!4e;3;?h6`=b382?>i6<h0;6)<m3;37`>h5j;0976a>4883>!4e;3;?h6`=b380?>i6<10;6)<m3;37`>h5j;0?76a>4683>!4e;3;?h6`=b386?>i6<?0;6)<m3;37`>h5j;0=76a>4483>!4e;3;?h6`=b384?>i6<=0;6)<m3;37`>h5j;0376a>4283>!4e;3;?h6`=b38:?>i6<;0;6)<m3;37`>h5j;0j76a>4083>!4e;3;?h6`=b38a?>i6;o0;6)<m3;37`>h5j;0h76a>3d83>!4e;3;?h6`=b38g?>i6;m0;6)<m3;37`>h5j;0n76a>3b83>!4e;3;?h6`=b38e?>i6;k0;6)<m3;37`>h5j;0:<65`12c94?"5j:0:8i5a2c0954=<g8926=4+2c1951b<f;h96<<4;n30<?6=,;h86<:k;o0a6?7432e:?:4?:%0a7?73l2d9n?4>4:9l560=83.9n>4>4e9m6g4=9<10c<;::18'6g5=9=n0b?l=:048?j72<3:1(?l<:06g?k4e:3;<76a>5283>!4e;3;?h6`=b382<>=h9<81<7*=b2820a=i:k81=454o072>5<#:k91=9j4n3`1>4g<3f;><7>5$3`0>42c3g8i>7?m;:m20c<72-8i?7?;d:l1f7<6k21d=9l50;&1f6<6<m1e>o<51e98k427290/>o=515f8j7d528o07b?<5;29 7d428>o7c<m2;3e?>i6=k0;6)<m3;36e>h5j;0;76a>5883>!4e;3;>m6`=b382?>i6=10;6)<m3;36e>h5j;0976a>5683>!4e;3;>m6`=b380?>i6>90;6)<m3;36b>h5j;0;76a>5d83>!4e;3;>j6`=b382?>i6=m0;6)<m3;36b>h5j;0976a>5b83>!4e;3;>j6`=b380?>{e:8nn6=4l2;294~"4<108>95G3658L62c3S<n6nuj:g81f?4d2:>1?84<6;14>7`=;90847=6:|&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e480?!7b>390(<k6:3c`?!7b?380(<k7:39'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?jb;3;=>"6mj0:445+33795c4<,:8=6<h=;o10f?7<f:9h6<5+35096de<,8k?6>5+1`797>"4=j089o5+34f970d<f:?n6<5a34d95>h6;=0;7c?:6;28 62628227)=;4;08 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.8884=;h53>5<<a>;1<75f7683>>o003:17d?75;29?l54l3:17d=<e;29?l7?>3:17d=;6;29?l53?3:17b9=:188k25=831b8h4?:%0a7?2c3g8i>7>4;h6`>5<#:k918i5a2c095>=n<k0;6)<m3;6g?k4e:3807d:n:18'6g5=<m1e>o<53:9j0<<72-8i?7:k;o0a6?2<3`?<6=4+2c190a=i:k81965f5783>!4e;3>o7c<m2;48?l32290/>o=54e9m6g4=?21b994?:%0a7?2c3g8i>764;h70>5<#:k918i5a2c09=>=n=;0;6)<m3;6g?k4e:3k07d;>:18'6g5=<m1e>o<5b:9j15<72-8i?7:k;o0a6?e<3`>m6=4+2c190a=i:k81h65f4983>!4e;3>o7c<m2;g8?l3b290/>o=55e9m6g4=821b9n4?:%0a7?3c3g8i>7?4;h7a>5<#:k919i5a2c096>=n=h0;6)<m3;7g?k4e:3907d;6:18'6g5==m1e>o<54:9j22<72-8i?7;k;o0a6?3<3`<=6=4+2c191a=i:k81:65f6483>!4e;3?o7c<m2;58?l03290/>o=55e9m6g4=021b:>4?:%0a7?3c3g8i>774;h41>5<#:k919i5a2c09e>=n>80;6)<m3;7g?k4e:3h07d8?:18'6g5==m1e>o<5c:9j1c<72-8i?7;k;o0a6?b<3`?36=4+2c191a=i:k81i65fbg83>!4e;3hn7c<m2;28?ldc290/>o=5bd9m6g4=921bno4?:%0a7?db3g8i>7<4;h`b>5<#:k91nh5a2c097>=nj00;6)<m3;`f?k4e:3>07dl7:18'6g5=jl1e>o<55:9jf2<72-8i?7lj;o0a6?0<3`h=6=4+2c19f`=i:k81;65fb483>!4e;3hn7c<m2;:8?ld3290/>o=5bd9m6g4=121bn>4?:%0a7?db3g8i>7o4;h`1>5<#:k91nh5a2c09f>=nj90;6)<m3;`f?k4e:3i07doi:18'6g5=jl1e>o<5d:9je`<72-8i?7lj;o0a6?c<3`ko6=4+2c19f`=i:k81j65fab83>!4e;3hn7c<m2;33?>ofj3:1(?l<:cg8j7d528;07don:18'6g5=jl1e>o<51398md?=83.9n>4me:l1f7<6;21bm54?:%0a7?db3g8i>7?;;:kb3?6=,;h86ok4n3`1>43<3`i=6=4+2c19f`=i:k81=;54ib794?"5j:0ii6`=b3823>=nk=0;6)<m3;`f?k4e:3;376gl3;29 7d42ko0b?l=:0;8?le5290/>o=5bd9m6g4=9h10en?50;&1f6<em2d9n?4>b:9jg5<72-8i?7lj;o0a6?7d32cio7>5$3`0>gc<f;h96<j4;h`2>5<#:k91nh5a2c095`=<ah<1<7*=b28aa>h5j;0:j65fe183>!4e;3nm7c<m2;28?lbb290/>o=5dg9m6g4=921bhn4?:%0a7?ba3g8i>7<4;hfa>5<#:k91hk5a2c097>=nlh0;6)<m3;fe?k4e:3>07dj6:18'6g5=lo1e>o<55:9j`=<72-8i?7ji;o0a6?0<3`n<6=4+2c19`c=i:k81;65fd783>!4e;3nm7c<m2;:8?lb2290/>o=5dg9m6g4=121bh94?:%0a7?ba3g8i>7o4;hf0>5<#:k91hk5a2c09f>=nl80;6)<m3;fe?k4e:3i07dj?:18'6g5=lo1e>o<5d:9jgc<72-8i?7ji;o0a6?c<3`in6=4+2c19`c=i:k81j65fce83>!4e;3nm7c<m2;33?>odk3:1(?l<:ed8j7d528;07dmm:18'6g5=lo1e>o<51398mfg=83.9n>4kf:l1f7<6;21bo44?:%0a7?ba3g8i>7?;;:k`<?6=,;h86ih4n3`1>43<3`o<6=4+2c19`c=i:k81=;54id494?"5j:0oj6`=b3823>=nm<0;6)<m3;fe?k4e:3;376gj4;29 7d42ml0b?l=:0;8?lc4290/>o=5dg9m6g4=9h10eh<50;&1f6<cn2d9n?4>b:9ja4<72-8i?7ji;o0a6?7d32coh7>5$3`0>a`<f;h96<j4;hf1>5<#:k91hk5a2c095`=<aj=1<7*=b28gb>h5j;0:j65f11f94?"5j:0:<n5a2c094>=n99h1<7*=b2824f=i:k81=65f11c94?"5j:0:<n5a2c096>=n9931<7*=b2824f=i:k81?65f10094?"5j:0:=<5a2c094>=n98:1<7*=b28254=i:k81=65f11d94?"5j:0:=<5a2c096>=n99o1<7*=b28254=i:k81?65f34794?"5j:08995a2c094>=n;<91<7*=b28011=i:k81=65f34094?"5j:08995a2c096>=n;<;1<7*=b28011=i:k81?65f34c94?"5j:08945a2c094>=n;<21<7*=b2801<=i:k81=65f34594?"5j:08945a2c096>=n;<<1<7*=b2801<=i:k81?65`13a94?"5j:0:>o5a2c094>=h9;k1<7*=b2826g=i:k81=65`13:94?"5j:0:>o5a2c096>=h9;=1<7*=b2826g=i:k81?65`13494?"5j:0:>o5a2c090>=h9;?1<7*=b2826g=i:k81965`13694?"5j:0:>o5a2c092>=h9;91<7*=b2826g=i:k81;65`13094?"5j:0:>o5a2c09<>=h9;;1<7*=b2826g=i:k81565`13294?"5j:0:>o5a2c09e>=h98l1<7*=b2826g=i:k81n65`10f94?"5j:0:>o5a2c09g>=h98i1<7*=b2826g=i:k81h65`10`94?"5j:0:>o5a2c09a>=h98k1<7*=b2826g=i:k81j65`10;94?"5j:0:>o5a2c0955=<g8;36=4+2c1957d<f;h96<?4;n323?6=,;h86<<m;o0a6?7532e:=;4?:%0a7?75j2d9n?4>3:9l543=83.9n>4>2c9m6g4=9=10c<?;:18'6g5=9;h0b?l=:078?j74;3:1(?l<:00a?k4e:3;=76a>3383>!4e;3;9n6`=b3823>=h9:;1<7*=b2826g=i:k81=554o013>5<#:k91=?l4n3`1>4?<3f;9j7>5$3`0>44e3g8i>7?n;:m26`<72-8i?7?=b:l1f7<6j21d=?j50;&1f6<6:k1e>o<51b98k44>290/>o=513`8j7d528n07b?>e;29 7d4288i7c<m2;3f?>i69:0;6)<m3;31f>h5j;0:j65`15g94?"5j:0:8i5a2c094>=h9=i1<7*=b2820a=i:k81=65`15c94?"5j:0:8i5a2c096>=h9=31<7*=b2820a=i:k81?65`15:94?"5j:0:8i5a2c090>=h9==1<7*=b2820a=i:k81965`15494?"5j:0:8i5a2c092>=h9=?1<7*=b2820a=i:k81;65`15694?"5j:0:8i5a2c09<>=h9=91<7*=b2820a=i:k81565`15094?"5j:0:8i5a2c09e>=h9=;1<7*=b2820a=i:k81n65`12d94?"5j:0:8i5a2c09g>=h9:o1<7*=b2820a=i:k81h65`12f94?"5j:0:8i5a2c09a>=h9:i1<7*=b2820a=i:k81j65`12`94?"5j:0:8i5a2c0955=<g89j6=4+2c1951b<f;h96<?4;n30=?6=,;h86<:k;o0a6?7532e:?54?:%0a7?73l2d9n?4>3:9l561=83.9n>4>4e9m6g4=9=10c<=9:18'6g5=9=n0b?l=:078?j72=3:1(?l<:06g?k4e:3;=76a>5583>!4e;3;?h6`=b3823>=h9<91<7*=b2820a=i:k81=554o071>5<#:k91=9j4n3`1>4?<3f;>=7>5$3`0>42c3g8i>7?n;:m215<72-8i?7?;d:l1f7<6j21d=9h50;&1f6<6<m1e>o<51b98k42e290/>o=515f8j7d528n07b?;0;29 7d428>o7c<m2;3f?>i6;<0;6)<m3;37`>h5j;0:j65`14`94?"5j:0:9l5a2c094>=h9<31<7*=b2821d=i:k81=65`14:94?"5j:0:9l5a2c096>=h9<=1<7*=b2821d=i:k81?65`17294?"5j:0:9k5a2c094>=h9<o1<7*=b2821c=i:k81=65`14f94?"5j:0:9k5a2c096>=h9<i1<7*=b2821c=i:k81?65rb33f7?6=k;0;6=u+35:9772<@:=<7E=;d:X5a?e|m3l1>o4=c;17>63=;?08;7<i:2297=<413we=>:50:l213<73-9?=7?79:&001<53-;j;7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=390(<k9:29'5`?=:hi0(<k8:39'5`>=:2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4ce28227)?jc;3;=>"4:<088o5+334971d<f:9i6<5a32a95>"4<;09mn5+1`697>"6i<087)=:c;16f>"4=m089o5a34g95>h4=o0:7)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'713=:2c<<7>5;h52>5<<a>=1<75f7983>>o60<0;66g<3e83>>o4;l0;66g>8783>>o4<?0;66g<4683>>i0:3:17b9<:188m1c=83.9n>4;d:l1f7<732c?o7>5$3`0>1b<f;h96<54i5`94?"5j:0?h6`=b381?>o3i3:1(?l<:5f8j7d52:10e9750;&1f6<3l2d9n?4;;:k63?6=,;h869j4n3`1>0=<a<<1<7*=b287`>h5j;0=76g:5;29 7d42=n0b?l=:698m02=83.9n>4;d:l1f7<?32c>?7>5$3`0>1b<f;h96454i4094?"5j:0?h6`=b38b?>o293:1(?l<:5f8j7d52k10e8>50;&1f6<3l2d9n?4l;:k7b?6=,;h869j4n3`1>a=<a=21<7*=b287`>h5j;0n76g:e;29 7d42<n0b?l=:198m0e=83.9n>4:d:l1f7<632c>n7>5$3`0>0b<f;h96?54i4c94?"5j:0>h6`=b380?>o213:1(?l<:4f8j7d52=10e;950;&1f6<2l2d9n?4:;:k52?6=,;h868j4n3`1>3=<a??1<7*=b286`>h5j;0<76g94;29 7d42<n0b?l=:998m35=83.9n>4:d:l1f7<>32c=>7>5$3`0>0b<f;h96l54i7394?"5j:0>h6`=b38a?>o183:1(?l<:4f8j7d52j10e8h50;&1f6<2l2d9n?4k;:k6<?6=,;h868j4n3`1>`=<akl1<7*=b28aa>h5j;0;76gmd;29 7d42ko0b?l=:098mgd=83.9n>4me:l1f7<532cim7>5$3`0>gc<f;h96>54ic;94?"5j:0ii6`=b387?>oe03:1(?l<:cg8j7d52<10eo950;&1f6<em2d9n?49;:ka2?6=,;h86ok4n3`1>2=<ak?1<7*=b28aa>h5j;0376gm4;29 7d42ko0b?l=:898mg5=83.9n>4me:l1f7<f32ci>7>5$3`0>gc<f;h96o54ic294?"5j:0ii6`=b38`?>ofn3:1(?l<:cg8j7d52m10elk50;&1f6<em2d9n?4j;:kb`?6=,;h86ok4n3`1>c=<ahi1<7*=b28aa>h5j;0:<65fac83>!4e;3hn7c<m2;32?>ofi3:1(?l<:cg8j7d528807do6:18'6g5=jl1e>o<51298md>=83.9n>4me:l1f7<6<21bm:4?:%0a7?db3g8i>7?:;:k`2?6=,;h86ok4n3`1>40<3`i>6=4+2c19f`=i:k81=:54ib694?"5j:0ii6`=b382<>=nk:0;6)<m3;`f?k4e:3;276gl2;29 7d42ko0b?l=:0c8?le6290/>o=5bd9m6g4=9k10en>50;&1f6<em2d9n?4>c:9jff<72-8i?7lj;o0a6?7c32ci=7>5$3`0>gc<f;h96<k4;hc5>5<#:k91nh5a2c095c=<al:1<7*=b28gb>h5j;0;76gke;29 7d42ml0b?l=:098mae=83.9n>4kf:l1f7<532con7>5$3`0>a`<f;h96>54iec94?"5j:0oj6`=b387?>oc13:1(?l<:ed8j7d52<10ei650;&1f6<cn2d9n?49;:kg3?6=,;h86ih4n3`1>2=<am<1<7*=b28gb>h5j;0376gk5;29 7d42ml0b?l=:898ma2=83.9n>4kf:l1f7<f32co?7>5$3`0>a`<f;h96o54ie394?"5j:0oj6`=b38`?>oc83:1(?l<:ed8j7d52m10enh50;&1f6<cn2d9n?4j;:k`a?6=,;h86ih4n3`1>c=<ajn1<7*=b28gb>h5j;0:<65fcb83>!4e;3nm7c<m2;32?>odj3:1(?l<:ed8j7d528807dmn:18'6g5=lo1e>o<51298mf?=83.9n>4kf:l1f7<6<21bo54?:%0a7?ba3g8i>7?:;:kf3?6=,;h86ih4n3`1>40<3`o=6=4+2c19`c=i:k81=:54id794?"5j:0oj6`=b382<>=nm=0;6)<m3;fe?k4e:3;276gj3;29 7d42ml0b?l=:0c8?lc5290/>o=5dg9m6g4=9k10eh?50;&1f6<cn2d9n?4>c:9j`a<72-8i?7ji;o0a6?7c32co>7>5$3`0>a`<f;h96<k4;ha4>5<#:k91hk5a2c095c=<a8:o6=4+2c1955e<f;h96=54i02a>5<#:k91==m4n3`1>4=<a8:j6=4+2c1955e<f;h96?54i02:>5<#:k91==m4n3`1>6=<a8;96=4+2c19547<f;h96=54i033>5<#:k91=<?4n3`1>4=<a8:m6=4+2c19547<f;h96?54i02f>5<#:k91=<?4n3`1>6=<a:?>6=4+2c19702<f;h96=54i270>5<#:k91?8:4n3`1>4=<a:?96=4+2c19702<f;h96?54i272>5<#:k91?8:4n3`1>6=<a:?j6=4+2c1970?<f;h96=54i27;>5<#:k91?874n3`1>4=<a:?<6=4+2c1970?<f;h96?54i275>5<#:k91?874n3`1>6=<g88h6=4+2c1957d<f;h96=54o00b>5<#:k91=?l4n3`1>4=<g8836=4+2c1957d<f;h96?54o004>5<#:k91=?l4n3`1>6=<g88=6=4+2c1957d<f;h96954o006>5<#:k91=?l4n3`1>0=<g88?6=4+2c1957d<f;h96;54o000>5<#:k91=?l4n3`1>2=<g8896=4+2c1957d<f;h96554o002>5<#:k91=?l4n3`1><=<g88;6=4+2c1957d<f;h96l54o03e>5<#:k91=?l4n3`1>g=<g8;o6=4+2c1957d<f;h96n54o03`>5<#:k91=?l4n3`1>a=<g8;i6=4+2c1957d<f;h96h54o03b>5<#:k91=?l4n3`1>c=<g8;26=4+2c1957d<f;h96<>4;n32<?6=,;h86<<m;o0a6?7632e:=:4?:%0a7?75j2d9n?4>2:9l540=83.9n>4>2c9m6g4=9:10c<?::18'6g5=9;h0b?l=:068?j76<3:1(?l<:00a?k4e:3;>76a>3283>!4e;3;9n6`=b3822>=h9:81<7*=b2826g=i:k81=:54o012>5<#:k91=?l4n3`1>4><3f;8<7>5$3`0>44e3g8i>7?6;:m26c<72-8i?7?=b:l1f7<6i21d=?k50;&1f6<6:k1e>o<51c98k44c290/>o=513`8j7d528i07b?=9;29 7d4288i7c<m2;3g?>i69l0;6)<m3;31f>h5j;0:i65`10194?"5j:0:>o5a2c095c=<g8>n6=4+2c1951b<f;h96=54o06`>5<#:k91=9j4n3`1>4=<g8>j6=4+2c1951b<f;h96?54o06:>5<#:k91=9j4n3`1>6=<g8>36=4+2c1951b<f;h96954o064>5<#:k91=9j4n3`1>0=<g8>=6=4+2c1951b<f;h96;54o066>5<#:k91=9j4n3`1>2=<g8>?6=4+2c1951b<f;h96554o060>5<#:k91=9j4n3`1><=<g8>96=4+2c1951b<f;h96l54o062>5<#:k91=9j4n3`1>g=<g89m6=4+2c1951b<f;h96n54o01f>5<#:k91=9j4n3`1>a=<g89o6=4+2c1951b<f;h96h54o01`>5<#:k91=9j4n3`1>c=<g89i6=4+2c1951b<f;h96<>4;n30e?6=,;h86<:k;o0a6?7632e:?44?:%0a7?73l2d9n?4>2:9l56>=83.9n>4>4e9m6g4=9:10c<=8:18'6g5=9=n0b?l=:068?j74>3:1(?l<:06g?k4e:3;>76a>5483>!4e;3;?h6`=b3822>=h9<>1<7*=b2820a=i:k81=:54o070>5<#:k91=9j4n3`1>4><3f;>>7>5$3`0>42c3g8i>7?6;:m214<72-8i?7?;d:l1f7<6i21d=8>50;&1f6<6<m1e>o<51c98k42a290/>o=515f8j7d528i07b?;b;29 7d428>o7c<m2;3g?>i6<90;6)<m3;37`>h5j;0:i65`12794?"5j:0:8i5a2c095c=<g8?i6=4+2c1950g<f;h96=54o07:>5<#:k91=8o4n3`1>4=<g8?36=4+2c1950g<f;h96?54o074>5<#:k91=8o4n3`1>6=<g8<;6=4+2c1950`<f;h96=54o07f>5<#:k91=8h4n3`1>4=<g8?o6=4+2c1950`<f;h96?54o07`>5<#:k91=8h4n3`1>6=<uk8:i?4?:b094?6|,:>36><;;I143>N4<m1Q:h4l{d8e>7d=:j0887=::24972<5n39;6>65388~ 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>6=#9l<1?6*>e881ef=#9l=1>6*>e981?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1d`95=?<,8oh6<66;%111?7a:2.8>;4>f39m76d=92d8?n4>;%176?4fk2.:m94<;%3b1?5<,:?h6>;m;%16`?52j2d89h4>;o16b?7<f89?6=5a14494>"4<80:445+35696>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(>:::39j35<722c<=7>5;h54>5<<a>21<75f19794?=n;:n1<75f32g94?=n91<1<75f35494?=n;==1<75`7383>>i0;3:17d:j:18'6g5=<m1e>o<50:9j0f<72-8i?7:k;o0a6?7<3`>i6=4+2c190a=i:k81>65f4`83>!4e;3>o7c<m2;18?l2>290/>o=54e9m6g4=<21b9:4?:%0a7?2c3g8i>7;4;h75>5<#:k918i5a2c092>=n=<0;6)<m3;6g?k4e:3=07d;;:18'6g5=<m1e>o<58:9j16<72-8i?7:k;o0a6??<3`?96=4+2c190a=i:k81m65f5083>!4e;3>o7c<m2;`8?l37290/>o=54e9m6g4=k21b8k4?:%0a7?2c3g8i>7j4;h6;>5<#:k918i5a2c09a>=n=l0;6)<m3;7g?k4e:3:07d;l:18'6g5==m1e>o<51:9j1g<72-8i?7;k;o0a6?4<3`?j6=4+2c191a=i:k81?65f5883>!4e;3?o7c<m2;68?l00290/>o=55e9m6g4==21b:;4?:%0a7?3c3g8i>784;h46>5<#:k919i5a2c093>=n>=0;6)<m3;7g?k4e:3207d8<:18'6g5==m1e>o<59:9j27<72-8i?7;k;o0a6?g<3`<:6=4+2c191a=i:k81n65f6183>!4e;3?o7c<m2;a8?l3a290/>o=55e9m6g4=l21b954?:%0a7?3c3g8i>7k4;h`e>5<#:k91nh5a2c094>=njm0;6)<m3;`f?k4e:3;07dlm:18'6g5=jl1e>o<52:9jfd<72-8i?7lj;o0a6?5<3`h26=4+2c19f`=i:k81865fb983>!4e;3hn7c<m2;78?ld0290/>o=5bd9m6g4=>21bn;4?:%0a7?db3g8i>794;h`6>5<#:k91nh5a2c09<>=nj=0;6)<m3;`f?k4e:3307dl<:18'6g5=jl1e>o<5a:9jf7<72-8i?7lj;o0a6?d<3`h;6=4+2c19f`=i:k81o65fag83>!4e;3hn7c<m2;f8?lgb290/>o=5bd9m6g4=m21bmi4?:%0a7?db3g8i>7h4;hc`>5<#:k91nh5a2c0955=<ahh1<7*=b28aa>h5j;0:=65fa`83>!4e;3hn7c<m2;31?>of13:1(?l<:cg8j7d528907do7:18'6g5=jl1e>o<51598md1=83.9n>4me:l1f7<6=21bo;4?:%0a7?db3g8i>7?9;:k`1?6=,;h86ok4n3`1>41<3`i?6=4+2c19f`=i:k81=554ib194?"5j:0ii6`=b382=>=nk;0;6)<m3;`f?k4e:3;j76gl1;29 7d42ko0b?l=:0`8?le7290/>o=5bd9m6g4=9j10eom50;&1f6<em2d9n?4>d:9jf4<72-8i?7lj;o0a6?7b32cj:7>5$3`0>gc<f;h96<h4;hg3>5<#:k91hk5a2c094>=nll0;6)<m3;fe?k4e:3;07djl:18'6g5=lo1e>o<52:9j`g<72-8i?7ji;o0a6?5<3`nj6=4+2c19`c=i:k81865fd883>!4e;3nm7c<m2;78?lb?290/>o=5dg9m6g4=>21bh:4?:%0a7?ba3g8i>794;hf5>5<#:k91hk5a2c09<>=nl<0;6)<m3;fe?k4e:3307dj;:18'6g5=lo1e>o<5a:9j`6<72-8i?7ji;o0a6?d<3`n:6=4+2c19`c=i:k81o65fd183>!4e;3nm7c<m2;f8?lea290/>o=5dg9m6g4=m21boh4?:%0a7?ba3g8i>7h4;hag>5<#:k91hk5a2c0955=<aji1<7*=b28gb>h5j;0:=65fcc83>!4e;3nm7c<m2;31?>odi3:1(?l<:ed8j7d528907dm6:18'6g5=lo1e>o<51598mf>=83.9n>4kf:l1f7<6=21bi:4?:%0a7?ba3g8i>7?9;:kf2?6=,;h86ih4n3`1>41<3`o>6=4+2c19`c=i:k81=554id694?"5j:0oj6`=b382=>=nm:0;6)<m3;fe?k4e:3;j76gj2;29 7d42ml0b?l=:0`8?lc6290/>o=5dg9m6g4=9j10eij50;&1f6<cn2d9n?4>d:9j`7<72-8i?7ji;o0a6?7b32ch;7>5$3`0>a`<f;h96<h4;h33`?6=,;h86<>l;o0a6?6<3`;;n7>5$3`0>46d3g8i>7?4;h33e?6=,;h86<>l;o0a6?4<3`;;57>5$3`0>46d3g8i>7=4;h326?6=,;h86<?>;o0a6?6<3`;:<7>5$3`0>4763g8i>7?4;h33b?6=,;h86<?>;o0a6?4<3`;;i7>5$3`0>4763g8i>7=4;h161?6=,;h86>;;;o0a6?6<3`9>?7>5$3`0>6333g8i>7?4;h166?6=,;h86>;;;o0a6?4<3`9>=7>5$3`0>6333g8i>7=4;h16e?6=,;h86>;6;o0a6?6<3`9>47>5$3`0>63>3g8i>7?4;h163?6=,;h86>;6;o0a6?4<3`9>:7>5$3`0>63>3g8i>7=4;n31g?6=,;h86<<m;o0a6?6<3f;9m7>5$3`0>44e3g8i>7?4;n31<?6=,;h86<<m;o0a6?4<3f;9;7>5$3`0>44e3g8i>7=4;n312?6=,;h86<<m;o0a6?2<3f;997>5$3`0>44e3g8i>7;4;n310?6=,;h86<<m;o0a6?0<3f;9?7>5$3`0>44e3g8i>794;n316?6=,;h86<<m;o0a6?><3f;9=7>5$3`0>44e3g8i>774;n314?6=,;h86<<m;o0a6?g<3f;:j7>5$3`0>44e3g8i>7l4;n32`?6=,;h86<<m;o0a6?e<3f;:o7>5$3`0>44e3g8i>7j4;n32f?6=,;h86<<m;o0a6?c<3f;:m7>5$3`0>44e3g8i>7h4;n32=?6=,;h86<<m;o0a6?7732e:=54?:%0a7?75j2d9n?4>1:9l541=83.9n>4>2c9m6g4=9;10c<?9:18'6g5=9;h0b?l=:018?j76=3:1(?l<:00a?k4e:3;?76a>1583>!4e;3;9n6`=b3821>=h9:91<7*=b2826g=i:k81=;54o011>5<#:k91=?l4n3`1>41<3f;8=7>5$3`0>44e3g8i>7?7;:m275<72-8i?7?=b:l1f7<6121d=?h50;&1f6<6:k1e>o<51`98k44b290/>o=513`8j7d528h07b?=d;29 7d4288i7c<m2;3`?>i6:00;6)<m3;31f>h5j;0:h65`10g94?"5j:0:>o5a2c095`=<g8;86=4+2c1957d<f;h96<h4;n37a?6=,;h86<:k;o0a6?6<3f;?o7>5$3`0>42c3g8i>7?4;n37e?6=,;h86<:k;o0a6?4<3f;?57>5$3`0>42c3g8i>7=4;n37<?6=,;h86<:k;o0a6?2<3f;?;7>5$3`0>42c3g8i>7;4;n372?6=,;h86<:k;o0a6?0<3f;?97>5$3`0>42c3g8i>794;n370?6=,;h86<:k;o0a6?><3f;??7>5$3`0>42c3g8i>774;n376?6=,;h86<:k;o0a6?g<3f;?=7>5$3`0>42c3g8i>7l4;n30b?6=,;h86<:k;o0a6?e<3f;8i7>5$3`0>42c3g8i>7j4;n30`?6=,;h86<:k;o0a6?c<3f;8o7>5$3`0>42c3g8i>7h4;n30f?6=,;h86<:k;o0a6?7732e:?l4?:%0a7?73l2d9n?4>1:9l56?=83.9n>4>4e9m6g4=9;10c<=7:18'6g5=9=n0b?l=:018?j74?3:1(?l<:06g?k4e:3;?76a>3783>!4e;3;?h6`=b3821>=h9<?1<7*=b2820a=i:k81=;54o077>5<#:k91=9j4n3`1>41<3f;>?7>5$3`0>42c3g8i>7?7;:m217<72-8i?7?;d:l1f7<6121d=8?50;&1f6<6<m1e>o<51`98k437290/>o=515f8j7d528h07b?;f;29 7d428>o7c<m2;3`?>i6<k0;6)<m3;37`>h5j;0:h65`15294?"5j:0:8i5a2c095`=<g89>6=4+2c1951b<f;h96<h4;n36f?6=,;h86<;n;o0a6?6<3f;>57>5$3`0>43f3g8i>7?4;n36<?6=,;h86<;n;o0a6?4<3f;>;7>5$3`0>43f3g8i>7=4;n354?6=,;h86<;i;o0a6?6<3f;>i7>5$3`0>43a3g8i>7?4;n36`?6=,;h86<;i;o0a6?4<3f;>o7>5$3`0>43a3g8i>7=4;|`:6=<72<0;6=u+35:95cg<@:=<7E=;d:&2e3<4l2c:5l4?::k2=g<722c:5n4?::k2=a<722e:ik4?::\7fa<01=83?1<7>t$26;>4`f3A9<;6F<4e9'5d0=;m1b=4o50;9j5<d=831b=4m50;9j5<b=831d=hh50;9~f<6a290=6=4?{%17<?7a12B8;:5G35f8 4g12==0e<7n:188m4?e2900e<7l:188m4?c2900c<ki:188k7gc2900qo6<e;292?6=8r.8854>f89K721<@:>o7)?n6;64?l7>i3:17d?6b;29?l7>k3:17d?6d;29?j7bn3:17b<nd;29?xde890;684?:1y'71>=9o=0D>98;I17`>"6i?08i6g>9`83>>o61k0;66g>9b83>>i6mo0;66a=ae83>>{eioh1<7;50;2x 62?28l<7E=87:J00a=#9h<1=564i0;b>5<<a83i6=44i0;`>5<<g8om6=44o3cg>5<<ukknm7>55;294~"4<10:j:5G3658L62c3-;j:78m;h3:e?6=3`;2n7>5;h3:g?6=3f;nj7>5;n0b`?6=3thji;4?:483>5}#;=21=k94H254?M53l2.:m;4>8`9j5<g=831b=4l50;9j5<e=831d=hh50;9l6db=831vnlj;:186>5<7s-9?47?i7:J032=O;=n0(<o9:7`8m4?f2900e<7m:188m4?d2900c<ki:188k7gc2900qook0;291?6=8r.8854>f69K721<@:>o7)?n6;3;e>o61h0;66g>9c83>>o61j0;66a>eg83>>i5im0;66smab:94?3=83:p(>:7:0d4?M50?2B88i5+1`495=g<a83j6=44i0;a>5<<a83h6=44o0ge>5<<g;ko6=44}cc`1?6==3:1<v*<4982b2=O;>=0D>:k;%3b2?7>82c:5l4?::k2=g<722c:5n4?::m2ac<722e9mi4?::\7faf43=83<1<7>t$26;>4`>3A9<;6F<4e9'5d0=9080e<7n:188m4?e2900e<7l:188m4?c2900c<ki:188k7gc2900qol>6;292?6=8r.8854>f89K721<@:>o7)?n6;3:7>o61h0;66g>9c83>>o61j0;66g>9e83>>i6mo0;66a=ae83>>{ej8=1<7850;2x 62?28l27E=87:J00a=#9h<1=4<4i0;b>5<<a83i6=44i0;`>5<<a83o6=44o0ge>5<<g;ko6=44}c`2<?6=>3:1<v*<4982b<=O;>=0D>:k;%3b2?7>;2c:5l4?::k2=g<722c:5n4?::k2=a<722e:ik4?::m1ea<722win<750;494?6|,:>36<h6;I143>N4<m1/=l851818m4?f2900e<7m:188m4?d2900e<7k:188k4ca2900c?ok:188ygd6i3:1:7>50z&00=<6n01C?:94H26g?!7f>3<h7d?6a;29?l7>j3:17d?6c;29?l7>l3:17b?jf;29?j4fl3:17plm1c83>3<729q/?9651g;8L6103A9?h6*>a782=7=n90k1<75f18`94?=n90i1<75f18f94?=h9ll1<75`2`f94?=zjk;h6=49:183\7f!5303;m56F<769K71b<,8k=6<7;;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n3fb?6=3f8jh7>5;|`a5`<72?0;6=u+35:95c?<@:=<7E=;d:&2e3<1k2c:5l4?::k2=g<722c:5n4?::k2=a<722e:ik4?::m1ea<722win<h50;494?6|,:>36<h6;I143>N4<m1/=l851808m4?f2900e<7m:188m4?d2900e<7k:188k4ca2900c?ok:188ygd583:1:7>50z&00=<6n01C?:94H26g?!7f>3;2>6g>9`83>>o61k0;66g>9b83>>o61m0;66a>eg83>>i5im0;66smb3394?0=83:p(>:7:0d:?M50?2B88i5+1`495<5<a83j6=44i0;a>5<<a83h6=44i0;g>5<<g8om6=44o3cg>5<<ukh9>7>56;294~"4<10:j45G3658L62c3-;j:7=i;h3:e?6=3`;2n7>5;h3:g?6=3`;2h7>5;n3fb?6=3f8jh7>5;|`a66<72?0;6=u+35:95c?<@:=<7E=;d:&2e3<1k2c:5l4?::k2=g<722c:5n4?::k2=a<722e:ik4?::m1ea<722win?:50;494?6|,:>36<h6;I143>N4<m1/=l856b9j5<g=831b=4l50;9j5<e=831b=4j50;9l5``=831d>lj50;9~fg42290=6=4?{%17<?7a12B8;:5G35f8 4g128397d?6a;29?l7>j3:17d?6c;29?l7>l3:17b?jf;29?j4fl3:17plm2d83>3<729q/?9651g;8L6103A9?h6*>a7875>o61h0;66g>9c83>>o61j0;66g>9e83>>i6mo0;66a=ae83>>{e:88h6=4<:183\7f!5303;m96F<769K71b<,8k=69>4i0;b>5<<a83i6=44o0ge>5<<uk8:>44?:283>5}#;=21=k;4H254?M53l2.:m;48c:k2=d<722c:5o4?::m2ac<722wi><<9:180>5<7s-9?47?i5:J032=O;=n0(<o9:6a8m4?f2900e<7m:188k4ca2900qo<>2283>6<729q/?9651g78L6103A9?h6*>a782<7=n90k1<75f18`94?=h9ll1<75rs6d94?52sW=m70;6a;57?83>13=?70;n2;57?83f;3=?70;n4;57?83f=3=?70;n6;57?83f?3=?70;6b;57?83f03=?70;n9;57?83>k3=?70;6d;57?83>m3=?70;6f;57?83f83=?70;n1;57?834>3=?70;<5;57?834m3=?70;<f;57?83383=?70;;1;57?833:3=?70;;3;57?834?3=?70;;4;57?833=3=?70;<8;57?83413=?70;<a;57?834j3=?70;<c;57?834l3=?70:j2;57?82b;3=?70:jb;57?82bk3=?70:jd;57?82bm3=?70:jf;57?82a83=?70:i1;57?82a:3=?70:j4;57?82b=3=?70:j6;57?82b?3=?70:j8;57?82b13=?70:ja;57?8?3838jh6s|18794?75sW=n7S?65:?b6=<4::16m?9518a89<?5283h70o6e;3:f>;fi;0:5o52a`195<d<5hk?6<7m;<cb1?7>j27jm;4>9c9>ed1=90h01lo7:0;a?8gf13;2n63n9g82=g=:ih:1=4l4=8:e>4?>34kj=7?6b:\7fp76g=833pR>=n;<;74?7>i279>>k518c89<bd283i707;5;3:f>;59;h1=4o4=331<?7>i279=?;518c89775:3;2m6s|6883>7}Y>01654>53318yv54n3:1;vP<3g9>egc=:k>01lm<:3`7?8gd:38i863nc081f1=:ikl1>o:4=`a3>7d33ty:494?:by]3a=Y91>014jk:200?8?383;2n63=22g95<d<50nh6<7l;<;71?7>k279=?l518a8977503;2o63=13795<e<5;;9>7?6c:?:07<6101v\7f?kl:187<67|V:<;7S=;a:\1ec=Y;=o0R?l:;_0fg>;4?10:5:52ab193f=:ij;1;n526`1933=:>k:1m8526c09e0=:>k>1m8526c49e0=:>k21m8526cc9e0=:>ki1m8526cg9e0=:>j:1m8526`69e0=:>h<1m8526`:9e0=:>hk1m8526`a9e0=:>ho1m852642933=:><n1m85264d9e0=:>?;1m8526719e0=:>??1m8526759e0=:>?31m85267`9e0=:>?n1m8526439e0=:><91m8526479e0=:><=1m85264;9e0=:><h1m852554933=:=<91m8525479e0=:=<=1m85254;9e0=:=<h1m85254f9e0=:=<l1m8525739e0=:=?91m8525559e0=:==31m85255`9e0=:==n1m85255d9e0=:=<;1m8525gf933=:>9k1m85261a9e0=:>9o1m8526029e0=:>881m8526069e0=:>8<1m85260:9e0=:>8k1m8525gg9e0=:>9:1m8526109e0=:>9>1m8526149e0=:>921m8524g1933=:=9:1m8525109e0=:=9>1m8525149e0=:=921m85251c9e0=:=9i1m85251g9e0=:=8:1m8524g69e0=:<o<1m8524g:9e0=:<ok1m8524ga9e0=:<oo1m8525`c933=:=k=1m8525c;9e0=:=kh1m8525cf9e0=:=kl1m8525b39e0=:=j91m8525b79e0=:=j=1m8525``9e0=:=hn1m8525`d9e0=:=k;1m8525c19e0=:=k?1m8524`2933=:<hn1m8524`d9e0=:<k;1m8524c19e0=:<k?1m8524c59e0=:<k31m8524c`9e0=:<kn1m8524`39e0=:<h91m8524`79e0=:<h=1m8524`;9e0=:<hh1m8529d49e0=:1l=1m8529d:9e0=:1l31m8529dc9e0=:1lh1m8529da9e0=:1ln1m8529dg9e0=:i931m852a1c9e0=:i9h1m852a1a9e0=:i9n1m852a1g9e0=:i9l1m852a029e0=:i8;1m852a239e0=:i:81m852a219e0=:i:>1m852a279e0=:i:<1m852a259e0=:i:21m852a2;9e0=:i<>1m852a479e0=:i<<1m852a459e0=:i<21m852a4;9e0=:i<k1m852a4`9e0=:i<i1m85295g9e0=:1=l1m8529429e0=:1<;1m8529409e0=:1<91m8529469e0=:1<?1m8529449e0=:1>;1m8529609e0=:1>91m8529669e0=:1>?1m8529649e0=:1>=1m85296:9e0=:1>31m85298`9e0=:10i1m85298f9e0=:10o1m85298d9e0=:1h:1m8529`39e0=:1h81m8529`19e0=:1ko1m8529cd9e0=:1j:1m8529b39e0=:1j81m8529b19e0=:1j>1m8529b79e0=:1j<1m852200g>26<5;;9h79>;<026a<4;m16><<k:21f?846:m0?i63=13f915=::88o69h4=331`?2?348:>i4:e:?157b=>916><<k:4d89775l3?370<>2e8ab>;59;n1ni52200g>gd<5;;9h7ln;<026a<e1279=?j5b99>644c2k=01??=d;`5?846:m0i963=13f9f1=::88o6o=4=331`?d5348:>i4m0:?157b=io16><<k:`g89775l3ko70<>2e8bg>;59;n1mo52200g>dg<5;;9h7o6;<026a<f0279=?j5a69>644c2j<01??=d;a6?846:m0n<63=13f9``=::88o6im4=331`?be348:>i4ka:?157b=l016><<k:e:89775l3n<70<>2e8g2>;59;n1h852200g>a2<5;;9h7j<;<026a<c9279=?j5d19>644c2jl01??=d;af?846:m0hh63=13f9gf=::88o6nl4=331`?ef348:>i4l9:?157b=k116><<k:d589775l3o=70<>2e8f1>;59;n1i952200g>`5<5;;9h7k=;<026a<b9279=?j5de9>644c2m801??=d;a4?846:m0:<i52200g>46e348:>i4>0`9>644c28;970<>2e8255=::88o6<>i;<026a<68l16><<k:27b?846:m089552200g>630348:>i4<579>64d32>:01??m4;52?846j=08?i5220`7>65b348:n94;e:?15g2==916><l;:5d8977e<3>370<>b586a>;59k>1:=5220`7>0`<5;;i87;7;<02f1<en279=o:5be9>64d32kh01??m4;`b?846j=0i563=1c69f==::8h?6o94=33a0?d1348:n94m5:?15g2=j=16><l;:c18977e<3h970<>b58a4>;59k>1mk5220`7>dc<5;;i87ok;<02f1<fk279=o:5ac9>64d32hk01??m4;c:?846j=0j463=1c69e2=::8h?6n84=33a0?e2348:n94j0:?15g2=ll16><l;:ea8977e<3ni70<>b58ge>;59k>1h45220`7>a><5;;i87j8;<02f1<c>279=o:5d49>64d32m>01??m4;f0?846j=0o=63=1c69`5=::8h?6nh4=33a0?eb348:n94ld:?15g2=kj16><l;:b`8977e<3ij70<>b58`=>;59k>1o55220`7>`1<5;;i87k9;<02f1<b=279=o:5e59>64d32l901??m4;g1?846j=0n=63=1c69`a=::8h?6i<4=33a0?e0348:n94>0e9>64d328:i70<>b5824d=::8h?6<?=;<02f1<69916><l;:02e?846j=0:<h5220`7>63f348:n94<599>64d32:?<70<>b58013=::8om6:>4=33fb?16348:ik4<3e9>64ca2:9n70<>eg87a>;59ll19=5220ge>1`<5;;nj7:7;<02ac<2m279=hh5619>64ca2<l01??jf;7;?846mo0ij63=1dd9fa=::8om6ol4=33fb?df348:ik4m9:?15``=j116><ki:c58977bn3h=70<>eg8a1>;59ll1n95220ge>g5<5;;nj7l=;<02ac<e8279=hh5ag9>64ca2ho01??jf;cg?846mo0jo63=1dd9eg=::8om6lo4=33fb?g>348:ik4n8:?15``=i>16><ki:b48977bn3i>70<>eg8f4>;59ll1hh5220ge>ae<5;;nj7jm;<02ac<ci279=hh5d89>64ca2m201??jf;f4?846mo0o:63=1dd9`0=::8om6i:4=33fb?b4348:ik4k1:?15``=l916><ki:bd8977bn3in70<>eg8``>;59ll1on5220ge>fd<5;;nj7mn;<02ac<d1279=hh5c99>64ca2l=01??jf;g5?846mo0n963=1dd9a1=::8om6h=4=33fb?c5348:ik4j1:?15``=lm16><ki:e08977bn3i<70<>eg824a=::8om6<>m;<02ac<68h16><ki:031?846mo0:==5220ge>46a348:ik4>0d9>64ca2:?j70<>eg801==::8om6>;8;<02ac<4=?16><hn:628977ai3=:70<>f`807a=::8lj6>=j;<02bd<3m279=ko5519>64`f2=l01??ia;6;?846nh0>i63=1gc925=::8lj68h4=33ee?3?348:jl4mf:?15cg=jm16><hn:c`8977ai3hj70<>f`8a=>;59ok1n55220db>g1<5;;mm7l9;<02bd<e=279=ko5b59>64`f2k901??ia;`1?846nh0i<63=1gc9ec=::8lj6lk4=33ee?gc348:jl4nc:?15cg=ik16><hn:`c8977ai3k270<>f`8b<>;59ok1m:5220db>f0<5;;mm7m:;<02bd<b8279=ko5dd9>64`f2mi01??ia;fa?846nh0om63=1gc9`<=::8lj6i64=33ee?b0348:jl4k6:?15cg=l<16><hn:e68977ai3n870<>f`8g5>;59ok1h=5220db>f`<5;;mm7mj;<02bd<dl279=ko5cb9>64`f2jh01??ia;ab?846nh0h563=1gc9g==::8lj6h94=33ee?c1348:jl4j5:?15cg=m=16><hn:d18977ai3o970<>f`8f5>;59ok1hi5220db>a4<5;;mm7m8;<02bd<68m16><hn:02a?846nh0:<l5220db>475348:jl4>119>64`f28:m70<>f`824`=::8lj6>;n;<02bd<4=116><hn:274?846nh089;522326>26<5;8;979>;<0140<4;m16>?>::21f?8458<0?i63=217915=::;:>69h4=3031?2?3489<84:e:?1653=>916>?>::4d89747=3?370<=048ab>;5:9?1ni522326>gd<5;8;97ln;<0140<e1279>=;5b99>67622k=01?<?5;`5?8458<0i963=2179f1=::;:>6o=4=3031?d53489<84m0:?1653=io16>?>::`g89747=3ko70<=048bg>;5:9?1mo522326>dg<5;8;97o6;<0140<f0279>=;5a69>67622j<01?<?5;a6?8458<0n<63=2179``=::;:>6im4=3031?be3489<84ka:?1653=l016>?>::e:89747=3n<70<=048g2>;5:9?1h8522326>a2<5;8;97j<;<0140<c9279>=;5d19>67622jl01?<?5;af?8458<0hh63=2179gf=::;:>6nl4=3031?ef3489<84l9:?1653=k116>?>::d589747=3o=70<=048f1>;5:9?1i9522326>`5<5;8;97k=;<0140<b9279>=;5de9>67622m801?<?5;a4?8458<0:<i522326>46e3489<84>0`9>676228;970<=048255=::;:>6<>i;<0140<68l16>?>::27b?8458<0895522326>6303489<84<579>67772>:01?<>0;52?8459908?i522333>65b3489==4;e:?1646==916>???:5d8974683>370<=1186a>;5:8:1:=522333>0`<5;8:<7;7;<0155<en279><>5be9>67772kh01?<>0;`b?845990i563=2029f==::;;;6o94=3024?d13489==4m5:?1646=j=16>???:c18974683h970<=118a4>;5:8:1mk522333>dc<5;8:<7ok;<0155<fk279><>5ac9>67772hk01?<>0;c:?845990j463=2029e2=::;;;6n84=3024?e23489==4j0:?1646=ll16>???:ea8974683ni70<=118ge>;5:8:1h4522333>a><5;8:<7j8;<0155<c>279><>5d49>67772m>01?<>0;f0?845990o=63=2029`5=::;;;6nh4=3024?eb3489==4ld:?1646=kj16>???:b`8974683ij70<=118`=>;5:8:1o5522333>`1<5;8:<7k9;<0155<b=279><>5e59>67772l901?<>0;g1?845990n=63=2029`a=::;;;6i<4=3024?e03489==4>0e9>677728:i70<=11824d=::;;;6<?=;<0155<69916>???:02e?845990:<h522333>63f3489==4<599>67772:?<70<=118013=::;;i6:>4=302f?163489=o4<3e9>677e2:9n70<=1c87a>;5:8h19=52233a>1`<5;8:n7:7;<015g<2m279><l5619>677e2<l01?<>b;7;?8459k0ij63=20`9fa=::;;i6ol4=302f?df3489=o4m9:?164d=j116>??m:c589746j3h=70<=1c8a1>;5:8h1n952233a>g5<5;8:n7l=;<015g<e8279><l5ag9>677e2ho01?<>b;cg?8459k0jo63=20`9eg=::;;i6lo4=302f?g>3489=o4n8:?164d=i>16>??m:b489746j3i>70<=1c8f4>;5:8h1hh52233a>ae<5;8:n7jm;<015g<ci279><l5d89>677e2m201?<>b;f4?8459k0o:63=20`9`0=::;;i6i:4=302f?b43489=o4k1:?164d=l916>??m:bd89746j3in70<=1c8``>;5:8h1on52233a>fd<5;8:n7mn;<015g<d1279><l5c99>677e2l=01?<>b;g5?8459k0n963=20`9a1=::;;i6h=4=302f?c53489=o4j1:?164d=lm16>??m:e089746j3i<70<=1c824a=::;;i6<>m;<015g<68h16>??m:031?8459k0:==52233a>46a3489=o4>0d9>677e2:?j70<=1c801==::;;i6>;8;<015g<4=?16>?<9:6289745>3=:70<=27807a=::;8=6>=j;<0163<3m279>?85519>67412=l01?<=6;6;?845:?0>i63=234925=::;8=68h4=3012?3?3489>;4mf:?1670=jm16>?<9:c`89745>3hj70<=278a=>;5:;<1n5522305>g1<5;89:7l9;<0163<e=279>?85b59>67412k901?<=6;`1?845:?0i<63=2349ec=::;8=6lk4=3012?gc3489>;4nc:?1670=ik16>?<9:`c89745>3k270<=278b<>;5:;<1m:522305>f0<5;89:7m:;<0163<b8279>?85dd9>67412mi01?<=6;fa?845:?0om63=2349`<=::;8=6i64=3012?b03489>;4k6:?1670=l<16>?<9:e689745>3n870<=278g5>;5:;<1h=522305>f`<5;89:7mj;<0163<dl279>?85cb9>67412jh01?<=6;ab?845:?0h563=2349g==::;8=6h94=3012?c13489>;4j5:?1670=m=16>?<9:d189745>3o970<=278f5>;5:;<1hi522305>a4<5;89:7m8;<0163<68m16>?<9:02a?845:?0:<l522305>4753489>;4>119>674128:m70<=27824`=::;8=6>;n;<0163<4=116>?<9:274?845:?089;522312>26<5;88=79>;<0174<4;m16>?=>:21f?845;80?i63=223915=::;9:69h4=3005?2?3489?<4:e:?1667=>916>?=>:4d8974493?370<=308ab>;5::;1ni522312>gd<5;88=7ln;<0174<e1279>>?5b99>67562k=01?<<1;`5?845;80i963=2239f1=::;9:6o=4=3005?d53489?<4m0:?1667=io16>?=>:`g8974493ko70<=308bg>;5::;1mo522312>dg<5;88=7o6;<0174<f0279>>?5a69>67562j<01?<<1;a6?845;80n<63=2239``=::;9:6im4=3005?be3489?<4ka:?1667=l016>?=>:e:8974493n<70<=308g2>;5::;1h8522312>a2<5;88=7j<;<0174<c9279>>?5d19>67562jl01?<<1;af?845;80hh63=2239gf=::;9:6nl4=3005?ef3489?<4l9:?1667=k116>?=>:d58974493o=70<=308f1>;5::;1i9522312>`5<5;88=7k=;<0174<b9279>>?5de9>67562m801?<<1;a4?845;80:<i522312>46e3489?<4>0`9>675628;970<=308255=::;9:6<>i;<0174<68l16>?=>:27b?845;80895522312>6303489?<4<579>675d2>:01?<<c;52?845;j08?i52231`>65b3489?n4;e:?166e==916>?=l:5d89744k3>370<=3b86a>;5::i1:=52231`>0`<5;88o7;7;<017f<en279>>m5be9>675d2kh01?<<c;`b?845;j0i563=22a9f==::;9h6o94=300g?d13489?n4m5:?166e=j=16>?=l:c189744k3h970<=3b8a4>;5::i1mk52231`>dc<5;88o7ok;<017f<fk279>>m5ac9>675d2hk01?<<c;c:?845;j0j463=22a9e2=::;9h6n84=300g?e23489?n4j0:?166e=ll16>?=l:ea89744k3ni70<=3b8ge>;5::i1h452231`>a><5;88o7j8;<017f<c>279>>m5d49>675d2m>01?<<c;f0?845;j0o=63=22a9`5=::;9h6nh4=300g?eb3489?n4ld:?166e=kj16>?=l:b`89744k3ij70<=3b8`=>;5::i1o552231`>`1<5;88o7k9;<017f<b=279>>m5e59>675d2l901?<<c;g1?845;j0n=63=22a9`a=::;9h6i<4=300g?e03489?n4>0e9>675d28:i70<=3b824d=::;9h6<?=;<017f<69916>?=l:02e?845;j0:<h52231`>63f3489?n4<599>675d2:?<70<=3b8013=::8936:>4=330<?16348:?54<3e9>645?2:9n70<>3987a>;59:219=52201;>1`<5;;847:7;<027=<2m279=>65619>645?2<l01??<8;7;?846;10ij63=12:9fa=::8936ol4=330<?df348:?54m9:?156>=j116><=7:c58977403h=70<>398a1>;59:21n952201;>g5<5;;847l=;<027=<e8279=>65ag9>645?2ho01??<8;cg?846;10jo63=12:9eg=::8936lo4=330<?g>348:?54n8:?156>=i>16><=7:b48977403i>70<>398f4>;59:21hh52201;>ae<5;;847jm;<027=<ci279=>65d89>645?2m201??<8;f4?846;10o:63=12:9`0=::8936i:4=330<?b4348:?54k1:?156>=l916><=7:bd8977403in70<>398``>;59:21on52201;>fd<5;;847mn;<027=<d1279=>65c99>645?2l=01??<8;g5?846;10n963=12:9a1=::8936h=4=330<?c5348:?54j1:?156>=lm16><=7:e08977403i<70<>39824a=::8936<>m;<027=<68h16><=7:031?846;10:==52201;>46a348:?54>0d9>645?2:?j70<>39801==::8936>;8;<027=<4=?16><:<:6289773;3=:70<>42807a=::8>86>=j;<0206<3m279=9=5519>64242=l01??;3;6;?846<:0>i63=151925=::8>868h4=3377?3?348:8>4mf:?1515=jm16><:<:c`89773;3hj70<>428a=>;59=91n5522060>g1<5;;??7l9;<0206<e=279=9=5b59>64242k901??;3;`1?846<:0i<63=1519ec=::8>86lk4=3377?gc348:8>4nc:?1515=ik16><:<:`c89773;3k270<>428b<>;59=91m:522060>f0<5;;??7m:;<0206<b8279=9=5dd9>64242mi01??;3;fa?846<:0om63=1519`<=::8>86i64=3377?b0348:8>4k6:?1515=l<16><:<:e689773;3n870<>428g5>;59=91h=522060>f`<5;;??7mj;<0206<dl279=9=5cb9>64242jh01??;3;ab?846<:0h563=1519g==::8>86h94=3377?c1348:8>4j5:?1515=m=16><:<:d189773;3o970<>428f5>;59=91hi522060>a4<5;;??7m8;<0206<68m16><:<:02a?846<:0:<l522060>475348:8>4>119>642428:m70<>42824`=::8>86>;n;<0206<4=116><:<:274?846<:089;52206f>26<5;;?i79>;<020`<4;m16><:j:21f?846<l0?i63=15g915=::8>n69h4=337a?2?348:8h4:e:?151c=>916><:j:4d89773m3?370<>4d8ab>;59=o1ni52206f>gd<5;;?i7ln;<020`<e1279=9k5b99>642b2k=01??;e;`5?846<l0i963=15g9f1=::8>n6o=4=337a?d5348:8h4m0:?151c=io16><:j:`g89773m3ko70<>4d8bg>;59=o1mo52206f>dg<5;;?i7o6;<020`<f0279=9k5a69>642b2j<01??;e;a6?846<l0n<63=15g9``=::8>n6im4=337a?be348:8h4ka:?151c=l016><:j:e:89773m3n<70<>4d8g2>;59=o1h852206f>a2<5;;?i7j<;<020`<c9279=9k5d19>642b2jl01??;e;af?846<l0hh63=15g9gf=::8>n6nl4=337a?ef348:8h4l9:?151c=k116><:j:d589773m3o=70<>4d8f1>;59=o1i952206f>`5<5;;?i7k=;<020`<b9279=9k5de9>642b2m801??;e;a4?846<l0:<i52206f>46e348:8h4>0`9>642b28;970<>4d8255=::8>n6<>i;<020`<68l16><:j:27b?846<l089552206f>630348:8h4<579>643>2>:01??:9;52?846=008?i52207:>65b348:944;e:?150?==916><;6:5d8977213>370<>5886a>;59<31:=52207:>0`<5;;>57;7;<021<<en279=875be9>643>2kh01??:9;`b?846=00i563=14;9f==::8?26o94=336=?d1348:944m5:?150?=j=16><;6:c18977213h970<>588a4>;59<31mk52207:>dc<5;;>57ok;<021<<fk279=875ac9>643>2hk01??:9;c:?846=00j463=14;9e2=::8?26n84=336=?e2348:944j0:?150?=ll16><;6:ea8977213ni70<>588ge>;59<31h452207:>a><5;;>57j8;<021<<c>279=875d49>643>2m>01??:9;f0?846=00o=63=14;9`5=::8?26nh4=336=?eb348:944ld:?150?=kj16><;6:b`8977213ij70<>588`=>;59<31o552207:>`1<5;;>57k9;<021<<b=279=875e59>643>2l901??:9;g1?846=00n=63=14;9`a=::8?26i<4=336=?e0348:944>0e9>643>28:i70<>58824d=::8?26<?=;<021<<69916><;6:02e?846=00:<h52207:>63f348:944<599>643>2:?<70<>588013=::8<?6:>4=3350?16348::94<3e9>64032:9n70<>6587a>;59?>19=522047>1`<5;;=87:7;<0221<2m279=;:5619>64032<l01??94;7;?846>=0ij63=1769fa=::8<?6ol4=3350?df348::94m9:?1532=j116><8;:c589771<3h=70<>658a1>;59?>1n9522047>g5<5;;=87l=;<0221<e8279=;:5ag9>64032ho01??94;cg?846>=0jo63=1769eg=::8<?6lo4=3350?g>348::94n8:?1532=i>16><8;:b489771<3i>70<>658f4>;59?>1hh522047>ae<5;;=87jm;<0221<ci279=;:5d89>64032m201??94;f4?846>=0o:63=1769`0=::8<?6i:4=3350?b4348::94k1:?1532=l916><8;:bd89771<3in70<>658``>;59?>1on522047>fd<5;;=87mn;<0221<d1279=;:5c99>64032l=01??94;g5?846>=0n963=1769a1=::8<?6h=4=3350?c5348::94j1:?1532=lm16><8;:e089771<3i<70<>65824a=::8<?6<>m;<0221<68h16><8;:031?846>=0:==522047>46a348::94>0d9>64032:?j70<>65801==::8<?6>;8;<0221<4=?16><8i:6289771n3=:70<>6g807a=::8<m6>=j;<022c<3m279=;h5519>640a2=l01??9f;6;?846>o0>i63=17d925=::8<m68h4=335b?3?348::k4mf:?153`=jm16><8i:c`89771n3hj70<>6g8a=>;59?l1n552204e>g1<5;;=j7l9;<022c<e=279=;h5b59>640a2k901??9f;`1?846>o0i<63=17d9ec=::8<m6lk4=335b?gc348::k4nc:?153`=ik16><8i:`c89771n3k270<>6g8b<>;59?l1m:52204e>f0<5;;=j7m:;<022c<b8279=;h5dd9>640a2mi01??9f;fa?846>o0om63=17d9`<=::8<m6i64=335b?b0348::k4k6:?153`=l<16><8i:e689771n3n870<>6g8g5>;59?l1h=52204e>f`<5;;=j7mj;<022c<dl279=;h5cb9>640a2jh01??9f;ab?846>o0h563=17d9g==::8<m6h94=335b?c1348::k4j5:?153`=m=16><8i:d189771n3o970<>6g8f5>;59?l1hi52204e>a4<5;;=j7m8;<022c<68m16><8i:02a?846>o0:<l52204e>475348::k4>119>640a28:m70<>6g824`=::8<m6>;n;<022c<4=116><8i:274?846>o089;52205b>26<5;;<m79>;<023d<4;m16><9n:21f?846?h0?i63=16c915=::8=j69h4=334e?2?348:;l4:e:?152g=>916><9n:4d89770i3?370<>7`8ab>;59>k1ni52205b>gd<5;;<m7ln;<023d<e1279=:o5b99>641f2k=01??8a;`5?846?h0i963=16c9f1=::8=j6o=4=334e?d5348:;l4m0:?152g=io16><9n:`g89770i3ko70<>7`8bg>;59>k1mo52205b>dg<5;;<m7o6;<023d<f0279=:o5a69>641f2j<01??8a;a6?846?h0n<63=16c9``=::8=j6im4=334e?be348:;l4ka:?152g=l016><9n:e:89770i3n<70<>7`8g2>;59>k1h852205b>a2<5;;<m7j<;<023d<c9279=:o5d19>641f2jl01??8a;af?846?h0hh63=16c9gf=::8=j6nl4=334e?ef348:;l4l9:?152g=k116><9n:d589770i3o=70<>7`8f1>;59>k1i952205b>`5<5;;<m7k=;<023d<b9279=:o5de9>641f2m801??8a;a4?846?h0:<i52205b>46e348:;l4>0`9>641f28;970<>7`8255=::8=j6<>i;<023d<68l16><9n:27b?846?h089552205b>630348:;l4<579>64>22>:01??75;52?8460<08?i5220:6>65b348:484;e:?15=3==916><6::5d8977?=3>370<>8486a>;591?1:=5220:6>0`<5;;397;7;<02<0<en279=5;5be9>64>22kh01??75;`b?8460<0i563=1979f==::82>6o94=33;1?d1348:484m5:?15=3=j=16><6::c18977?=3h970<>848a4>;591?1mk5220:6>dc<5;;397ok;<02<0<fk279=5;5ac9>64>22hk01??75;c:?8460<0j463=1979e2=::82>6n84=33;1?e2348:484j0:?15=3=ll16><6::ea8977?=3ni70<>848ge>;591?1h45220:6>a><5;;397j8;<02<0<c>279=5;5d49>64>22m>01??75;f0?8460<0o=63=1979`5=::82>6nh4=33;1?eb348:484ld:?15=3=kj16><6::b`8977?=3ij70<>848`=>;591?1o55220:6>`1<5;;397k9;<02<0<b=279=5;5e59>64>22l901??75;g1?8460<0n=63=1979`a=::82>6i<4=33;1?e0348:484>0e9>64>228:i70<>84824d=::82>6<?=;<02<0<69916><6::02e?8460<0:<h5220:6>63f348:484<599>64>22:?<70<>848013=::83;6:>4=33:4?16348:5=4<3e9>64?72:9n70<>9187a>;590:19=5220;3>1`<5;;2<7:7;<02=5<2m279=4>5619>64?72<l01??60;7;?846190ij63=1829fa=::83;6ol4=33:4?df348:5=4m9:?15<6=j116><7?:c58977>83h=70<>918a1>;590:1n95220;3>g5<5;;2<7l=;<02=5<e8279=4>5ag9>64?72ho01??60;cg?846190jo63=1829eg=::83;6lo4=33:4?g>348:5=4n8:?15<6=i>16><7?:b48977>83i>70<>918f4>;590:1hh5220;3>ae<5;;2<7jm;<02=5<ci279=4>5d89>64?72m201??60;f4?846190o:63=1829`0=::83;6i:4=33:4?b4348:5=4k1:?15<6=l916><7?:bd8977>83in70<>918``>;590:1on5220;3>fd<5;;2<7mn;<02=5<d1279=4>5c99>64?72l=01??60;g5?846190n963=1829a1=::83;6h=4=33:4?c5348:5=4j1:?15<6=lm16><7?:e08977>83i<70<>91824a=::83;6<>m;<02=5<68h16><7?:031?846190:==5220;3>46a348:5=4>0d9>64?72:?j70<>91801==::83;6>;8;<02=5<4=?16><l<:628977e;3=:70<>b2807a=::8h86>=j;<02f6<3m279=o=5519>64d42=l01??m3;6;?846j:0>i63=1c1925=::8h868h4=33a7?3?348:n>4mf:?15g5=jm16><l<:c`8977e;3hj70<>b28a=>;59k91n55220`0>g1<5;;i?7l9;<02f6<e=279=o=5b59>64d42k901??m3;`1?846j:0i<63=1c19ec=::8h86lk4=33a7?gc348:n>4nc:?15g5=ik16><l<:`c8977e;3k270<>b28b<>;59k91m:5220`0>f0<5;;i?7m:;<02f6<b8279=o=5dd9>64d42mi01??m3;fa?846j:0om63=1c19`<=::8h86i64=33a7?b0348:n>4k6:?15g5=l<16><l<:e68977e;3n870<>b28g5>;59k91h=5220`0>f`<5;;i?7mj;<02f6<dl279=o=5cb9>64d42jh01??m3;ab?846j:0h563=1c19g==::8h86h94=33a7?c1348:n>4j5:?15g5=m=16><l<:d18977e;3o970<>b28f5>;59k91hi5220`0>a4<5;;i?7m8;<02f6<68m16><l<:02a?846j:0:<l5220`0>475348:n>4>119>64d428:m70<>b2824`=::8h86>;n;<02f6<4=116><l<:274?846j:089;5220fg>26<5;;oh79>;<02`a<4;m16><jk:21f?846lm0?i63=1ef915=::8no69h4=33g`?2?348:hi4:e:?15ab=>916><jk:4d8977cl3?370<>de8ab>;59mn1ni5220fg>gd<5;;oh7ln;<02`a<e1279=ij5b99>64bc2k=01??kd;`5?846lm0i963=1ef9f1=::8no6o=4=33g`?d5348:hi4m0:?15ab=io16><jk:`g8977cl3ko70<>de8bg>;59mn1mo5220fg>dg<5;;oh7o6;<02`a<f0279=ij5a69>64bc2j<01??kd;a6?846lm0n<63=1ef9``=::8no6im4=33g`?be348:hi4ka:?15ab=l016><jk:e:8977cl3n<70<>de8g2>;59mn1h85220fg>a2<5;;oh7j<;<02`a<c9279=ij5d19>64bc2jl01??kd;af?846lm0hh63=1ef9gf=::8no6nl4=33g`?ef348:hi4l9:?15ab=k116><jk:d58977cl3o=70<>de8f1>;59mn1i95220fg>`5<5;;oh7k=;<02`a<b9279=ij5de9>64bc2m801??kd;a4?846lm0:<i5220fg>46e348:hi4>0`9>64bc28;970<>de8255=::8no6<>i;<02`a<68l16><jk:27b?846lm08955220fg>630348:hi4<579>64c12>:01??j6;52?846m?08?i5220g5>65b348:i;4;e:?15`0==916><k9:5d8977b>3>370<>e786a>;59l<1:=5220g5>0`<5;;n:7;7;<02a3<en279=h85be9>64c12kh01??j6;`b?846m?0i563=1d49f==::8o=6o94=33f2?d1348:i;4m5:?15`0=j=16><k9:c18977b>3h970<>e78a4>;59l<1mk5220g5>dc<5;;n:7ok;<02a3<fk279=h85ac9>64c12hk01??j6;c:?846m?0j463=1d49e2=::8o=6n84=33f2?e2348:i;4j0:?15`0=ll16><k9:ea8977b>3ni70<>e78ge>;59l<1h45220g5>a><5;;n:7j8;<02a3<c>279=h85d49>64c12m>01??j6;f0?846m?0o=63=1d49`5=::8o=6nh4=33f2?eb348:i;4ld:?15`0=kj16><k9:b`8977b>3ij70<>e78`=>;59l<1o55220g5>`1<5;;n:7k9;<02a3<b=279=h85e59>64c12l901??j6;g1?846m?0n=63=1d49`a=::8o=6i<4=33f2?e0348:i;4>0e9>64c128:i70<>e7824d=::8o=6<?=;<02a3<69916><k9:02e?846m?0:<h5220g5>63f348:i;4<599>64c12:?<70<>e78013=::8o<6:>4=33f3?16348:i:4<3e9>64c02:9n70<>e687a>;59l=19=5220g4>1`<5;;n;7:7;<02a2<2m279=h95619>64c02<l01??j7;7;?846m>0ij63=1d59fa=::8o<6ol4=33f3?df348:i:4m9:?15`1=j116><k8:c58977b?3h=70<>e68a1>;59l=1n95220g4>g5<5;;n;7l=;<02a2<e8279=h95ag9>64c02ho01??j7;cg?846m>0jo63=1d59eg=::8o<6lo4=33f3?g>348:i:4n8:?15`1=i>16><k8:b48977b?3i>70<>e68f4>;59l=1hh5220g4>ae<5;;n;7jm;<02a2<ci279=h95d89>64c02m201??j7;f4?846m>0o:63=1d59`0=::8o<6i:4=33f3?b4348:i:4k1:?15`1=l916><k8:bd8977b?3in70<>e68``>;59l=1on5220g4>fd<5;;n;7mn;<02a2<d1279=h95c99>64c02l=01??j7;g5?846m>0n963=1d59a1=::8o<6h=4=33f3?c5348:i:4j1:?15`1=lm16><k8:e08977b?3i<70<>e6824a=::8o<6<>m;<02a2<68h16><k8:031?846m>0:==5220g4>46a348:i:4>0d9>64c02:?j70<>e6801==::8o<6>;8;<02a2<4=?16><k7:628977b03=:70<>e9807a=::8o36>=j;<02a=<3m279=h65519>64c?2=l01??j8;6;?846m10>i63=1d:925=::8o368h4=33f<?3?348:i54mf:?15`>=jm16><k7:c`8977b03hj70<>e98a=>;59l21n55220g;>g1<5;;n47l9;<02a=<e=279=h65b59>64c?2k901??j8;`1?846m10i<63=1d:9ec=::8o36lk4=33f<?gc348:i54nc:?15`>=ik16><k7:`c8977b03k270<>e98b<>;59l21m:5220g;>f0<5;;n47m:;<02a=<b8279=h65dd9>64c?2mi01??j8;fa?846m10om63=1d:9`<=::8o36i64=33f<?b0348:i54k6:?15`>=l<16><k7:e68977b03n870<>e98g5>;59l21h=5220g;>f`<5;;n47mj;<02a=<dl279=h65cb9>64c?2jh01??j8;ab?846m10h563=1d:9g==::8o36h94=33f<?c1348:i54j5:?15`>=m=16><k7:d18977b03o970<>e98f5>;59l21hi5220g;>a4<5;;n47m8;<02a=<68m16><k7:02a?846m10:<l5220g;>475348:i54>119>64c?28:m70<>e9824`=::8o36>;n;<02a=<4=116><k7:274?846m1089;5220g:>26<5;;n579>;<02a<<4;m16><k6:21f?846m00?i63=1d;915=::8o269h4=33f=?2?348:i44:e:?15`?=>916><k6:4d8977b13?370<>e88ab>;59l31ni5220g:>gd<5;;n57ln;<02a<<e1279=h75b99>64c>2k=01??j9;`5?846m00i963=1d;9f1=::8o26o=4=33f=?d5348:i44m0:?15`?=io16><k6:`g8977b13ko70<>e88bg>;59l31mo5220g:>dg<5;;n57o6;<02a<<f0279=h75a69>64c>2j<01??j9;a6?846m00n<63=1d;9``=::8o26im4=33f=?be348:i44ka:?15`?=l016><k6:e:8977b13n<70<>e88g2>;59l31h85220g:>a2<5;;n57j<;<02a<<c9279=h75d19>64c>2jl01??j9;af?846m00hh63=1d;9gf=::8o26nl4=33f=?ef348:i44l9:?15`?=k116><k6:d58977b13o=70<>e88f1>;59l31i95220g:>`5<5;;n57k=;<02a<<b9279=h75de9>64c>2m801??j9;a4?846m00:<i5220g:>46e348:i44>0`9>64c>28;970<>e88255=::8o26<>i;<02a<<68l16><k6:27b?846m008955220g:>630348:i44<579>64cf2>:01??ja;52?846mh08?i5220gb>65b348:il4;e:?15`g==916><kn:5d8977bi3>370<>e`86a>;59lk1:=5220gb>0`<5;;nm7;7;<02ad<en279=ho5be9>64cf2kh01??ja;`b?846mh0i563=1dc9f==::8oj6o94=33fe?d1348:il4m5:?15`g=j=16><kn:c18977bi3h970<>e`8a4>;59lk1mk5220gb>dc<5;;nm7ok;<02ad<fk279=ho5ac9>64cf2hk01??ja;c:?846mh0j463=1dc9e2=::8oj6n84=33fe?e2348:il4j0:?15`g=ll16><kn:ea8977bi3ni70<>e`8ge>;59lk1h45220gb>a><5;;nm7j8;<02ad<c>279=ho5d49>64cf2m>01??ja;f0?846mh0o=63=1dc9`5=::8oj6nh4=33fe?eb348:il4ld:?15`g=kj16><kn:b`8977bi3ij70<>e`8`=>;59lk1o55220gb>`1<5;;nm7k9;<02ad<b=279=ho5e59>64cf2l901??ja;g1?846mh0n=63=1dc9`a=::8oj6i<4=33fe?e0348:il4>0e9>64cf28:i70<>e`824d=::8oj6<?=;<02ad<69916><kn:02e?846mh0:<h5220gb>63f348:il4<599>64cf2:?<70<>e`8013=::8oi6:>4=33ff?16348:io4<3e9>64ce2:9n70<>ec87a>;59lh19=5220ga>1`<5;;nn7:7;<02ag<2m279=hl5619>64ce2<l01??jb;7;?846mk0ij63=1d`9fa=::8oi6ol4=33ff?df348:io4m9:?15`d=j116><km:c58977bj3h=70<>ec8a1>;59lh1n95220ga>g5<5;;nn7l=;<02ag<e8279=hl5ag9>64ce2ho01??jb;cg?846mk0jo63=1d`9eg=::8oi6lo4=33ff?g>348:io4n8:?15`d=i>16><km:b48977bj3i>70<>ec8f4>;59lh1hh5220ga>ae<5;;nn7jm;<02ag<ci279=hl5d89>64ce2m201??jb;f4?846mk0o:63=1d`9`0=::8oi6i:4=33ff?b4348:io4k1:?15`d=l916><km:bd8977bj3in70<>ec8``>;59lh1on5220ga>fd<5;;nn7mn;<02ag<d1279=hl5c99>64ce2l=01??jb;g5?846mk0n963=1d`9a1=::8oi6h=4=33ff?c5348:io4j1:?15`d=lm16><km:e08977bj3i<70<>ec824a=::8oi6<>m;<02ag<68h16><km:031?846mk0:==5220ga>46a348:io4>0d9>64ce2:?j70<>ec801==::8oi6>;8;<02ag<4=?16><kl:628977bk3=:70<>eb807a=::8oh6>=j;<02af<3m279=hm5519>64cd2=l01??jc;6;?846mj0>i63=1da925=::8oh68h4=33fg?3?348:in4mf:?15`e=jm16><kl:c`8977bk3hj70<>eb8a=>;59li1n55220g`>g1<5;;no7l9;<02af<e=279=hm5b59>64cd2k901??jc;`1?846mj0i<63=1da9ec=::8oh6lk4=33fg?gc348:in4nc:?15`e=ik16><kl:`c8977bk3k270<>eb8b<>;59li1m:5220g`>f0<5;;no7m:;<02af<b8279=hm5dd9>64cd2mi01??jc;fa?846mj0om63=1da9`<=::8oh6i64=33fg?b0348:in4k6:?15`e=l<16><kl:e68977bk3n870<>eb8g5>;59li1h=5220g`>f`<5;;no7mj;<02af<dl279=hm5cb9>64cd2jh01??jc;ab?846mj0h563=1da9g==::8oh6h94=33fg?c1348:in4j5:?15`e=m=16><kl:d18977bk3o970<>eb8f5>;59li1hi5220g`>a4<5;;no7m8;<02af<68m16><kl:02a?846mj0:<l5220g`>475348:in4>119>64cd28:m70<>eb824`=::8oh6>;n;<02af<4=116><kl:274?846mj089;5220gg>26<5;;nh79>;<02aa<4;m16><kk:21f?846mm0?i63=1df915=::8oo69h4=33f`?2?348:ii4:e:?15`b=>916><kk:4d8977bl3?370<>ee8ab>;59ln1ni5220gg>gd<5;;nh7ln;<02aa<e1279=hj5b99>64cc2k=01??jd;`5?846mm0i963=1df9f1=::8oo6o=4=33f`?d5348:ii4m0:?15`b=io16><kk:`g8977bl3ko70<>ee8bg>;59ln1mo5220gg>dg<5;;nh7o6;<02aa<f0279=hj5a69>64cc2j<01??jd;a6?846mm0n<63=1df9``=::8oo6im4=33f`?be348:ii4ka:?15`b=l016><kk:e:8977bl3n<70<>ee8g2>;59ln1h85220gg>a2<5;;nh7j<;<02aa<c9279=hj5d19>64cc2jl01??jd;af?846mm0hh63=1df9gf=::8oo6nl4=33f`?ef348:ii4l9:?15`b=k116><kk:d58977bl3o=70<>ee8f1>;59ln1i95220gg>`5<5;;nh7k=;<02aa<b9279=hj5de9>64cc2m801??jd;a4?846mm0:<i5220gg>46e348:ii4>0`9>64cc28;970<>ee8255=::8oo6<>i;<02aa<68l16><kk:27b?846mm08955220gg>630348:ii4<579>64cb2>:01??je;52?846ml08?i5220gf>65b348:ih4;e:?15`c==916><kj:5d8977bm3>370<>ed86a>;59lo1:=5220gf>0`<5;;ni7;7;<02a`<en279=hk5be9>64cb2kh01??je;`b?846ml0i563=1dg9f==::8on6o94=33fa?d1348:ih4m5:?15`c=j=16><kj:c18977bm3h970<>ed8a4>;59lo1mk5220gf>dc<5;;ni7ok;<02a`<fk279=hk5ac9>64cb2hk01??je;c:?846ml0j463=1dg9e2=::8on6n84=33fa?e2348:ih4j0:?15`c=ll16><kj:ea8977bm3ni70<>ed8ge>;59lo1h45220gf>a><5;;ni7j8;<02a`<c>279=hk5d49>64cb2m>01??je;f0?846ml0o=63=1dg9`5=::8on6nh4=33fa?eb348:ih4ld:?15`c=kj16><kj:b`8977bm3ij70<>ed8`=>;59lo1o55220gf>`1<5;;ni7k9;<02a`<b=279=hk5e59>64cb2l901??je;g1?846ml0n=63=1dg9`a=::8on6i<4=33fa?e0348:ih4>0e9>64cb28:i70<>ed824d=::8on6<?=;<02a`<69916><kj:02e?846ml0:<h5220gf>63f348:ih4<599>64cb2:?<70<>ed8013=::8l;6:>4=33e4?16348:j=4<3e9>64`72:9n70<>f187a>;59o:19=5220d3>1`<5;;m<7:7;<02b5<2m279=k>5619>64`72<l01??i0;7;?846n90ij63=1g29fa=::8l;6ol4=33e4?df348:j=4m9:?15c6=j116><h?:c58977a83h=70<>f18a1>;59o:1n95220d3>g5<5;;m<7l=;<02b5<e8279=k>5ag9>64`72ho01??i0;cg?846n90jo63=1g29eg=::8l;6lo4=33e4?g>348:j=4n8:?15c6=i>16><h?:b48977a83i>70<>f18f4>;59o:1hh5220d3>ae<5;;m<7jm;<02b5<ci279=k>5d89>64`72m201??i0;f4?846n90o:63=1g29`0=::8l;6i:4=33e4?b4348:j=4k1:?15c6=l916><h?:bd8977a83in70<>f18``>;59o:1on5220d3>fd<5;;m<7mn;<02b5<d1279=k>5c99>64`72l=01??i0;g5?846n90n963=1g29a1=::8l;6h=4=33e4?c5348:j=4j1:?15c6=lm16><h?:e08977a83i<70<>f1824a=::8l;6<>m;<02b5<68h16><h?:031?846n90:==5220d3>46a348:j=4>0d9>64`72:?j70<>f1801==::8l;6>;8;<02b5<4=?16><h>:628977a93=:70<>f0807a=::8l:6>=j;<02b4<3m279=k?5519>64`62=l01??i1;6;?846n80>i63=1g3925=::8l:68h4=33e5?3?348:j<4mf:?15c7=jm16><h>:c`8977a93hj70<>f08a=>;59o;1n55220d2>g1<5;;m=7l9;<02b4<e=279=k?5b59>64`62k901??i1;`1?846n80i<63=1g39ec=::8l:6lk4=33e5?gc348:j<4nc:?15c7=ik16><h>:`c8977a93k270<>f08b<>;59o;1m:5220d2>f0<5;;m=7m:;<02b4<b8279=k?5dd9>64`62mi01??i1;fa?846n80om63=1g39`<=::8l:6i64=33e5?b0348:j<4k6:?15c7=l<16><h>:e68977a93n870<>f08g5>;59o;1h=5220d2>f`<5;;m=7mj;<02b4<dl279=k?5cb9>64`62jh01??i1;ab?846n80h563=1g39g==::8l:6h94=33e5?c1348:j<4j5:?15c7=m=16><h>:d18977a93o970<>f08f5>;59o;1hi5220d2>a4<5;;m=7m8;<02b4<68m16><h>:02a?846n80:<l5220d2>475348:j<4>119>64`628:m70<>f0824`=::8l:6>;n;<02b4<4=116><h>:274?846n8089;5220d1>26<5;;m>79>;<02b7<4;m16><h=:21f?846n;0?i63=1g0915=::8l969h4=33e6?2?348:j?4:e:?15c4=>916><h=:4d8977a:3?370<>f38ab>;59o81ni5220d1>gd<5;;m>7ln;<02b7<e1279=k<5b99>64`52k=01??i2;`5?846n;0i963=1g09f1=::8l96o=4=33e6?d5348:j?4m0:?15c4=io16><h=:`g8977a:3ko70<>f38bg>;59o81mo5220d1>dg<5;;m>7o6;<02b7<f0279=k<5a69>64`52j<01??i2;a6?846n;0n<63=1g09``=::8l96im4=33e6?be348:j?4ka:?15c4=l016><h=:e:8977a:3n<70<>f38g2>;59o81h85220d1>a2<5;;m>7j<;<02b7<c9279=k<5d19>64`52jl01??i2;af?846n;0hh63=1g09gf=::8l96nl4=33e6?ef348:j?4l9:?15c4=k116><h=:d58977a:3o=70<>f38f1>;59o81i95220d1>`5<5;;m>7k=;<02b7<b9279=k<5de9>64`52m801??i2;a4?846n;0:<i5220d1>46e348:j?4>0`9>64`528;970<>f38255=::8l96<>i;<02b7<68l16><h=:27b?846n;08955220d1>630348:j?4<579>64`42>:01??i3;52?846n:08?i5220d0>65b348:j>4;e:?15c5==916><h<:5d8977a;3>370<>f286a>;59o91:=5220d0>0`<5;;m?7;7;<02b6<en279=k=5be9>64`42kh01??i3;`b?846n:0i563=1g19f==::8l86o94=33e7?d1348:j>4m5:?15c5=j=16><h<:c18977a;3h970<>f28a4>;59o91mk5220d0>dc<5;;m?7ok;<02b6<fk279=k=5ac9>64`42hk01??i3;c:?846n:0j463=1g19e2=::8l86n84=33e7?e2348:j>4j0:?15c5=ll16><h<:ea8977a;3ni70<>f28ge>;59o91h45220d0>a><5;;m?7j8;<02b6<c>279=k=5d49>64`42m>01??i3;f0?846n:0o=63=1g19`5=::8l86nh4=33e7?eb348:j>4ld:?15c5=kj16><h<:b`8977a;3ij70<>f28`=>;59o91o55220d0>`1<5;;m?7k9;<02b6<b=279=k=5e59>64`42l901??i3;g1?846n:0n=63=1g19`a=::8l86i<4=33e7?e0348:j>4>0e9>64`428:i70<>f2824d=::8l86<?=;<02b6<69916><h<:02e?846n:0:<h5220d0>63f348:j>4<599>64`42:?<70<>f28013=::8l?6:>4=33e0?16348:j94<3e9>64`32:9n70<>f587a>;59o>19=5220d7>1`<5;;m87:7;<02b1<2m279=k:5619>64`32<l01??i4;7;?846n=0ij63=1g69fa=::8l?6ol4=33e0?df348:j94m9:?15c2=j116><h;:c58977a<3h=70<>f58a1>;59o>1n95220d7>g5<5;;m87l=;<02b1<e8279=k:5ag9>64`32ho01??i4;cg?846n=0jo63=1g69eg=::8l?6lo4=33e0?g>348:j94n8:?15c2=i>16><h;:b48977a<3i>70<>f58f4>;59o>1hh5220d7>ae<5;;m87jm;<02b1<ci279=k:5d89>64`32m201??i4;f4?846n=0o:63=1g69`0=::8l?6i:4=33e0?b4348:j94k1:?15c2=l916><h;:bd8977a<3in70<>f58``>;59o>1on5220d7>fd<5;;m87mn;<02b1<d1279=k:5c99>64`32l=01??i4;g5?846n=0n963=1g69a1=::8l?6h=4=33e0?c5348:j94j1:?15c2=lm16><h;:e08977a<3i<70<>f5824a=::8l?6<>m;<02b1<68h16><h;:031?846n=0:==5220d7>46a348:j94>0d9>64`32:?j70<>f5801==::8l?6>;8;<02b1<4=?16><h::628977a=3=:70<>f4807a=::8l>6>=j;<02b0<3m279=k;5519>64`22=l01??i5;6;?846n<0>i63=1g7925=::8l>68h4=33e1?3?348:j84mf:?15c3=jm16><h::c`8977a=3hj70<>f48a=>;59o?1n55220d6>g1<5;;m97l9;<02b0<e=279=k;5b59>64`22k901??i5;`1?846n<0i<63=1g79ec=::8l>6lk4=33e1?gc348:j84nc:?15c3=ik16><h::`c8977a=3k270<>f48b<>;59o?1m:5220d6>f0<5;;m97m:;<02b0<b8279=k;5dd9>64`22mi01??i5;fa?846n<0om63=1g79`<=::8l>6i64=33e1?b0348:j84k6:?15c3=l<16><h::e68977a=3n870<>f48g5>;59o?1h=5220d6>f`<5;;m97mj;<02b0<dl279=k;5cb9>64`22jh01??i5;ab?846n<0h563=1g79g==::8l>6h94=33e1?c1348:j84j5:?15c3=m=16><h::d18977a=3o970<>f48f5>;59o?1hi5220d6>a4<5;;m97m8;<02b0<68m16><h::02a?846n<0:<l5220d6>475348:j84>119>64`228:m70<>f4824`=::8l>6>;n;<02b0<4=116><h::274?846n<089;5220d5>26<5;;m:79>;<02b3<4;m16><h9:21f?846n?0?i63=1g4915=::8l=69h4=33e2?2?348:j;4:e:?15c0=>916><h9:4d8977a>3?370<>f78ab>;59o<1ni5220d5>gd<5;;m:7ln;<02b3<e1279=k85b99>64`12k=01??i6;`5?846n?0i963=1g49f1=::8l=6o=4=33e2?d5348:j;4m0:?15c0=io16><h9:`g8977a>3ko70<>f78bg>;59o<1mo5220d5>dg<5;;m:7o6;<02b3<f0279=k85a69>64`12j<01??i6;a6?846n?0n<63=1g49``=::8l=6im4=33e2?be348:j;4ka:?15c0=l016><h9:e:8977a>3n<70<>f78g2>;59o<1h85220d5>a2<5;;m:7j<;<02b3<c9279=k85d19>64`12jl01??i6;af?846n?0hh63=1g49gf=::8l=6nl4=33e2?ef348:j;4l9:?15c0=k116><h9:d58977a>3o=70<>f78f1>;59o<1i95220d5>`5<5;;m:7k=;<02b3<b9279=k85de9>64`12m801??i6;a4?846n?0:<i5220d5>46e348:j;4>0`9>64`128;970<>f78255=::8l=6<>i;<02b3<68l16><h9:27b?846n?08955220d5>630348:j;4<579>64`02>:01??i7;52?846n>08?i5220d4>65b348:j:4;e:?15c1==916><h8:5d8977a?3>370<>f686a>;59o=1:=5220d4>0`<5;;m;7;7;<02b2<en279=k95be9>64`02kh01??i7;`b?846n>0i563=1g59f==::8l<6o94=33e3?d1348:j:4m5:?15c1=j=16><h8:c18977a?3h970<>f68a4>;59o=1mk5220d4>dc<5;;m;7ok;<02b2<fk279=k95ac9>64`02hk01??i7;c:?846n>0j463=1g59e2=::8l<6n84=33e3?e2348:j:4j0:?15c1=ll16><h8:ea8977a?3ni70<>f68ge>;59o=1h45220d4>a><5;;m;7j8;<02b2<c>279=k95d49>64`02m>01??i7;f0?846n>0o=63=1g59`5=::8l<6nh4=33e3?eb348:j:4ld:?15c1=kj16><h8:b`8977a?3ij70<>f68`=>;59o=1o55220d4>`1<5;;m;7k9;<02b2<b=279=k95e59>64`02l901??i7;g1?846n>0n=63=1g59`a=::8l<6i<4=33e3?e0348:j:4>0e9>64`028:i70<>f6824d=::8l<6<?=;<02b2<69916><h8:02e?846n>0:<h5220d4>63f348:j:4<599>64`02:?<70<>f68013=::8l36:>4=33e<?16348:j54<3e9>64`?2:9n70<>f987a>;59o219=5220d;>1`<5;;m47:7;<02b=<2m279=k65619>64`?2<l01??i8;7;?846n10ij63=1g:9fa=::8l36ol4=33e<?df348:j54m9:?15c>=j116><h7:c58977a03h=70<>f98a1>;59o21n95220d;>g5<5;;m47l=;<02b=<e8279=k65ag9>64`?2ho01??i8;cg?846n10jo63=1g:9eg=::8l36lo4=33e<?g>348:j54n8:?15c>=i>16><h7:b48977a03i>70<>f98f4>;59o21hh5220d;>ae<5;;m47jm;<02b=<ci279=k65d89>64`?2m201??i8;f4?846n10o:63=1g:9`0=::8l36i:4=33e<?b4348:j54k1:?15c>=l916><h7:bd8977a03in70<>f98``>;59o21on5220d;>fd<5;;m47mn;<02b=<d1279=k65c99>64`?2l=01??i8;g5?846n10n963=1g:9a1=::8l36h=4=33e<?c5348:j54j1:?15c>=lm16><h7:e08977a03i<70<>f9824a=::8l36<>m;<02b=<68h16><h7:031?846n10:==5220d;>46a348:j54>0d9>64`?2:?j70<>f9801==::8l36>;8;<02b=<4=?16><h6:628977a13=:70<>f8807a=::8l26>=j;<02b<<3m279=k75519>64`>2=l01??i9;6;?846n00>i63=1g;925=::8l268h4=33e=?3?348:j44mf:?15c?=jm16><h6:c`8977a13hj70<>f88a=>;59o31n55220d:>g1<5;;m57l9;<02b<<e=279=k75b59>64`>2k901??i9;`1?846n00i<63=1g;9ec=::8l26lk4=33e=?gc348:j44nc:?15c?=ik16><h6:`c8977a13k270<>f88b<>;59o31m:5220d:>f0<5;;m57m:;<02b<<b8279=k75dd9>64`>2mi01??i9;fa?846n00om63=1g;9`<=::8l26i64=33e=?b0348:j44k6:?15c?=l<16><h6:e68977a13n870<>f88g5>;59o31h=5220d:>f`<5;;m57mj;<02b<<dl279=k75cb9>64`>2jh01??i9;ab?846n00h563=1g;9g==::8l26h94=33e=?c1348:j44j5:?15c?=m=16><h6:d18977a13o970<>f88f5>;59o31hi5220d:>a4<5;;m57m8;<02b<<68m16><h6:02a?846n00:<l5220d:>475348:j44>119>64`>28:m70<>f8824`=::8l26>;n;<02b<<4=116><h6:274?846n0089;5220da>26<5;;mn79>;<02bg<4;m16><hm:21f?846nk0?i63=1g`915=::8li69h4=33ef?2?348:jo4:e:?15cd=>916><hm:4d8977aj3?370<>fc8ab>;59oh1ni5220da>gd<5;;mn7ln;<02bg<e1279=kl5b99>64`e2k=01??ib;`5?846nk0i963=1g`9f1=::8li6o=4=33ef?d5348:jo4m0:?15cd=io16><hm:`g8977aj3ko70<>fc8bg>;59oh1mo5220da>dg<5;;mn7o6;<02bg<f0279=kl5a69>64`e2j<01??ib;a6?846nk0n<63=1g`9``=::8li6im4=33ef?be348:jo4ka:?15cd=l016><hm:e:8977aj3n<70<>fc8g2>;59oh1h85220da>a2<5;;mn7j<;<02bg<c9279=kl5d19>64`e2jl01??ib;af?846nk0hh63=1g`9gf=::8li6nl4=33ef?ef348:jo4l9:?15cd=k116><hm:d58977aj3o=70<>fc8f1>;59oh1i95220da>`5<5;;mn7k=;<02bg<b9279=kl5de9>64`e2m801??ib;a4?846nk0:<i5220da>46e348:jo4>0`9>64`e28;970<>fc8255=::8li6<>i;<02bg<68l16><hm:27b?846nk08955220da>630348:jo4<579>64`d2>:01??ic;52?846nj08?i5220d`>65b348:jn4;e:?15ce==916><hl:5d8977ak3>370<>fb86a>;59oi1:=5220d`>0`<5;;mo7;7;<02bf<en279=km5be9>64`d2kh01??ic;`b?846nj0i563=1ga9f==::8lh6o94=33eg?d1348:jn4m5:?15ce=j=16><hl:c18977ak3h970<>fb8a4>;59oi1mk5220d`>dc<5;;mo7ok;<02bf<fk279=km5ac9>64`d2hk01??ic;c:?846nj0j463=1ga9e2=::8lh6n84=33eg?e2348:jn4j0:?15ce=ll16><hl:ea8977ak3ni70<>fb8ge>;59oi1h45220d`>a><5;;mo7j8;<02bf<c>279=km5d49>64`d2m>01??ic;f0?846nj0o=63=1ga9`5=::8lh6nh4=33eg?eb348:jn4ld:?15ce=kj16><hl:b`8977ak3ij70<>fb8`=>;59oi1o55220d`>`1<5;;mo7k9;<02bf<b=279=km5e59>64`d2l901??ic;g1?846nj0n=63=1ga9`a=::8lh6i<4=33eg?e0348:jn4>0e9>64`d28:i70<>fb824d=::8lh6<?=;<02bf<69916><hl:02e?846nj0:<h5220d`>63f348:jn4<599>64`d2:?<70<>fb8013=::8lo6:>4=33e`?16348:ji4<3e9>64`c2:9n70<>fe87a>;59on19=5220dg>1`<5;;mh7:7;<02ba<2m279=kj5619>64`c2<l01??id;7;?846nm0ij63=1gf9fa=::8lo6ol4=33e`?df348:ji4m9:?15cb=j116><hk:c58977al3h=70<>fe8a1>;59on1n95220dg>g5<5;;mh7l=;<02ba<e8279=kj5ag9>64`c2ho01??id;cg?846nm0jo63=1gf9eg=::8lo6lo4=33e`?g>348:ji4n8:?15cb=i>16><hk:b48977al3i>70<>fe8f4>;59on1hh5220dg>ae<5;;mh7jm;<02ba<ci279=kj5d89>64`c2m201??id;f4?846nm0o:63=1gf9`0=::8lo6i:4=33e`?b4348:ji4k1:?15cb=l916><hk:bd8977al3in70<>fe8``>;59on1on5220dg>fd<5;;mh7mn;<02ba<d1279=kj5c99>64`c2l=01??id;g5?846nm0n963=1gf9a1=::8lo6h=4=33e`?c5348:ji4j1:?15cb=lm16><hk:e08977al3i<70<>fe824a=::8lo6<>m;<02ba<68h16><hk:031?846nm0:==5220dg>46a348:ji4>0d9>64`c2:?j70<>fe801==::8lo6>;8;<02ba<4=?16><hj:628977am3=:70<>fd807a=::8ln6>=j;<02b`<3m279=kk5519>64`b2=l01??ie;6;?846nl0>i63=1gg925=::8ln68h4=33ea?3?348:jh4mf:?15cc=jm16><hj:c`8977am3hj70<>fd8a=>;59oo1n55220df>g1<5;;mi7l9;<02b`<e=279=kk5b59>64`b2k901??ie;`1?846nl0i<63=1gg9ec=::8ln6lk4=33ea?gc348:jh4nc:?15cc=ik16><hj:`c8977am3k270<>fd8b<>;59oo1m:5220df>f0<5;;mi7m:;<02b`<b8279=kk5dd9>64`b2mi01??ie;fa?846nl0om63=1gg9`<=::8ln6i64=33ea?b0348:jh4k6:?15cc=l<16><hj:e68977am3n870<>fd8g5>;59oo1h=5220df>f`<5;;mi7mj;<02b`<dl279=kk5cb9>64`b2jh01??ie;ab?846nl0h563=1gg9g==::8ln6h94=33ea?c1348:jh4j5:?15cc=m=16><hj:d18977am3o970<>fd8f5>;59oo1hi5220df>a4<5;;mi7m8;<02b`<68m16><hj:02a?846nl0:<l5220df>475348:jh4>119>64`b28:m70<>fd824`=::8ln6>;n;<02b`<4=116><hj:274?846nl089;5220de>26<5;;mj79>;<02bc<4;m16><hi:21f?846no0?i63=1gd915=::8lm69h4=33eb?2?348:jk4:e:?15c`=>916><hi:4d8977an3?370<>fg8ab>;59ol1ni5220de>gd<5;;mj7ln;<02bc<e1279=kh5b99>64`a2k=01??if;`5?846no0i963=1gd9f1=::8lm6o=4=33eb?d5348:jk4m0:?15c`=io16><hi:`g8977an3ko70<>fg8bg>;59ol1mo5220de>dg<5;;mj7o6;<02bc<f0279=kh5a69>64`a2j<01??if;a6?846no0n<63=1gd9``=::8lm6im4=33eb?be348:jk4ka:?15c`=l016><hi:e:8977an3n<70<>fg8g2>;59ol1h85220de>a2<5;;mj7j<;<02bc<c9279=kh5d19>64`a2jl01??if;af?846no0hh63=1gd9gf=::8lm6nl4=33eb?ef348:jk4l9:?15c`=k116><hi:d58977an3o=70<>fg8f1>;59ol1i95220de>`5<5;;mj7k=;<02bc<b9279=kh5de9>64`a2m801??if;a4?846no0:<i5220de>46e348:jk4>0`9>64`a28;970<>fg8255=::8lm6<>i;<02bc<68l16><hi:27b?846no08955220de>630348:jk4<579>67672>:01?<?0;52?8458908?i522323>65b3489<=4;e:?1656==916>?>?:5d8974783>370<=0186a>;5:9:1:=522323>0`<5;8;<7;7;<0145<en279>=>5be9>67672kh01?<?0;`b?845890i563=2129f==::;:;6o94=3034?d13489<=4m5:?1656=j=16>?>?:c18974783h970<=018a4>;5:9:1mk522323>dc<5;8;<7ok;<0145<fk279>=>5ac9>67672hk01?<?0;c:?845890j463=2129e2=::;:;6n84=3034?e23489<=4j0:?1656=ll16>?>?:ea8974783ni70<=018ge>;5:9:1h4522323>a><5;8;<7j8;<0145<c>279>=>5d49>67672m>01?<?0;f0?845890o=63=2129`5=::;:;6nh4=3034?eb3489<=4ld:?1656=kj16>?>?:b`8974783ij70<=018`=>;5:9:1o5522323>`1<5;8;<7k9;<0145<b=279>=>5e59>67672l901?<?0;g1?845890n=63=2129`a=::;:;6i<4=3034?e03489<=4>0e9>676728:i70<=01824d=::;:;6<?=;<0145<69916>?>?:02e?845890:<h522323>63f3489<=4<599>67672:?<70<=018013=::;::6:>4=3035?163489<<4<3e9>67662:9n70<=0087a>;5:9;19=522322>1`<5;8;=7:7;<0144<2m279>=?5619>67662<l01?<?1;7;?845880ij63=2139fa=::;::6ol4=3035?df3489<<4m9:?1657=j116>?>>:c58974793h=70<=008a1>;5:9;1n9522322>g5<5;8;=7l=;<0144<e8279>=?5ag9>67662ho01?<?1;cg?845880jo63=2139eg=::;::6lo4=3035?g>3489<<4n8:?1657=i>16>?>>:b48974793i>70<=008f4>;5:9;1hh522322>ae<5;8;=7jm;<0144<ci279>=?5d89>67662m201?<?1;f4?845880o:63=2139`0=::;::6i:4=3035?b43489<<4k1:?1657=l916>?>>:bd8974793in70<=008``>;5:9;1on522322>fd<5;8;=7mn;<0144<d1279>=?5c99>67662l=01?<?1;g5?845880n963=2139a1=::;::6h=4=3035?c53489<<4j1:?1657=lm16>?>>:e08974793i<70<=00824a=::;::6<>m;<0144<68h16>?>>:031?845880:==522322>46a3489<<4>0d9>67662:?j70<=00801==::;::6>;8;<0144<4=?16>?>=:6289747:3=:70<=03807a=::;:96>=j;<0147<3m279>=<5519>67652=l01?<?2;6;?8458;0>i63=210925=::;:968h4=3036?3?3489<?4mf:?1654=jm16>?>=:c`89747:3hj70<=038a=>;5:981n5522321>g1<5;8;>7l9;<0147<e=279>=<5b59>67652k901?<?2;`1?8458;0i<63=2109ec=::;:96lk4=3036?gc3489<?4nc:?1654=ik16>?>=:`c89747:3k270<=038b<>;5:981m:522321>f0<5;8;>7m:;<0147<b8279>=<5dd9>67652mi01?<?2;fa?8458;0om63=2109`<=::;:96i64=3036?b03489<?4k6:?1654=l<16>?>=:e689747:3n870<=038g5>;5:981h=522321>f`<5;8;>7mj;<0147<dl279>=<5cb9>67652jh01?<?2;ab?8458;0h563=2109g==::;:96h94=3036?c13489<?4j5:?1654=m=16>?>=:d189747:3o970<=038f5>;5:981hi522321>a4<5;8;>7m8;<0147<68m16>?>=:02a?8458;0:<l522321>4753489<?4>119>676528:m70<=03824`=::;:96>;n;<0147<4=116>?>=:274?8458;089;522320>26<5;8;?79>;<0146<4;m16>?><:21f?8458:0?i63=211915=::;:869h4=3037?2?3489<>4:e:?1655=>916>?><:4d89747;3?370<=028ab>;5:991ni522320>gd<5;8;?7ln;<0146<e1279>==5b99>67642k=01?<?3;`5?8458:0i963=2119f1=::;:86o=4=3037?d53489<>4m0:?1655=io16>?><:`g89747;3ko70<=028bg>;5:991mo522320>dg<5;8;?7o6;<0146<f0279>==5a69>67642j<01?<?3;a6?8458:0n<63=2119``=::;:86im4=3037?be3489<>4ka:?1655=l016>?><:e:89747;3n<70<=028g2>;5:991h8522320>a2<5;8;?7j<;<0146<c9279>==5d19>67642jl01?<?3;af?8458:0hh63=2119gf=::;:86nl4=3037?ef3489<>4l9:?1655=k116>?><:d589747;3o=70<=028f1>;5:991i9522320>`5<5;8;?7k=;<0146<b9279>==5de9>67642m801?<?3;a4?8458:0:<i522320>46e3489<>4>0`9>676428;970<=028255=::;:86<>i;<0146<68l16>?><:27b?8458:0895522320>6303489<>4<579>67632>:01?<?4;52?8458=08?i522327>65b3489<94;e:?1652==916>?>;:5d89747<3>370<=0586a>;5:9>1:=522327>0`<5;8;87;7;<0141<en279>=:5be9>67632kh01?<?4;`b?8458=0i563=2169f==::;:?6o94=3030?d13489<94m5:?1652=j=16>?>;:c189747<3h970<=058a4>;5:9>1mk522327>dc<5;8;87ok;<0141<fk279>=:5ac9>67632hk01?<?4;c:?8458=0j463=2169e2=::;:?6n84=3030?e23489<94j0:?1652=ll16>?>;:ea89747<3ni70<=058ge>;5:9>1h4522327>a><5;8;87j8;<0141<c>279>=:5d49>67632m>01?<?4;f0?8458=0o=63=2169`5=::;:?6nh4=3030?eb3489<94ld:?1652=kj16>?>;:b`89747<3ij70<=058`=>;5:9>1o5522327>`1<5;8;87k9;<0141<b=279>=:5e59>67632l901?<?4;g1?8458=0n=63=2169`a=::;:?6i<4=3030?e03489<94>0e9>676328:i70<=05824d=::;:?6<?=;<0141<69916>?>;:02e?8458=0:<h522327>63f3489<94<599>67632:?<70<=058013=::;:=6:>4=3032?163489<;4<3e9>67612:9n70<=0787a>;5:9<19=522325>1`<5;8;:7:7;<0143<2m279>=85619>67612<l01?<?6;7;?8458?0ij63=2149fa=::;:=6ol4=3032?df3489<;4m9:?1650=j116>?>9:c589747>3h=70<=078a1>;5:9<1n9522325>g5<5;8;:7l=;<0143<e8279>=85ag9>67612ho01?<?6;cg?8458?0jo63=2149eg=::;:=6lo4=3032?g>3489<;4n8:?1650=i>16>?>9:b489747>3i>70<=078f4>;5:9<1hh522325>ae<5;8;:7jm;<0143<ci279>=85d89>67612m201?<?6;f4?8458?0o:63=2149`0=::;:=6i:4=3032?b43489<;4k1:?1650=l916>?>9:bd89747>3in70<=078``>;5:9<1on522325>fd<5;8;:7mn;<0143<d1279>=85c99>67612l=01?<?6;g5?8458?0n963=2149a1=::;:=6h=4=3032?c53489<;4j1:?1650=lm16>?>9:e089747>3i<70<=07824a=::;:=6<>m;<0143<68h16>?>9:031?8458?0:==522325>46a3489<;4>0d9>67612:?j70<=07801==::;:=6>;8;<0143<4=?16>?>8:6289747?3=:70<=06807a=::;:<6>=j;<0142<3m279>=95519>67602=l01?<?7;6;?8458>0>i63=215925=::;:<68h4=3033?3?3489<:4mf:?1651=jm16>?>8:c`89747?3hj70<=068a=>;5:9=1n5522324>g1<5;8;;7l9;<0142<e=279>=95b59>67602k901?<?7;`1?8458>0i<63=2159ec=::;:<6lk4=3033?gc3489<:4nc:?1651=ik16>?>8:`c89747?3k270<=068b<>;5:9=1m:522324>f0<5;8;;7m:;<0142<b8279>=95dd9>67602mi01?<?7;fa?8458>0om63=2159`<=::;:<6i64=3033?b03489<:4k6:?1651=l<16>?>8:e689747?3n870<=068g5>;5:9=1h=522324>f`<5;8;;7mj;<0142<dl279>=95cb9>67602jh01?<?7;ab?8458>0h563=2159g==::;:<6h94=3033?c13489<:4j5:?1651=m=16>?>8:d189747?3o970<=068f5>;5:9=1hi522324>a4<5;8;;7m8;<0142<68m16>?>8:02a?8458>0:<l522324>4753489<:4>119>676028:m70<=06824`=::;:<6>;n;<0142<4=116>?>8:274?8458>089;52232;>26<5;8;479>;<014=<4;m16>?>7:21f?845810?i63=21:915=::;:369h4=303<?2?3489<54:e:?165>=>916>?>7:4d8974703?370<=098ab>;5:921ni52232;>gd<5;8;47ln;<014=<e1279>=65b99>676?2k=01?<?8;`5?845810i963=21:9f1=::;:36o=4=303<?d53489<54m0:?165>=io16>?>7:`g8974703ko70<=098bg>;5:921mo52232;>dg<5;8;47o6;<014=<f0279>=65a69>676?2j<01?<?8;a6?845810n<63=21:9``=::;:36im4=303<?be3489<54ka:?165>=l016>?>7:e:8974703n<70<=098g2>;5:921h852232;>a2<5;8;47j<;<014=<c9279>=65d19>676?2jl01?<?8;af?845810hh63=21:9gf=::;:36nl4=303<?ef3489<54l9:?165>=k116>?>7:d58974703o=70<=098f1>;5:921i952232;>`5<5;8;47k=;<014=<b9279>=65de9>676?2m801?<?8;a4?845810:<i52232;>46e3489<54>0`9>676?28;970<=098255=::;:36<>i;<014=<68l16>?>7:27b?84581089552232;>6303489<54<579>676>2>:01?<?9;52?8458008?i52232:>65b3489<44;e:?165?==916>?>6:5d8974713>370<=0886a>;5:931:=52232:>0`<5;8;57;7;<014<<en279>=75be9>676>2kh01?<?9;`b?845800i563=21;9f==::;:26o94=303=?d13489<44m5:?165?=j=16>?>6:c18974713h970<=088a4>;5:931mk52232:>dc<5;8;57ok;<014<<fk279>=75ac9>676>2hk01?<?9;c:?845800j463=21;9e2=::;:26n84=303=?e23489<44j0:?165?=ll16>?>6:ea8974713ni70<=088ge>;5:931h452232:>a><5;8;57j8;<014<<c>279>=75d49>676>2m>01?<?9;f0?845800o=63=21;9`5=::;:26nh4=303=?eb3489<44ld:?165?=kj16>?>6:b`8974713ij70<=088`=>;5:931o552232:>`1<5;8;57k9;<014<<b=279>=75e59>676>2l901?<?9;g1?845800n=63=21;9`a=::;:26i<4=303=?e03489<44>0e9>676>28:i70<=08824d=::;:26<?=;<014<<69916>?>6:02e?845800:<h52232:>63f3489<44<599>676>2:?<70<=088013=::;:j6:>4=303e?163489<l4<3e9>676f2:9n70<=0`87a>;5:9k19=52232b>1`<5;8;m7:7;<014d<2m279>=o5619>676f2<l01?<?a;7;?8458h0ij63=21c9fa=::;:j6ol4=303e?df3489<l4m9:?165g=j116>?>n:c589747i3h=70<=0`8a1>;5:9k1n952232b>g5<5;8;m7l=;<014d<e8279>=o5ag9>676f2ho01?<?a;cg?8458h0jo63=21c9eg=::;:j6lo4=303e?g>3489<l4n8:?165g=i>16>?>n:b489747i3i>70<=0`8f4>;5:9k1hh52232b>ae<5;8;m7jm;<014d<ci279>=o5d89>676f2m201?<?a;f4?8458h0o:63=21c9`0=::;:j6i:4=303e?b43489<l4k1:?165g=l916>?>n:bd89747i3in70<=0`8``>;5:9k1on52232b>fd<5;8;m7mn;<014d<d1279>=o5c99>676f2l=01?<?a;g5?8458h0n963=21c9a1=::;:j6h=4=303e?c53489<l4j1:?165g=lm16>?>n:e089747i3i<70<=0`824a=::;:j6<>m;<014d<68h16>?>n:031?8458h0:==52232b>46a3489<l4>0d9>676f2:?j70<=0`801==::;:j6>;8;<014d<4=?16>?>m:6289747j3=:70<=0c807a=::;:i6>=j;<014g<3m279>=l5519>676e2=l01?<?b;6;?8458k0>i63=21`925=::;:i68h4=303f?3?3489<o4mf:?165d=jm16>?>m:c`89747j3hj70<=0c8a=>;5:9h1n552232a>g1<5;8;n7l9;<014g<e=279>=l5b59>676e2k901?<?b;`1?8458k0i<63=21`9ec=::;:i6lk4=303f?gc3489<o4nc:?165d=ik16>?>m:`c89747j3k270<=0c8b<>;5:9h1m:52232a>f0<5;8;n7m:;<014g<b8279>=l5dd9>676e2mi01?<?b;fa?8458k0om63=21`9`<=::;:i6i64=303f?b03489<o4k6:?165d=l<16>?>m:e689747j3n870<=0c8g5>;5:9h1h=52232a>f`<5;8;n7mj;<014g<dl279>=l5cb9>676e2jh01?<?b;ab?8458k0h563=21`9g==::;:i6h94=303f?c13489<o4j5:?165d=m=16>?>m:d189747j3o970<=0c8f5>;5:9h1hi52232a>a4<5;8;n7m8;<014g<68m16>?>m:02a?8458k0:<l52232a>4753489<o4>119>676e28:m70<=0c824`=::;:i6>;n;<014g<4=116>?>m:274?8458k089;52232`>26<5;8;o79>;<014f<4;m16>?>l:21f?8458j0?i63=21a915=::;:h69h4=303g?2?3489<n4:e:?165e=>916>?>l:4d89747k3?370<=0b8ab>;5:9i1ni52232`>gd<5;8;o7ln;<014f<e1279>=m5b99>676d2k=01?<?c;`5?8458j0i963=21a9f1=::;:h6o=4=303g?d53489<n4m0:?165e=io16>?>l:`g89747k3ko70<=0b8bg>;5:9i1mo52232`>dg<5;8;o7o6;<014f<f0279>=m5a69>676d2j<01?<?c;a6?8458j0n<63=21a9``=::;:h6im4=303g?be3489<n4ka:?165e=l016>?>l:e:89747k3n<70<=0b8g2>;5:9i1h852232`>a2<5;8;o7j<;<014f<c9279>=m5d19>676d2jl01?<?c;af?8458j0hh63=21a9gf=::;:h6nl4=303g?ef3489<n4l9:?165e=k116>?>l:d589747k3o=70<=0b8f1>;5:9i1i952232`>`5<5;8;o7k=;<014f<b9279>=m5de9>676d2m801?<?c;a4?8458j0:<i52232`>46e3489<n4>0`9>676d28;970<=0b8255=::;:h6<>i;<014f<68l16>?>l:27b?8458j089552232`>6303489<n4<579>676c2>:01?<?d;52?8458m08?i52232g>65b3489<i4;e:?165b==916>?>k:5d89747l3>370<=0e86a>;5:9n1:=52232g>0`<5;8;h7;7;<014a<en279>=j5be9>676c2kh01?<?d;`b?8458m0i563=21f9f==::;:o6o94=303`?d13489<i4m5:?165b=j=16>?>k:c189747l3h970<=0e8a4>;5:9n1mk52232g>dc<5;8;h7ok;<014a<fk279>=j5ac9>676c2hk01?<?d;c:?8458m0j463=21f9e2=::;:o6n84=303`?e23489<i4j0:?165b=ll16>?>k:ea89747l3ni70<=0e8ge>;5:9n1h452232g>a><5;8;h7j8;<014a<c>279>=j5d49>676c2m>01?<?d;f0?8458m0o=63=21f9`5=::;:o6nh4=303`?eb3489<i4ld:?165b=kj16>?>k:b`89747l3ij70<=0e8`=>;5:9n1o552232g>`1<5;8;h7k9;<014a<b=279>=j5e59>676c2l901?<?d;g1?8458m0n=63=21f9`a=::;:o6i<4=303`?e03489<i4>0e9>676c28:i70<=0e824d=::;:o6<?=;<014a<69916>?>k:02e?8458m0:<h52232g>63f3489<i4<599>676c2:?<70<=0e8013=::;:n6:>4=303a?163489<h4<3e9>676b2:9n70<=0d87a>;5:9o19=52232f>1`<5;8;i7:7;<014`<2m279>=k5619>676b2<l01?<?e;7;?8458l0ij63=21g9fa=::;:n6ol4=303a?df3489<h4m9:?165c=j116>?>j:c589747m3h=70<=0d8a1>;5:9o1n952232f>g5<5;8;i7l=;<014`<e8279>=k5ag9>676b2ho01?<?e;cg?8458l0jo63=21g9eg=::;:n6lo4=303a?g>3489<h4n8:?165c=i>16>?>j:b489747m3i>70<=0d8f4>;5:9o1hh52232f>ae<5;8;i7jm;<014`<ci279>=k5d89>676b2m201?<?e;f4?8458l0o:63=21g9`0=::;:n6i:4=303a?b43489<h4k1:?165c=l916>?>j:bd89747m3in70<=0d8``>;5:9o1on52232f>fd<5;8;i7mn;<014`<d1279>=k5c99>676b2l=01?<?e;g5?8458l0n963=21g9a1=::;:n6h=4=303a?c53489<h4j1:?165c=lm16>?>j:e089747m3i<70<=0d824a=::;:n6<>m;<014`<68h16>?>j:031?8458l0:==52232f>46a3489<h4>0d9>676b2:?j70<=0d801==::;:n6>;8;<014`<4=?16>?>i:6289747n3=:70<=0g807a=::;:m6>=j;<014c<3m279>=h5519>676a2=l01?<?f;6;?8458o0>i63=21d925=::;:m68h4=303b?3?3489<k4mf:?165`=jm16>?>i:c`89747n3hj70<=0g8a=>;5:9l1n552232e>g1<5;8;j7l9;<014c<e=279>=h5b59>676a2k901?<?f;`1?8458o0i<63=21d9ec=::;:m6lk4=303b?gc3489<k4nc:?165`=ik16>?>i:`c89747n3k270<=0g8b<>;5:9l1m:52232e>f0<5;8;j7m:;<014c<b8279>=h5dd9>676a2mi01?<?f;fa?8458o0om63=21d9`<=::;:m6i64=303b?b03489<k4k6:?165`=l<16>?>i:e689747n3n870<=0g8g5>;5:9l1h=52232e>f`<5;8;j7mj;<014c<dl279>=h5cb9>676a2jh01?<?f;ab?8458o0h563=21d9g==::;:m6h94=303b?c13489<k4j5:?165`=m=16>?>i:d189747n3o970<=0g8f5>;5:9l1hi52232e>a4<5;8;j7m8;<014c<68m16>?>i:02a?8458o0:<l52232e>4753489<k4>119>676a28:m70<=0g824`=::;:m6>;n;<014c<4=116>?>i:274?8458o089;522332>26<5;8:=79>;<0154<4;m16>??>:21f?845980?i63=203915=::;;:69h4=3025?2?3489=<4:e:?1647=>916>??>:4d8974693?370<=108ab>;5:8;1ni522332>gd<5;8:=7ln;<0154<e1279><?5b99>67762k=01?<>1;`5?845980i963=2039f1=::;;:6o=4=3025?d53489=<4m0:?1647=io16>??>:`g8974693ko70<=108bg>;5:8;1mo522332>dg<5;8:=7o6;<0154<f0279><?5a69>67762j<01?<>1;a6?845980n<63=2039``=::;;:6im4=3025?be3489=<4ka:?1647=l016>??>:e:8974693n<70<=108g2>;5:8;1h8522332>a2<5;8:=7j<;<0154<c9279><?5d19>67762jl01?<>1;af?845980hh63=2039gf=::;;:6nl4=3025?ef3489=<4l9:?1647=k116>??>:d58974693o=70<=108f1>;5:8;1i9522332>`5<5;8:=7k=;<0154<b9279><?5de9>67762m801?<>1;a4?845980:<i522332>46e3489=<4>0`9>677628;970<=108255=::;;:6<>i;<0154<68l16>??>:27b?845980895522332>6303489=<4<579>67752>:01?<>2;52?8459;08?i522331>65b3489=?4;e:?1644==916>??=:5d89746:3>370<=1386a>;5:881:=522331>0`<5;8:>7;7;<0157<en279><<5be9>67752kh01?<>2;`b?8459;0i563=2009f==::;;96o94=3026?d13489=?4m5:?1644=j=16>??=:c189746:3h970<=138a4>;5:881mk522331>dc<5;8:>7ok;<0157<fk279><<5ac9>67752hk01?<>2;c:?8459;0j463=2009e2=::;;96n84=3026?e23489=?4j0:?1644=ll16>??=:ea89746:3ni70<=138ge>;5:881h4522331>a><5;8:>7j8;<0157<c>279><<5d49>67752m>01?<>2;f0?8459;0o=63=2009`5=::;;96nh4=3026?eb3489=?4ld:?1644=kj16>??=:b`89746:3ij70<=138`=>;5:881o5522331>`1<5;8:>7k9;<0157<b=279><<5e59>67752l901?<>2;g1?8459;0n=63=2009`a=::;;96i<4=3026?e03489=?4>0e9>677528:i70<=13824d=::;;96<?=;<0157<69916>??=:02e?8459;0:<h522331>63f3489=?4<599>67752:?<70<=138013=::;;86:>4=3027?163489=>4<3e9>67742:9n70<=1287a>;5:8919=522330>1`<5;8:?7:7;<0156<2m279><=5619>67742<l01?<>3;7;?8459:0ij63=2019fa=::;;86ol4=3027?df3489=>4m9:?1645=j116>??<:c589746;3h=70<=128a1>;5:891n9522330>g5<5;8:?7l=;<0156<e8279><=5ag9>67742ho01?<>3;cg?8459:0jo63=2019eg=::;;86lo4=3027?g>3489=>4n8:?1645=i>16>??<:b489746;3i>70<=128f4>;5:891hh522330>ae<5;8:?7jm;<0156<ci279><=5d89>67742m201?<>3;f4?8459:0o:63=2019`0=::;;86i:4=3027?b43489=>4k1:?1645=l916>??<:bd89746;3in70<=128``>;5:891on522330>fd<5;8:?7mn;<0156<d1279><=5c99>67742l=01?<>3;g5?8459:0n963=2019a1=::;;86h=4=3027?c53489=>4j1:?1645=lm16>??<:e089746;3i<70<=12824a=::;;86<>m;<0156<68h16>??<:031?8459:0:==522330>46a3489=>4>0d9>67742:?j70<=12801==::;;86>;8;<0156<4=?16>??;:6289746<3=:70<=15807a=::;;?6>=j;<0151<3m279><:5519>67732=l01?<>4;6;?8459=0>i63=206925=::;;?68h4=3020?3?3489=94mf:?1642=jm16>??;:c`89746<3hj70<=158a=>;5:8>1n5522337>g1<5;8:87l9;<0151<e=279><:5b59>67732k901?<>4;`1?8459=0i<63=2069ec=::;;?6lk4=3020?gc3489=94nc:?1642=ik16>??;:`c89746<3k270<=158b<>;5:8>1m:522337>f0<5;8:87m:;<0151<b8279><:5dd9>67732mi01?<>4;fa?8459=0om63=2069`<=::;;?6i64=3020?b03489=94k6:?1642=l<16>??;:e689746<3n870<=158g5>;5:8>1h=522337>f`<5;8:87mj;<0151<dl279><:5cb9>67732jh01?<>4;ab?8459=0h563=2069g==::;;?6h94=3020?c13489=94j5:?1642=m=16>??;:d189746<3o970<=158f5>;5:8>1hi522337>a4<5;8:87m8;<0151<68m16>??;:02a?8459=0:<l522337>4753489=94>119>677328:m70<=15824`=::;;?6>;n;<0151<4=116>??;:274?8459=089;522336>26<5;8:979>;<0150<4;m16>??::21f?8459<0?i63=207915=::;;>69h4=3021?2?3489=84:e:?1643=>916>??::4d89746=3?370<=148ab>;5:8?1ni522336>gd<5;8:97ln;<0150<e1279><;5b99>67722k=01?<>5;`5?8459<0i963=2079f1=::;;>6o=4=3021?d53489=84m0:?1643=io16>??::`g89746=3ko70<=148bg>;5:8?1mo522336>dg<5;8:97o6;<0150<f0279><;5a69>67722j<01?<>5;a6?8459<0n<63=2079``=::;;>6im4=3021?be3489=84ka:?1643=l016>??::e:89746=3n<70<=148g2>;5:8?1h8522336>a2<5;8:97j<;<0150<c9279><;5d19>67722jl01?<>5;af?8459<0hh63=2079gf=::;;>6nl4=3021?ef3489=84l9:?1643=k116>??::d589746=3o=70<=148f1>;5:8?1i9522336>`5<5;8:97k=;<0150<b9279><;5de9>67722m801?<>5;a4?8459<0:<i522336>46e3489=84>0`9>677228;970<=148255=::;;>6<>i;<0150<68l16>??::27b?8459<0895522336>6303489=84<579>67712>:01?<>6;52?8459?08?i522335>65b3489=;4;e:?1640==916>??9:5d89746>3>370<=1786a>;5:8<1:=522335>0`<5;8::7;7;<0153<en279><85be9>67712kh01?<>6;`b?8459?0i563=2049f==::;;=6o94=3022?d13489=;4m5:?1640=j=16>??9:c189746>3h970<=178a4>;5:8<1mk522335>dc<5;8::7ok;<0153<fk279><85ac9>67712hk01?<>6;c:?8459?0j463=2049e2=::;;=6n84=3022?e23489=;4j0:?1640=ll16>??9:ea89746>3ni70<=178ge>;5:8<1h4522335>a><5;8::7j8;<0153<c>279><85d49>67712m>01?<>6;f0?8459?0o=63=2049`5=::;;=6nh4=3022?eb3489=;4ld:?1640=kj16>??9:b`89746>3ij70<=178`=>;5:8<1o5522335>`1<5;8::7k9;<0153<b=279><85e59>67712l901?<>6;g1?8459?0n=63=2049`a=::;;=6i<4=3022?e03489=;4>0e9>677128:i70<=17824d=::;;=6<?=;<0153<69916>??9:02e?8459?0:<h522335>63f3489=;4<599>67712:?<70<=178013=::;;<6:>4=3023?163489=:4<3e9>67702:9n70<=1687a>;5:8=19=522334>1`<5;8:;7:7;<0152<2m279><95619>67702<l01?<>7;7;?8459>0ij63=2059fa=::;;<6ol4=3023?df3489=:4m9:?1641=j116>??8:c589746?3h=70<=168a1>;5:8=1n9522334>g5<5;8:;7l=;<0152<e8279><95ag9>67702ho01?<>7;cg?8459>0jo63=2059eg=::;;<6lo4=3023?g>3489=:4n8:?1641=i>16>??8:b489746?3i>70<=168f4>;5:8=1hh522334>ae<5;8:;7jm;<0152<ci279><95d89>67702m201?<>7;f4?8459>0o:63=2059`0=::;;<6i:4=3023?b43489=:4k1:?1641=l916>??8:bd89746?3in70<=168``>;5:8=1on522334>fd<5;8:;7mn;<0152<d1279><95c99>67702l=01?<>7;g5?8459>0n963=2059a1=::;;<6h=4=3023?c53489=:4j1:?1641=lm16>??8:e089746?3i<70<=16824a=::;;<6<>m;<0152<68h16>??8:031?8459>0:==522334>46a3489=:4>0d9>67702:?j70<=16801==::;;<6>;8;<0152<4=?16>??7:628974603=:70<=19807a=::;;36>=j;<015=<3m279><65519>677?2=l01?<>8;6;?845910>i63=20:925=::;;368h4=302<?3?3489=54mf:?164>=jm16>??7:c`8974603hj70<=198a=>;5:821n552233;>g1<5;8:47l9;<015=<e=279><65b59>677?2k901?<>8;`1?845910i<63=20:9ec=::;;36lk4=302<?gc3489=54nc:?164>=ik16>??7:`c8974603k270<=198b<>;5:821m:52233;>f0<5;8:47m:;<015=<b8279><65dd9>677?2mi01?<>8;fa?845910om63=20:9`<=::;;36i64=302<?b03489=54k6:?164>=l<16>??7:e68974603n870<=198g5>;5:821h=52233;>f`<5;8:47mj;<015=<dl279><65cb9>677?2jh01?<>8;ab?845910h563=20:9g==::;;36h94=302<?c13489=54j5:?164>=m=16>??7:d18974603o970<=198f5>;5:821hi52233;>a4<5;8:47m8;<015=<68m16>??7:02a?845910:<l52233;>4753489=54>119>677?28:m70<=19824`=::;;36>;n;<015=<4=116>??7:274?84591089;52233:>26<5;8:579>;<015<<4;m16>??6:21f?845900?i63=20;915=::;;269h4=302=?2?3489=44:e:?164?=>916>??6:4d8974613?370<=188ab>;5:831ni52233:>gd<5;8:57ln;<015<<e1279><75b99>677>2k=01?<>9;`5?845900i963=20;9f1=::;;26o=4=302=?d53489=44m0:?164?=io16>??6:`g8974613ko70<=188bg>;5:831mo52233:>dg<5;8:57o6;<015<<f0279><75a69>677>2j<01?<>9;a6?845900n<63=20;9``=::;;26im4=302=?be3489=44ka:?164?=l016>??6:e:8974613n<70<=188g2>;5:831h852233:>a2<5;8:57j<;<015<<c9279><75d19>677>2jl01?<>9;af?845900hh63=20;9gf=::;;26nl4=302=?ef3489=44l9:?164?=k116>??6:d58974613o=70<=188f1>;5:831i952233:>`5<5;8:57k=;<015<<b9279><75de9>677>2m801?<>9;a4?845900:<i52233:>46e3489=44>0`9>677>28;970<=188255=::;;26<>i;<015<<68l16>??6:27b?84590089552233:>6303489=44<579>677f2>:01?<>a;52?8459h08?i52233b>65b3489=l4;e:?164g==916>??n:5d89746i3>370<=1`86a>;5:8k1:=52233b>0`<5;8:m7;7;<015d<en279><o5be9>677f2kh01?<>a;`b?8459h0i563=20c9f==::;;j6o94=302e?d13489=l4m5:?164g=j=16>??n:c189746i3h970<=1`8a4>;5:8k1mk52233b>dc<5;8:m7ok;<015d<fk279><o5ac9>677f2hk01?<>a;c:?8459h0j463=20c9e2=::;;j6n84=302e?e23489=l4j0:?164g=ll16>??n:ea89746i3ni70<=1`8ge>;5:8k1h452233b>a><5;8:m7j8;<015d<c>279><o5d49>677f2m>01?<>a;f0?8459h0o=63=20c9`5=::;;j6nh4=302e?eb3489=l4ld:?164g=kj16>??n:b`89746i3ij70<=1`8`=>;5:8k1o552233b>`1<5;8:m7k9;<015d<b=279><o5e59>677f2l901?<>a;g1?8459h0n=63=20c9`a=::;;j6i<4=302e?e03489=l4>0e9>677f28:i70<=1`824d=::;;j6<?=;<015d<69916>??n:02e?8459h0:<h52233b>63f3489=l4<599>677f2:?<70<=1`8013=::;;h6:>4=302g?163489=n4<3e9>677d2:9n70<=1b87a>;5:8i19=52233`>1`<5;8:o7:7;<015f<2m279><m5619>677d2<l01?<>c;7;?8459j0ij63=20a9fa=::;;h6ol4=302g?df3489=n4m9:?164e=j116>??l:c589746k3h=70<=1b8a1>;5:8i1n952233`>g5<5;8:o7l=;<015f<e8279><m5ag9>677d2ho01?<>c;cg?8459j0jo63=20a9eg=::;;h6lo4=302g?g>3489=n4n8:?164e=i>16>??l:b489746k3i>70<=1b8f4>;5:8i1hh52233`>ae<5;8:o7jm;<015f<ci279><m5d89>677d2m201?<>c;f4?8459j0o:63=20a9`0=::;;h6i:4=302g?b43489=n4k1:?164e=l916>??l:bd89746k3in70<=1b8``>;5:8i1on52233`>fd<5;8:o7mn;<015f<d1279><m5c99>677d2l=01?<>c;g5?8459j0n963=20a9a1=::;;h6h=4=302g?c53489=n4j1:?164e=lm16>??l:e089746k3i<70<=1b824a=::;;h6<>m;<015f<68h16>??l:031?8459j0:==52233`>46a3489=n4>0d9>677d2:?j70<=1b801==::;;h6>;8;<015f<4=?16>??k:6289746l3=:70<=1e807a=::;;o6>=j;<015a<3m279><j5519>677c2=l01?<>d;6;?8459m0>i63=20f925=::;;o68h4=302`?3?3489=i4mf:?164b=jm16>??k:c`89746l3hj70<=1e8a=>;5:8n1n552233g>g1<5;8:h7l9;<015a<e=279><j5b59>677c2k901?<>d;`1?8459m0i<63=20f9ec=::;;o6lk4=302`?gc3489=i4nc:?164b=ik16>??k:`c89746l3k270<=1e8b<>;5:8n1m:52233g>f0<5;8:h7m:;<015a<b8279><j5dd9>677c2mi01?<>d;fa?8459m0om63=20f9`<=::;;o6i64=302`?b03489=i4k6:?164b=l<16>??k:e689746l3n870<=1e8g5>;5:8n1h=52233g>f`<5;8:h7mj;<015a<dl279><j5cb9>677c2jh01?<>d;ab?8459m0h563=20f9g==::;;o6h94=302`?c13489=i4j5:?164b=m=16>??k:d189746l3o970<=1e8f5>;5:8n1hi52233g>a4<5;8:h7m8;<015a<68m16>??k:02a?8459m0:<l52233g>4753489=i4>119>677c28:m70<=1e824`=::;;o6>;n;<015a<4=116>??k:274?8459m089;52233f>26<5;8:i79>;<015`<4;m16>??j:21f?8459l0?i63=20g915=::;;n69h4=302a?2?3489=h4:e:?164c=>916>??j:4d89746m3?370<=1d8ab>;5:8o1ni52233f>gd<5;8:i7ln;<015`<e1279><k5b99>677b2k=01?<>e;`5?8459l0i963=20g9f1=::;;n6o=4=302a?d53489=h4m0:?164c=io16>??j:`g89746m3ko70<=1d8bg>;5:8o1mo52233f>dg<5;8:i7o6;<015`<f0279><k5a69>677b2j<01?<>e;a6?8459l0n<63=20g9``=::;;n6im4=302a?be3489=h4ka:?164c=l016>??j:e:89746m3n<70<=1d8g2>;5:8o1h852233f>a2<5;8:i7j<;<015`<c9279><k5d19>677b2jl01?<>e;af?8459l0hh63=20g9gf=::;;n6nl4=302a?ef3489=h4l9:?164c=k116>??j:d589746m3o=70<=1d8f1>;5:8o1i952233f>`5<5;8:i7k=;<015`<b9279><k5de9>677b2m801?<>e;a4?8459l0:<i52233f>46e3489=h4>0`9>677b28;970<=1d8255=::;;n6<>i;<015`<68l16>??j:27b?8459l089552233f>6303489=h4<579>677a2>:01?<>f;52?8459o08?i52233e>65b3489=k4;e:?164`==916>??i:5d89746n3>370<=1g86a>;5:8l1:=52233e>0`<5;8:j7;7;<015c<en279><h5be9>677a2kh01?<>f;`b?8459o0i563=20d9f==::;;m6o94=302b?d13489=k4m5:?164`=j=16>??i:c189746n3h970<=1g8a4>;5:8l1mk52233e>dc<5;8:j7ok;<015c<fk279><h5ac9>677a2hk01?<>f;c:?8459o0j463=20d9e2=::;;m6n84=302b?e23489=k4j0:?164`=ll16>??i:ea89746n3ni70<=1g8ge>;5:8l1h452233e>a><5;8:j7j8;<015c<c>279><h5d49>677a2m>01?<>f;f0?8459o0o=63=20d9`5=::;;m6nh4=302b?eb3489=k4ld:?164`=kj16>??i:b`89746n3ij70<=1g8`=>;5:8l1o552233e>`1<5;8:j7k9;<015c<b=279><h5e59>677a2l901?<>f;g1?8459o0n=63=20d9`a=::;;m6i<4=302b?e03489=k4>0e9>677a28:i70<=1g824d=::;;m6<?=;<015c<69916>??i:02e?8459o0:<h52233e>63f3489=k4<599>677a2:?<70<=1g8013=::;8;6:>4=3014?163489>=4<3e9>67472:9n70<=2187a>;5:;:19=522303>1`<5;89<7:7;<0165<2m279>?>5619>67472<l01?<=0;7;?845:90ij63=2329fa=::;8;6ol4=3014?df3489>=4m9:?1676=j116>?<?:c58974583h=70<=218a1>;5:;:1n9522303>g5<5;89<7l=;<0165<e8279>?>5ag9>67472ho01?<=0;cg?845:90jo63=2329eg=::;8;6lo4=3014?g>3489>=4n8:?1676=i>16>?<?:b48974583i>70<=218f4>;5:;:1hh522303>ae<5;89<7jm;<0165<ci279>?>5d89>67472m201?<=0;f4?845:90o:63=2329`0=::;8;6i:4=3014?b43489>=4k1:?1676=l916>?<?:bd8974583in70<=218``>;5:;:1on522303>fd<5;89<7mn;<0165<d1279>?>5c99>67472l=01?<=0;g5?845:90n963=2329a1=::;8;6h=4=3014?c53489>=4j1:?1676=lm16>?<?:e08974583i<70<=21824a=::;8;6<>m;<0165<68h16>?<?:031?845:90:==522303>46a3489>=4>0d9>67472:?j70<=21801==::;8;6>;8;<0165<4=?16>?<>:628974593=:70<=20807a=::;8:6>=j;<0164<3m279>??5519>67462=l01?<=1;6;?845:80>i63=233925=::;8:68h4=3015?3?3489><4mf:?1677=jm16>?<>:c`8974593hj70<=208a=>;5:;;1n5522302>g1<5;89=7l9;<0164<e=279>??5b59>67462k901?<=1;`1?845:80i<63=2339ec=::;8:6lk4=3015?gc3489><4nc:?1677=ik16>?<>:`c8974593k270<=208b<>;5:;;1m:522302>f0<5;89=7m:;<0164<b8279>??5dd9>67462mi01?<=1;fa?845:80om63=2339`<=::;8:6i64=3015?b03489><4k6:?1677=l<16>?<>:e68974593n870<=208g5>;5:;;1h=522302>f`<5;89=7mj;<0164<dl279>??5cb9>67462jh01?<=1;ab?845:80h563=2339g==::;8:6h94=3015?c13489><4j5:?1677=m=16>?<>:d18974593o970<=208f5>;5:;;1hi522302>a4<5;89=7m8;<0164<68m16>?<>:02a?845:80:<l522302>4753489><4>119>674628:m70<=20824`=::;8:6>;n;<0164<4=116>?<>:274?845:8089;522301>26<5;89>79>;<0167<4;m16>?<=:21f?845:;0?i63=230915=::;8969h4=3016?2?3489>?4:e:?1674=>916>?<=:4d89745:3?370<=238ab>;5:;81ni522301>gd<5;89>7ln;<0167<e1279>?<5b99>67452k=01?<=2;`5?845:;0i963=2309f1=::;896o=4=3016?d53489>?4m0:?1674=io16>?<=:`g89745:3ko70<=238bg>;5:;81mo522301>dg<5;89>7o6;<0167<f0279>?<5a69>67452j<01?<=2;a6?845:;0n<63=2309``=::;896im4=3016?be3489>?4ka:?1674=l016>?<=:e:89745:3n<70<=238g2>;5:;81h8522301>a2<5;89>7j<;<0167<c9279>?<5d19>67452jl01?<=2;af?845:;0hh63=2309gf=::;896nl4=3016?ef3489>?4l9:?1674=k116>?<=:d589745:3o=70<=238f1>;5:;81i9522301>`5<5;89>7k=;<0167<b9279>?<5de9>67452m801?<=2;a4?845:;0:<i522301>46e3489>?4>0`9>674528;970<=238255=::;896<>i;<0167<68l16>?<=:27b?845:;0895522301>6303489>?4<579>67442>:01?<=3;52?845::08?i522300>65b3489>>4;e:?1675==916>?<<:5d89745;3>370<=2286a>;5:;91:=522300>0`<5;89?7;7;<0166<en279>?=5be9>67442kh01?<=3;`b?845::0i563=2319f==::;886o94=3017?d13489>>4m5:?1675=j=16>?<<:c189745;3h970<=228a4>;5:;91mk522300>dc<5;89?7ok;<0166<fk279>?=5ac9>67442hk01?<=3;c:?845::0j463=2319e2=::;886n84=3017?e23489>>4j0:?1675=ll16>?<<:ea89745;3ni70<=228ge>;5:;91h4522300>a><5;89?7j8;<0166<c>279>?=5d49>67442m>01?<=3;f0?845::0o=63=2319`5=::;886nh4=3017?eb3489>>4ld:?1675=kj16>?<<:b`89745;3ij70<=228`=>;5:;91o5522300>`1<5;89?7k9;<0166<b=279>?=5e59>67442l901?<=3;g1?845::0n=63=2319`a=::;886i<4=3017?e03489>>4>0e9>674428:i70<=22824d=::;886<?=;<0166<69916>?<<:02e?845::0:<h522300>63f3489>>4<599>67442:?<70<=228013=::;8?6:>4=3010?163489>94<3e9>67432:9n70<=2587a>;5:;>19=522307>1`<5;8987:7;<0161<2m279>?:5619>67432<l01?<=4;7;?845:=0ij63=2369fa=::;8?6ol4=3010?df3489>94m9:?1672=j116>?<;:c589745<3h=70<=258a1>;5:;>1n9522307>g5<5;8987l=;<0161<e8279>?:5ag9>67432ho01?<=4;cg?845:=0jo63=2369eg=::;8?6lo4=3010?g>3489>94n8:?1672=i>16>?<;:b489745<3i>70<=258f4>;5:;>1hh522307>ae<5;8987jm;<0161<ci279>?:5d89>67432m201?<=4;f4?845:=0o:63=2369`0=::;8?6i:4=3010?b43489>94k1:?1672=l916>?<;:bd89745<3in70<=258``>;5:;>1on522307>fd<5;8987mn;<0161<d1279>?:5c99>67432l=01?<=4;g5?845:=0n963=2369a1=::;8?6h=4=3010?c53489>94j1:?1672=lm16>?<;:e089745<3i<70<=25824a=::;8?6<>m;<0161<68h16>?<;:031?845:=0:==522307>46a3489>94>0d9>67432:?j70<=25801==::;8?6>;8;<0161<4=?16>?<::6289745=3=:70<=24807a=::;8>6>=j;<0160<3m279>?;5519>67422=l01?<=5;6;?845:<0>i63=237925=::;8>68h4=3011?3?3489>84mf:?1673=jm16>?<::c`89745=3hj70<=248a=>;5:;?1n5522306>g1<5;8997l9;<0160<e=279>?;5b59>67422k901?<=5;`1?845:<0i<63=2379ec=::;8>6lk4=3011?gc3489>84nc:?1673=ik16>?<::`c89745=3k270<=248b<>;5:;?1m:522306>f0<5;8997m:;<0160<b8279>?;5dd9>67422mi01?<=5;fa?845:<0om63=2379`<=::;8>6i64=3011?b03489>84k6:?1673=l<16>?<::e689745=3n870<=248g5>;5:;?1h=522306>f`<5;8997mj;<0160<dl279>?;5cb9>67422jh01?<=5;ab?845:<0h563=2379g==::;8>6h94=3011?c13489>84j5:?1673=m=16>?<::d189745=3o970<=248f5>;5:;?1hi522306>a4<5;8997m8;<0160<68m16>?<::02a?845:<0:<l522306>4753489>84>119>674228:m70<=24824`=::;8>6>;n;<0160<4=116>?<::274?845:<089;522304>26<5;89;79>;<0162<4;m16>?<8:21f?845:>0?i63=235915=::;8<69h4=3013?2?3489>:4:e:?1671=>916>?<8:4d89745?3?370<=268ab>;5:;=1ni522304>gd<5;89;7ln;<0162<e1279>?95b99>67402k=01?<=7;`5?845:>0i963=2359f1=::;8<6o=4=3013?d53489>:4m0:?1671=io16>?<8:`g89745?3ko70<=268bg>;5:;=1mo522304>dg<5;89;7o6;<0162<f0279>?95a69>67402j<01?<=7;a6?845:>0n<63=2359``=::;8<6im4=3013?be3489>:4ka:?1671=l016>?<8:e:89745?3n<70<=268g2>;5:;=1h8522304>a2<5;89;7j<;<0162<c9279>?95d19>67402jl01?<=7;af?845:>0hh63=2359gf=::;8<6nl4=3013?ef3489>:4l9:?1671=k116>?<8:d589745?3o=70<=268f1>;5:;=1i9522304>`5<5;89;7k=;<0162<b9279>?95de9>67402m801?<=7;a4?845:>0:<i522304>46e3489>:4>0`9>674028;970<=268255=::;8<6<>i;<0162<68l16>?<8:27b?845:>0895522304>6303489>:4<579>674?2>:01?<=8;52?845:108?i52230;>65b3489>54;e:?167>==916>?<7:5d8974503>370<=2986a>;5:;21:=52230;>0`<5;8947;7;<016=<en279>?65be9>674?2kh01?<=8;`b?845:10i563=23:9f==::;836o94=301<?d13489>54m5:?167>=j=16>?<7:c18974503h970<=298a4>;5:;21mk52230;>dc<5;8947ok;<016=<fk279>?65ac9>674?2hk01?<=8;c:?845:10j463=23:9e2=::;836n84=301<?e23489>54j0:?167>=ll16>?<7:ea8974503ni70<=298ge>;5:;21h452230;>a><5;8947j8;<016=<c>279>?65d49>674?2m>01?<=8;f0?845:10o=63=23:9`5=::;836nh4=301<?eb3489>54ld:?167>=kj16>?<7:b`8974503ij70<=298`=>;5:;21o552230;>`1<5;8947k9;<016=<b=279>?65e59>674?2l901?<=8;g1?845:10n=63=23:9`a=::;836i<4=301<?e03489>54>0e9>674?28:i70<=29824d=::;836<?=;<016=<69916>?<7:02e?845:10:<h52230;>63f3489>54<599>674?2:?<70<=298013=::;826:>4=301=?163489>44<3e9>674>2:9n70<=2887a>;5:;319=52230:>1`<5;8957:7;<016<<2m279>?75619>674>2<l01?<=9;7;?845:00ij63=23;9fa=::;826ol4=301=?df3489>44m9:?167?=j116>?<6:c58974513h=70<=288a1>;5:;31n952230:>g5<5;8957l=;<016<<e8279>?75ag9>674>2ho01?<=9;cg?845:00jo63=23;9eg=::;826lo4=301=?g>3489>44n8:?167?=i>16>?<6:b48974513i>70<=288f4>;5:;31hh52230:>ae<5;8957jm;<016<<ci279>?75d89>674>2m201?<=9;f4?845:00o:63=23;9`0=::;826i:4=301=?b43489>44k1:?167?=l916>?<6:bd8974513in70<=288``>;5:;31on52230:>fd<5;8957mn;<016<<d1279>?75c99>674>2l=01?<=9;g5?845:00n963=23;9a1=::;826h=4=301=?c53489>44j1:?167?=lm16>?<6:e08974513i<70<=28824a=::;826<>m;<016<<68h16>?<6:031?845:00:==52230:>46a3489>44>0d9>674>2:?j70<=28801==::;826>;8;<016<<4=?16>?<n:6289745i3=:70<=2`807a=::;8j6>=j;<016d<3m279>?o5519>674f2=l01?<=a;6;?845:h0>i63=23c925=::;8j68h4=301e?3?3489>l4mf:?167g=jm16>?<n:c`89745i3hj70<=2`8a=>;5:;k1n552230b>g1<5;89m7l9;<016d<e=279>?o5b59>674f2k901?<=a;`1?845:h0i<63=23c9ec=::;8j6lk4=301e?gc3489>l4nc:?167g=ik16>?<n:`c89745i3k270<=2`8b<>;5:;k1m:52230b>f0<5;89m7m:;<016d<b8279>?o5dd9>674f2mi01?<=a;fa?845:h0om63=23c9`<=::;8j6i64=301e?b03489>l4k6:?167g=l<16>?<n:e689745i3n870<=2`8g5>;5:;k1h=52230b>f`<5;89m7mj;<016d<dl279>?o5cb9>674f2jh01?<=a;ab?845:h0h563=23c9g==::;8j6h94=301e?c13489>l4j5:?167g=m=16>?<n:d189745i3o970<=2`8f5>;5:;k1hi52230b>a4<5;89m7m8;<016d<68m16>?<n:02a?845:h0:<l52230b>4753489>l4>119>674f28:m70<=2`824`=::;8j6>;n;<016d<4=116>?<n:274?845:h089;52230a>26<5;89n79>;<016g<4;m16>?<m:21f?845:k0?i63=23`915=::;8i69h4=301f?2?3489>o4:e:?167d=>916>?<m:4d89745j3?370<=2c8ab>;5:;h1ni52230a>gd<5;89n7ln;<016g<e1279>?l5b99>674e2k=01?<=b;`5?845:k0i963=23`9f1=::;8i6o=4=301f?d53489>o4m0:?167d=io16>?<m:`g89745j3ko70<=2c8bg>;5:;h1mo52230a>dg<5;89n7o6;<016g<f0279>?l5a69>674e2j<01?<=b;a6?845:k0n<63=23`9``=::;8i6im4=301f?be3489>o4ka:?167d=l016>?<m:e:89745j3n<70<=2c8g2>;5:;h1h852230a>a2<5;89n7j<;<016g<c9279>?l5d19>674e2jl01?<=b;af?845:k0hh63=23`9gf=::;8i6nl4=301f?ef3489>o4l9:?167d=k116>?<m:d589745j3o=70<=2c8f1>;5:;h1i952230a>`5<5;89n7k=;<016g<b9279>?l5de9>674e2m801?<=b;a4?845:k0:<i52230a>46e3489>o4>0`9>674e28;970<=2c8255=::;8i6<>i;<016g<68l16>?<m:27b?845:k089552230a>6303489>o4<579>674d2>:01?<=c;52?845:j08?i52230`>65b3489>n4;e:?167e==916>?<l:5d89745k3>370<=2b86a>;5:;i1:=52230`>0`<5;89o7;7;<016f<en279>?m5be9>674d2kh01?<=c;`b?845:j0i563=23a9f==::;8h6o94=301g?d13489>n4m5:?167e=j=16>?<l:c189745k3h970<=2b8a4>;5:;i1mk52230`>dc<5;89o7ok;<016f<fk279>?m5ac9>674d2hk01?<=c;c:?845:j0j463=23a9e2=::;8h6n84=301g?e23489>n4j0:?167e=ll16>?<l:ea89745k3ni70<=2b8ge>;5:;i1h452230`>a><5;89o7j8;<016f<c>279>?m5d49>674d2m>01?<=c;f0?845:j0o=63=23a9`5=::;8h6nh4=301g?eb3489>n4ld:?167e=kj16>?<l:b`89745k3ij70<=2b8`=>;5:;i1o552230`>`1<5;89o7k9;<016f<b=279>?m5e59>674d2l901?<=c;g1?845:j0n=63=23a9`a=::;8h6i<4=301g?e03489>n4>0e9>674d28:i70<=2b824d=::;8h6<?=;<016f<69916>?<l:02e?845:j0:<h52230`>63f3489>n4<599>674d2:?<70<=2b8013=::;8o6:>4=301`?163489>i4<3e9>674c2:9n70<=2e87a>;5:;n19=52230g>1`<5;89h7:7;<016a<2m279>?j5619>674c2<l01?<=d;7;?845:m0ij63=23f9fa=::;8o6ol4=301`?df3489>i4m9:?167b=j116>?<k:c589745l3h=70<=2e8a1>;5:;n1n952230g>g5<5;89h7l=;<016a<e8279>?j5ag9>674c2ho01?<=d;cg?845:m0jo63=23f9eg=::;8o6lo4=301`?g>3489>i4n8:?167b=i>16>?<k:b489745l3i>70<=2e8f4>;5:;n1hh52230g>ae<5;89h7jm;<016a<ci279>?j5d89>674c2m201?<=d;f4?845:m0o:63=23f9`0=::;8o6i:4=301`?b43489>i4k1:?167b=l916>?<k:bd89745l3in70<=2e8``>;5:;n1on52230g>fd<5;89h7mn;<016a<d1279>?j5c99>674c2l=01?<=d;g5?845:m0n963=23f9a1=::;8o6h=4=301`?c53489>i4j1:?167b=lm16>?<k:e089745l3i<70<=2e824a=::;8o6<>m;<016a<68h16>?<k:031?845:m0:==52230g>46a3489>i4>0d9>674c2:?j70<=2e801==::;8o6>;8;<016a<4=?16>?<j:6289745m3=:70<=2d807a=::;8n6>=j;<016`<3m279>?k5519>674b2=l01?<=e;6;?845:l0>i63=23g925=::;8n68h4=301a?3?3489>h4mf:?167c=jm16>?<j:c`89745m3hj70<=2d8a=>;5:;o1n552230f>g1<5;89i7l9;<016`<e=279>?k5b59>674b2k901?<=e;`1?845:l0i<63=23g9ec=::;8n6lk4=301a?gc3489>h4nc:?167c=ik16>?<j:`c89745m3k270<=2d8b<>;5:;o1m:52230f>f0<5;89i7m:;<016`<b8279>?k5dd9>674b2mi01?<=e;fa?845:l0om63=23g9`<=::;8n6i64=301a?b03489>h4k6:?167c=l<16>?<j:e689745m3n870<=2d8g5>;5:;o1h=52230f>f`<5;89i7mj;<016`<dl279>?k5cb9>674b2jh01?<=e;ab?845:l0h563=23g9g==::;8n6h94=301a?c13489>h4j5:?167c=m=16>?<j:d189745m3o970<=2d8f5>;5:;o1hi52230f>a4<5;89i7m8;<016`<68m16>?<j:02a?845:l0:<l52230f>4753489>h4>119>674b28:m70<=2d824`=::;8n6>;n;<016`<4=116>?<j:274?845:l089;52230e>26<5;89j79>;<016c<4;m16>?<i:21f?845:o0?i63=23d915=::;8m69h4=301b?2?3489>k4:e:?167`=>916>?<i:4d89745n3?370<=2g8ab>;5:;l1ni52230e>gd<5;89j7ln;<016c<e1279>?h5b99>674a2k=01?<=f;`5?845:o0i963=23d9f1=::;8m6o=4=301b?d53489>k4m0:?167`=io16>?<i:`g89745n3ko70<=2g8bg>;5:;l1mo52230e>dg<5;89j7o6;<016c<f0279>?h5a69>674a2j<01?<=f;a6?845:o0n<63=23d9``=::;8m6im4=301b?be3489>k4ka:?167`=l016>?<i:e:89745n3n<70<=2g8g2>;5:;l1h852230e>a2<5;89j7j<;<016c<c9279>?h5d19>674a2jl01?<=f;af?845:o0hh63=23d9gf=::;8m6nl4=301b?ef3489>k4l9:?167`=k116>?<i:d589745n3o=70<=2g8f1>;5:;l1i952230e>`5<5;89j7k=;<016c<b9279>?h5de9>674a2m801?<=f;a4?845:o0:<i52230e>46e3489>k4>0`9>674a28;970<=2g8255=::;8m6<>i;<016c<68l16>?<i:27b?845:o089552230e>6303489>k4<579>67572>:01?<<0;52?845;908?i522313>65b3489?=4;e:?1666==916>?=?:5d8974483>370<=3186a>;5:::1:=522313>0`<5;88<7;7;<0175<en279>>>5be9>67572kh01?<<0;`b?845;90i563=2229f==::;9;6o94=3004?d13489?=4m5:?1666=j=16>?=?:c18974483h970<=318a4>;5:::1mk522313>dc<5;88<7ok;<0175<fk279>>>5ac9>67572hk01?<<0;c:?845;90j463=2229e2=::;9;6n84=3004?e23489?=4j0:?1666=ll16>?=?:ea8974483ni70<=318ge>;5:::1h4522313>a><5;88<7j8;<0175<c>279>>>5d49>67572m>01?<<0;f0?845;90o=63=2229`5=::;9;6nh4=3004?eb3489?=4ld:?1666=kj16>?=?:b`8974483ij70<=318`=>;5:::1o5522313>`1<5;88<7k9;<0175<b=279>>>5e59>67572l901?<<0;g1?845;90n=63=2229`a=::;9;6i<4=3004?e03489?=4>0e9>675728:i70<=31824d=::;9;6<?=;<0175<69916>?=?:02e?845;90:<h522313>63f3489?=4<599>67572:?<70<=318013=::;996:>4=3006?163489??4<3e9>67552:9n70<=3387a>;5::819=522311>1`<5;88>7:7;<0177<2m279>><5619>67552<l01?<<2;7;?845;;0ij63=2209fa=::;996ol4=3006?df3489??4m9:?1664=j116>?==:c589744:3h=70<=338a1>;5::81n9522311>g5<5;88>7l=;<0177<e8279>><5ag9>67552ho01?<<2;cg?845;;0jo63=2209eg=::;996lo4=3006?g>3489??4n8:?1664=i>16>?==:b489744:3i>70<=338f4>;5::81hh522311>ae<5;88>7jm;<0177<ci279>><5d89>67552m201?<<2;f4?845;;0o:63=2209`0=::;996i:4=3006?b43489??4k1:?1664=l916>?==:bd89744:3in70<=338``>;5::81on522311>fd<5;88>7mn;<0177<d1279>><5c99>67552l=01?<<2;g5?845;;0n963=2209a1=::;996h=4=3006?c53489??4j1:?1664=lm16>?==:e089744:3i<70<=33824a=::;996<>m;<0177<68h16>?==:031?845;;0:==522311>46a3489??4>0d9>67552:?j70<=33801==::;996>;8;<0177<4=?16>?=<:6289744;3=:70<=32807a=::;986>=j;<0176<3m279>>=5519>67542=l01?<<3;6;?845;:0>i63=221925=::;9868h4=3007?3?3489?>4mf:?1665=jm16>?=<:c`89744;3hj70<=328a=>;5::91n5522310>g1<5;88?7l9;<0176<e=279>>=5b59>67542k901?<<3;`1?845;:0i<63=2219ec=::;986lk4=3007?gc3489?>4nc:?1665=ik16>?=<:`c89744;3k270<=328b<>;5::91m:522310>f0<5;88?7m:;<0176<b8279>>=5dd9>67542mi01?<<3;fa?845;:0om63=2219`<=::;986i64=3007?b03489?>4k6:?1665=l<16>?=<:e689744;3n870<=328g5>;5::91h=522310>f`<5;88?7mj;<0176<dl279>>=5cb9>67542jh01?<<3;ab?845;:0h563=2219g==::;986h94=3007?c13489?>4j5:?1665=m=16>?=<:d189744;3o970<=328f5>;5::91hi522310>a4<5;88?7m8;<0176<68m16>?=<:02a?845;:0:<l522310>4753489?>4>119>675428:m70<=32824`=::;986>;n;<0176<4=116>?=<:274?845;:089;522317>26<5;88879>;<0171<4;m16>?=;:21f?845;=0?i63=226915=::;9?69h4=3000?2?3489?94:e:?1662=>916>?=;:4d89744<3?370<=358ab>;5::>1ni522317>gd<5;8887ln;<0171<e1279>>:5b99>67532k=01?<<4;`5?845;=0i963=2269f1=::;9?6o=4=3000?d53489?94m0:?1662=io16>?=;:`g89744<3ko70<=358bg>;5::>1mo522317>dg<5;8887o6;<0171<f0279>>:5a69>67532j<01?<<4;a6?845;=0n<63=2269``=::;9?6im4=3000?be3489?94ka:?1662=l016>?=;:e:89744<3n<70<=358g2>;5::>1h8522317>a2<5;8887j<;<0171<c9279>>:5d19>67532jl01?<<4;af?845;=0hh63=2269gf=::;9?6nl4=3000?ef3489?94l9:?1662=k116>?=;:d589744<3o=70<=358f1>;5::>1i9522317>`5<5;8887k=;<0171<b9279>>:5de9>67532m801?<<4;a4?845;=0:<i522317>46e3489?94>0`9>675328;970<=358255=::;9?6<>i;<0171<68l16>?=;:27b?845;=0895522317>6303489?94<579>67522>:01?<<5;52?845;<08?i522316>65b3489?84;e:?1663==916>?=::5d89744=3>370<=3486a>;5::?1:=522316>0`<5;8897;7;<0170<en279>>;5be9>67522kh01?<<5;`b?845;<0i563=2279f==::;9>6o94=3001?d13489?84m5:?1663=j=16>?=::c189744=3h970<=348a4>;5::?1mk522316>dc<5;8897ok;<0170<fk279>>;5ac9>67522hk01?<<5;c:?845;<0j463=2279e2=::;9>6n84=3001?e23489?84j0:?1663=ll16>?=::ea89744=3ni70<=348ge>;5::?1h4522316>a><5;8897j8;<0170<c>279>>;5d49>67522m>01?<<5;f0?845;<0o=63=2279`5=::;9>6nh4=3001?eb3489?84ld:?1663=kj16>?=::b`89744=3ij70<=348`=>;5::?1o5522316>`1<5;8897k9;<0170<b=279>>;5e59>67522l901?<<5;g1?845;<0n=63=2279`a=::;9>6i<4=3001?e03489?84>0e9>675228:i70<=34824d=::;9>6<?=;<0170<69916>?=::02e?845;<0:<h522316>63f3489?84<599>67522:?<70<=348013=::;9=6:>4=3002?163489?;4<3e9>67512:9n70<=3787a>;5::<19=522315>1`<5;88:7:7;<0173<2m279>>85619>67512<l01?<<6;7;?845;?0ij63=2249fa=::;9=6ol4=3002?df3489?;4m9:?1660=j116>?=9:c589744>3h=70<=378a1>;5::<1n9522315>g5<5;88:7l=;<0173<e8279>>85ag9>67512ho01?<<6;cg?845;?0jo63=2249eg=::;9=6lo4=3002?g>3489?;4n8:?1660=i>16>?=9:b489744>3i>70<=378f4>;5::<1hh522315>ae<5;88:7jm;<0173<ci279>>85d89>67512m201?<<6;f4?845;?0o:63=2249`0=::;9=6i:4=3002?b43489?;4k1:?1660=l916>?=9:bd89744>3in70<=378``>;5::<1on522315>fd<5;88:7mn;<0173<d1279>>85c99>67512l=01?<<6;g5?845;?0n963=2249a1=::;9=6h=4=3002?c53489?;4j1:?1660=lm16>?=9:e089744>3i<70<=37824a=::;9=6<>m;<0173<68h16>?=9:031?845;?0:==522315>46a3489?;4>0d9>67512:?j70<=37801==::;9=6>;8;<0173<4=?16>?=8:6289744?3=:70<=36807a=::;9<6>=j;<0172<3m279>>95519>67502=l01?<<7;6;?845;>0>i63=225925=::;9<68h4=3003?3?3489?:4mf:?1661=jm16>?=8:c`89744?3hj70<=368a=>;5::=1n5522314>g1<5;88;7l9;<0172<e=279>>95b59>67502k901?<<7;`1?845;>0i<63=2259ec=::;9<6lk4=3003?gc3489?:4nc:?1661=ik16>?=8:`c89744?3k270<=368b<>;5::=1m:522314>f0<5;88;7m:;<0172<b8279>>95dd9>67502mi01?<<7;fa?845;>0om63=2259`<=::;9<6i64=3003?b03489?:4k6:?1661=l<16>?=8:e689744?3n870<=368g5>;5::=1h=522314>f`<5;88;7mj;<0172<dl279>>95cb9>67502jh01?<<7;ab?845;>0h563=2259g==::;9<6h94=3003?c13489?:4j5:?1661=m=16>?=8:d189744?3o970<=368f5>;5::=1hi522314>a4<5;88;7m8;<0172<68m16>?=8:02a?845;>0:<l522314>4753489?:4>119>675028:m70<=36824`=::;9<6>;n;<0172<4=116>?=8:274?845;>089;52231;>26<5;88479>;<017=<4;m16>?=7:21f?845;10?i63=22:915=::;9369h4=300<?2?3489?54:e:?166>=>916>?=7:4d8974403?370<=398ab>;5::21ni52231;>gd<5;8847ln;<017=<e1279>>65b99>675?2k=01?<<8;`5?845;10i963=22:9f1=::;936o=4=300<?d53489?54m0:?166>=io16>?=7:`g8974403ko70<=398bg>;5::21mo52231;>dg<5;8847o6;<017=<f0279>>65a69>675?2j<01?<<8;a6?845;10n<63=22:9``=::;936im4=300<?be3489?54ka:?166>=l016>?=7:e:8974403n<70<=398g2>;5::21h852231;>a2<5;8847j<;<017=<c9279>>65d19>675?2jl01?<<8;af?845;10hh63=22:9gf=::;936nl4=300<?ef3489?54l9:?166>=k116>?=7:d58974403o=70<=398f1>;5::21i952231;>`5<5;8847k=;<017=<b9279>>65de9>675?2m801?<<8;a4?845;10:<i52231;>46e3489?54>0`9>675?28;970<=398255=::;936<>i;<017=<68l16>?=7:27b?845;1089552231;>6303489?54<579>675>2>:01?<<9;52?845;008?i52231:>65b3489?44;e:?166?==916>?=6:5d8974413>370<=3886a>;5::31:=52231:>0`<5;8857;7;<017<<en279>>75be9>675>2kh01?<<9;`b?845;00i563=22;9f==::;926o94=300=?d13489?44m5:?166?=j=16>?=6:c18974413h970<=388a4>;5::31mk52231:>dc<5;8857ok;<017<<fk279>>75ac9>675>2hk01?<<9;c:?845;00j463=22;9e2=::;926n84=300=?e23489?44j0:?166?=ll16>?=6:ea8974413ni70<=388ge>;5::31h452231:>a><5;8857j8;<017<<c>279>>75d49>675>2m>01?<<9;f0?845;00o=63=22;9`5=::;926nh4=300=?eb3489?44ld:?166?=kj16>?=6:b`8974413ij70<=388`=>;5::31o552231:>`1<5;8857k9;<017<<b=279>>75e59>675>2l901?<<9;g1?845;00n=63=22;9`a=::;926i<4=300=?e03489?44>0e9>675>28:i70<=38824d=::;926<?=;<017<<69916>?=6:02e?845;00:<h52231:>63f3489?44<599>675>2:?<70<=388013=::;9j6:>4=300e?163489?l4<3e9>675f2:9n70<=3`87a>;5::k19=52231b>1`<5;88m7:7;<017d<2m279>>o5619>675f2<l01?<<a;7;?845;h0ij63=22c9fa=::;9j6ol4=300e?df3489?l4m9:?166g=j116>?=n:c589744i3h=70<=3`8a1>;5::k1n952231b>g5<5;88m7l=;<017d<e8279>>o5ag9>675f2ho01?<<a;cg?845;h0jo63=22c9eg=::;9j6lo4=300e?g>3489?l4n8:?166g=i>16>?=n:b489744i3i>70<=3`8f4>;5::k1hh52231b>ae<5;88m7jm;<017d<ci279>>o5d89>675f2m201?<<a;f4?845;h0o:63=22c9`0=::;9j6i:4=300e?b43489?l4k1:?166g=l916>?=n:bd89744i3in70<=3`8``>;5::k1on52231b>fd<5;88m7mn;<017d<d1279>>o5c99>675f2l=01?<<a;g5?845;h0n963=22c9a1=::;9j6h=4=300e?c53489?l4j1:?166g=lm16>?=n:e089744i3i<70<=3`824a=::;9j6<>m;<017d<68h16>?=n:031?845;h0:==52231b>46a3489?l4>0d9>675f2:?j70<=3`801==::;9j6>;8;<017d<4=?16>?=m:6289744j3=:70<=3c807a=::;9i6>=j;<017g<3m279>>l5519>675e2=l01?<<b;6;?845;k0>i63=22`925=::;9i68h4=300f?3?3489?o4mf:?166d=jm16>?=m:c`89744j3hj70<=3c8a=>;5::h1n552231a>g1<5;88n7l9;<017g<e=279>>l5b59>675e2k901?<<b;`1?845;k0i<63=22`9ec=::;9i6lk4=300f?gc3489?o4nc:?166d=ik16>?=m:`c89744j3k270<=3c8b<>;5::h1m:52231a>f0<5;88n7m:;<017g<b8279>>l5dd9>675e2mi01?<<b;fa?845;k0om63=22`9`<=::;9i6i64=300f?b03489?o4k6:?166d=l<16>?=m:e689744j3n870<=3c8g5>;5::h1h=52231a>f`<5;88n7mj;<017g<dl279>>l5cb9>675e2jh01?<<b;ab?845;k0h563=22`9g==::;9i6h94=300f?c13489?o4j5:?166d=m=16>?=m:d189744j3o970<=3c8f5>;5::h1hi52231a>a4<5;88n7m8;<017g<68m16>?=m:02a?845;k0:<l52231a>4753489?o4>119>675e28:m70<=3c824`=::;9i6>;n;<017g<4=116>?=m:274?845;k089;52200f>26<5;;9i79>;<026`<4;m16><<j:21f?846:l0?i63=13g915=::88n69h4=331a?2?348:>h4:e:?157c=>916><<j:4d89775m3?370<>2d8ab>;59;o1ni52200f>gd<5;;9i7ln;<026`<e1279=?k5b99>644b2k=01??=e;`5?846:l0i963=13g9f1=::88n6o=4=331a?d5348:>h4m0:?157c=io16><<j:`g89775m3ko70<>2d8bg>;59;o1mo52200f>dg<5;;9i7o6;<026`<f0279=?k5a69>644b2j<01??=e;a6?846:l0n<63=13g9``=::88n6im4=331a?be348:>h4ka:?157c=l016><<j:e:89775m3n<70<>2d8g2>;59;o1h852200f>a2<5;;9i7j<;<026`<c9279=?k5d19>644b2jl01??=e;af?846:l0hh63=13g9gf=::88n6nl4=331a?ef348:>h4l9:?157c=k116><<j:d589775m3o=70<>2d8f1>;59;o1i952200f>`5<5;;9i7k=;<026`<b9279=?k5de9>644b2m801??=e;a4?846:l0:<i52200f>46e348:>h4>0`9>644b28;970<>2d8255=::88n6<>i;<026`<68l16><<j:27b?846:l089552200f>630348:>h4<579>644a2>:01??=f;52?846:o08?i52200e>65b348:>k4;e:?157`==916><<i:5d89775n3>370<>2g86a>;59;l1:=52200e>0`<5;;9j7;7;<026c<en279=?h5be9>644a2kh01??=f;`b?846:o0i563=13d9f==::88m6o94=331b?d1348:>k4m5:?157`=j=16><<i:c189775n3h970<>2g8a4>;59;l1mk52200e>dc<5;;9j7ok;<026c<fk279=?h5ac9>644a2hk01??=f;c:?846:o0j463=13d9e2=::88m6n84=331b?e2348:>k4j0:?157`=ll16><<i:ea89775n3ni70<>2g8ge>;59;l1h452200e>a><5;;9j7j8;<026c<c>279=?h5d49>644a2m>01??=f;f0?846:o0o=63=13d9`5=::88m6nh4=331b?eb348:>k4ld:?157`=kj16><<i:b`89775n3ij70<>2g8`=>;59;l1o552200e>`1<5;;9j7k9;<026c<b=279=?h5e59>644a2l901??=f;g1?846:o0n=63=13d9`a=::88m6i<4=331b?e0348:>k4>0e9>644a28:i70<>2g824d=::88m6<?=;<026c<69916><<i:02e?846:o0:<h52200e>63f348:>k4<599>644a2:?<70<>2g8013=::89;6:>4=3304?16348:?=4<3e9>64572:9n70<>3187a>;59::19=522013>1`<5;;8<7:7;<0275<2m279=>>5619>64572<l01??<0;7;?846;90ij63=1229fa=::89;6ol4=3304?df348:?=4m9:?1566=j116><=?:c58977483h=70<>318a1>;59::1n9522013>g5<5;;8<7l=;<0275<e8279=>>5ag9>64572ho01??<0;cg?846;90jo63=1229eg=::89;6lo4=3304?g>348:?=4n8:?1566=i>16><=?:b48977483i>70<>318f4>;59::1hh522013>ae<5;;8<7jm;<0275<ci279=>>5d89>64572m201??<0;f4?846;90o:63=1229`0=::89;6i:4=3304?b4348:?=4k1:?1566=l916><=?:bd8977483in70<>318``>;59::1on522013>fd<5;;8<7mn;<0275<d1279=>>5c99>64572l=01??<0;g5?846;90n963=1229a1=::89;6h=4=3304?c5348:?=4j1:?1566=lm16><=?:e08977483i<70<>31824a=::89;6<>m;<0275<68h16><=?:031?846;90:==522013>46a348:?=4>0d9>64572:?j70<>31801==::89;6>;8;<0275<4=?16><=>:628977493=:70<>30807a=::89:6>=j;<0274<3m279=>?5519>64562=l01??<1;6;?846;80>i63=123925=::89:68h4=3305?3?348:?<4mf:?1567=jm16><=>:c`8977493hj70<>308a=>;59:;1n5522012>g1<5;;8=7l9;<0274<e=279=>?5b59>64562k901??<1;`1?846;80i<63=1239ec=::89:6lk4=3305?gc348:?<4nc:?1567=ik16><=>:`c8977493k270<>308b<>;59:;1m:522012>f0<5;;8=7m:;<0274<b8279=>?5dd9>64562mi01??<1;fa?846;80om63=1239`<=::89:6i64=3305?b0348:?<4k6:?1567=l<16><=>:e68977493n870<>308g5>;59:;1h=522012>f`<5;;8=7mj;<0274<dl279=>?5cb9>64562jh01??<1;ab?846;80h563=1239g==::89:6h94=3305?c1348:?<4j5:?1567=m=16><=>:d18977493o970<>308f5>;59:;1hi522012>a4<5;;8=7m8;<0274<68m16><=>:02a?846;80:<l522012>475348:?<4>119>645628:m70<>30824`=::89:6>;n;<0274<4=116><=>:274?846;8089;522011>26<5;;8>79>;<0277<4;m16><==:21f?846;;0?i63=120915=::89969h4=3306?2?348:??4:e:?1564=>916><==:4d89774:3?370<>338ab>;59:81ni522011>gd<5;;8>7ln;<0277<e1279=><5b99>64552k=01??<2;`5?846;;0i963=1209f1=::8996o=4=3306?d5348:??4m0:?1564=io16><==:`g89774:3ko70<>338bg>;59:81mo522011>dg<5;;8>7o6;<0277<f0279=><5a69>64552j<01??<2;a6?846;;0n<63=1209``=::8996im4=3306?be348:??4ka:?1564=l016><==:e:89774:3n<70<>338g2>;59:81h8522011>a2<5;;8>7j<;<0277<c9279=><5d19>64552jl01??<2;af?846;;0hh63=1209gf=::8996nl4=3306?ef348:??4l9:?1564=k116><==:d589774:3o=70<>338f1>;59:81i9522011>`5<5;;8>7k=;<0277<b9279=><5de9>64552m801??<2;a4?846;;0:<i522011>46e348:??4>0`9>645528;970<>338255=::8996<>i;<0277<68l16><==:27b?846;;0895522011>630348:??4<579>64542>:01??<3;52?846;:08?i522010>65b348:?>4;e:?1565==916><=<:5d89774;3>370<>3286a>;59:91:=522010>0`<5;;8?7;7;<0276<en279=>=5be9>64542kh01??<3;`b?846;:0i563=1219f==::8986o94=3307?d1348:?>4m5:?1565=j=16><=<:c189774;3h970<>328a4>;59:91mk522010>dc<5;;8?7ok;<0276<fk279=>=5ac9>64542hk01??<3;c:?846;:0j463=1219e2=::8986n84=3307?e2348:?>4j0:?1565=ll16><=<:ea89774;3ni70<>328ge>;59:91h4522010>a><5;;8?7j8;<0276<c>279=>=5d49>64542m>01??<3;f0?846;:0o=63=1219`5=::8986nh4=3307?eb348:?>4ld:?1565=kj16><=<:b`89774;3ij70<>328`=>;59:91o5522010>`1<5;;8?7k9;<0276<b=279=>=5e59>64542l901??<3;g1?846;:0n=63=1219`a=::8986i<4=3307?e0348:?>4>0e9>645428:i70<>32824d=::8986<?=;<0276<69916><=<:02e?846;:0:<h522010>63f348:?>4<599>64542:?<70<>328013=::89?6:>4=3300?16348:?94<3e9>64532:9n70<>3587a>;59:>19=522017>1`<5;;887:7;<0271<2m279=>:5619>64532<l01??<4;7;?846;=0ij63=1269fa=::89?6ol4=3300?df348:?94m9:?1562=j116><=;:c589774<3h=70<>358a1>;59:>1n9522017>g5<5;;887l=;<0271<e8279=>:5ag9>64532ho01??<4;cg?846;=0jo63=1269eg=::89?6lo4=3300?g>348:?94n8:?1562=i>16><=;:b489774<3i>70<>358f4>;59:>1hh522017>ae<5;;887jm;<0271<ci279=>:5d89>64532m201??<4;f4?846;=0o:63=1269`0=::89?6i:4=3300?b4348:?94k1:?1562=l916><=;:bd89774<3in70<>358``>;59:>1on522017>fd<5;;887mn;<0271<d1279=>:5c99>64532l=01??<4;g5?846;=0n963=1269a1=::89?6h=4=3300?c5348:?94j1:?1562=lm16><=;:e089774<3i<70<>35824a=::89?6<>m;<0271<68h16><=;:031?846;=0:==522017>46a348:?94>0d9>64532:?j70<>35801==::89?6>;8;<0271<4=?16><=::6289774=3=:70<>34807a=::89>6>=j;<0270<3m279=>;5519>64522=l01??<5;6;?846;<0>i63=127925=::89>68h4=3301?3?348:?84mf:?1563=jm16><=::c`89774=3hj70<>348a=>;59:?1n5522016>g1<5;;897l9;<0270<e=279=>;5b59>64522k901??<5;`1?846;<0i<63=1279ec=::89>6lk4=3301?gc348:?84nc:?1563=ik16><=::`c89774=3k270<>348b<>;59:?1m:522016>f0<5;;897m:;<0270<b8279=>;5dd9>64522mi01??<5;fa?846;<0om63=1279`<=::89>6i64=3301?b0348:?84k6:?1563=l<16><=::e689774=3n870<>348g5>;59:?1h=522016>f`<5;;897mj;<0270<dl279=>;5cb9>64522jh01??<5;ab?846;<0h563=1279g==::89>6h94=3301?c1348:?84j5:?1563=m=16><=::d189774=3o970<>348f5>;59:?1hi522016>a4<5;;897m8;<0270<68m16><=::02a?846;<0:<l522016>475348:?84>119>645228:m70<>34824`=::89>6>;n;<0270<4=116><=::274?846;<089;522015>26<5;;8:79>;<0273<4;m16><=9:21f?846;?0?i63=124915=::89=69h4=3302?2?348:?;4:e:?1560=>916><=9:4d89774>3?370<>378ab>;59:<1ni522015>gd<5;;8:7ln;<0273<e1279=>85b99>64512k=01??<6;`5?846;?0i963=1249f1=::89=6o=4=3302?d5348:?;4m0:?1560=io16><=9:`g89774>3ko70<>378bg>;59:<1mo522015>dg<5;;8:7o6;<0273<f0279=>85a69>64512j<01??<6;a6?846;?0n<63=1249``=::89=6im4=3302?be348:?;4ka:?1560=l016><=9:e:89774>3n<70<>378g2>;59:<1h8522015>a2<5;;8:7j<;<0273<c9279=>85d19>64512jl01??<6;af?846;?0hh63=1249gf=::89=6nl4=3302?ef348:?;4l9:?1560=k116><=9:d589774>3o=70<>378f1>;59:<1i9522015>`5<5;;8:7k=;<0273<b9279=>85de9>64512m801??<6;a4?846;?0:<i522015>46e348:?;4>0`9>645128;970<>378255=::89=6<>i;<0273<68l16><=9:27b?846;?0895522015>630348:?;4<579>64502>:01??<7;52?846;>08?i522014>65b348:?:4;e:?1561==916><=8:5d89774?3>370<>3686a>;59:=1:=522014>0`<5;;8;7;7;<0272<en279=>95be9>64502kh01??<7;`b?846;>0i563=1259f==::89<6o94=3303?d1348:?:4m5:?1561=j=16><=8:c189774?3h970<>368a4>;59:=1mk522014>dc<5;;8;7ok;<0272<fk279=>95ac9>64502hk01??<7;c:?846;>0j463=1259e2=::89<6n84=3303?e2348:?:4j0:?1561=ll16><=8:ea89774?3ni70<>368ge>;59:=1h4522014>a><5;;8;7j8;<0272<c>279=>95d49>64502m>01??<7;f0?846;>0o=63=1259`5=::89<6nh4=3303?eb348:?:4ld:?1561=kj16><=8:b`89774?3ij70<>368`=>;59:=1o5522014>`1<5;;8;7k9;<0272<b=279=>95e59>64502l901??<7;g1?846;>0n=63=1259`a=::89<6i<4=3303?e0348:?:4>0e9>645028:i70<>36824d=::89<6<?=;<0272<69916><=8:02e?846;>0:<h522014>63f348:?:4<599>64502:?<70<>368013=::8926:>4=330=?16348:?44<3e9>645>2:9n70<>3887a>;59:319=52201:>1`<5;;857:7;<027<<2m279=>75619>645>2<l01??<9;7;?846;00ij63=12;9fa=::8926ol4=330=?df348:?44m9:?156?=j116><=6:c58977413h=70<>388a1>;59:31n952201:>g5<5;;857l=;<027<<e8279=>75ag9>645>2ho01??<9;cg?846;00jo63=12;9eg=::8926lo4=330=?g>348:?44n8:?156?=i>16><=6:b48977413i>70<>388f4>;59:31hh52201:>ae<5;;857jm;<027<<ci279=>75d89>645>2m201??<9;f4?846;00o:63=12;9`0=::8926i:4=330=?b4348:?44k1:?156?=l916><=6:bd8977413in70<>388``>;59:31on52201:>fd<5;;857mn;<027<<d1279=>75c99>645>2l=01??<9;g5?846;00n963=12;9a1=::8926h=4=330=?c5348:?44j1:?156?=lm16><=6:e08977413i<70<>38824a=::8926<>m;<027<<68h16><=6:031?846;00:==52201:>46a348:?44>0d9>645>2:?j70<>38801==::8926>;8;<027<<4=?16><=n:6289774i3=:70<>3`807a=::89j6>=j;<027d<3m279=>o5519>645f2=l01??<a;6;?846;h0>i63=12c925=::89j68h4=330e?3?348:?l4mf:?156g=jm16><=n:c`89774i3hj70<>3`8a=>;59:k1n552201b>g1<5;;8m7l9;<027d<e=279=>o5b59>645f2k901??<a;`1?846;h0i<63=12c9ec=::89j6lk4=330e?gc348:?l4nc:?156g=ik16><=n:`c89774i3k270<>3`8b<>;59:k1m:52201b>f0<5;;8m7m:;<027d<b8279=>o5dd9>645f2mi01??<a;fa?846;h0om63=12c9`<=::89j6i64=330e?b0348:?l4k6:?156g=l<16><=n:e689774i3n870<>3`8g5>;59:k1h=52201b>f`<5;;8m7mj;<027d<dl279=>o5cb9>645f2jh01??<a;ab?846;h0h563=12c9g==::89j6h94=330e?c1348:?l4j5:?156g=m=16><=n:d189774i3o970<>3`8f5>;59:k1hi52201b>a4<5;;8m7m8;<027d<68m16><=n:02a?846;h0:<l52201b>475348:?l4>119>645f28:m70<>3`824`=::89j6>;n;<027d<4=116><=n:274?846;h089;52201a>26<5;;8n79>;<027g<4;m16><=m:21f?846;k0?i63=12`915=::89i69h4=330f?2?348:?o4:e:?156d=>916><=m:4d89774j3?370<>3c8ab>;59:h1ni52201a>gd<5;;8n7ln;<027g<e1279=>l5b99>645e2k=01??<b;`5?846;k0i963=12`9f1=::89i6o=4=330f?d5348:?o4m0:?156d=io16><=m:`g89774j3ko70<>3c8bg>;59:h1mo52201a>dg<5;;8n7o6;<027g<f0279=>l5a69>645e2j<01??<b;a6?846;k0n<63=12`9``=::89i6im4=330f?be348:?o4ka:?156d=l016><=m:e:89774j3n<70<>3c8g2>;59:h1h852201a>a2<5;;8n7j<;<027g<c9279=>l5d19>645e2jl01??<b;af?846;k0hh63=12`9gf=::89i6nl4=330f?ef348:?o4l9:?156d=k116><=m:d589774j3o=70<>3c8f1>;59:h1i952201a>`5<5;;8n7k=;<027g<b9279=>l5de9>645e2m801??<b;a4?846;k0:<i52201a>46e348:?o4>0`9>645e28;970<>3c8255=::89i6<>i;<027g<68l16><=m:27b?846;k089552201a>630348:?o4<579>645d2>:01??<c;52?846;j08?i52201`>65b348:?n4;e:?156e==916><=l:5d89774k3>370<>3b86a>;59:i1:=52201`>0`<5;;8o7;7;<027f<en279=>m5be9>645d2kh01??<c;`b?846;j0i563=12a9f==::89h6o94=330g?d1348:?n4m5:?156e=j=16><=l:c189774k3h970<>3b8a4>;59:i1mk52201`>dc<5;;8o7ok;<027f<fk279=>m5ac9>645d2hk01??<c;c:?846;j0j463=12a9e2=::89h6n84=330g?e2348:?n4j0:?156e=ll16><=l:ea89774k3ni70<>3b8ge>;59:i1h452201`>a><5;;8o7j8;<027f<c>279=>m5d49>645d2m>01??<c;f0?846;j0o=63=12a9`5=::89h6nh4=330g?eb348:?n4ld:?156e=kj16><=l:b`89774k3ij70<>3b8`=>;59:i1o552201`>`1<5;;8o7k9;<027f<b=279=>m5e59>645d2l901??<c;g1?846;j0n=63=12a9`a=::89h6i<4=330g?e0348:?n4>0e9>645d28:i70<>3b824d=::89h6<?=;<027f<69916><=l:02e?846;j0:<h52201`>63f348:?n4<599>645d2:?<70<>3b8013=::89o6:>4=330`?16348:?i4<3e9>645c2:9n70<>3e87a>;59:n19=52201g>1`<5;;8h7:7;<027a<2m279=>j5619>645c2<l01??<d;7;?846;m0ij63=12f9fa=::89o6ol4=330`?df348:?i4m9:?156b=j116><=k:c589774l3h=70<>3e8a1>;59:n1n952201g>g5<5;;8h7l=;<027a<e8279=>j5ag9>645c2ho01??<d;cg?846;m0jo63=12f9eg=::89o6lo4=330`?g>348:?i4n8:?156b=i>16><=k:b489774l3i>70<>3e8f4>;59:n1hh52201g>ae<5;;8h7jm;<027a<ci279=>j5d89>645c2m201??<d;f4?846;m0o:63=12f9`0=::89o6i:4=330`?b4348:?i4k1:?156b=l916><=k:bd89774l3in70<>3e8``>;59:n1on52201g>fd<5;;8h7mn;<027a<d1279=>j5c99>645c2l=01??<d;g5?846;m0n963=12f9a1=::89o6h=4=330`?c5348:?i4j1:?156b=lm16><=k:e089774l3i<70<>3e824a=::89o6<>m;<027a<68h16><=k:031?846;m0:==52201g>46a348:?i4>0d9>645c2:?j70<>3e801==::89o6>;8;<027a<4=?16><=j:6289774m3=:70<>3d807a=::89n6>=j;<027`<3m279=>k5519>645b2=l01??<e;6;?846;l0>i63=12g925=::89n68h4=330a?3?348:?h4mf:?156c=jm16><=j:c`89774m3hj70<>3d8a=>;59:o1n552201f>g1<5;;8i7l9;<027`<e=279=>k5b59>645b2k901??<e;`1?846;l0i<63=12g9ec=::89n6lk4=330a?gc348:?h4nc:?156c=ik16><=j:`c89774m3k270<>3d8b<>;59:o1m:52201f>f0<5;;8i7m:;<027`<b8279=>k5dd9>645b2mi01??<e;fa?846;l0om63=12g9`<=::89n6i64=330a?b0348:?h4k6:?156c=l<16><=j:e689774m3n870<>3d8g5>;59:o1h=52201f>f`<5;;8i7mj;<027`<dl279=>k5cb9>645b2jh01??<e;ab?846;l0h563=12g9g==::89n6h94=330a?c1348:?h4j5:?156c=m=16><=j:d189774m3o970<>3d8f5>;59:o1hi52201f>a4<5;;8i7m8;<027`<68m16><=j:02a?846;l0:<l52201f>475348:?h4>119>645b28:m70<>3d824`=::89n6>;n;<027`<4=116><=j:274?846;l089;52201e>26<5;;8j79>;<027c<4;m16><=i:21f?846;o0?i63=12d915=::89m69h4=330b?2?348:?k4:e:?156`=>916><=i:4d89774n3?370<>3g8ab>;59:l1ni52201e>gd<5;;8j7ln;<027c<e1279=>h5b99>645a2k=01??<f;`5?846;o0i963=12d9f1=::89m6o=4=330b?d5348:?k4m0:?156`=io16><=i:`g89774n3ko70<>3g8bg>;59:l1mo52201e>dg<5;;8j7o6;<027c<f0279=>h5a69>645a2j<01??<f;a6?846;o0n<63=12d9``=::89m6im4=330b?be348:?k4ka:?156`=l016><=i:e:89774n3n<70<>3g8g2>;59:l1h852201e>a2<5;;8j7j<;<027c<c9279=>h5d19>645a2jl01??<f;af?846;o0hh63=12d9gf=::89m6nl4=330b?ef348:?k4l9:?156`=k116><=i:d589774n3o=70<>3g8f1>;59:l1i952201e>`5<5;;8j7k=;<027c<b9279=>h5de9>645a2m801??<f;a4?846;o0:<i52201e>46e348:?k4>0`9>645a28;970<>3g8255=::89m6<>i;<027c<68l16><=i:27b?846;o089552201e>630348:?k4<579>64272>:01??;0;52?846<908?i522063>65b348:8=4;e:?1516==916><:?:5d8977383>370<>4186a>;59=:1:=522063>0`<5;;?<7;7;<0205<en279=9>5be9>64272kh01??;0;`b?846<90i563=1529f==::8>;6o94=3374?d1348:8=4m5:?1516=j=16><:?:c18977383h970<>418a4>;59=:1mk522063>dc<5;;?<7ok;<0205<fk279=9>5ac9>64272hk01??;0;c:?846<90j463=1529e2=::8>;6n84=3374?e2348:8=4j0:?1516=ll16><:?:ea8977383ni70<>418ge>;59=:1h4522063>a><5;;?<7j8;<0205<c>279=9>5d49>64272m>01??;0;f0?846<90o=63=1529`5=::8>;6nh4=3374?eb348:8=4ld:?1516=kj16><:?:b`8977383ij70<>418`=>;59=:1o5522063>`1<5;;?<7k9;<0205<b=279=9>5e59>64272l901??;0;g1?846<90n=63=1529`a=::8>;6i<4=3374?e0348:8=4>0e9>642728:i70<>41824d=::8>;6<?=;<0205<69916><:?:02e?846<90:<h522063>63f348:8=4<599>64272:?<70<>418013=::8>:6:>4=3375?16348:8<4<3e9>64262:9n70<>4087a>;59=;19=522062>1`<5;;?=7:7;<0204<2m279=9?5619>64262<l01??;1;7;?846<80ij63=1539fa=::8>:6ol4=3375?df348:8<4m9:?1517=j116><:>:c58977393h=70<>408a1>;59=;1n9522062>g5<5;;?=7l=;<0204<e8279=9?5ag9>64262ho01??;1;cg?846<80jo63=1539eg=::8>:6lo4=3375?g>348:8<4n8:?1517=i>16><:>:b48977393i>70<>408f4>;59=;1hh522062>ae<5;;?=7jm;<0204<ci279=9?5d89>64262m201??;1;f4?846<80o:63=1539`0=::8>:6i:4=3375?b4348:8<4k1:?1517=l916><:>:bd8977393in70<>408``>;59=;1on522062>fd<5;;?=7mn;<0204<d1279=9?5c99>64262l=01??;1;g5?846<80n963=1539a1=::8>:6h=4=3375?c5348:8<4j1:?1517=lm16><:>:e08977393i<70<>40824a=::8>:6<>m;<0204<68h16><:>:031?846<80:==522062>46a348:8<4>0d9>64262:?j70<>40801==::8>:6>;8;<0204<4=?16><:=:6289773:3=:70<>43807a=::8>96>=j;<0207<3m279=9<5519>64252=l01??;2;6;?846<;0>i63=150925=::8>968h4=3376?3?348:8?4mf:?1514=jm16><:=:c`89773:3hj70<>438a=>;59=81n5522061>g1<5;;?>7l9;<0207<e=279=9<5b59>64252k901??;2;`1?846<;0i<63=1509ec=::8>96lk4=3376?gc348:8?4nc:?1514=ik16><:=:`c89773:3k270<>438b<>;59=81m:522061>f0<5;;?>7m:;<0207<b8279=9<5dd9>64252mi01??;2;fa?846<;0om63=1509`<=::8>96i64=3376?b0348:8?4k6:?1514=l<16><:=:e689773:3n870<>438g5>;59=81h=522061>f`<5;;?>7mj;<0207<dl279=9<5cb9>64252jh01??;2;ab?846<;0h563=1509g==::8>96h94=3376?c1348:8?4j5:?1514=m=16><:=:d189773:3o970<>438f5>;59=81hi522061>a4<5;;?>7m8;<0207<68m16><:=:02a?846<;0:<l522061>475348:8?4>119>642528:m70<>43824`=::8>96>;n;<0207<4=116><:=:274?846<;089;522067>26<5;;?879>;<0201<4;m16><:;:21f?846<=0?i63=156915=::8>?69h4=3370?2?348:894:e:?1512=>916><:;:4d89773<3?370<>458ab>;59=>1ni522067>gd<5;;?87ln;<0201<e1279=9:5b99>64232k=01??;4;`5?846<=0i963=1569f1=::8>?6o=4=3370?d5348:894m0:?1512=io16><:;:`g89773<3ko70<>458bg>;59=>1mo522067>dg<5;;?87o6;<0201<f0279=9:5a69>64232j<01??;4;a6?846<=0n<63=1569``=::8>?6im4=3370?be348:894ka:?1512=l016><:;:e:89773<3n<70<>458g2>;59=>1h8522067>a2<5;;?87j<;<0201<c9279=9:5d19>64232jl01??;4;af?846<=0hh63=1569gf=::8>?6nl4=3370?ef348:894l9:?1512=k116><:;:d589773<3o=70<>458f1>;59=>1i9522067>`5<5;;?87k=;<0201<b9279=9:5de9>64232m801??;4;a4?846<=0:<i522067>46e348:894>0`9>642328;970<>458255=::8>?6<>i;<0201<68l16><:;:27b?846<=0895522067>630348:894<579>64222>:01??;5;52?846<<08?i522066>65b348:884;e:?1513==916><:::5d89773=3>370<>4486a>;59=?1:=522066>0`<5;;?97;7;<0200<en279=9;5be9>64222kh01??;5;`b?846<<0i563=1579f==::8>>6o94=3371?d1348:884m5:?1513=j=16><:::c189773=3h970<>448a4>;59=?1mk522066>dc<5;;?97ok;<0200<fk279=9;5ac9>64222hk01??;5;c:?846<<0j463=1579e2=::8>>6n84=3371?e2348:884j0:?1513=ll16><:::ea89773=3ni70<>448ge>;59=?1h4522066>a><5;;?97j8;<0200<c>279=9;5d49>64222m>01??;5;f0?846<<0o=63=1579`5=::8>>6nh4=3371?eb348:884ld:?1513=kj16><:::b`89773=3ij70<>448`=>;59=?1o5522066>`1<5;;?97k9;<0200<b=279=9;5e59>64222l901??;5;g1?846<<0n=63=1579`a=::8>>6i<4=3371?e0348:884>0e9>642228:i70<>44824d=::8>>6<?=;<0200<69916><:::02e?846<<0:<h522066>63f348:884<599>64222:?<70<>448013=::8>=6:>4=3372?16348:8;4<3e9>64212:9n70<>4787a>;59=<19=522065>1`<5;;?:7:7;<0203<2m279=985619>64212<l01??;6;7;?846<?0ij63=1549fa=::8>=6ol4=3372?df348:8;4m9:?1510=j116><:9:c589773>3h=70<>478a1>;59=<1n9522065>g5<5;;?:7l=;<0203<e8279=985ag9>64212ho01??;6;cg?846<?0jo63=1549eg=::8>=6lo4=3372?g>348:8;4n8:?1510=i>16><:9:b489773>3i>70<>478f4>;59=<1hh522065>ae<5;;?:7jm;<0203<ci279=985d89>64212m201??;6;f4?846<?0o:63=1549`0=::8>=6i:4=3372?b4348:8;4k1:?1510=l916><:9:bd89773>3in70<>478``>;59=<1on522065>fd<5;;?:7mn;<0203<d1279=985c99>64212l=01??;6;g5?846<?0n963=1549a1=::8>=6h=4=3372?c5348:8;4j1:?1510=lm16><:9:e089773>3i<70<>47824a=::8>=6<>m;<0203<68h16><:9:031?846<?0:==522065>46a348:8;4>0d9>64212:?j70<>47801==::8>=6>;8;<0203<4=?16><:8:6289773?3=:70<>46807a=::8><6>=j;<0202<3m279=995519>64202=l01??;7;6;?846<>0>i63=155925=::8><68h4=3373?3?348:8:4mf:?1511=jm16><:8:c`89773?3hj70<>468a=>;59==1n5522064>g1<5;;?;7l9;<0202<e=279=995b59>64202k901??;7;`1?846<>0i<63=1559ec=::8><6lk4=3373?gc348:8:4nc:?1511=ik16><:8:`c89773?3k270<>468b<>;59==1m:522064>f0<5;;?;7m:;<0202<b8279=995dd9>64202mi01??;7;fa?846<>0om63=1559`<=::8><6i64=3373?b0348:8:4k6:?1511=l<16><:8:e689773?3n870<>468g5>;59==1h=522064>f`<5;;?;7mj;<0202<dl279=995cb9>64202jh01??;7;ab?846<>0h563=1559g==::8><6h94=3373?c1348:8:4j5:?1511=m=16><:8:d189773?3o970<>468f5>;59==1hi522064>a4<5;;?;7m8;<0202<68m16><:8:02a?846<>0:<l522064>475348:8:4>119>642028:m70<>46824`=::8><6>;n;<0202<4=116><:8:274?846<>089;52206;>26<5;;?479>;<020=<4;m16><:7:21f?846<10?i63=15:915=::8>369h4=337<?2?348:854:e:?151>=>916><:7:4d8977303?370<>498ab>;59=21ni52206;>gd<5;;?47ln;<020=<e1279=965b99>642?2k=01??;8;`5?846<10i963=15:9f1=::8>36o=4=337<?d5348:854m0:?151>=io16><:7:`g8977303ko70<>498bg>;59=21mo52206;>dg<5;;?47o6;<020=<f0279=965a69>642?2j<01??;8;a6?846<10n<63=15:9``=::8>36im4=337<?be348:854ka:?151>=l016><:7:e:8977303n<70<>498g2>;59=21h852206;>a2<5;;?47j<;<020=<c9279=965d19>642?2jl01??;8;af?846<10hh63=15:9gf=::8>36nl4=337<?ef348:854l9:?151>=k116><:7:d58977303o=70<>498f1>;59=21i952206;>`5<5;;?47k=;<020=<b9279=965de9>642?2m801??;8;a4?846<10:<i52206;>46e348:854>0`9>642?28;970<>498255=::8>36<>i;<020=<68l16><:7:27b?846<1089552206;>630348:854<579>642>2>:01??;9;52?846<008?i52206:>65b348:844;e:?151?==916><:6:5d8977313>370<>4886a>;59=31:=52206:>0`<5;;?57;7;<020<<en279=975be9>642>2kh01??;9;`b?846<00i563=15;9f==::8>26o94=337=?d1348:844m5:?151?=j=16><:6:c18977313h970<>488a4>;59=31mk52206:>dc<5;;?57ok;<020<<fk279=975ac9>642>2hk01??;9;c:?846<00j463=15;9e2=::8>26n84=337=?e2348:844j0:?151?=ll16><:6:ea8977313ni70<>488ge>;59=31h452206:>a><5;;?57j8;<020<<c>279=975d49>642>2m>01??;9;f0?846<00o=63=15;9`5=::8>26nh4=337=?eb348:844ld:?151?=kj16><:6:b`8977313ij70<>488`=>;59=31o552206:>`1<5;;?57k9;<020<<b=279=975e59>642>2l901??;9;g1?846<00n=63=15;9`a=::8>26i<4=337=?e0348:844>0e9>642>28:i70<>48824d=::8>26<?=;<020<<69916><:6:02e?846<00:<h52206:>63f348:844<599>642>2:?<70<>488013=::8>j6:>4=337e?16348:8l4<3e9>642f2:9n70<>4`87a>;59=k19=52206b>1`<5;;?m7:7;<020d<2m279=9o5619>642f2<l01??;a;7;?846<h0ij63=15c9fa=::8>j6ol4=337e?df348:8l4m9:?151g=j116><:n:c589773i3h=70<>4`8a1>;59=k1n952206b>g5<5;;?m7l=;<020d<e8279=9o5ag9>642f2ho01??;a;cg?846<h0jo63=15c9eg=::8>j6lo4=337e?g>348:8l4n8:?151g=i>16><:n:b489773i3i>70<>4`8f4>;59=k1hh52206b>ae<5;;?m7jm;<020d<ci279=9o5d89>642f2m201??;a;f4?846<h0o:63=15c9`0=::8>j6i:4=337e?b4348:8l4k1:?151g=l916><:n:bd89773i3in70<>4`8``>;59=k1on52206b>fd<5;;?m7mn;<020d<d1279=9o5c99>642f2l=01??;a;g5?846<h0n963=15c9a1=::8>j6h=4=337e?c5348:8l4j1:?151g=lm16><:n:e089773i3i<70<>4`824a=::8>j6<>m;<020d<68h16><:n:031?846<h0:==52206b>46a348:8l4>0d9>642f2:?j70<>4`801==::8>j6>;8;<020d<4=?16><:m:6289773j3=:70<>4c807a=::8>i6>=j;<020g<3m279=9l5519>642e2=l01??;b;6;?846<k0>i63=15`925=::8>i68h4=337f?3?348:8o4mf:?151d=jm16><:m:c`89773j3hj70<>4c8a=>;59=h1n552206a>g1<5;;?n7l9;<020g<e=279=9l5b59>642e2k901??;b;`1?846<k0i<63=15`9ec=::8>i6lk4=337f?gc348:8o4nc:?151d=ik16><:m:`c89773j3k270<>4c8b<>;59=h1m:52206a>f0<5;;?n7m:;<020g<b8279=9l5dd9>642e2mi01??;b;fa?846<k0om63=15`9`<=::8>i6i64=337f?b0348:8o4k6:?151d=l<16><:m:e689773j3n870<>4c8g5>;59=h1h=52206a>f`<5;;?n7mj;<020g<dl279=9l5cb9>642e2jh01??;b;ab?846<k0h563=15`9g==::8>i6h94=337f?c1348:8o4j5:?151d=m=16><:m:d189773j3o970<>4c8f5>;59=h1hi52206a>a4<5;;?n7m8;<020g<68m16><:m:02a?846<k0:<l52206a>475348:8o4>119>642e28:m70<>4c824`=::8>i6>;n;<020g<4=116><:m:274?846<k089;52206`>26<5;;?o79>;<020f<4;m16><:l:21f?846<j0?i63=15a915=::8>h69h4=337g?2?348:8n4:e:?151e=>916><:l:4d89773k3?370<>4b8ab>;59=i1ni52206`>gd<5;;?o7ln;<020f<e1279=9m5b99>642d2k=01??;c;`5?846<j0i963=15a9f1=::8>h6o=4=337g?d5348:8n4m0:?151e=io16><:l:`g89773k3ko70<>4b8bg>;59=i1mo52206`>dg<5;;?o7o6;<020f<f0279=9m5a69>642d2j<01??;c;a6?846<j0n<63=15a9``=::8>h6im4=337g?be348:8n4ka:?151e=l016><:l:e:89773k3n<70<>4b8g2>;59=i1h852206`>a2<5;;?o7j<;<020f<c9279=9m5d19>642d2jl01??;c;af?846<j0hh63=15a9gf=::8>h6nl4=337g?ef348:8n4l9:?151e=k116><:l:d589773k3o=70<>4b8f1>;59=i1i952206`>`5<5;;?o7k=;<020f<b9279=9m5de9>642d2m801??;c;a4?846<j0:<i52206`>46e348:8n4>0`9>642d28;970<>4b8255=::8>h6<>i;<020f<68l16><:l:27b?846<j089552206`>630348:8n4<579>642c2>:01??;d;52?846<m08?i52206g>65b348:8i4;e:?151b==916><:k:5d89773l3>370<>4e86a>;59=n1:=52206g>0`<5;;?h7;7;<020a<en279=9j5be9>642c2kh01??;d;`b?846<m0i563=15f9f==::8>o6o94=337`?d1348:8i4m5:?151b=j=16><:k:c189773l3h970<>4e8a4>;59=n1mk52206g>dc<5;;?h7ok;<020a<fk279=9j5ac9>642c2hk01??;d;c:?846<m0j463=15f9e2=::8>o6n84=337`?e2348:8i4j0:?151b=ll16><:k:ea89773l3ni70<>4e8ge>;59=n1h452206g>a><5;;?h7j8;<020a<c>279=9j5d49>642c2m>01??;d;f0?846<m0o=63=15f9`5=::8>o6nh4=337`?eb348:8i4ld:?151b=kj16><:k:b`89773l3ij70<>4e8`=>;59=n1o552206g>`1<5;;?h7k9;<020a<b=279=9j5e59>642c2l901??;d;g1?846<m0n=63=15f9`a=::8>o6i<4=337`?e0348:8i4>0e9>642c28:i70<>4e824d=::8>o6<?=;<020a<69916><:k:02e?846<m0:<h52206g>63f348:8i4<599>642c2:?<70<>4e8013=::8>m6:>4=337b?16348:8k4<3e9>642a2:9n70<>4g87a>;59=l19=52206e>1`<5;;?j7:7;<020c<2m279=9h5619>642a2<l01??;f;7;?846<o0ij63=15d9fa=::8>m6ol4=337b?df348:8k4m9:?151`=j116><:i:c589773n3h=70<>4g8a1>;59=l1n952206e>g5<5;;?j7l=;<020c<e8279=9h5ag9>642a2ho01??;f;cg?846<o0jo63=15d9eg=::8>m6lo4=337b?g>348:8k4n8:?151`=i>16><:i:b489773n3i>70<>4g8f4>;59=l1hh52206e>ae<5;;?j7jm;<020c<ci279=9h5d89>642a2m201??;f;f4?846<o0o:63=15d9`0=::8>m6i:4=337b?b4348:8k4k1:?151`=l916><:i:bd89773n3in70<>4g8``>;59=l1on52206e>fd<5;;?j7mn;<020c<d1279=9h5c99>642a2l=01??;f;g5?846<o0n963=15d9a1=::8>m6h=4=337b?c5348:8k4j1:?151`=lm16><:i:e089773n3i<70<>4g824a=::8>m6<>m;<020c<68h16><:i:031?846<o0:==52206e>46a348:8k4>0d9>642a2:?j70<>4g801==::8>m6>;8;<020c<4=?16><;?:628977283=:70<>51807a=::8?;6>=j;<0215<3m279=8>5519>64372=l01??:0;6;?846=90>i63=142925=::8?;68h4=3364?3?348:9=4mf:?1506=jm16><;?:c`8977283hj70<>518a=>;59<:1n5522073>g1<5;;><7l9;<0215<e=279=8>5b59>64372k901??:0;`1?846=90i<63=1429ec=::8?;6lk4=3364?gc348:9=4nc:?1506=ik16><;?:`c8977283k270<>518b<>;59<:1m:522073>f0<5;;><7m:;<0215<b8279=8>5dd9>64372mi01??:0;fa?846=90om63=1429`<=::8?;6i64=3364?b0348:9=4k6:?1506=l<16><;?:e68977283n870<>518g5>;59<:1h=522073>f`<5;;><7mj;<0215<dl279=8>5cb9>64372jh01??:0;ab?846=90h563=1429g==::8?;6h94=3364?c1348:9=4j5:?1506=m=16><;?:d18977283o970<>518f5>;59<:1hi522073>a4<5;;><7m8;<0215<68m16><;?:02a?846=90:<l522073>475348:9=4>119>643728:m70<>51824`=::8?;6>;n;<0215<4=116><;?:274?846=9089;522072>26<5;;>=79>;<0214<4;m16><;>:21f?846=80?i63=143915=::8?:69h4=3365?2?348:9<4:e:?1507=>916><;>:4d8977293?370<>508ab>;59<;1ni522072>gd<5;;>=7ln;<0214<e1279=8?5b99>64362k=01??:1;`5?846=80i963=1439f1=::8?:6o=4=3365?d5348:9<4m0:?1507=io16><;>:`g8977293ko70<>508bg>;59<;1mo522072>dg<5;;>=7o6;<0214<f0279=8?5a69>64362j<01??:1;a6?846=80n<63=1439``=::8?:6im4=3365?be348:9<4ka:?1507=l016><;>:e:8977293n<70<>508g2>;59<;1h8522072>a2<5;;>=7j<;<0214<c9279=8?5d19>64362jl01??:1;af?846=80hh63=1439gf=::8?:6nl4=3365?ef348:9<4l9:?1507=k116><;>:d58977293o=70<>508f1>;59<;1i9522072>`5<5;;>=7k=;<0214<b9279=8?5de9>64362m801??:1;a4?846=80:<i522072>46e348:9<4>0`9>643628;970<>508255=::8?:6<>i;<0214<68l16><;>:27b?846=80895522072>630348:9<4<579>64352>:01??:2;52?846=;08?i522071>65b348:9?4;e:?1504==916><;=:5d89772:3>370<>5386a>;59<81:=522071>0`<5;;>>7;7;<0217<en279=8<5be9>64352kh01??:2;`b?846=;0i563=1409f==::8?96o94=3366?d1348:9?4m5:?1504=j=16><;=:c189772:3h970<>538a4>;59<81mk522071>dc<5;;>>7ok;<0217<fk279=8<5ac9>64352hk01??:2;c:?846=;0j463=1409e2=::8?96n84=3366?e2348:9?4j0:?1504=ll16><;=:ea89772:3ni70<>538ge>;59<81h4522071>a><5;;>>7j8;<0217<c>279=8<5d49>64352m>01??:2;f0?846=;0o=63=1409`5=::8?96nh4=3366?eb348:9?4ld:?1504=kj16><;=:b`89772:3ij70<>538`=>;59<81o5522071>`1<5;;>>7k9;<0217<b=279=8<5e59>64352l901??:2;g1?846=;0n=63=1409`a=::8?96i<4=3366?e0348:9?4>0e9>643528:i70<>53824d=::8?96<?=;<0217<69916><;=:02e?846=;0:<h522071>63f348:9?4<599>64352:?<70<>538013=::8?86:>4=3367?16348:9>4<3e9>64342:9n70<>5287a>;59<919=522070>1`<5;;>?7:7;<0216<2m279=8=5619>64342<l01??:3;7;?846=:0ij63=1419fa=::8?86ol4=3367?df348:9>4m9:?1505=j116><;<:c589772;3h=70<>528a1>;59<91n9522070>g5<5;;>?7l=;<0216<e8279=8=5ag9>64342ho01??:3;cg?846=:0jo63=1419eg=::8?86lo4=3367?g>348:9>4n8:?1505=i>16><;<:b489772;3i>70<>528f4>;59<91hh522070>ae<5;;>?7jm;<0216<ci279=8=5d89>64342m201??:3;f4?846=:0o:63=1419`0=::8?86i:4=3367?b4348:9>4k1:?1505=l916><;<:bd89772;3in70<>528``>;59<91on522070>fd<5;;>?7mn;<0216<d1279=8=5c99>64342l=01??:3;g5?846=:0n963=1419a1=::8?86h=4=3367?c5348:9>4j1:?1505=lm16><;<:e089772;3i<70<>52824a=::8?86<>m;<0216<68h16><;<:031?846=:0:==522070>46a348:9>4>0d9>64342:?j70<>52801==::8?86>;8;<0216<4=?16><;;:6289772<3=:70<>55807a=::8??6>=j;<0211<3m279=8:5519>64332=l01??:4;6;?846==0>i63=146925=::8??68h4=3360?3?348:994mf:?1502=jm16><;;:c`89772<3hj70<>558a=>;59<>1n5522077>g1<5;;>87l9;<0211<e=279=8:5b59>64332k901??:4;`1?846==0i<63=1469ec=::8??6lk4=3360?gc348:994nc:?1502=ik16><;;:`c89772<3k270<>558b<>;59<>1m:522077>f0<5;;>87m:;<0211<b8279=8:5dd9>64332mi01??:4;fa?846==0om63=1469`<=::8??6i64=3360?b0348:994k6:?1502=l<16><;;:e689772<3n870<>558g5>;59<>1h=522077>f`<5;;>87mj;<0211<dl279=8:5cb9>64332jh01??:4;ab?846==0h563=1469g==::8??6h94=3360?c1348:994j5:?1502=m=16><;;:d189772<3o970<>558f5>;59<>1hi522077>a4<5;;>87m8;<0211<68m16><;;:02a?846==0:<l522077>475348:994>119>643328:m70<>55824`=::8??6>;n;<0211<4=116><;;:274?846==089;522076>26<5;;>979>;<0210<4;m16><;::21f?846=<0?i63=147915=::8?>69h4=3361?2?348:984:e:?1503=>916><;::4d89772=3?370<>548ab>;59<?1ni522076>gd<5;;>97ln;<0210<e1279=8;5b99>64322k=01??:5;`5?846=<0i963=1479f1=::8?>6o=4=3361?d5348:984m0:?1503=io16><;::`g89772=3ko70<>548bg>;59<?1mo522076>dg<5;;>97o6;<0210<f0279=8;5a69>64322j<01??:5;a6?846=<0n<63=1479``=::8?>6im4=3361?be348:984ka:?1503=l016><;::e:89772=3n<70<>548g2>;59<?1h8522076>a2<5;;>97j<;<0210<c9279=8;5d19>64322jl01??:5;af?846=<0hh63=1479gf=::8?>6nl4=3361?ef348:984l9:?1503=k116><;::d589772=3o=70<>548f1>;59<?1i9522076>`5<5;;>97k=;<0210<b9279=8;5de9>64322m801??:5;a4?846=<0:<i522076>46e348:984>0`9>643228;970<>548255=::8?>6<>i;<0210<68l16><;::27b?846=<0895522076>630348:984<579>64312>:01??:6;52?846=?08?i522075>65b348:9;4;e:?1500==916><;9:5d89772>3>370<>5786a>;59<<1:=522075>0`<5;;>:7;7;<0213<en279=885be9>64312kh01??:6;`b?846=?0i563=1449f==::8?=6o94=3362?d1348:9;4m5:?1500=j=16><;9:c189772>3h970<>578a4>;59<<1mk522075>dc<5;;>:7ok;<0213<fk279=885ac9>64312hk01??:6;c:?846=?0j463=1449e2=::8?=6n84=3362?e2348:9;4j0:?1500=ll16><;9:ea89772>3ni70<>578ge>;59<<1h4522075>a><5;;>:7j8;<0213<c>279=885d49>64312m>01??:6;f0?846=?0o=63=1449`5=::8?=6nh4=3362?eb348:9;4ld:?1500=kj16><;9:b`89772>3ij70<>578`=>;59<<1o5522075>`1<5;;>:7k9;<0213<b=279=885e59>64312l901??:6;g1?846=?0n=63=1449`a=::8?=6i<4=3362?e0348:9;4>0e9>643128:i70<>57824d=::8?=6<?=;<0213<69916><;9:02e?846=?0:<h522075>63f348:9;4<599>64312:?<70<>578013=::8?<6:>4=3363?16348:9:4<3e9>64302:9n70<>5687a>;59<=19=522074>1`<5;;>;7:7;<0212<2m279=895619>64302<l01??:7;7;?846=>0ij63=1459fa=::8?<6ol4=3363?df348:9:4m9:?1501=j116><;8:c589772?3h=70<>568a1>;59<=1n9522074>g5<5;;>;7l=;<0212<e8279=895ag9>64302ho01??:7;cg?846=>0jo63=1459eg=::8?<6lo4=3363?g>348:9:4n8:?1501=i>16><;8:b489772?3i>70<>568f4>;59<=1hh522074>ae<5;;>;7jm;<0212<ci279=895d89>64302m201??:7;f4?846=>0o:63=1459`0=::8?<6i:4=3363?b4348:9:4k1:?1501=l916><;8:bd89772?3in70<>568``>;59<=1on522074>fd<5;;>;7mn;<0212<d1279=895c99>64302l=01??:7;g5?846=>0n963=1459a1=::8?<6h=4=3363?c5348:9:4j1:?1501=lm16><;8:e089772?3i<70<>56824a=::8?<6<>m;<0212<68h16><;8:031?846=>0:==522074>46a348:9:4>0d9>64302:?j70<>56801==::8?<6>;8;<0212<4=?16><;7:628977203=:70<>59807a=::8?36>=j;<021=<3m279=865519>643?2=l01??:8;6;?846=10>i63=14:925=::8?368h4=336<?3?348:954mf:?150>=jm16><;7:c`8977203hj70<>598a=>;59<21n552207;>g1<5;;>47l9;<021=<e=279=865b59>643?2k901??:8;`1?846=10i<63=14:9ec=::8?36lk4=336<?gc348:954nc:?150>=ik16><;7:`c8977203k270<>598b<>;59<21m:52207;>f0<5;;>47m:;<021=<b8279=865dd9>643?2mi01??:8;fa?846=10om63=14:9`<=::8?36i64=336<?b0348:954k6:?150>=l<16><;7:e68977203n870<>598g5>;59<21h=52207;>f`<5;;>47mj;<021=<dl279=865cb9>643?2jh01??:8;ab?846=10h563=14:9g==::8?36h94=336<?c1348:954j5:?150>=m=16><;7:d18977203o970<>598f5>;59<21hi52207;>a4<5;;>47m8;<021=<68m16><;7:02a?846=10:<l52207;>475348:954>119>643?28:m70<>59824`=::8?36>;n;<021=<4=116><;7:274?846=1089;52207b>26<5;;>m79>;<021d<4;m16><;n:21f?846=h0?i63=14c915=::8?j69h4=336e?2?348:9l4:e:?150g=>916><;n:4d89772i3?370<>5`8ab>;59<k1ni52207b>gd<5;;>m7ln;<021d<e1279=8o5b99>643f2k=01??:a;`5?846=h0i963=14c9f1=::8?j6o=4=336e?d5348:9l4m0:?150g=io16><;n:`g89772i3ko70<>5`8bg>;59<k1mo52207b>dg<5;;>m7o6;<021d<f0279=8o5a69>643f2j<01??:a;a6?846=h0n<63=14c9``=::8?j6im4=336e?be348:9l4ka:?150g=l016><;n:e:89772i3n<70<>5`8g2>;59<k1h852207b>a2<5;;>m7j<;<021d<c9279=8o5d19>643f2jl01??:a;af?846=h0hh63=14c9gf=::8?j6nl4=336e?ef348:9l4l9:?150g=k116><;n:d589772i3o=70<>5`8f1>;59<k1i952207b>`5<5;;>m7k=;<021d<b9279=8o5de9>643f2m801??:a;a4?846=h0:<i52207b>46e348:9l4>0`9>643f28;970<>5`8255=::8?j6<>i;<021d<68l16><;n:27b?846=h089552207b>630348:9l4<579>643e2>:01??:b;52?846=k08?i52207a>65b348:9o4;e:?150d==916><;m:5d89772j3>370<>5c86a>;59<h1:=52207a>0`<5;;>n7;7;<021g<en279=8l5be9>643e2kh01??:b;`b?846=k0i563=14`9f==::8?i6o94=336f?d1348:9o4m5:?150d=j=16><;m:c189772j3h970<>5c8a4>;59<h1mk52207a>dc<5;;>n7ok;<021g<fk279=8l5ac9>643e2hk01??:b;c:?846=k0j463=14`9e2=::8?i6n84=336f?e2348:9o4j0:?150d=ll16><;m:ea89772j3ni70<>5c8ge>;59<h1h452207a>a><5;;>n7j8;<021g<c>279=8l5d49>643e2m>01??:b;f0?846=k0o=63=14`9`5=::8?i6nh4=336f?eb348:9o4ld:?150d=kj16><;m:b`89772j3ij70<>5c8`=>;59<h1o552207a>`1<5;;>n7k9;<021g<b=279=8l5e59>643e2l901??:b;g1?846=k0n=63=14`9`a=::8?i6i<4=336f?e0348:9o4>0e9>643e28:i70<>5c824d=::8?i6<?=;<021g<69916><;m:02e?846=k0:<h52207a>63f348:9o4<599>643e2:?<70<>5c8013=::8?h6:>4=336g?16348:9n4<3e9>643d2:9n70<>5b87a>;59<i19=52207`>1`<5;;>o7:7;<021f<2m279=8m5619>643d2<l01??:c;7;?846=j0ij63=14a9fa=::8?h6ol4=336g?df348:9n4m9:?150e=j116><;l:c589772k3h=70<>5b8a1>;59<i1n952207`>g5<5;;>o7l=;<021f<e8279=8m5ag9>643d2ho01??:c;cg?846=j0jo63=14a9eg=::8?h6lo4=336g?g>348:9n4n8:?150e=i>16><;l:b489772k3i>70<>5b8f4>;59<i1hh52207`>ae<5;;>o7jm;<021f<ci279=8m5d89>643d2m201??:c;f4?846=j0o:63=14a9`0=::8?h6i:4=336g?b4348:9n4k1:?150e=l916><;l:bd89772k3in70<>5b8``>;59<i1on52207`>fd<5;;>o7mn;<021f<d1279=8m5c99>643d2l=01??:c;g5?846=j0n963=14a9a1=::8?h6h=4=336g?c5348:9n4j1:?150e=lm16><;l:e089772k3i<70<>5b824a=::8?h6<>m;<021f<68h16><;l:031?846=j0:==52207`>46a348:9n4>0d9>643d2:?j70<>5b801==::8?h6>;8;<021f<4=?16><;k:6289772l3=:70<>5e807a=::8?o6>=j;<021a<3m279=8j5519>643c2=l01??:d;6;?846=m0>i63=14f925=::8?o68h4=336`?3?348:9i4mf:?150b=jm16><;k:c`89772l3hj70<>5e8a=>;59<n1n552207g>g1<5;;>h7l9;<021a<e=279=8j5b59>643c2k901??:d;`1?846=m0i<63=14f9ec=::8?o6lk4=336`?gc348:9i4nc:?150b=ik16><;k:`c89772l3k270<>5e8b<>;59<n1m:52207g>f0<5;;>h7m:;<021a<b8279=8j5dd9>643c2mi01??:d;fa?846=m0om63=14f9`<=::8?o6i64=336`?b0348:9i4k6:?150b=l<16><;k:e689772l3n870<>5e8g5>;59<n1h=52207g>f`<5;;>h7mj;<021a<dl279=8j5cb9>643c2jh01??:d;ab?846=m0h563=14f9g==::8?o6h94=336`?c1348:9i4j5:?150b=m=16><;k:d189772l3o970<>5e8f5>;59<n1hi52207g>a4<5;;>h7m8;<021a<68m16><;k:02a?846=m0:<l52207g>475348:9i4>119>643c28:m70<>5e824`=::8?o6>;n;<021a<4=116><;k:274?846=m089;52207f>26<5;;>i79>;<021`<4;m16><;j:21f?846=l0?i63=14g915=::8?n69h4=336a?2?348:9h4:e:?150c=>916><;j:4d89772m3?370<>5d8ab>;59<o1ni52207f>gd<5;;>i7ln;<021`<e1279=8k5b99>643b2k=01??:e;`5?846=l0i963=14g9f1=::8?n6o=4=336a?d5348:9h4m0:?150c=io16><;j:`g89772m3ko70<>5d8bg>;59<o1mo52207f>dg<5;;>i7o6;<021`<f0279=8k5a69>643b2j<01??:e;a6?846=l0n<63=14g9``=::8?n6im4=336a?be348:9h4ka:?150c=l016><;j:e:89772m3n<70<>5d8g2>;59<o1h852207f>a2<5;;>i7j<;<021`<c9279=8k5d19>643b2jl01??:e;af?846=l0hh63=14g9gf=::8?n6nl4=336a?ef348:9h4l9:?150c=k116><;j:d589772m3o=70<>5d8f1>;59<o1i952207f>`5<5;;>i7k=;<021`<b9279=8k5de9>643b2m801??:e;a4?846=l0:<i52207f>46e348:9h4>0`9>643b28;970<>5d8255=::8?n6<>i;<021`<68l16><;j:27b?846=l089552207f>630348:9h4<579>643a2>:01??:f;52?846=o08?i52207e>65b348:9k4;e:?150`==916><;i:5d89772n3>370<>5g86a>;59<l1:=52207e>0`<5;;>j7;7;<021c<en279=8h5be9>643a2kh01??:f;`b?846=o0i563=14d9f==::8?m6o94=336b?d1348:9k4m5:?150`=j=16><;i:c189772n3h970<>5g8a4>;59<l1mk52207e>dc<5;;>j7ok;<021c<fk279=8h5ac9>643a2hk01??:f;c:?846=o0j463=14d9e2=::8?m6n84=336b?e2348:9k4j0:?150`=ll16><;i:ea89772n3ni70<>5g8ge>;59<l1h452207e>a><5;;>j7j8;<021c<c>279=8h5d49>643a2m>01??:f;f0?846=o0o=63=14d9`5=::8?m6nh4=336b?eb348:9k4ld:?150`=kj16><;i:b`89772n3ij70<>5g8`=>;59<l1o552207e>`1<5;;>j7k9;<021c<b=279=8h5e59>643a2l901??:f;g1?846=o0n=63=14d9`a=::8?m6i<4=336b?e0348:9k4>0e9>643a28:i70<>5g824d=::8?m6<?=;<021c<69916><;i:02e?846=o0:<h52207e>63f348:9k4<599>643a2:?<70<>5g8013=::8<;6:>4=3354?16348::=4<3e9>64072:9n70<>6187a>;59?:19=522043>1`<5;;=<7:7;<0225<2m279=;>5619>64072<l01??90;7;?846>90ij63=1729fa=::8<;6ol4=3354?df348::=4m9:?1536=j116><8?:c58977183h=70<>618a1>;59?:1n9522043>g5<5;;=<7l=;<0225<e8279=;>5ag9>64072ho01??90;cg?846>90jo63=1729eg=::8<;6lo4=3354?g>348::=4n8:?1536=i>16><8?:b48977183i>70<>618f4>;59?:1hh522043>ae<5;;=<7jm;<0225<ci279=;>5d89>64072m201??90;f4?846>90o:63=1729`0=::8<;6i:4=3354?b4348::=4k1:?1536=l916><8?:bd8977183in70<>618``>;59?:1on522043>fd<5;;=<7mn;<0225<d1279=;>5c99>64072l=01??90;g5?846>90n963=1729a1=::8<;6h=4=3354?c5348::=4j1:?1536=lm16><8?:e08977183i<70<>61824a=::8<;6<>m;<0225<68h16><8?:031?846>90:==522043>46a348::=4>0d9>64072:?j70<>61801==::8<;6>;8;<0225<4=?16><8>:628977193=:70<>60807a=::8<:6>=j;<0224<3m279=;?5519>64062=l01??91;6;?846>80>i63=173925=::8<:68h4=3355?3?348::<4mf:?1537=jm16><8>:c`8977193hj70<>608a=>;59?;1n5522042>g1<5;;==7l9;<0224<e=279=;?5b59>64062k901??91;`1?846>80i<63=1739ec=::8<:6lk4=3355?gc348::<4nc:?1537=ik16><8>:`c8977193k270<>608b<>;59?;1m:522042>f0<5;;==7m:;<0224<b8279=;?5dd9>64062mi01??91;fa?846>80om63=1739`<=::8<:6i64=3355?b0348::<4k6:?1537=l<16><8>:e68977193n870<>608g5>;59?;1h=522042>f`<5;;==7mj;<0224<dl279=;?5cb9>64062jh01??91;ab?846>80h563=1739g==::8<:6h94=3355?c1348::<4j5:?1537=m=16><8>:d18977193o970<>608f5>;59?;1hi522042>a4<5;;==7m8;<0224<68m16><8>:02a?846>80:<l522042>475348::<4>119>640628:m70<>60824`=::8<:6>;n;<0224<4=116><8>:274?846>8089;522041>26<5;;=>79>;<0227<4;m16><8=:21f?846>;0?i63=170915=::8<969h4=3356?2?348::?4:e:?1534=>916><8=:4d89771:3?370<>638ab>;59?81ni522041>gd<5;;=>7ln;<0227<e1279=;<5b99>64052k=01??92;`5?846>;0i963=1709f1=::8<96o=4=3356?d5348::?4m0:?1534=io16><8=:`g89771:3ko70<>638bg>;59?81mo522041>dg<5;;=>7o6;<0227<f0279=;<5a69>64052j<01??92;a6?846>;0n<63=1709``=::8<96im4=3356?be348::?4ka:?1534=l016><8=:e:89771:3n<70<>638g2>;59?81h8522041>a2<5;;=>7j<;<0227<c9279=;<5d19>64052jl01??92;af?846>;0hh63=1709gf=::8<96nl4=3356?ef348::?4l9:?1534=k116><8=:d589771:3o=70<>638f1>;59?81i9522041>`5<5;;=>7k=;<0227<b9279=;<5de9>64052m801??92;a4?846>;0:<i522041>46e348::?4>0`9>640528;970<>638255=::8<96<>i;<0227<68l16><8=:27b?846>;0895522041>630348::?4<579>64042>:01??93;52?846>:08?i522040>65b348::>4;e:?1535==916><8<:5d89771;3>370<>6286a>;59?91:=522040>0`<5;;=?7;7;<0226<en279=;=5be9>64042kh01??93;`b?846>:0i563=1719f==::8<86o94=3357?d1348::>4m5:?1535=j=16><8<:c189771;3h970<>628a4>;59?91mk522040>dc<5;;=?7ok;<0226<fk279=;=5ac9>64042hk01??93;c:?846>:0j463=1719e2=::8<86n84=3357?e2348::>4j0:?1535=ll16><8<:ea89771;3ni70<>628ge>;59?91h4522040>a><5;;=?7j8;<0226<c>279=;=5d49>64042m>01??93;f0?846>:0o=63=1719`5=::8<86nh4=3357?eb348::>4ld:?1535=kj16><8<:b`89771;3ij70<>628`=>;59?91o5522040>`1<5;;=?7k9;<0226<b=279=;=5e59>64042l901??93;g1?846>:0n=63=1719`a=::8<86i<4=3357?e0348::>4>0e9>640428:i70<>62824d=::8<86<?=;<0226<69916><8<:02e?846>:0:<h522040>63f348::>4<599>64042:?<70<>628013=::8<>6:>4=3351?16348::84<3e9>64022:9n70<>6487a>;59??19=522046>1`<5;;=97:7;<0220<2m279=;;5619>64022<l01??95;7;?846><0ij63=1779fa=::8<>6ol4=3351?df348::84m9:?1533=j116><8::c589771=3h=70<>648a1>;59??1n9522046>g5<5;;=97l=;<0220<e8279=;;5ag9>64022ho01??95;cg?846><0jo63=1779eg=::8<>6lo4=3351?g>348::84n8:?1533=i>16><8::b489771=3i>70<>648f4>;59??1hh522046>ae<5;;=97jm;<0220<ci279=;;5d89>64022m201??95;f4?846><0o:63=1779`0=::8<>6i:4=3351?b4348::84k1:?1533=l916><8::bd89771=3in70<>648``>;59??1on522046>fd<5;;=97mn;<0220<d1279=;;5c99>64022l=01??95;g5?846><0n963=1779a1=::8<>6h=4=3351?c5348::84j1:?1533=lm16><8::e089771=3i<70<>64824a=::8<>6<>m;<0220<68h16><8::031?846><0:==522046>46a348::84>0d9>64022:?j70<>64801==::8<>6>;8;<0220<4=?16><89:6289771>3=:70<>67807a=::8<=6>=j;<0223<3m279=;85519>64012=l01??96;6;?846>?0>i63=174925=::8<=68h4=3352?3?348::;4mf:?1530=jm16><89:c`89771>3hj70<>678a=>;59?<1n5522045>g1<5;;=:7l9;<0223<e=279=;85b59>64012k901??96;`1?846>?0i<63=1749ec=::8<=6lk4=3352?gc348::;4nc:?1530=ik16><89:`c89771>3k270<>678b<>;59?<1m:522045>f0<5;;=:7m:;<0223<b8279=;85dd9>64012mi01??96;fa?846>?0om63=1749`<=::8<=6i64=3352?b0348::;4k6:?1530=l<16><89:e689771>3n870<>678g5>;59?<1h=522045>f`<5;;=:7mj;<0223<dl279=;85cb9>64012jh01??96;ab?846>?0h563=1749g==::8<=6h94=3352?c1348::;4j5:?1530=m=16><89:d189771>3o970<>678f5>;59?<1hi522045>a4<5;;=:7m8;<0223<68m16><89:02a?846>?0:<l522045>475348::;4>119>640128:m70<>67824`=::8<=6>;n;<0223<4=116><89:274?846>?089;522044>26<5;;=;79>;<0222<4;m16><88:21f?846>>0?i63=175915=::8<<69h4=3353?2?348:::4:e:?1531=>916><88:4d89771?3?370<>668ab>;59?=1ni522044>gd<5;;=;7ln;<0222<e1279=;95b99>64002k=01??97;`5?846>>0i963=1759f1=::8<<6o=4=3353?d5348:::4m0:?1531=io16><88:`g89771?3ko70<>668bg>;59?=1mo522044>dg<5;;=;7o6;<0222<f0279=;95a69>64002j<01??97;a6?846>>0n<63=1759``=::8<<6im4=3353?be348:::4ka:?1531=l016><88:e:89771?3n<70<>668g2>;59?=1h8522044>a2<5;;=;7j<;<0222<c9279=;95d19>64002jl01??97;af?846>>0hh63=1759gf=::8<<6nl4=3353?ef348:::4l9:?1531=k116><88:d589771?3o=70<>668f1>;59?=1i9522044>`5<5;;=;7k=;<0222<b9279=;95de9>64002m801??97;a4?846>>0:<i522044>46e348:::4>0`9>640028;970<>668255=::8<<6<>i;<0222<68l16><88:27b?846>>0895522044>630348:::4<579>640?2>:01??98;52?846>108?i52204;>65b348::54;e:?153>==916><87:5d8977103>370<>6986a>;59?21:=52204;>0`<5;;=47;7;<022=<en279=;65be9>640?2kh01??98;`b?846>10i563=17:9f==::8<36o94=335<?d1348::54m5:?153>=j=16><87:c18977103h970<>698a4>;59?21mk52204;>dc<5;;=47ok;<022=<fk279=;65ac9>640?2hk01??98;c:?846>10j463=17:9e2=::8<36n84=335<?e2348::54j0:?153>=ll16><87:ea8977103ni70<>698ge>;59?21h452204;>a><5;;=47j8;<022=<c>279=;65d49>640?2m>01??98;f0?846>10o=63=17:9`5=::8<36nh4=335<?eb348::54ld:?153>=kj16><87:b`8977103ij70<>698`=>;59?21o552204;>`1<5;;=47k9;<022=<b=279=;65e59>640?2l901??98;g1?846>10n=63=17:9`a=::8<36i<4=335<?e0348::54>0e9>640?28:i70<>69824d=::8<36<?=;<022=<69916><87:02e?846>10:<h52204;>63f348::54<599>640?2:?<70<>698013=::8<26:>4=335=?16348::44<3e9>640>2:9n70<>6887a>;59?319=52204:>1`<5;;=57:7;<022<<2m279=;75619>640>2<l01??99;7;?846>00ij63=17;9fa=::8<26ol4=335=?df348::44m9:?153?=j116><86:c58977113h=70<>688a1>;59?31n952204:>g5<5;;=57l=;<022<<e8279=;75ag9>640>2ho01??99;cg?846>00jo63=17;9eg=::8<26lo4=335=?g>348::44n8:?153?=i>16><86:b48977113i>70<>688f4>;59?31hh52204:>ae<5;;=57jm;<022<<ci279=;75d89>640>2m201??99;f4?846>00o:63=17;9`0=::8<26i:4=335=?b4348::44k1:?153?=l916><86:bd8977113in70<>688``>;59?31on52204:>fd<5;;=57mn;<022<<d1279=;75c99>640>2l=01??99;g5?846>00n963=17;9a1=::8<26h=4=335=?c5348::44j1:?153?=lm16><86:e08977113i<70<>68824a=::8<26<>m;<022<<68h16><86:031?846>00:==52204:>46a348::44>0d9>640>2:?j70<>68801==::8<26>;8;<022<<4=?16><8n:6289771i3=:70<>6`807a=::8<j6>=j;<022d<3m279=;o5519>640f2=l01??9a;6;?846>h0>i63=17c925=::8<j68h4=335e?3?348::l4mf:?153g=jm16><8n:c`89771i3hj70<>6`8a=>;59?k1n552204b>g1<5;;=m7l9;<022d<e=279=;o5b59>640f2k901??9a;`1?846>h0i<63=17c9ec=::8<j6lk4=335e?gc348::l4nc:?153g=ik16><8n:`c89771i3k270<>6`8b<>;59?k1m:52204b>f0<5;;=m7m:;<022d<b8279=;o5dd9>640f2mi01??9a;fa?846>h0om63=17c9`<=::8<j6i64=335e?b0348::l4k6:?153g=l<16><8n:e689771i3n870<>6`8g5>;59?k1h=52204b>f`<5;;=m7mj;<022d<dl279=;o5cb9>640f2jh01??9a;ab?846>h0h563=17c9g==::8<j6h94=335e?c1348::l4j5:?153g=m=16><8n:d189771i3o970<>6`8f5>;59?k1hi52204b>a4<5;;=m7m8;<022d<68m16><8n:02a?846>h0:<l52204b>475348::l4>119>640f28:m70<>6`824`=::8<j6>;n;<022d<4=116><8n:274?846>h089;52204a>26<5;;=n79>;<022g<4;m16><8m:21f?846>k0?i63=17`915=::8<i69h4=335f?2?348::o4:e:?153d=>916><8m:4d89771j3?370<>6c8ab>;59?h1ni52204a>gd<5;;=n7ln;<022g<e1279=;l5b99>640e2k=01??9b;`5?846>k0i963=17`9f1=::8<i6o=4=335f?d5348::o4m0:?153d=io16><8m:`g89771j3ko70<>6c8bg>;59?h1mo52204a>dg<5;;=n7o6;<022g<f0279=;l5a69>640e2j<01??9b;a6?846>k0n<63=17`9``=::8<i6im4=335f?be348::o4ka:?153d=l016><8m:e:89771j3n<70<>6c8g2>;59?h1h852204a>a2<5;;=n7j<;<022g<c9279=;l5d19>640e2jl01??9b;af?846>k0hh63=17`9gf=::8<i6nl4=335f?ef348::o4l9:?153d=k116><8m:d589771j3o=70<>6c8f1>;59?h1i952204a>`5<5;;=n7k=;<022g<b9279=;l5de9>640e2m801??9b;a4?846>k0:<i52204a>46e348::o4>0`9>640e28;970<>6c8255=::8<i6<>i;<022g<68l16><8m:27b?846>k089552204a>630348::o4<579>640d2>:01??9c;52?846>j08?i52204`>65b348::n4;e:?153e==916><8l:5d89771k3>370<>6b86a>;59?i1:=52204`>0`<5;;=o7;7;<022f<en279=;m5be9>640d2kh01??9c;`b?846>j0i563=17a9f==::8<h6o94=335g?d1348::n4m5:?153e=j=16><8l:c189771k3h970<>6b8a4>;59?i1mk52204`>dc<5;;=o7ok;<022f<fk279=;m5ac9>640d2hk01??9c;c:?846>j0j463=17a9e2=::8<h6n84=335g?e2348::n4j0:?153e=ll16><8l:ea89771k3ni70<>6b8ge>;59?i1h452204`>a><5;;=o7j8;<022f<c>279=;m5d49>640d2m>01??9c;f0?846>j0o=63=17a9`5=::8<h6nh4=335g?eb348::n4ld:?153e=kj16><8l:b`89771k3ij70<>6b8`=>;59?i1o552204`>`1<5;;=o7k9;<022f<b=279=;m5e59>640d2l901??9c;g1?846>j0n=63=17a9`a=::8<h6i<4=335g?e0348::n4>0e9>640d28:i70<>6b824d=::8<h6<?=;<022f<69916><8l:02e?846>j0:<h52204`>63f348::n4<599>640d2:?<70<>6b8013=::8<o6:>4=335`?16348::i4<3e9>640c2:9n70<>6e87a>;59?n19=52204g>1`<5;;=h7:7;<022a<2m279=;j5619>640c2<l01??9d;7;?846>m0ij63=17f9fa=::8<o6ol4=335`?df348::i4m9:?153b=j116><8k:c589771l3h=70<>6e8a1>;59?n1n952204g>g5<5;;=h7l=;<022a<e8279=;j5ag9>640c2ho01??9d;cg?846>m0jo63=17f9eg=::8<o6lo4=335`?g>348::i4n8:?153b=i>16><8k:b489771l3i>70<>6e8f4>;59?n1hh52204g>ae<5;;=h7jm;<022a<ci279=;j5d89>640c2m201??9d;f4?846>m0o:63=17f9`0=::8<o6i:4=335`?b4348::i4k1:?153b=l916><8k:bd89771l3in70<>6e8``>;59?n1on52204g>fd<5;;=h7mn;<022a<d1279=;j5c99>640c2l=01??9d;g5?846>m0n963=17f9a1=::8<o6h=4=335`?c5348::i4j1:?153b=lm16><8k:e089771l3i<70<>6e824a=::8<o6<>m;<022a<68h16><8k:031?846>m0:==52204g>46a348::i4>0d9>640c2:?j70<>6e801==::8<o6>;8;<022a<4=?16><8j:6289771m3=:70<>6d807a=::8<n6>=j;<022`<3m279=;k5519>640b2=l01??9e;6;?846>l0>i63=17g925=::8<n68h4=335a?3?348::h4mf:?153c=jm16><8j:c`89771m3hj70<>6d8a=>;59?o1n552204f>g1<5;;=i7l9;<022`<e=279=;k5b59>640b2k901??9e;`1?846>l0i<63=17g9ec=::8<n6lk4=335a?gc348::h4nc:?153c=ik16><8j:`c89771m3k270<>6d8b<>;59?o1m:52204f>f0<5;;=i7m:;<022`<b8279=;k5dd9>640b2mi01??9e;fa?846>l0om63=17g9`<=::8<n6i64=335a?b0348::h4k6:?153c=l<16><8j:e689771m3n870<>6d8g5>;59?o1h=52204f>f`<5;;=i7mj;<022`<dl279=;k5cb9>640b2jh01??9e;ab?846>l0h563=17g9g==::8<n6h94=335a?c1348::h4j5:?153c=m=16><8j:d189771m3o970<>6d8f5>;59?o1hi52204f>a4<5;;=i7m8;<022`<68m16><8j:02a?846>l0:<l52204f>475348::h4>119>640b28:m70<>6d824`=::8<n6>;n;<022`<4=116><8j:274?846>l089;522053>26<5;;<<79>;<0235<4;m16><9?:21f?846?90?i63=162915=::8=;69h4=3344?2?348:;=4:e:?1526=>916><9?:4d8977083?370<>718ab>;59>:1ni522053>gd<5;;<<7ln;<0235<e1279=:>5b99>64172k=01??80;`5?846?90i963=1629f1=::8=;6o=4=3344?d5348:;=4m0:?1526=io16><9?:`g8977083ko70<>718bg>;59>:1mo522053>dg<5;;<<7o6;<0235<f0279=:>5a69>64172j<01??80;a6?846?90n<63=1629``=::8=;6im4=3344?be348:;=4ka:?1526=l016><9?:e:8977083n<70<>718g2>;59>:1h8522053>a2<5;;<<7j<;<0235<c9279=:>5d19>64172jl01??80;af?846?90hh63=1629gf=::8=;6nl4=3344?ef348:;=4l9:?1526=k116><9?:d58977083o=70<>718f1>;59>:1i9522053>`5<5;;<<7k=;<0235<b9279=:>5de9>64172m801??80;a4?846?90:<i522053>46e348:;=4>0`9>641728;970<>718255=::8=;6<>i;<0235<68l16><9?:27b?846?90895522053>630348:;=4<579>64162>:01??81;52?846?808?i522052>65b348:;<4;e:?1527==916><9>:5d8977093>370<>7086a>;59>;1:=522052>0`<5;;<=7;7;<0234<en279=:?5be9>64162kh01??81;`b?846?80i563=1639f==::8=:6o94=3345?d1348:;<4m5:?1527=j=16><9>:c18977093h970<>708a4>;59>;1mk522052>dc<5;;<=7ok;<0234<fk279=:?5ac9>64162hk01??81;c:?846?80j463=1639e2=::8=:6n84=3345?e2348:;<4j0:?1527=ll16><9>:ea8977093ni70<>708ge>;59>;1h4522052>a><5;;<=7j8;<0234<c>279=:?5d49>64162m>01??81;f0?846?80o=63=1639`5=::8=:6nh4=3345?eb348:;<4ld:?1527=kj16><9>:b`8977093ij70<>708`=>;59>;1o5522052>`1<5;;<=7k9;<0234<b=279=:?5e59>64162l901??81;g1?846?80n=63=1639`a=::8=:6i<4=3345?e0348:;<4>0e9>641628:i70<>70824d=::8=:6<?=;<0234<69916><9>:02e?846?80:<h522052>63f348:;<4<599>64162:?<70<>708013=::8=96:>4=3346?16348:;?4<3e9>64152:9n70<>7387a>;59>819=522051>1`<5;;<>7:7;<0237<2m279=:<5619>64152<l01??82;7;?846?;0ij63=1609fa=::8=96ol4=3346?df348:;?4m9:?1524=j116><9=:c589770:3h=70<>738a1>;59>81n9522051>g5<5;;<>7l=;<0237<e8279=:<5ag9>64152ho01??82;cg?846?;0jo63=1609eg=::8=96lo4=3346?g>348:;?4n8:?1524=i>16><9=:b489770:3i>70<>738f4>;59>81hh522051>ae<5;;<>7jm;<0237<ci279=:<5d89>64152m201??82;f4?846?;0o:63=1609`0=::8=96i:4=3346?b4348:;?4k1:?1524=l916><9=:bd89770:3in70<>738``>;59>81on522051>fd<5;;<>7mn;<0237<d1279=:<5c99>64152l=01??82;g5?846?;0n963=1609a1=::8=96h=4=3346?c5348:;?4j1:?1524=lm16><9=:e089770:3i<70<>73824a=::8=96<>m;<0237<68h16><9=:031?846?;0:==522051>46a348:;?4>0d9>64152:?j70<>73801==::8=96>;8;<0237<4=?16><9<:6289770;3=:70<>72807a=::8=86>=j;<0236<3m279=:=5519>64142=l01??83;6;?846?:0>i63=161925=::8=868h4=3347?3?348:;>4mf:?1525=jm16><9<:c`89770;3hj70<>728a=>;59>91n5522050>g1<5;;<?7l9;<0236<e=279=:=5b59>64142k901??83;`1?846?:0i<63=1619ec=::8=86lk4=3347?gc348:;>4nc:?1525=ik16><9<:`c89770;3k270<>728b<>;59>91m:522050>f0<5;;<?7m:;<0236<b8279=:=5dd9>64142mi01??83;fa?846?:0om63=1619`<=::8=86i64=3347?b0348:;>4k6:?1525=l<16><9<:e689770;3n870<>728g5>;59>91h=522050>f`<5;;<?7mj;<0236<dl279=:=5cb9>64142jh01??83;ab?846?:0h563=1619g==::8=86h94=3347?c1348:;>4j5:?1525=m=16><9<:d189770;3o970<>728f5>;59>91hi522050>a4<5;;<?7m8;<0236<68m16><9<:02a?846?:0:<l522050>475348:;>4>119>641428:m70<>72824`=::8=86>;n;<0236<4=116><9<:274?846?:089;522057>26<5;;<879>;<0231<4;m16><9;:21f?846?=0?i63=166915=::8=?69h4=3340?2?348:;94:e:?1522=>916><9;:4d89770<3?370<>758ab>;59>>1ni522057>gd<5;;<87ln;<0231<e1279=::5b99>64132k=01??84;`5?846?=0i963=1669f1=::8=?6o=4=3340?d5348:;94m0:?1522=io16><9;:`g89770<3ko70<>758bg>;59>>1mo522057>dg<5;;<87o6;<0231<f0279=::5a69>64132j<01??84;a6?846?=0n<63=1669``=::8=?6im4=3340?be348:;94ka:?1522=l016><9;:e:89770<3n<70<>758g2>;59>>1h8522057>a2<5;;<87j<;<0231<c9279=::5d19>64132jl01??84;af?846?=0hh63=1669gf=::8=?6nl4=3340?ef348:;94l9:?1522=k116><9;:d589770<3o=70<>758f1>;59>>1i9522057>`5<5;;<87k=;<0231<b9279=::5de9>64132m801??84;a4?846?=0:<i522057>46e348:;94>0`9>641328;970<>758255=::8=?6<>i;<0231<68l16><9;:27b?846?=0895522057>630348:;94<579>64122>:01??85;52?846?<08?i522056>65b348:;84;e:?1523==916><9::5d89770=3>370<>7486a>;59>?1:=522056>0`<5;;<97;7;<0230<en279=:;5be9>64122kh01??85;`b?846?<0i563=1679f==::8=>6o94=3341?d1348:;84m5:?1523=j=16><9::c189770=3h970<>748a4>;59>?1mk522056>dc<5;;<97ok;<0230<fk279=:;5ac9>64122hk01??85;c:?846?<0j463=1679e2=::8=>6n84=3341?e2348:;84j0:?1523=ll16><9::ea89770=3ni70<>748ge>;59>?1h4522056>a><5;;<97j8;<0230<c>279=:;5d49>64122m>01??85;f0?846?<0o=63=1679`5=::8=>6nh4=3341?eb348:;84ld:?1523=kj16><9::b`89770=3ij70<>748`=>;59>?1o5522056>`1<5;;<97k9;<0230<b=279=:;5e59>64122l901??85;g1?846?<0n=63=1679`a=::8=>6i<4=3341?e0348:;84>0e9>641228:i70<>74824d=::8=>6<?=;<0230<69916><9::02e?846?<0:<h522056>63f348:;84<599>64122:?<70<>748013=::8==6:>4=3342?16348:;;4<3e9>64112:9n70<>7787a>;59><19=522055>1`<5;;<:7:7;<0233<2m279=:85619>64112<l01??86;7;?846??0ij63=1649fa=::8==6ol4=3342?df348:;;4m9:?1520=j116><99:c589770>3h=70<>778a1>;59><1n9522055>g5<5;;<:7l=;<0233<e8279=:85ag9>64112ho01??86;cg?846??0jo63=1649eg=::8==6lo4=3342?g>348:;;4n8:?1520=i>16><99:b489770>3i>70<>778f4>;59><1hh522055>ae<5;;<:7jm;<0233<ci279=:85d89>64112m201??86;f4?846??0o:63=1649`0=::8==6i:4=3342?b4348:;;4k1:?1520=l916><99:bd89770>3in70<>778``>;59><1on522055>fd<5;;<:7mn;<0233<d1279=:85c99>64112l=01??86;g5?846??0n963=1649a1=::8==6h=4=3342?c5348:;;4j1:?1520=lm16><99:e089770>3i<70<>77824a=::8==6<>m;<0233<68h16><99:031?846??0:==522055>46a348:;;4>0d9>64112:?j70<>77801==::8==6>;8;<0233<4=?16><98:6289770?3=:70<>76807a=::8=<6>=j;<0232<3m279=:95519>64102=l01??87;6;?846?>0>i63=165925=::8=<68h4=3343?3?348:;:4mf:?1521=jm16><98:c`89770?3hj70<>768a=>;59>=1n5522054>g1<5;;<;7l9;<0232<e=279=:95b59>64102k901??87;`1?846?>0i<63=1659ec=::8=<6lk4=3343?gc348:;:4nc:?1521=ik16><98:`c89770?3k270<>768b<>;59>=1m:522054>f0<5;;<;7m:;<0232<b8279=:95dd9>64102mi01??87;fa?846?>0om63=1659`<=::8=<6i64=3343?b0348:;:4k6:?1521=l<16><98:e689770?3n870<>768g5>;59>=1h=522054>f`<5;;<;7mj;<0232<dl279=:95cb9>64102jh01??87;ab?846?>0h563=1659g==::8=<6h94=3343?c1348:;:4j5:?1521=m=16><98:d189770?3o970<>768f5>;59>=1hi522054>a4<5;;<;7m8;<0232<68m16><98:02a?846?>0:<l522054>475348:;:4>119>641028:m70<>76824`=::8=<6>;n;<0232<4=116><98:274?846?>089;52205;>26<5;;<479>;<023=<4;m16><97:21f?846?10?i63=16:915=::8=369h4=334<?2?348:;54:e:?152>=>916><97:4d8977003?370<>798ab>;59>21ni52205;>gd<5;;<47ln;<023=<e1279=:65b99>641?2k=01??88;`5?846?10i963=16:9f1=::8=36o=4=334<?d5348:;54m0:?152>=io16><97:`g8977003ko70<>798bg>;59>21mo52205;>dg<5;;<47o6;<023=<f0279=:65a69>641?2j<01??88;a6?846?10n<63=16:9``=::8=36im4=334<?be348:;54ka:?152>=l016><97:e:8977003n<70<>798g2>;59>21h852205;>a2<5;;<47j<;<023=<c9279=:65d19>641?2jl01??88;af?846?10hh63=16:9gf=::8=36nl4=334<?ef348:;54l9:?152>=k116><97:d58977003o=70<>798f1>;59>21i952205;>`5<5;;<47k=;<023=<b9279=:65de9>641?2m801??88;a4?846?10:<i52205;>46e348:;54>0`9>641?28;970<>798255=::8=36<>i;<023=<68l16><97:27b?846?1089552205;>630348:;54<579>641>2>:01??89;52?846?008?i52205:>65b348:;44;e:?152?==916><96:5d8977013>370<>7886a>;59>31:=52205:>0`<5;;<57;7;<023<<en279=:75be9>641>2kh01??89;`b?846?00i563=16;9f==::8=26o94=334=?d1348:;44m5:?152?=j=16><96:c18977013h970<>788a4>;59>31mk52205:>dc<5;;<57ok;<023<<fk279=:75ac9>641>2hk01??89;c:?846?00j463=16;9e2=::8=26n84=334=?e2348:;44j0:?152?=ll16><96:ea8977013ni70<>788ge>;59>31h452205:>a><5;;<57j8;<023<<c>279=:75d49>641>2m>01??89;f0?846?00o=63=16;9`5=::8=26nh4=334=?eb348:;44ld:?152?=kj16><96:b`8977013ij70<>788`=>;59>31o552205:>`1<5;;<57k9;<023<<b=279=:75e59>641>2l901??89;g1?846?00n=63=16;9`a=::8=26i<4=334=?e0348:;44>0e9>641>28:i70<>78824d=::8=26<?=;<023<<69916><96:02e?846?00:<h52205:>63f348:;44<599>641>2:?<70<>788013=::8=i6:>4=334f?16348:;o4<3e9>641e2:9n70<>7c87a>;59>h19=52205a>1`<5;;<n7:7;<023g<2m279=:l5619>641e2<l01??8b;7;?846?k0ij63=16`9fa=::8=i6ol4=334f?df348:;o4m9:?152d=j116><9m:c589770j3h=70<>7c8a1>;59>h1n952205a>g5<5;;<n7l=;<023g<e8279=:l5ag9>641e2ho01??8b;cg?846?k0jo63=16`9eg=::8=i6lo4=334f?g>348:;o4n8:?152d=i>16><9m:b489770j3i>70<>7c8f4>;59>h1hh52205a>ae<5;;<n7jm;<023g<ci279=:l5d89>641e2m201??8b;f4?846?k0o:63=16`9`0=::8=i6i:4=334f?b4348:;o4k1:?152d=l916><9m:bd89770j3in70<>7c8``>;59>h1on52205a>fd<5;;<n7mn;<023g<d1279=:l5c99>641e2l=01??8b;g5?846?k0n963=16`9a1=::8=i6h=4=334f?c5348:;o4j1:?152d=lm16><9m:e089770j3i<70<>7c824a=::8=i6<>m;<023g<68h16><9m:031?846?k0:==52205a>46a348:;o4>0d9>641e2:?j70<>7c801==::8=i6>;8;<023g<4=?16><9l:6289770k3=:70<>7b807a=::8=h6>=j;<023f<3m279=:m5519>641d2=l01??8c;6;?846?j0>i63=16a925=::8=h68h4=334g?3?348:;n4mf:?152e=jm16><9l:c`89770k3hj70<>7b8a=>;59>i1n552205`>g1<5;;<o7l9;<023f<e=279=:m5b59>641d2k901??8c;`1?846?j0i<63=16a9ec=::8=h6lk4=334g?gc348:;n4nc:?152e=ik16><9l:`c89770k3k270<>7b8b<>;59>i1m:52205`>f0<5;;<o7m:;<023f<b8279=:m5dd9>641d2mi01??8c;fa?846?j0om63=16a9`<=::8=h6i64=334g?b0348:;n4k6:?152e=l<16><9l:e689770k3n870<>7b8g5>;59>i1h=52205`>f`<5;;<o7mj;<023f<dl279=:m5cb9>641d2jh01??8c;ab?846?j0h563=16a9g==::8=h6h94=334g?c1348:;n4j5:?152e=m=16><9l:d189770k3o970<>7b8f5>;59>i1hi52205`>a4<5;;<o7m8;<023f<68m16><9l:02a?846?j0:<l52205`>475348:;n4>119>641d28:m70<>7b824`=::8=h6>;n;<023f<4=116><9l:274?846?j089;52205g>26<5;;<h79>;<023a<4;m16><9k:21f?846?m0?i63=16f915=::8=o69h4=334`?2?348:;i4:e:?152b=>916><9k:4d89770l3?370<>7e8ab>;59>n1ni52205g>gd<5;;<h7ln;<023a<e1279=:j5b99>641c2k=01??8d;`5?846?m0i963=16f9f1=::8=o6o=4=334`?d5348:;i4m0:?152b=io16><9k:`g89770l3ko70<>7e8bg>;59>n1mo52205g>dg<5;;<h7o6;<023a<f0279=:j5a69>641c2j<01??8d;a6?846?m0n<63=16f9``=::8=o6im4=334`?be348:;i4ka:?152b=l016><9k:e:89770l3n<70<>7e8g2>;59>n1h852205g>a2<5;;<h7j<;<023a<c9279=:j5d19>641c2jl01??8d;af?846?m0hh63=16f9gf=::8=o6nl4=334`?ef348:;i4l9:?152b=k116><9k:d589770l3o=70<>7e8f1>;59>n1i952205g>`5<5;;<h7k=;<023a<b9279=:j5de9>641c2m801??8d;a4?846?m0:<i52205g>46e348:;i4>0`9>641c28;970<>7e8255=::8=o6<>i;<023a<68l16><9k:27b?846?m089552205g>630348:;i4<579>641b2>:01??8e;52?846?l08?i52205f>65b348:;h4;e:?152c==916><9j:5d89770m3>370<>7d86a>;59>o1:=52205f>0`<5;;<i7;7;<023`<en279=:k5be9>641b2kh01??8e;`b?846?l0i563=16g9f==::8=n6o94=334a?d1348:;h4m5:?152c=j=16><9j:c189770m3h970<>7d8a4>;59>o1mk52205f>dc<5;;<i7ok;<023`<fk279=:k5ac9>641b2hk01??8e;c:?846?l0j463=16g9e2=::8=n6n84=334a?e2348:;h4j0:?152c=ll16><9j:ea89770m3ni70<>7d8ge>;59>o1h452205f>a><5;;<i7j8;<023`<c>279=:k5d49>641b2m>01??8e;f0?846?l0o=63=16g9`5=::8=n6nh4=334a?eb348:;h4ld:?152c=kj16><9j:b`89770m3ij70<>7d8`=>;59>o1o552205f>`1<5;;<i7k9;<023`<b=279=:k5e59>641b2l901??8e;g1?846?l0n=63=16g9`a=::8=n6i<4=334a?e0348:;h4>0e9>641b28:i70<>7d824d=::8=n6<?=;<023`<69916><9j:02e?846?l0:<h52205f>63f348:;h4<599>641b2:?<70<>7d8013=::8=m6:>4=334b?16348:;k4<3e9>641a2:9n70<>7g87a>;59>l19=52205e>1`<5;;<j7:7;<023c<2m279=:h5619>641a2<l01??8f;7;?846?o0ij63=16d9fa=::8=m6ol4=334b?df348:;k4m9:?152`=j116><9i:c589770n3h=70<>7g8a1>;59>l1n952205e>g5<5;;<j7l=;<023c<e8279=:h5ag9>641a2ho01??8f;cg?846?o0jo63=16d9eg=::8=m6lo4=334b?g>348:;k4n8:?152`=i>16><9i:b489770n3i>70<>7g8f4>;59>l1hh52205e>ae<5;;<j7jm;<023c<ci279=:h5d89>641a2m201??8f;f4?846?o0o:63=16d9`0=::8=m6i:4=334b?b4348:;k4k1:?152`=l916><9i:bd89770n3in70<>7g8``>;59>l1on52205e>fd<5;;<j7mn;<023c<d1279=:h5c99>641a2l=01??8f;g5?846?o0n963=16d9a1=::8=m6h=4=334b?c5348:;k4j1:?152`=lm16><9i:e089770n3i<70<>7g824a=::8=m6<>m;<023c<68h16><9i:031?846?o0:==52205e>46a348:;k4>0d9>641a2:?j70<>7g801==::8=m6>;8;<023c<4=?16><6?:628977?83=:70<>81807a=::82;6>=j;<02<5<3m279=5>5519>64>72=l01??70;6;?846090>i63=192925=::82;68h4=33;4?3?348:4=4mf:?15=6=jm16><6?:c`8977?83hj70<>818a=>;591:1n55220:3>g1<5;;3<7l9;<02<5<e=279=5>5b59>64>72k901??70;`1?846090i<63=1929ec=::82;6lk4=33;4?gc348:4=4nc:?15=6=ik16><6?:`c8977?83k270<>818b<>;591:1m:5220:3>f0<5;;3<7m:;<02<5<b8279=5>5dd9>64>72mi01??70;fa?846090om63=1929`<=::82;6i64=33;4?b0348:4=4k6:?15=6=l<16><6?:e68977?83n870<>818g5>;591:1h=5220:3>f`<5;;3<7mj;<02<5<dl279=5>5cb9>64>72jh01??70;ab?846090h563=1929g==::82;6h94=33;4?c1348:4=4j5:?15=6=m=16><6?:d18977?83o970<>818f5>;591:1hi5220:3>a4<5;;3<7m8;<02<5<68m16><6?:02a?846090:<l5220:3>475348:4=4>119>64>728:m70<>81824`=::82;6>;n;<02<5<4=116><6?:274?84609089;5220:2>26<5;;3=79>;<02<4<4;m16><6>:21f?846080?i63=193915=::82:69h4=33;5?2?348:4<4:e:?15=7=>916><6>:4d8977?93?370<>808ab>;591;1ni5220:2>gd<5;;3=7ln;<02<4<e1279=5?5b99>64>62k=01??71;`5?846080i963=1939f1=::82:6o=4=33;5?d5348:4<4m0:?15=7=io16><6>:`g8977?93ko70<>808bg>;591;1mo5220:2>dg<5;;3=7o6;<02<4<f0279=5?5a69>64>62j<01??71;a6?846080n<63=1939``=::82:6im4=33;5?be348:4<4ka:?15=7=l016><6>:e:8977?93n<70<>808g2>;591;1h85220:2>a2<5;;3=7j<;<02<4<c9279=5?5d19>64>62jl01??71;af?846080hh63=1939gf=::82:6nl4=33;5?ef348:4<4l9:?15=7=k116><6>:d58977?93o=70<>808f1>;591;1i95220:2>`5<5;;3=7k=;<02<4<b9279=5?5de9>64>62m801??71;a4?846080:<i5220:2>46e348:4<4>0`9>64>628;970<>808255=::82:6<>i;<02<4<68l16><6>:27b?8460808955220:2>630348:4<4<579>64>52>:01??72;52?8460;08?i5220:1>65b348:4?4;e:?15=4==916><6=:5d8977?:3>370<>8386a>;59181:=5220:1>0`<5;;3>7;7;<02<7<en279=5<5be9>64>52kh01??72;`b?8460;0i563=1909f==::8296o94=33;6?d1348:4?4m5:?15=4=j=16><6=:c18977?:3h970<>838a4>;59181mk5220:1>dc<5;;3>7ok;<02<7<fk279=5<5ac9>64>52hk01??72;c:?8460;0j463=1909e2=::8296n84=33;6?e2348:4?4j0:?15=4=ll16><6=:ea8977?:3ni70<>838ge>;59181h45220:1>a><5;;3>7j8;<02<7<c>279=5<5d49>64>52m>01??72;f0?8460;0o=63=1909`5=::8296nh4=33;6?eb348:4?4ld:?15=4=kj16><6=:b`8977?:3ij70<>838`=>;59181o55220:1>`1<5;;3>7k9;<02<7<b=279=5<5e59>64>52l901??72;g1?8460;0n=63=1909`a=::8296i<4=33;6?e0348:4?4>0e9>64>528:i70<>83824d=::8296<?=;<02<7<69916><6=:02e?8460;0:<h5220:1>63f348:4?4<599>64>52:?<70<>838013=::8286:>4=33;7?16348:4>4<3e9>64>42:9n70<>8287a>;591919=5220:0>1`<5;;3?7:7;<02<6<2m279=5=5619>64>42<l01??73;7;?8460:0ij63=1919fa=::8286ol4=33;7?df348:4>4m9:?15=5=j116><6<:c58977?;3h=70<>828a1>;59191n95220:0>g5<5;;3?7l=;<02<6<e8279=5=5ag9>64>42ho01??73;cg?8460:0jo63=1919eg=::8286lo4=33;7?g>348:4>4n8:?15=5=i>16><6<:b48977?;3i>70<>828f4>;59191hh5220:0>ae<5;;3?7jm;<02<6<ci279=5=5d89>64>42m201??73;f4?8460:0o:63=1919`0=::8286i:4=33;7?b4348:4>4k1:?15=5=l916><6<:bd8977?;3in70<>828``>;59191on5220:0>fd<5;;3?7mn;<02<6<d1279=5=5c99>64>42l=01??73;g5?8460:0n963=1919a1=::8286h=4=33;7?c5348:4>4j1:?15=5=lm16><6<:e08977?;3i<70<>82824a=::8286<>m;<02<6<68h16><6<:031?8460:0:==5220:0>46a348:4>4>0d9>64>42:?j70<>82801==::8286>;8;<02<6<4=?16><6;:628977?<3=:70<>85807a=::82?6>=j;<02<1<3m279=5:5519>64>32=l01??74;6;?8460=0>i63=196925=::82?68h4=33;0?3?348:494mf:?15=2=jm16><6;:c`8977?<3hj70<>858a=>;591>1n55220:7>g1<5;;387l9;<02<1<e=279=5:5b59>64>32k901??74;`1?8460=0i<63=1969ec=::82?6lk4=33;0?gc348:494nc:?15=2=ik16><6;:`c8977?<3k270<>858b<>;591>1m:5220:7>f0<5;;387m:;<02<1<b8279=5:5dd9>64>32mi01??74;fa?8460=0om63=1969`<=::82?6i64=33;0?b0348:494k6:?15=2=l<16><6;:e68977?<3n870<>858g5>;591>1h=5220:7>f`<5;;387mj;<02<1<dl279=5:5cb9>64>32jh01??74;ab?8460=0h563=1969g==::82?6h94=33;0?c1348:494j5:?15=2=m=16><6;:d18977?<3o970<>858f5>;591>1hi5220:7>a4<5;;387m8;<02<1<68m16><6;:02a?8460=0:<l5220:7>475348:494>119>64>328:m70<>85824`=::82?6>;n;<02<1<4=116><6;:274?8460=089;5220:5>26<5;;3:79>;<02<3<4;m16><69:21f?8460?0?i63=194915=::82=69h4=33;2?2?348:4;4:e:?15=0=>916><69:4d8977?>3?370<>878ab>;591<1ni5220:5>gd<5;;3:7ln;<02<3<e1279=585b99>64>12k=01??76;`5?8460?0i963=1949f1=::82=6o=4=33;2?d5348:4;4m0:?15=0=io16><69:`g8977?>3ko70<>878bg>;591<1mo5220:5>dg<5;;3:7o6;<02<3<f0279=585a69>64>12j<01??76;a6?8460?0n<63=1949``=::82=6im4=33;2?be348:4;4ka:?15=0=l016><69:e:8977?>3n<70<>878g2>;591<1h85220:5>a2<5;;3:7j<;<02<3<c9279=585d19>64>12jl01??76;af?8460?0hh63=1949gf=::82=6nl4=33;2?ef348:4;4l9:?15=0=k116><69:d58977?>3o=70<>878f1>;591<1i95220:5>`5<5;;3:7k=;<02<3<b9279=585de9>64>12m801??76;a4?8460?0:<i5220:5>46e348:4;4>0`9>64>128;970<>878255=::82=6<>i;<02<3<68l16><69:27b?8460?08955220:5>630348:4;4<579>64>02>:01??77;52?8460>08?i5220:4>65b348:4:4;e:?15=1==916><68:5d8977??3>370<>8686a>;591=1:=5220:4>0`<5;;3;7;7;<02<2<en279=595be9>64>02kh01??77;`b?8460>0i563=1959f==::82<6o94=33;3?d1348:4:4m5:?15=1=j=16><68:c18977??3h970<>868a4>;591=1mk5220:4>dc<5;;3;7ok;<02<2<fk279=595ac9>64>02hk01??77;c:?8460>0j463=1959e2=::82<6n84=33;3?e2348:4:4j0:?15=1=ll16><68:ea8977??3ni70<>868ge>;591=1h45220:4>a><5;;3;7j8;<02<2<c>279=595d49>64>02m>01??77;f0?8460>0o=63=1959`5=::82<6nh4=33;3?eb348:4:4ld:?15=1=kj16><68:b`8977??3ij70<>868`=>;591=1o55220:4>`1<5;;3;7k9;<02<2<b=279=595e59>64>02l901??77;g1?8460>0n=63=1959`a=::82<6i<4=33;3?e0348:4:4>0e9>64>028:i70<>86824d=::82<6<?=;<02<2<69916><68:02e?8460>0:<h5220:4>63f348:4:4<599>64>02:?<70<>868013=::8236:>4=33;<?16348:454<3e9>64>?2:9n70<>8987a>;591219=5220:;>1`<5;;347:7;<02<=<2m279=565619>64>?2<l01??78;7;?846010ij63=19:9fa=::8236ol4=33;<?df348:454m9:?15=>=j116><67:c58977?03h=70<>898a1>;59121n95220:;>g5<5;;347l=;<02<=<e8279=565ag9>64>?2ho01??78;cg?846010jo63=19:9eg=::8236lo4=33;<?g>348:454n8:?15=>=i>16><67:b48977?03i>70<>898f4>;59121hh5220:;>ae<5;;347jm;<02<=<ci279=565d89>64>?2m201??78;f4?846010o:63=19:9`0=::8236i:4=33;<?b4348:454k1:?15=>=l916><67:bd8977?03in70<>898``>;59121on5220:;>fd<5;;347mn;<02<=<d1279=565c99>64>?2l=01??78;g5?846010n963=19:9a1=::8236h=4=33;<?c5348:454j1:?15=>=lm16><67:e08977?03i<70<>89824a=::8236<>m;<02<=<68h16><67:031?846010:==5220:;>46a348:454>0d9>64>?2:?j70<>89801==::8236>;8;<02<=<4=?16><66:628977?13=:70<>88807a=::8226>=j;<02<<<3m279=575519>64>>2=l01??79;6;?846000>i63=19;925=::82268h4=33;=?3?348:444mf:?15=?=jm16><66:c`8977?13hj70<>888a=>;59131n55220::>g1<5;;357l9;<02<<<e=279=575b59>64>>2k901??79;`1?846000i<63=19;9ec=::8226lk4=33;=?gc348:444nc:?15=?=ik16><66:`c8977?13k270<>888b<>;59131m:5220::>f0<5;;357m:;<02<<<b8279=575dd9>64>>2mi01??79;fa?846000om63=19;9`<=::8226i64=33;=?b0348:444k6:?15=?=l<16><66:e68977?13n870<>888g5>;59131h=5220::>f`<5;;357mj;<02<<<dl279=575cb9>64>>2jh01??79;ab?846000h563=19;9g==::8226h94=33;=?c1348:444j5:?15=?=m=16><66:d18977?13o970<>888f5>;59131hi5220::>a4<5;;357m8;<02<<<68m16><66:02a?846000:<l5220::>475348:444>119>64>>28:m70<>88824`=::8226>;n;<02<<<4=116><66:274?84600089;5220:b>26<5;;3m79>;<02<d<4;m16><6n:21f?8460h0?i63=19c915=::82j69h4=33;e?2?348:4l4:e:?15=g=>916><6n:4d8977?i3?370<>8`8ab>;591k1ni5220:b>gd<5;;3m7ln;<02<d<e1279=5o5b99>64>f2k=01??7a;`5?8460h0i963=19c9f1=::82j6o=4=33;e?d5348:4l4m0:?15=g=io16><6n:`g8977?i3ko70<>8`8bg>;591k1mo5220:b>dg<5;;3m7o6;<02<d<f0279=5o5a69>64>f2j<01??7a;a6?8460h0n<63=19c9``=::82j6im4=33;e?be348:4l4ka:?15=g=l016><6n:e:8977?i3n<70<>8`8g2>;591k1h85220:b>a2<5;;3m7j<;<02<d<c9279=5o5d19>64>f2jl01??7a;af?8460h0hh63=19c9gf=::82j6nl4=33;e?ef348:4l4l9:?15=g=k116><6n:d58977?i3o=70<>8`8f1>;591k1i95220:b>`5<5;;3m7k=;<02<d<b9279=5o5de9>64>f2m801??7a;a4?8460h0:<i5220:b>46e348:4l4>0`9>64>f28;970<>8`8255=::82j6<>i;<02<d<68l16><6n:27b?8460h08955220:b>630348:4l4<579>64>e2>:01??7b;52?8460k08?i5220:a>65b348:4o4;e:?15=d==916><6m:5d8977?j3>370<>8c86a>;591h1:=5220:a>0`<5;;3n7;7;<02<g<en279=5l5be9>64>e2kh01??7b;`b?8460k0i563=19`9f==::82i6o94=33;f?d1348:4o4m5:?15=d=j=16><6m:c18977?j3h970<>8c8a4>;591h1mk5220:a>dc<5;;3n7ok;<02<g<fk279=5l5ac9>64>e2hk01??7b;c:?8460k0j463=19`9e2=::82i6n84=33;f?e2348:4o4j0:?15=d=ll16><6m:ea8977?j3ni70<>8c8ge>;591h1h45220:a>a><5;;3n7j8;<02<g<c>279=5l5d49>64>e2m>01??7b;f0?8460k0o=63=19`9`5=::82i6nh4=33;f?eb348:4o4ld:?15=d=kj16><6m:b`8977?j3ij70<>8c8`=>;591h1o55220:a>`1<5;;3n7k9;<02<g<b=279=5l5e59>64>e2l901??7b;g1?8460k0n=63=19`9`a=::82i6i<4=33;f?e0348:4o4>0e9>64>e28:i70<>8c824d=::82i6<?=;<02<g<69916><6m:02e?8460k0:<h5220:a>63f348:4o4<599>64>e2:?<70<>8c8013=::82h6:>4=33;g?16348:4n4<3e9>64>d2:9n70<>8b87a>;591i19=5220:`>1`<5;;3o7:7;<02<f<2m279=5m5619>64>d2<l01??7c;7;?8460j0ij63=19a9fa=::82h6ol4=33;g?df348:4n4m9:?15=e=j116><6l:c58977?k3h=70<>8b8a1>;591i1n95220:`>g5<5;;3o7l=;<02<f<e8279=5m5ag9>64>d2ho01??7c;cg?8460j0jo63=19a9eg=::82h6lo4=33;g?g>348:4n4n8:?15=e=i>16><6l:b48977?k3i>70<>8b8f4>;591i1hh5220:`>ae<5;;3o7jm;<02<f<ci279=5m5d89>64>d2m201??7c;f4?8460j0o:63=19a9`0=::82h6i:4=33;g?b4348:4n4k1:?15=e=l916><6l:bd8977?k3in70<>8b8``>;591i1on5220:`>fd<5;;3o7mn;<02<f<d1279=5m5c99>64>d2l=01??7c;g5?8460j0n963=19a9a1=::82h6h=4=33;g?c5348:4n4j1:?15=e=lm16><6l:e08977?k3i<70<>8b824a=::82h6<>m;<02<f<68h16><6l:031?8460j0:==5220:`>46a348:4n4>0d9>64>d2:?j70<>8b801==::82h6>;8;<02<f<4=?16><6k:628977?l3=:70<>8e807a=::82o6>=j;<02<a<3m279=5j5519>64>c2=l01??7d;6;?8460m0>i63=19f925=::82o68h4=33;`?3?348:4i4mf:?15=b=jm16><6k:c`8977?l3hj70<>8e8a=>;591n1n55220:g>g1<5;;3h7l9;<02<a<e=279=5j5b59>64>c2k901??7d;`1?8460m0i<63=19f9ec=::82o6lk4=33;`?gc348:4i4nc:?15=b=ik16><6k:`c8977?l3k270<>8e8b<>;591n1m:5220:g>f0<5;;3h7m:;<02<a<b8279=5j5dd9>64>c2mi01??7d;fa?8460m0om63=19f9`<=::82o6i64=33;`?b0348:4i4k6:?15=b=l<16><6k:e68977?l3n870<>8e8g5>;591n1h=5220:g>f`<5;;3h7mj;<02<a<dl279=5j5cb9>64>c2jh01??7d;ab?8460m0h563=19f9g==::82o6h94=33;`?c1348:4i4j5:?15=b=m=16><6k:d18977?l3o970<>8e8f5>;591n1hi5220:g>a4<5;;3h7m8;<02<a<68m16><6k:02a?8460m0:<l5220:g>475348:4i4>119>64>c28:m70<>8e824`=::82o6>;n;<02<a<4=116><6k:274?8460m089;5220:f>26<5;;3i79>;<02<`<4;m16><6j:21f?8460l0?i63=19g915=::82n69h4=33;a?2?348:4h4:e:?15=c=>916><6j:4d8977?m3?370<>8d8ab>;591o1ni5220:f>gd<5;;3i7ln;<02<`<e1279=5k5b99>64>b2k=01??7e;`5?8460l0i963=19g9f1=::82n6o=4=33;a?d5348:4h4m0:?15=c=io16><6j:`g8977?m3ko70<>8d8bg>;591o1mo5220:f>dg<5;;3i7o6;<02<`<f0279=5k5a69>64>b2j<01??7e;a6?8460l0n<63=19g9``=::82n6im4=33;a?be348:4h4ka:?15=c=l016><6j:e:8977?m3n<70<>8d8g2>;591o1h85220:f>a2<5;;3i7j<;<02<`<c9279=5k5d19>64>b2jl01??7e;af?8460l0hh63=19g9gf=::82n6nl4=33;a?ef348:4h4l9:?15=c=k116><6j:d58977?m3o=70<>8d8f1>;591o1i95220:f>`5<5;;3i7k=;<02<`<b9279=5k5de9>64>b2m801??7e;a4?8460l0:<i5220:f>46e348:4h4>0`9>64>b28;970<>8d8255=::82n6<>i;<02<`<68l16><6j:27b?8460l08955220:f>630348:4h4<579>64>a2>:01??7f;52?8460o08?i5220:e>65b348:4k4;e:?15=`==916><6i:5d8977?n3>370<>8g86a>;591l1:=5220:e>0`<5;;3j7;7;<02<c<en279=5h5be9>64>a2kh01??7f;`b?8460o0i563=19d9f==::82m6o94=33;b?d1348:4k4m5:?15=`=j=16><6i:c18977?n3h970<>8g8a4>;591l1mk5220:e>dc<5;;3j7ok;<02<c<fk279=5h5ac9>64>a2hk01??7f;c:?8460o0j463=19d9e2=::82m6n84=33;b?e2348:4k4j0:?15=`=ll16><6i:ea8977?n3ni70<>8g8ge>;591l1h45220:e>a><5;;3j7j8;<02<c<c>279=5h5d49>64>a2m>01??7f;f0?8460o0o=63=19d9`5=::82m6nh4=33;b?eb348:4k4ld:?15=`=kj16><6i:b`8977?n3ij70<>8g8`=>;591l1o55220:e>`1<5;;3j7k9;<02<c<b=279=5h5e59>64>a2l901??7f;g1?8460o0n=63=19d9`a=::82m6i<4=33;b?e0348:4k4>0e9>64>a28:i70<>8g824d=::82m6<?=;<02<c<69916><6i:02e?8460o0:<h5220:e>63f348:4k4<599>64>a2:?<70<>8g8013=::83:6:>4=33:5?16348:5<4<3e9>64?62:9n70<>9087a>;590;19=5220;2>1`<5;;2=7:7;<02=4<2m279=4?5619>64?62<l01??61;7;?846180ij63=1839fa=::83:6ol4=33:5?df348:5<4m9:?15<7=j116><7>:c58977>93h=70<>908a1>;590;1n95220;2>g5<5;;2=7l=;<02=4<e8279=4?5ag9>64?62ho01??61;cg?846180jo63=1839eg=::83:6lo4=33:5?g>348:5<4n8:?15<7=i>16><7>:b48977>93i>70<>908f4>;590;1hh5220;2>ae<5;;2=7jm;<02=4<ci279=4?5d89>64?62m201??61;f4?846180o:63=1839`0=::83:6i:4=33:5?b4348:5<4k1:?15<7=l916><7>:bd8977>93in70<>908``>;590;1on5220;2>fd<5;;2=7mn;<02=4<d1279=4?5c99>64?62l=01??61;g5?846180n963=1839a1=::83:6h=4=33:5?c5348:5<4j1:?15<7=lm16><7>:e08977>93i<70<>90824a=::83:6<>m;<02=4<68h16><7>:031?846180:==5220;2>46a348:5<4>0d9>64?62:?j70<>90801==::83:6>;8;<02=4<4=?16><7=:628977>:3=:70<>93807a=::8396>=j;<02=7<3m279=4<5519>64?52=l01??62;6;?8461;0>i63=180925=::83968h4=33:6?3?348:5?4mf:?15<4=jm16><7=:c`8977>:3hj70<>938a=>;59081n55220;1>g1<5;;2>7l9;<02=7<e=279=4<5b59>64?52k901??62;`1?8461;0i<63=1809ec=::8396lk4=33:6?gc348:5?4nc:?15<4=ik16><7=:`c8977>:3k270<>938b<>;59081m:5220;1>f0<5;;2>7m:;<02=7<b8279=4<5dd9>64?52mi01??62;fa?8461;0om63=1809`<=::8396i64=33:6?b0348:5?4k6:?15<4=l<16><7=:e68977>:3n870<>938g5>;59081h=5220;1>f`<5;;2>7mj;<02=7<dl279=4<5cb9>64?52jh01??62;ab?8461;0h563=1809g==::8396h94=33:6?c1348:5?4j5:?15<4=m=16><7=:d18977>:3o970<>938f5>;59081hi5220;1>a4<5;;2>7m8;<02=7<68m16><7=:02a?8461;0:<l5220;1>475348:5?4>119>64?528:m70<>93824`=::8396>;n;<02=7<4=116><7=:274?8461;089;5220;7>65c348:594<3d9>64?32kl01??64;`g?8461=0in63=1869fd=::83?6o74=33:0?d?348:594m7:?15<2=j?16><7;:c78977><3h?70<>958a7>;590>1n?5220;7>g6<5;;287oi;<02=1<fm279=4:5ae9>64?32hi01??64;ca?8461=0jm63=1869e<=::83?6l64=33:0?g0348:594l6:?15<2=k<16><7;:b68977><3i870<>958`6>;590>1o<5220;7>f6<5;;287ll;<02=1<e9279=4:5e19>64?32mo01??64;f`?8461=0on63=1869`d=::83?6i74=33:0?b?348:594k7:?15<2=l?16><7;:e78977><3n?70<>958g7>;590>1h<5220;7>a6<5;;287mi;<02=1<dm279=4:5ce9>64?32ji01??64;aa?8461=0hm63=1869g<=::83?6n64=33:0?c0348:594j6:?15<2=m<16><7;:d68977><3o870<>958f6>;590>1i<5220;7>ab<5;;287j=;<02=1<d?279=4:511f8977><3;;n63=186955g<5;;287??9:?15<2=98801??64;324>;590>1==h4=33:0?77m279=4:534c8977><39>463=1869701<5;;287=:6:?15<5=?916><7<:638977>;398h63=181976c<5;;2?7li;<02=6<el279=4=5bc9>64?42kk01??63;`:?8461:0i463=1819f2=::8386o84=33:7?d2348:5>4m4:?15<5=j:16><7<:c08977>;3h;70<>928bb>;59091mh5220;0>db<5;;2?7ol;<02=6<fj279=4=5a`9>64?42h301??63;c;?8461:0j;63=1819g3=::8386n;4=33:7?e3348:5>4l3:?15<5=k;16><7<:b38977>;3i;70<>928ag>;59091n<5220;0>`6<5;;2?7jj;<02=6<ck279=4=5dc9>64?42mk01??63;f:?8461:0o463=1819`2=::8386i84=33:7?b2348:5>4k4:?15<5=l:16><7<:e38977>;3n;70<>928`b>;59091oh5220;0>fb<5;;2?7ml;<02=6<dj279=4=5c`9>64?42j301??63;a;?8461:0n;63=1819a3=::8386h;4=33:7?c3348:5>4j3:?15<5=m;16><7<:d38977>;3no70<>928g6>;59091o:5220;0>46c348:5>4>0c9>64?428:j70<>92824<=::8386<?=;<02=6<69916><7<:02e?8461:0:<h5220;0>63f348:5>4<599>64?42:?<70<>928013=::8336>=k;<02==<4;l16><77:cd8977>03ho70<>998af>;59021nl5220;;>g?<5;;247l7;<02==<e?279=465b79>64??2k?01??68;`7?846110i?63=18:9f7=::8336o>4=33:<?ga348:554ne:?15<>=im16><77:`a8977>03ki70<>998be>;59021m45220;;>d><5;;247o8;<02==<d>279=465c49>64??2j>01??68;a0?846110h>63=18:9g4=::8336n>4=33:<?dd348:554m1:?15<>=m916><77:eg8977>03nh70<>998gf>;59021hl5220;;>a?<5;;247j7;<02==<c?279=465d79>64??2m?01??68;f7?846110o?63=18:9`4=::8336i>4=33:<?ea348:554le:?15<>=km16><77:ba8977>03ii70<>998`e>;59021o45220;;>f><5;;247k8;<02==<b>279=465e49>64??2l>01??68;g0?846110n>63=18:9a4=::8336ij4=33:<?b5348:554l7:?15<>=99n01??68;33f>;59021==o4=33:<?771279=4651008977>03;:<63=18:955`<5;;247??e:?15<>=;<k01??68;16<>;59021?894=33:<?52>279=495719>64?02>;01??67;10`>;590=1?>k4=33:3?da348:5:4md:?15<1=jk16><78:cc8977>?3h270<>968a<>;590=1n:5220;4>g0<5;;2;7l:;<02=2<e<279=495b29>64?02k801??67;`3?8461>0jj63=1859e`=::83<6lj4=33:3?gd348:5:4nb:?15<1=ih16><78:`;8977>?3k370<>968b3>;590=1o;5220;4>f3<5;;2;7m;;<02=2<d;279=495c39>64?02j;01??67;a3?8461>0io63=1859f4=::83<6h>4=33:3?bb348:5:4kc:?15<1=lk16><78:ec8977>?3n270<>968g<>;590=1h:5220;4>a0<5;;2;7j:;<02=2<c<279=495d29>64?02m;01??67;f3?8461>0hj63=1859g`=::83<6nj4=33:3?ed348:5:4lb:?15<1=kh16><78:b;8977>?3i370<>968f3>;590=1i;5220;4>`3<5;;2;7k;;<02=2<b;279=495e39>64?02l;01??67;fg?8461>0o>63=1859g2=::83<6<>k;<02=2<68k16><78:02b?8461>0:<45220;4>475348:5:4>119>64?028:m70<>96824`=::83<6>;n;<02=2<4=116><78:274?8461>089;5220;`>65c348:5n4<3d9>64?d2kl01??6c;`g?8461j0in63=18a9fd=::83h6o74=33:g?d?348:5n4m7:?15<e=j?16><7l:c78977>k3h?70<>9b8a7>;590i1n?5220;`>g6<5;;2o7oi;<02=f<fm279=4m5ae9>64?d2hi01??6c;ca?8461j0jm63=18a9e<=::83h6l64=33:g?g0348:5n4l6:?15<e=k<16><7l:b68977>k3i870<>9b8`6>;590i1o<5220;`>f6<5;;2o7ll;<02=f<e9279=4m5e19>64?d2mo01??6c;f`?8461j0on63=18a9`d=::83h6i74=33:g?b?348:5n4k7:?15<e=l?16><7l:e78977>k3n?70<>9b8g7>;590i1h<5220;`>a6<5;;2o7mi;<02=f<dm279=4m5ce9>64?d2ji01??6c;aa?8461j0hm63=18a9g<=::83h6n64=33:g?c0348:5n4j6:?15<e=m<16><7l:d68977>k3o870<>9b8f6>;590i1i<5220;`>ab<5;;2o7j=;<02=f<d?279=4m511f8977>k3;;n63=18a955g<5;;2o7??9:?15<e=98801??6c;324>;590i1==h4=33:g?77m279=4m534c8977>k39>463=18a9701<5;;2o7=:6:?15<d=?916><7m:638977>j398h63=18`976c<5;;2n7li;<02=g<el279=4l5bc9>64?e2kk01??6b;`:?8461k0i463=18`9f2=::83i6o84=33:f?d2348:5o4m4:?15<d=j:16><7m:c08977>j3h;70<>9c8bb>;590h1mh5220;a>db<5;;2n7ol;<02=g<fj279=4l5a`9>64?e2h301??6b;c;?8461k0j;63=18`9g3=::83i6n;4=33:f?e3348:5o4l3:?15<d=k;16><7m:b38977>j3i;70<>9c8ag>;590h1n<5220;a>`6<5;;2n7jj;<02=g<ck279=4l5dc9>64?e2mk01??6b;f:?8461k0o463=18`9`2=::83i6i84=33:f?b2348:5o4k4:?15<d=l:16><7m:e38977>j3n;70<>9c8`b>;590h1oh5220;a>fb<5;;2n7ml;<02=g<dj279=4l5c`9>64?e2j301??6b;a;?8461k0n;63=18`9a3=::83i6h;4=33:f?c3348:5o4j3:?15<d=m;16><7m:d38977>j3no70<>9c8g6>;590h1o:5220;a>46c348:5o4>0c9>64?e28:j70<>9c824<=::83i6<?=;<02=g<69916><7m:02e?8461k0:<h5220;a>63f348:5o4<599>64?e2:?<70<>9c8013=::8k;6>=k;<02e5<4;l16><o?:cd8977f83ho70<>a18af>;59h:1nl5220c3>g?<5;;j<7l7;<02e5<e?279=l>5b79>64g72k?01??n0;`7?846i90i?63=1`29f7=::8k;6o>4=33b4?ga348:m=4ne:?15d6=im16><o?:`a8977f83ki70<>a18be>;59h:1m45220c3>d><5;;j<7o8;<02e5<d>279=l>5c49>64g72j>01??n0;a0?846i90h>63=1`29g4=::8k;6n>4=33b4?dd348:m=4m1:?15d6=m916><o?:eg8977f83nh70<>a18gf>;59h:1hl5220c3>a?<5;;j<7j7;<02e5<c?279=l>5d79>64g72m?01??n0;f7?846i90o?63=1`29`4=::8k;6i>4=33b4?ea348:m=4le:?15d6=km16><o?:ba8977f83ii70<>a18`e>;59h:1o45220c3>f><5;;j<7k8;<02e5<b>279=l>5e49>64g72l>01??n0;g0?846i90n>63=1`29a4=::8k;6ij4=33b4?b5348:m=4l7:?15d6=99n01??n0;33f>;59h:1==o4=33b4?771279=l>51008977f83;:<63=1`2955`<5;;j<7??e:?15d6=;<k01??n0;16<>;59h:1?894=33b4?52>279=4h5719>64?a2>;01??6f;10`>;590l1?>k4=33:b?da348:5k4md:?15<`=jk16><7i:cc8977>n3h270<>9g8a<>;590l1n:5220;e>g0<5;;2j7l:;<02=c<e<279=4h5b29>64?a2k801??6f;`3?8461o0jj63=18d9e`=::83m6lj4=33:b?gd348:5k4nb:?15<`=ih16><7i:`;8977>n3k370<>9g8b3>;590l1o;5220;e>f3<5;;2j7m;;<02=c<d;279=4h5c39>64?a2j;01??6f;a3?8461o0io63=18d9f4=::83m6h>4=33:b?bb348:5k4kc:?15<`=lk16><7i:ec8977>n3n270<>9g8g<>;590l1h:5220;e>a0<5;;2j7j:;<02=c<c<279=4h5d29>64?a2m;01??6f;f3?8461o0hj63=18d9g`=::83m6nj4=33:b?ed348:5k4lb:?15<`=kh16><7i:b;8977>n3i370<>9g8f3>;590l1i;5220;e>`3<5;;2j7k;;<02=c<b;279=4h5e39>64?a2l;01??6f;fg?8461o0o>63=18d9g2=::83m6<>k;<02=c<68k16><7i:02b?8461o0:<45220;e>475348:5k4>119>64?a28:m70<>9g824`=::83m6>;n;<02=c<4=116><7i:274?8461o089;5220c7>65c348:m94<3d9>64g32kl01??n4;`g?846i=0in63=1`69fd=::8k?6o74=33b0?d?348:m94m7:?15d2=j?16><o;:c78977f<3h?70<>a58a7>;59h>1n?5220c7>g6<5;;j87oi;<02e1<fm279=l:5ae9>64g32hi01??n4;ca?846i=0jm63=1`69e<=::8k?6l64=33b0?g0348:m94l6:?15d2=k<16><o;:b68977f<3i870<>a58`6>;59h>1o<5220c7>f6<5;;j87ll;<02e1<e9279=l:5e19>64g32mo01??n4;f`?846i=0on63=1`69`d=::8k?6i74=33b0?b?348:m94k7:?15d2=l?16><o;:e78977f<3n?70<>a58g7>;59h>1h<5220c7>a6<5;;j87mi;<02e1<dm279=l:5ce9>64g32ji01??n4;aa?846i=0hm63=1`69g<=::8k?6n64=33b0?c0348:m94j6:?15d2=m<16><o;:d68977f<3o870<>a58f6>;59h>1i<5220c7>ab<5;;j87j=;<02e1<d?279=l:511f8977f<3;;n63=1`6955g<5;;j87??9:?15d2=98801??n4;324>;59h>1==h4=33b0?77m279=l:534c8977f<39>463=1`69701<5;;j87=:6:?15d5=?916><o<:638977f;398h63=1`1976c<5;;j?7li;<02e6<el279=l=5bc9>64g42kk01??n3;`:?846i:0i463=1`19f2=::8k86o84=33b7?d2348:m>4m4:?15d5=j:16><o<:c08977f;3h;70<>a28bb>;59h91mh5220c0>db<5;;j?7ol;<02e6<fj279=l=5a`9>64g42h301??n3;c;?846i:0j;63=1`19g3=::8k86n;4=33b7?e3348:m>4l3:?15d5=k;16><o<:b38977f;3i;70<>a28ag>;59h91n<5220c0>`6<5;;j?7jj;<02e6<ck279=l=5dc9>64g42mk01??n3;f:?846i:0o463=1`19`2=::8k86i84=33b7?b2348:m>4k4:?15d5=l:16><o<:e38977f;3n;70<>a28`b>;59h91oh5220c0>fb<5;;j?7ml;<02e6<dj279=l=5c`9>64g42j301??n3;a;?846i:0n;63=1`19a3=::8k86h;4=33b7?c3348:m>4j3:?15d5=m;16><o<:d38977f;3no70<>a28g6>;59h91o:5220c0>46c348:m>4>0c9>64g428:j70<>a2824<=::8k86<?=;<02e6<69916><o<:02e?846i:0:<h5220c0>63f348:m>4<599>64g42:?<70<>a28013=::8k36>=k;<02e=<4;l16><o7:cd8977f03ho70<>a98af>;59h21nl5220c;>g?<5;;j47l7;<02e=<e?279=l65b79>64g?2k?01??n8;`7?846i10i?63=1`:9f7=::8k36o>4=33b<?ga348:m54ne:?15d>=im16><o7:`a8977f03ki70<>a98be>;59h21m45220c;>d><5;;j47o8;<02e=<d>279=l65c49>64g?2j>01??n8;a0?846i10h>63=1`:9g4=::8k36n>4=33b<?dd348:m54m1:?15d>=m916><o7:eg8977f03nh70<>a98gf>;59h21hl5220c;>a?<5;;j47j7;<02e=<c?279=l65d79>64g?2m?01??n8;f7?846i10o?63=1`:9`4=::8k36i>4=33b<?ea348:m54le:?15d>=km16><o7:ba8977f03ii70<>a98`e>;59h21o45220c;>f><5;;j47k8;<02e=<b>279=l65e49>64g?2l>01??n8;g0?846i10n>63=1`:9a4=::8k36ij4=33b<?b5348:m54l7:?15d>=99n01??n8;33f>;59h21==o4=33b<?771279=l651008977f03;:<63=1`:955`<5;;j47??e:?15d>=;<k01??n8;16<>;59h21?894=33b<?52>279=l95719>64g02>;01??n7;10`>;59h=1?>k4=33b3?da348:m:4md:?15d1=jk16><o8:cc8977f?3h270<>a68a<>;59h=1n:5220c4>g0<5;;j;7l:;<02e2<e<279=l95b29>64g02k801??n7;`3?846i>0jj63=1`59e`=::8k<6lj4=33b3?gd348:m:4nb:?15d1=ih16><o8:`;8977f?3k370<>a68b3>;59h=1o;5220c4>f3<5;;j;7m;;<02e2<d;279=l95c39>64g02j;01??n7;a3?846i>0io63=1`59f4=::8k<6h>4=33b3?bb348:m:4kc:?15d1=lk16><o8:ec8977f?3n270<>a68g<>;59h=1h:5220c4>a0<5;;j;7j:;<02e2<c<279=l95d29>64g02m;01??n7;f3?846i>0hj63=1`59g`=::8k<6nj4=33b3?ed348:m:4lb:?15d1=kh16><o8:b;8977f?3i370<>a68f3>;59h=1i;5220c4>`3<5;;j;7k;;<02e2<b;279=l95e39>64g02l;01??n7;fg?846i>0o>63=1`59g2=::8k<6<>k;<02e2<68k16><o8:02b?846i>0:<45220c4>475348:m:4>119>64g028:m70<>a6824`=::8k<6>;n;<02e2<4=116><o8:274?846i>089;5220c`>65c348:mn4<3d9>64gd2kl01??nc;`g?846ij0in63=1`a9fd=::8kh6o74=33bg?d?348:mn4m7:?15de=j?16><ol:c78977fk3h?70<>ab8a7>;59hi1n?5220c`>g6<5;;jo7oi;<02ef<fm279=lm5ae9>64gd2hi01??nc;ca?846ij0jm63=1`a9e<=::8kh6l64=33bg?g0348:mn4l6:?15de=k<16><ol:b68977fk3i870<>ab8`6>;59hi1o<5220c`>f6<5;;jo7ll;<02ef<e9279=lm5e19>64gd2mo01??nc;f`?846ij0on63=1`a9`d=::8kh6i74=33bg?b?348:mn4k7:?15de=l?16><ol:e78977fk3n?70<>ab8g7>;59hi1h<5220c`>a6<5;;jo7mi;<02ef<dm279=lm5ce9>64gd2ji01??nc;aa?846ij0hm63=1`a9g<=::8kh6n64=33bg?c0348:mn4j6:?15de=m<16><ol:d68977fk3o870<>ab8f6>;59hi1i<5220c`>ab<5;;jo7j=;<02ef<d?279=lm511f8977fk3;;n63=1`a955g<5;;jo7??9:?15de=98801??nc;324>;59hi1==h4=33bg?77m279=lm534c8977fk39>463=1`a9701<5;;jo7=:6:?15dd=?916><om:638977fj398h63=1``976c<5;;jn7li;<02eg<el279=ll5bc9>64ge2kk01??nb;`:?846ik0i463=1``9f2=::8ki6o84=33bf?d2348:mo4m4:?15dd=j:16><om:c08977fj3h;70<>ac8bb>;59hh1mh5220ca>db<5;;jn7ol;<02eg<fj279=ll5a`9>64ge2h301??nb;c;?846ik0j;63=1``9g3=::8ki6n;4=33bf?e3348:mo4l3:?15dd=k;16><om:b38977fj3i;70<>ac8ag>;59hh1n<5220ca>`6<5;;jn7jj;<02eg<ck279=ll5dc9>64ge2mk01??nb;f:?846ik0o463=1``9`2=::8ki6i84=33bf?b2348:mo4k4:?15dd=l:16><om:e38977fj3n;70<>ac8`b>;59hh1oh5220ca>fb<5;;jn7ml;<02eg<dj279=ll5c`9>64ge2j301??nb;a;?846ik0n;63=1``9a3=::8ki6h;4=33bf?c3348:mo4j3:?15dd=m;16><om:d38977fj3no70<>ac8g6>;59hh1o:5220ca>46c348:mo4>0c9>64ge28:j70<>ac824<=::8ki6<?=;<02eg<69916><om:02e?846ik0:<h5220ca>63f348:mo4<599>64ge2:?<70<>ac8013=::8h;6>=k;<02f5<4;l16><l?:cd8977e83ho70<>b18af>;59k:1nl5220`3>g?<5;;i<7l7;<02f5<e?279=o>5b79>64d72k?01??m0;`7?846j90i?63=1c29f7=::8h;6o>4=33a4?ga348:n=4ne:?15g6=im16><l?:`a8977e83ki70<>b18be>;59k:1m45220`3>d><5;;i<7o8;<02f5<d>279=o>5c49>64d72j>01??m0;a0?846j90h>63=1c29g4=::8h;6n>4=33a4?dd348:n=4m1:?15g6=m916><l?:eg8977e83nh70<>b18gf>;59k:1hl5220`3>a?<5;;i<7j7;<02f5<c?279=o>5d79>64d72m?01??m0;f7?846j90o?63=1c29`4=::8h;6i>4=33a4?ea348:n=4le:?15g6=km16><l?:ba8977e83ii70<>b18`e>;59k:1o45220`3>f><5;;i<7k8;<02f5<b>279=o>5e49>64d72l>01??m0;g0?846j90n>63=1c29a4=::8h;6ij4=33a4?b5348:n=4l7:?15g6=99n01??m0;33f>;59k:1==o4=33a4?771279=o>51008977e83;:<63=1c2955`<5;;i<7??e:?15g6=;<k01??m0;16<>;59k:1?894=33a4?52>279=lh5719>64ga2>;01??nf;10`>;59hl1?>k4=33bb?da348:mk4md:?15d`=jk16><oi:cc8977fn3h270<>ag8a<>;59hl1n:5220ce>g0<5;;jj7l:;<02ec<e<279=lh5b29>64ga2k801??nf;`3?846io0jj63=1`d9e`=::8km6lj4=33bb?gd348:mk4nb:?15d`=ih16><oi:`;8977fn3k370<>ag8b3>;59hl1o;5220ce>f3<5;;jj7m;;<02ec<d;279=lh5c39>64ga2j;01??nf;a3?846io0io63=1`d9f4=::8km6h>4=33bb?bb348:mk4kc:?15d`=lk16><oi:ec8977fn3n270<>ag8g<>;59hl1h:5220ce>a0<5;;jj7j:;<02ec<c<279=lh5d29>64ga2m;01??nf;f3?846io0hj63=1`d9g`=::8km6nj4=33bb?ed348:mk4lb:?15d`=kh16><oi:b;8977fn3i370<>ag8f3>;59hl1i;5220ce>`3<5;;jj7k;;<02ec<b;279=lh5e39>64ga2l;01??nf;fg?846io0o>63=1`d9g2=::8km6<>k;<02ec<68k16><oi:02b?846io0:<45220ce>475348:mk4>119>64ga28:m70<>ag824`=::8km6>;n;<02ec<4=116><oi:274?846io089;5220`5>65c348:n;4<3d9>64d12kl01??m6;`g?846j?0in63=1c49fd=::8h=6o74=33a2?d?348:n;4m7:?15g0=j?16><l9:c78977e>3h?70<>b78a7>;59k<1n?5220`5>g6<5;;i:7oi;<02f3<fm279=o85ae9>64d12hi01??m6;ca?846j?0jm63=1c49e<=::8h=6l64=33a2?g0348:n;4l6:?15g0=k<16><l9:b68977e>3i870<>b78`6>;59k<1o<5220`5>f6<5;;i:7ll;<02f3<e9279=o85e19>64d12mo01??m6;f`?846j?0on63=1c49`d=::8h=6i74=33a2?b?348:n;4k7:?15g0=l?16><l9:e78977e>3n?70<>b78g7>;59k<1h<5220`5>a6<5;;i:7mi;<02f3<dm279=o85ce9>64d12ji01??m6;aa?846j?0hm63=1c49g<=::8h=6n64=33a2?c0348:n;4j6:?15g0=m<16><l9:d68977e>3o870<>b78f6>;59k<1i<5220`5>ab<5;;i:7j=;<02f3<d?279=o8511f8977e>3;;n63=1c4955g<5;;i:7??9:?15g0=98801??m6;324>;59k<1==h4=33a2?77m279=o8534c8977e>39>463=1c49701<5;;i:7=:6:?15g3=?916><l::638977e=398h63=1c7976c<5;;i97li;<02f0<el279=o;5bc9>64d22kk01??m5;`:?846j<0i463=1c79f2=::8h>6o84=33a1?d2348:n84m4:?15g3=j:16><l::c08977e=3h;70<>b48bb>;59k?1mh5220`6>db<5;;i97ol;<02f0<fj279=o;5a`9>64d22h301??m5;c;?846j<0j;63=1c79g3=::8h>6n;4=33a1?e3348:n84l3:?15g3=k;16><l::b38977e=3i;70<>b48ag>;59k?1n<5220`6>`6<5;;i97jj;<02f0<ck279=o;5dc9>64d22mk01??m5;f:?846j<0o463=1c79`2=::8h>6i84=33a1?b2348:n84k4:?15g3=l:16><l::e38977e=3n;70<>b48`b>;59k?1oh5220`6>fb<5;;i97ml;<02f0<dj279=o;5c`9>64d22j301??m5;a;?846j<0n;63=1c79a3=::8h>6h;4=33a1?c3348:n84j3:?15g3=m;16><l::d38977e=3no70<>b48g6>;59k?1o:5220`6>46c348:n84>0c9>64d228:j70<>b4824<=::8h>6<?=;<02f0<69916><l::02e?846j<0:<h5220`6>63f348:n84<599>64d22:?<70<>b48013=::8hj6>=k;<02fd<4;l16><ln:cd8977ei3ho70<>b`8af>;59kk1nl5220`b>g?<5;;im7l7;<02fd<e?279=oo5b79>64df2k?01??ma;`7?846jh0i?63=1cc9f7=::8hj6o>4=33ae?ga348:nl4ne:?15gg=im16><ln:`a8977ei3ki70<>b`8be>;59kk1m45220`b>d><5;;im7o8;<02fd<d>279=oo5c49>64df2j>01??ma;a0?846jh0h>63=1cc9g4=::8hj6n>4=33ae?dd348:nl4m1:?15gg=m916><ln:eg8977ei3nh70<>b`8gf>;59kk1hl5220`b>a?<5;;im7j7;<02fd<c?279=oo5d79>64df2m?01??ma;f7?846jh0o?63=1cc9`4=::8hj6i>4=33ae?ea348:nl4le:?15gg=km16><ln:ba8977ei3ii70<>b`8`e>;59kk1o45220`b>f><5;;im7k8;<02fd<b>279=oo5e49>64df2l>01??ma;g0?846jh0n>63=1cc9a4=::8hj6ij4=33ae?b5348:nl4l7:?15gg=99n01??ma;33f>;59kk1==o4=33ae?771279=oo51008977ei3;:<63=1cc955`<5;;im7??e:?15gg=;<k01??ma;16<>;59kk1?894=33ae?52>279=o75719>64d>2>;01??m9;10`>;59k31?>k4=33a=?da348:n44md:?15g?=jk16><l6:cc8977e13h270<>b88a<>;59k31n:5220`:>g0<5;;i57l:;<02f<<e<279=o75b29>64d>2k801??m9;`3?846j00jj63=1c;9e`=::8h26lj4=33a=?gd348:n44nb:?15g?=ih16><l6:`;8977e13k370<>b88b3>;59k31o;5220`:>f3<5;;i57m;;<02f<<d;279=o75c39>64d>2j;01??m9;a3?846j00io63=1c;9f4=::8h26h>4=33a=?bb348:n44kc:?15g?=lk16><l6:ec8977e13n270<>b88g<>;59k31h:5220`:>a0<5;;i57j:;<02f<<c<279=o75d29>64d>2m;01??m9;f3?846j00hj63=1c;9g`=::8h26nj4=33a=?ed348:n44lb:?15g?=kh16><l6:b;8977e13i370<>b88f3>;59k31i;5220`:>`3<5;;i57k;;<02f<<b;279=o75e39>64d>2l;01??m9;fg?846j00o>63=1c;9g2=::8h26<>k;<02f<<68k16><l6:02b?846j00:<45220`:>475348:n44>119>64d>28:m70<>b8824`=::8h26>;n;<02f<<4=116><l6:274?846j0089;5220`f>65c348:nh4<3d9>64db2kl01??me;`g?846jl0in63=1cg9fd=::8hn6o74=33aa?d?348:nh4m7:?15gc=j?16><lj:c78977em3h?70<>bd8a7>;59ko1n?5220`f>g6<5;;ii7oi;<02f`<fm279=ok5ae9>64db2hi01??me;ca?846jl0jm63=1cg9e<=::8hn6l64=33aa?g0348:nh4l6:?15gc=k<16><lj:b68977em3i870<>bd8`6>;59ko1o<5220`f>f6<5;;ii7ll;<02f`<e9279=ok5e19>64db2mo01??me;f`?846jl0on63=1cg9`d=::8hn6i74=33aa?b?348:nh4k7:?15gc=l?16><lj:e78977em3n?70<>bd8g7>;59ko1h<5220`f>a6<5;;ii7mi;<02f`<dm279=ok5ce9>64db2ji01??me;aa?846jl0hm63=1cg9g<=::8hn6n64=33aa?c0348:nh4j6:?15gc=m<16><lj:d68977em3o870<>bd8f6>;59ko1i<5220`f>ab<5;;ii7j=;<02f`<d?279=ok511f8977em3;;n63=1cg955g<5;;ii7??9:?15gc=98801??me;324>;59ko1==h4=33aa?77m279=ok534c8977em39>463=1cg9701<5;;ii7=:6:?15gb=?916><lk:638977el398h63=1cf976c<5;;ih7li;<02fa<el279=oj5bc9>64dc2kk01??md;`:?846jm0i463=1cf9f2=::8ho6o84=33a`?d2348:ni4m4:?15gb=j:16><lk:c08977el3h;70<>be8bb>;59kn1mh5220`g>db<5;;ih7ol;<02fa<fj279=oj5a`9>64dc2h301??md;c;?846jm0j;63=1cf9g3=::8ho6n;4=33a`?e3348:ni4l3:?15gb=k;16><lk:b38977el3i;70<>be8ag>;59kn1n<5220`g>`6<5;;ih7jj;<02fa<ck279=oj5dc9>64dc2mk01??md;f:?846jm0o463=1cf9`2=::8ho6i84=33a`?b2348:ni4k4:?15gb=l:16><lk:e38977el3n;70<>be8`b>;59kn1oh5220`g>fb<5;;ih7ml;<02fa<dj279=oj5c`9>64dc2j301??md;a;?846jm0n;63=1cf9a3=::8ho6h;4=33a`?c3348:ni4j3:?15gb=m;16><lk:d38977el3no70<>be8g6>;59kn1o:5220`g>46c348:ni4>0c9>64dc28:j70<>be824<=::8ho6<?=;<02fa<69916><lk:02e?846jm0:<h5220`g>63f348:ni4<599>64dc2:?<70<>be8013=::8i96>=k;<02g7<4;l16><m=:cd8977d:3ho70<>c38af>;59j81nl5220a1>g?<5;;h>7l7;<02g7<e?279=n<5b79>64e52k?01??l2;`7?846k;0i?63=1b09f7=::8i96o>4=33`6?ga348:o?4ne:?15f4=im16><m=:`a8977d:3ki70<>c38be>;59j81m45220a1>d><5;;h>7o8;<02g7<d>279=n<5c49>64e52j>01??l2;a0?846k;0h>63=1b09g4=::8i96n>4=33`6?dd348:o?4m1:?15f4=m916><m=:eg8977d:3nh70<>c38gf>;59j81hl5220a1>a?<5;;h>7j7;<02g7<c?279=n<5d79>64e52m?01??l2;f7?846k;0o?63=1b09`4=::8i96i>4=33`6?ea348:o?4le:?15f4=km16><m=:ba8977d:3ii70<>c38`e>;59j81o45220a1>f><5;;h>7k8;<02g7<b>279=n<5e49>64e52l>01??l2;g0?846k;0n>63=1b09a4=::8i96ij4=33`6?b5348:o?4l7:?15f4=99n01??l2;33f>;59j81==o4=33`6?771279=n<51008977d:3;:<63=1b0955`<5;;h>7??e:?15f4=;<k01??l2;16<>;59j81?894=33`6?52>279=n?5719>64e62>;01??l1;10`>;59j;1?>k4=33`5?da348:o<4md:?15f7=jk16><m>:cc8977d93h270<>c08a<>;59j;1n:5220a2>g0<5;;h=7l:;<02g4<e<279=n?5b29>64e62k801??l1;`3?846k80jj63=1b39e`=::8i:6lj4=33`5?gd348:o<4nb:?15f7=ih16><m>:`;8977d93k370<>c08b3>;59j;1o;5220a2>f3<5;;h=7m;;<02g4<d;279=n?5c39>64e62j;01??l1;a3?846k80io63=1b39f4=::8i:6h>4=33`5?bb348:o<4kc:?15f7=lk16><m>:ec8977d93n270<>c08g<>;59j;1h:5220a2>a0<5;;h=7j:;<02g4<c<279=n?5d29>64e62m;01??l1;f3?846k80hj63=1b39g`=::8i:6nj4=33`5?ed348:o<4lb:?15f7=kh16><m>:b;8977d93i370<>c08f3>;59j;1i;5220a2>`3<5;;h=7k;;<02g4<b;279=n?5e39>64e62l;01??l1;fg?846k80o>63=1b39g2=::8i:6<>k;<02g4<68k16><m>:02b?846k80:<45220a2>475348:o<4>119>64e628:m70<>c0824`=::8i:6>;n;<02g4<4=116><m>:274?846k8089;5220a5>65c348:o;4<3d9>64e12kl01??l6;`g?846k?0in63=1b49fd=::8i=6o74=33`2?d?348:o;4m7:?15f0=j?16><m9:c78977d>3h?70<>c78a7>;59j<1n?5220a5>g6<5;;h:7oi;<02g3<fm279=n85ae9>64e12hi01??l6;ca?846k?0jm63=1b49e<=::8i=6l64=33`2?g0348:o;4l6:?15f0=k<16><m9:b68977d>3i870<>c78`6>;59j<1o<5220a5>f6<5;;h:7ll;<02g3<e9279=n85e19>64e12mo01??l6;f`?846k?0on63=1b49`d=::8i=6i74=33`2?b?348:o;4k7:?15f0=l?16><m9:e78977d>3n?70<>c78g7>;59j<1h<5220a5>a6<5;;h:7mi;<02g3<dm279=n85ce9>64e12ji01??l6;aa?846k?0hm63=1b49g<=::8i=6n64=33`2?c0348:o;4j6:?15f0=m<16><m9:d68977d>3o870<>c78f6>;59j<1i<5220a5>ab<5;;h:7j=;<02g3<d?279=n8511f8977d>3;;n63=1b4955g<5;;h:7??9:?15f0=98801??l6;324>;59j<1==h4=33`2?77m279=n8534c8977d>39>463=1b49701<5;;h:7=:6:?15f3=?916><m::638977d=398h63=1b7976c<5;;h97li;<02g0<el279=n;5bc9>64e22kk01??l5;`:?846k<0i463=1b79f2=::8i>6o84=33`1?d2348:o84m4:?15f3=j:16><m::c08977d=3h;70<>c48bb>;59j?1mh5220a6>db<5;;h97ol;<02g0<fj279=n;5a`9>64e22h301??l5;c;?846k<0j;63=1b79g3=::8i>6n;4=33`1?e3348:o84l3:?15f3=k;16><m::b38977d=3i;70<>c48ag>;59j?1n<5220a6>`6<5;;h97jj;<02g0<ck279=n;5dc9>64e22mk01??l5;f:?846k<0o463=1b79`2=::8i>6i84=33`1?b2348:o84k4:?15f3=l:16><m::e38977d=3n;70<>c48`b>;59j?1oh5220a6>fb<5;;h97ml;<02g0<dj279=n;5c`9>64e22j301??l5;a;?846k<0n;63=1b79a3=::8i>6h;4=33`1?c3348:o84j3:?15f3=m;16><m::d38977d=3no70<>c48g6>;59j?1o:5220a6>46c348:o84>0c9>64e228:j70<>c4824<=::8i>6<?=;<02g0<69916><m::02e?846k<0:<h5220a6>63f348:o84<599>64e22:?<70<>c48013=::8ij6>=k;<02gd<4;l16><mn:cd8977di3ho70<>c`8af>;59jk1nl5220ab>g?<5;;hm7l7;<02gd<e?279=no5b79>64ef2k?01??la;`7?846kh0i?63=1bc9f7=::8ij6o>4=33`e?ga348:ol4ne:?15fg=im16><mn:`a8977di3ki70<>c`8be>;59jk1m45220ab>d><5;;hm7o8;<02gd<d>279=no5c49>64ef2j>01??la;a0?846kh0h>63=1bc9g4=::8ij6n>4=33`e?dd348:ol4m1:?15fg=m916><mn:eg8977di3nh70<>c`8gf>;59jk1hl5220ab>a?<5;;hm7j7;<02gd<c?279=no5d79>64ef2m?01??la;f7?846kh0o?63=1bc9`4=::8ij6i>4=33`e?ea348:ol4le:?15fg=km16><mn:ba8977di3ii70<>c`8`e>;59jk1o45220ab>f><5;;hm7k8;<02gd<b>279=no5e49>64ef2l>01??la;g0?846kh0n>63=1bc9a4=::8ij6ij4=33`e?b5348:ol4l7:?15fg=99n01??la;33f>;59jk1==o4=33`e?771279=no51008977di3;:<63=1bc955`<5;;hm7??e:?15fg=;<k01??la;16<>;59jk1?894=33`e?52>279=n75719>64e>2>;01??l9;10`>;59j31?>k4=33`=?da348:o44md:?15f?=jk16><m6:cc8977d13h270<>c88a<>;59j31n:5220a:>g0<5;;h57l:;<02g<<e<279=n75b29>64e>2k801??l9;`3?846k00jj63=1b;9e`=::8i26lj4=33`=?gd348:o44nb:?15f?=ih16><m6:`;8977d13k370<>c88b3>;59j31o;5220a:>f3<5;;h57m;;<02g<<d;279=n75c39>64e>2j;01??l9;a3?846k00io63=1b;9f4=::8i26h>4=33`=?bb348:o44kc:?15f?=lk16><m6:ec8977d13n270<>c88g<>;59j31h:5220a:>a0<5;;h57j:;<02g<<c<279=n75d29>64e>2m;01??l9;f3?846k00hj63=1b;9g`=::8i26nj4=33`=?ed348:o44lb:?15f?=kh16><m6:b;8977d13i370<>c88f3>;59j31i;5220a:>`3<5;;h57k;;<02g<<b;279=n75e39>64e>2l;01??l9;fg?846k00o>63=1b;9g2=::8i26<>k;<02g<<68k16><m6:02b?846k00:<45220a:>475348:o44>119>64e>28:m70<>c8824`=::8i26>;n;<02g<<4=116><m6:274?846k0089;5220af>65c348:oh4<3d9>64eb2kl01??le;`g?846kl0in63=1bg9fd=::8in6o74=33`a?d?348:oh4m7:?15fc=j?16><mj:c78977dm3h?70<>cd8a7>;59jo1n?5220af>g6<5;;hi7oi;<02g`<fm279=nk5ae9>64eb2hi01??le;ca?846kl0jm63=1bg9e<=::8in6l64=33`a?g0348:oh4l6:?15fc=k<16><mj:b68977dm3i870<>cd8`6>;59jo1o<5220af>f6<5;;hi7ll;<02g`<e9279=nk5e19>64eb2mo01??le;f`?846kl0on63=1bg9`d=::8in6i74=33`a?b?348:oh4k7:?15fc=l?16><mj:e78977dm3n?70<>cd8g7>;59jo1h<5220af>a6<5;;hi7mi;<02g`<dm279=nk5ce9>64eb2ji01??le;aa?846kl0hm63=1bg9g<=::8in6n64=33`a?c0348:oh4j6:?15fc=m<16><mj:d68977dm3o870<>cd8f6>;59jo1i<5220af>ab<5;;hi7j=;<02g`<d?279=nk511f8977dm3;;n63=1bg955g<5;;hi7??9:?15fc=98801??le;324>;59jo1==h4=33`a?77m279=nk534c8977dm39>463=1bg9701<5;;hi7=:6:?15fb=?916><mk:638977dl398h63=1bf976c<5;;hh7li;<02ga<el279=nj5bc9>64ec2kk01??ld;`:?846km0i463=1bf9f2=::8io6o84=33``?d2348:oi4m4:?15fb=j:16><mk:c08977dl3h;70<>ce8bb>;59jn1mh5220ag>db<5;;hh7ol;<02ga<fj279=nj5a`9>64ec2h301??ld;c;?846km0j;63=1bf9g3=::8io6n;4=33``?e3348:oi4l3:?15fb=k;16><mk:b38977dl3i;70<>ce8ag>;59jn1n<5220ag>`6<5;;hh7jj;<02ga<ck279=nj5dc9>64ec2mk01??ld;f:?846km0o463=1bf9`2=::8io6i84=33``?b2348:oi4k4:?15fb=l:16><mk:e38977dl3n;70<>ce8`b>;59jn1oh5220ag>fb<5;;hh7ml;<02ga<dj279=nj5c`9>64ec2j301??ld;a;?846km0n;63=1bf9a3=::8io6h;4=33``?c3348:oi4j3:?15fb=m;16><mk:d38977dl3no70<>ce8g6>;59jn1o:5220ag>46c348:oi4>0c9>64ec28:j70<>ce824<=::8io6<?=;<02ga<69916><mk:02e?846km0:<h5220ag>63f348:oi4<599>64ec2:?<70<>ce8013=::8n96>=k;<02`7<4;l16><j=:cd8977c:3ho70<>d38af>;59m81nl5220f1>g?<5;;o>7l7;<02`7<e?279=i<5b79>64b52k?01??k2;`7?846l;0i?63=1e09f7=::8n96o>4=33g6?ga348:h?4ne:?15a4=im16><j=:`a8977c:3ki70<>d38be>;59m81m45220f1>d><5;;o>7o8;<02`7<d>279=i<5c49>64b52j>01??k2;a0?846l;0h>63=1e09g4=::8n96n>4=33g6?dd348:h?4m1:?15a4=m916><j=:eg8977c:3nh70<>d38gf>;59m81hl5220f1>a?<5;;o>7j7;<02`7<c?279=i<5d79>64b52m?01??k2;f7?846l;0o?63=1e09`4=::8n96i>4=33g6?ea348:h?4le:?15a4=km16><j=:ba8977c:3ii70<>d38`e>;59m81o45220f1>f><5;;o>7k8;<02`7<b>279=i<5e49>64b52l>01??k2;g0?846l;0n>63=1e09a4=::8n96ij4=33g6?b5348:h?4l7:?15a4=99n01??k2;33f>;59m81==o4=33g6?771279=i<51008977c:3;:<63=1e0955`<5;;o>7??e:?15a4=;<k01??k2;16<>;59m81?894=33g6?52>279=i?5719>64b62>;01??k1;10`>;59m;1?>k4=33g5?da348:h<4md:?15a7=jk16><j>:cc8977c93h270<>d08a<>;59m;1n:5220f2>g0<5;;o=7l:;<02`4<e<279=i?5b29>64b62k801??k1;`3?846l80jj63=1e39e`=::8n:6lj4=33g5?gd348:h<4nb:?15a7=ih16><j>:`;8977c93k370<>d08b3>;59m;1o;5220f2>f3<5;;o=7m;;<02`4<d;279=i?5c39>64b62j;01??k1;a3?846l80io63=1e39f4=::8n:6h>4=33g5?bb348:h<4kc:?15a7=lk16><j>:ec8977c93n270<>d08g<>;59m;1h:5220f2>a0<5;;o=7j:;<02`4<c<279=i?5d29>64b62m;01??k1;f3?846l80hj63=1e39g`=::8n:6nj4=33g5?ed348:h<4lb:?15a7=kh16><j>:b;8977c93i370<>d08f3>;59m;1i;5220f2>`3<5;;o=7k;;<02`4<b;279=i?5e39>64b62l;01??k1;fg?846l80o>63=1e39g2=::8n:6<>k;<02`4<68k16><j>:02b?846l80:<45220f2>475348:h<4>119>64b628:m70<>d0824`=::8n:6>;n;<02`4<4=116><j>:274?846l8089;5220f5>65c348:h;4<3d9>64b12kl01??k6;`g?846l?0in63=1e49fd=::8n=6o74=33g2?d?348:h;4m7:?15a0=j?16><j9:c78977c>3h?70<>d78a7>;59m<1n?5220f5>g6<5;;o:7oi;<02`3<fm279=i85ae9>64b12hi01??k6;ca?846l?0jm63=1e49e<=::8n=6l64=33g2?g0348:h;4l6:?15a0=k<16><j9:b68977c>3i870<>d78`6>;59m<1o<5220f5>f6<5;;o:7ll;<02`3<e9279=i85e19>64b12mo01??k6;f`?846l?0on63=1e49`d=::8n=6i74=33g2?b?348:h;4k7:?15a0=l?16><j9:e78977c>3n?70<>d78g7>;59m<1h<5220f5>a6<5;;o:7mi;<02`3<dm279=i85ce9>64b12ji01??k6;aa?846l?0hm63=1e49g<=::8n=6n64=33g2?c0348:h;4j6:?15a0=m<16><j9:d68977c>3o870<>d78f6>;59m<1i<5220f5>ab<5;;o:7j=;<02`3<d?279=i8511f8977c>3;;n63=1e4955g<5;;o:7??9:?15a0=98801??k6;324>;59m<1==h4=33g2?77m279=i8534c8977c>39>463=1e49701<5;;o:7=:6:?15a3=?916><j::638977c=398h63=1e7976c<5;;o97li;<02`0<el279=i;5bc9>64b22kk01??k5;`:?846l<0i463=1e79f2=::8n>6o84=33g1?d2348:h84m4:?15a3=j:16><j::c08977c=3h;70<>d48bb>;59m?1mh5220f6>db<5;;o97ol;<02`0<fj279=i;5a`9>64b22h301??k5;c;?846l<0j;63=1e79g3=::8n>6n;4=33g1?e3348:h84l3:?15a3=k;16><j::b38977c=3i;70<>d48ag>;59m?1n<5220f6>`6<5;;o97jj;<02`0<ck279=i;5dc9>64b22mk01??k5;f:?846l<0o463=1e79`2=::8n>6i84=33g1?b2348:h84k4:?15a3=l:16><j::e38977c=3n;70<>d48`b>;59m?1oh5220f6>fb<5;;o97ml;<02`0<dj279=i;5c`9>64b22j301??k5;a;?846l<0n;63=1e79a3=::8n>6h;4=33g1?c3348:h84j3:?15a3=m;16><j::d38977c=3no70<>d48g6>;59m?1o:5220f6>46c348:h84>0c9>64b228:j70<>d4824<=::8n>6<?=;<02`0<69916><j::02e?846l<0:<h5220f6>63f348:h84<599>64b22:?<70<>d48013=::8nj6>=k;<02`d<4;l16><jn:cd8977ci3ho70<>d`8af>;59mk1nl5220fb>g?<5;;om7l7;<02`d<e?279=io5b79>64bf2k?01??ka;`7?846lh0i?63=1ec9f7=::8nj6o>4=33ge?ga348:hl4ne:?15ag=im16><jn:`a8977ci3ki70<>d`8be>;59mk1m45220fb>d><5;;om7o8;<02`d<d>279=io5c49>64bf2j>01??ka;a0?846lh0h>63=1ec9g4=::8nj6n>4=33ge?dd348:hl4m1:?15ag=m916><jn:eg8977ci3nh70<>d`8gf>;59mk1hl5220fb>a?<5;;om7j7;<02`d<c?279=io5d79>64bf2m?01??ka;f7?846lh0o?63=1ec9`4=::8nj6i>4=33ge?ea348:hl4le:?15ag=km16><jn:ba8977ci3ii70<>d`8`e>;59mk1o45220fb>f><5;;om7k8;<02`d<b>279=io5e49>64bf2l>01??ka;g0?846lh0n>63=1ec9a4=::8nj6ij4=33ge?b5348:hl4l7:?15ag=99n01??ka;33f>;59mk1==o4=33ge?771279=io51008977ci3;:<63=1ec955`<5;;om7??e:?15ag=;<k01??ka;16<>;59mk1?894=33ge?52>279=i75719>64b>2>;01??k9;10`>;59m31?>k4=33g=?da348:h44md:?15a?=jk16><j6:cc8977c13h270<>d88a<>;59m31n:5220f:>g0<5;;o57l:;<02`<<e<279=i75b29>64b>2k801??k9;`3?846l00jj63=1e;9e`=::8n26lj4=33g=?gd348:h44nb:?15a?=ih16><j6:`;8977c13k370<>d88b3>;59m31o;5220f:>f3<5;;o57m;;<02`<<d;279=i75c39>64b>2j;01??k9;a3?846l00io63=1e;9f4=::8n26h>4=33g=?bb348:h44kc:?15a?=lk16><j6:ec8977c13n270<>d88g<>;59m31h:5220f:>a0<5;;o57j:;<02`<<c<279=i75d29>64b>2m;01??k9;f3?846l00hj63=1e;9g`=::8n26nj4=33g=?ed348:h44lb:?15a?=kh16><j6:b;8977c13i370<>d88f3>;59m31i;5220f:>`3<5;;o57k;;<02`<<b;279=i75e39>64b>2l;01??k9;fg?846l00o>63=1e;9g2=::8n26<>k;<02`<<68k16><j6:02b?846l00:<45220f:>475348:h44>119>64b>28:m70<>d8824`=::8n26>;n;<02`<<4=116><j6:274?846l0089;5220fe>65c348:hk4<3d9>64ba2kl01??kf;`g?846lo0in63=1ed9fd=::8nm6o74=33gb?d?348:hk4m7:?15a`=j?16><ji:c78977cn3h?70<>dg8a7>;59ml1n?5220fe>g6<5;;oj7oi;<02`c<fm279=ih5ae9>64ba2hi01??kf;ca?846lo0jm63=1ed9e<=::8nm6l64=33gb?g0348:hk4l6:?15a`=k<16><ji:b68977cn3i870<>dg8`6>;59ml1o<5220fe>f6<5;;oj7ll;<02`c<e9279=ih5e19>64ba2mo01??kf;f`?846lo0on63=1ed9`d=::8nm6i74=33gb?b?348:hk4k7:?15a`=l?16><ji:e78977cn3n?70<>dg8g7>;59ml1h<5220fe>a6<5;;oj7mi;<02`c<dm279=ih5ce9>64ba2ji01??kf;aa?846lo0hm63=1ed9g<=::8nm6n64=33gb?c0348:hk4j6:?15a`=m<16><ji:d68977cn3o870<>dg8f6>;59ml1i<5220fe>ab<5;;oj7j=;<02`c<d?279=ih511f8977cn3;;n63=1ed955g<5;;oj7??9:?15a`=98801??kf;324>;59ml1==h4=33gb?77m279=ih534c8977cn39>463=1ed9701<5;;oj7=:6:?15ac=?916><jj:638977cm398h63=1eg976c<5;;oi7li;<02``<el279=ik5bc9>64bb2kk01??ke;`:?846ll0i463=1eg9f2=::8nn6o84=33ga?d2348:hh4m4:?15ac=j:16><jj:c08977cm3h;70<>dd8bb>;59mo1mh5220ff>db<5;;oi7ol;<02``<fj279=ik5a`9>64bb2h301??ke;c;?846ll0j;63=1eg9g3=::8nn6n;4=33ga?e3348:hh4l3:?15ac=k;16><jj:b38977cm3i;70<>dd8ag>;59mo1n<5220ff>`6<5;;oi7jj;<02``<ck279=ik5dc9>64bb2mk01??ke;f:?846ll0o463=1eg9`2=::8nn6i84=33ga?b2348:hh4k4:?15ac=l:16><jj:e38977cm3n;70<>dd8`b>;59mo1oh5220ff>fb<5;;oi7ml;<02``<dj279=ik5c`9>64bb2j301??ke;a;?846ll0n;63=1eg9a3=::8nn6h;4=33ga?c3348:hh4j3:?15ac=m;16><jj:d38977cm3no70<>dd8g6>;59mo1o:5220ff>46c348:hh4>0c9>64bb28:j70<>dd824<=::8nn6<?=;<02``<69916><jj:02e?846ll0:<h5220ff>63f348:hh4<599>64bb2:?<70<>dd8013=::8o86>=k;<02a6<4;l16><k<:cd8977b;3ho70<>e28af>;59l91nl5220g0>g?<5;;n?7l7;<02a6<e?279=h=5b79>64c42k?01??j3;`7?846m:0i?63=1d19f7=::8o86o>4=33f7?ga348:i>4ne:?15`5=im16><k<:`a8977b;3ki70<>e28be>;59l91m45220g0>d><5;;n?7o8;<02a6<d>279=h=5c49>64c42j>01??j3;a0?846m:0h>63=1d19g4=::8o86n>4=33f7?dd348:i>4m1:?15`5=m916><k<:eg8977b;3nh70<>e28gf>;59l91hl5220g0>a?<5;;n?7j7;<02a6<c?279=h=5d79>64c42m?01??j3;f7?846m:0o?63=1d19`4=::8o86i>4=33f7?ea348:i>4le:?15`5=km16><k<:ba8977b;3ii70<>e28`e>;59l91o45220g0>f><5;;n?7k8;<02a6<b>279=h=5e49>64c42l>01??j3;g0?846m:0n>63=1d19a4=::8o86ij4=33f7?b5348:i>4l7:?15`5=99n01??j3;33f>;59l91==o4=33f7?771279=h=51008977b;3;:<63=1d1955`<5;;n?7??e:?15`5=;<k01??j3;16<>;59l91?894=33f7?52>279=h<5719>64c52>;01??j2;10`>;59l81?>k4=33f6?da348:i?4md:?15`4=jk16><k=:cc8977b:3h270<>e38a<>;59l81n:5220g1>g0<5;;n>7l:;<02a7<e<279=h<5b29>64c52k801??j2;`3?846m;0jj63=1d09e`=::8o96lj4=33f6?gd348:i?4nb:?15`4=ih16><k=:`;8977b:3k370<>e38b3>;59l81o;5220g1>f3<5;;n>7m;;<02a7<d;279=h<5c39>64c52j;01??j2;a3?846m;0io63=1d09f4=::8o96h>4=33f6?bb348:i?4kc:?15`4=lk16><k=:ec8977b:3n270<>e38g<>;59l81h:5220g1>a0<5;;n>7j:;<02a7<c<279=h<5d29>64c52m;01??j2;f3?846m;0hj63=1d09g`=::8o96nj4=33f6?ed348:i?4lb:?15`4=kh16><k=:b;8977b:3i370<>e38f3>;59l81i;5220g1>`3<5;;n>7k;;<02a7<b;279=h<5e39>64c52l;01??j2;fg?846m;0o>63=1d09g2=::8o96<>k;<02a7<68k16><k=:02b?846m;0:<45220g1>475348:i?4>119>64c528:m70<>e3824`=::8o96>;n;<02a7<4=116><k=:274?846m;089;5rs257>5<asW9<863n2682=g=:1081=4l4=`;f>4?f34kj>7?6a:?be6<61h16ml:518c89dg2283j70on6;3:e>;fi>0:5l52a`:95<g<5hk26<7n;<c:b?7>i27jm=4>9`9>ed7=90k0q~87:181\7f[0?343??7==3:\7fp771=83;<jvP<269>egc=>o16mn?56g9>1<g=>o1694756g9>1d4=>o169l=56g9>1d2=>o169l;56g9>1d0=>o169l956g9>1<d=>o169l656g9>1d?=>o1694m56g9>1<b=>o1694k56g9>1<`=>o169l>56g9>1d7=>o169>856g9>163=>o169>k56g9>16`=>o1699>56g9>117=>o1699<56g9>115=>o169>956g9>112=>o1699;56g9>16>=>o169>756g9>16g=>o169>l56g9>16e=>o169>j56g9>0`4=>o168h=56g9>0`d=>o168hm56g9>0`b=>o168hk56g9>0``=>o168k>56g9>0c7=>o168k<56g9>0`2=>o168h;56g9>0`0=>o168h956g9>0`>=>o168h756g9>0`g=>o165ij56g9>=15=>o16;ij56g9>3ae=>o16;il56g9>3ag=>o16;i756g9>3a>=>o16;i956g9>3`3=>o16;h:56g9>3`5=>o16;h<56g9>3`7=>o16;h>56g9>3a`=>o16;ik56g9>3a0=>o16;i;56g9><2g=>o164:756g9><2>=>o164:956g9><20=>o164:;56g9><22=>o1645<56g9><=7=>o1645>56g9><2`=>o164:k56g9><2b=>o164:m56g9><2d=>o164:=56g9><24=>o164i>56g9><f`=>o164nk56g9><fb=>o164nm56g9><fd=>o164no56g9><a>=>o164i956g9><a0=>o164i;56g9><a2=>o164i=56g9><a4=>o164i?56g9><f?=>o164n656g9><c4=>o164k?56g9><c6=>o164hh56g9><`c=>o164hj56g9><`e=>o164ko56g9><c?=>o164k656g9><c1=>o164k856g9><c3=>o164k:56g9><c5=>o164hl56g9><`g=>o165>956g9>=60=>o165>;56g9>=62=>o165>=56g9>=64=>o165>?56g9>=6`=>o165>k56g9>=6b=>o165>m56g9>=6d=>o165>o56g9>=6?=>o165>656g9>=66=>o165?h56g9>5d3?2?l01<o:7;4e?87f=?0=j63>a4792c=:9h?86;h4=0c65?0a34kij78i;<c`4?0a34;j9?49f:?2e02=>o16><<k:6:8977e<3=370<>eg84<>;59ok1;5522326>2><5;8:<797;<015g<00279>?85799>67562>201?<<c;5;?846;10<463=15193==::8>n6:64=336=?1?348::9488:?153`=?116><9n:6:8977?=3=370<>9184<>;59k91;55220fg>2><5;;n:797;<02a2<00279=h65799>64c>2>201??ja;5;?846mk0<463=1da93==::8oo6:64=33fa?1?348:j=488:?15c7=?116><h=:6:8977a;3=370<>f584<>;59o?1;55220d5>2><5;;m;797;<02b=<00279=k75799>64`e2>201??ic;5;?846nm0<463=1gg93==::8lm6:64=3034?1?3489<<488:?1654=?116>?><:6:89747<3=370<=0784<>;5:9=1;552232;>2><5;8;5797;<014d<00279>=l5799>676d2>201?<?d;5;?8458l0<463=21d93==::;;:6:64=3026?1?3489=>488:?1642=?116>??::6:89746>3=370<=1684<>;5:821;552233:>2><5;8:m797;<015f<00279><j5799>677b2>201?<>f;5;?845:90<463=23393==::;896:64=3017?1?3489>9488:?1673=?116>?<8:6:8974503=370<=2884<>;5:;k1;552230a>2><5;89o797;<016a<00279>?k5799>674a2>201?<<0;5;?845;;0<463=22193==::;9?6:64=3001?1?3489?;488:?1661=?116>?=7:6:8974413=370<=3`84<>;5::h1;552200f>2><5;;9j797;<0275<00279=>?5799>64552>201??<3;5;?846;=0<463=12793==::89=6:64=3303?1?348:?4488:?156g=?116><=m:6:89774k3=370<>3e84<>;59:o1;552201e>2><5;;?<797;<0204<00279=9<5799>64232>201??;5;5;?846<?0<463=15593==::8>36:64=337=?1?348:8l488:?151d=?116><:l:6:89773l3=370<>4g84<>;59<:1;5522072>2><5;;>>797;<0216<00279=8:5799>64322>201??:6;5;?846=>0<463=14:93==::8?j6:64=336f?1?348:9n488:?150b=?116><;j:6:89772n3=370<>6184<>;59?;1;5522041>2><5;;=?797;<0220<00279=;85799>64002>201??98;5;?846>00<463=17c93==::8<i6:64=335g?1?348::i488:?153c=?116><9?:6:8977093=370<>7384<>;59>91;5522057>2><5;;<9797;<0233<00279=:95799>641?2>201??89;5;?846?k0<463=16a93==::8=o6:64=334a?1?348:;k488:?15=6=?116><6>:6:8977?:3=370<>8284<>;591>1;55220:5>2><5;;3;797;<02<=<00279=575799>64>f2>201??7b;5;?8460j0<463=19f93==::82n6:64=33;b?1?348:5<488:?15<4=?116><7;:6:8977>;3=370<>9984<>;590=1;55220;`>2><5;;2n797;<02e5<00279=4h5799>64g32>201??n3;5;?846i10<463=1`593==::8kh6:64=33bf?1?348:n=488:?15d`=?116><l9:6:8977e=3=370<>b`84<>;59k31;55220`f>2><5;;ih797;<02g7<00279=n?5799>64e12>201??l5;5;?846kh0<463=1b;93==::8in6:64=33``?1?348:h?488:?15a7=?116><j9:6:8977c=3=370<>d`84<>;59m31;55220fe>2><5;;oi797;<02a6<00279=h<5799~w606290:4;uQ37389de42?l01lm=:7d893`02?l01;h9:7d893`a2?l01:>?:7d892662?l01:>=:7d892642?l01:>;:7d893`?2?l01:>::7d892612?l01;h6:7d893`f2?l01;hm:7d893`d2?l01;hk:7d893`b2?l01;7<:7d893?52?l01;7m:7d893?d2?l01;7k:7d893?b2?l01;7i:7d893g72?l01;7;:7d893g62?l01;o=:7d893?22?l01;79:7d893?02?l01;77:7d893?>2?l01;7n:7d893272?l01;=i:7d8932?2?l01;:6:7d8932f2?l01;:m:7d8932d2?l01;:k:7d893262?l01;:j:7d8932a2?l01;:=:7d893242?l01;:;:7d893222?l01;:9:7d893202?l018kl:7d890cc2?l018h::7d890`12?l018h8:7d890`?2?l018h6:7d890`f2?l018hm:7d890`d2?l018kj:7d890ca2?l018h?:7d890`62?l018h=:7d890`42?l018h;:7d89d4?2?l0147?:7d892g>2?l01:o7:7d892g02?l01:o9:7d892g22?l01:o;:7d892g42?l01:l>:7d892d72?l01:oi:7d892gb2?l01:ok:7d892gd2?l01:om:7d892gf2?l01:o=:7d892g62?l01:hi:7d892`b2?l01:hk:7d892`d2?l01:hm:7d892`f2?l01:h6:7d89=602?l015>9:7d89=622?l015>;:7d89=642?l015>=:7d89=662?l015>?:7d892`?2?l01:h8:7d89=462?l015<?:7d89=7a2?l015?j:7d89=7c2?l015?l:7d89=7e2?l015<6:7d89=4?2?l015<8:7d89=412?l015<::7d89=432?l015<<:7d89=452?l015?n:7d89=7>2?l015oj:7d89=gc2?l015ol:7d89=ge2?l015on:7d89=g>2?l015o7:7d89=d12?l015l::7d89=d32?l015l<:7d89=d52?l015l>:7d89=d72?l015oi:7d89=g02?l015o9:7d89dd52?l01ll>:7d89dd72?l01loi:7d89dgb2?l01lok:7d89dgd2?l01lln:7d89dd>2?l01ll7:7d89dd02?l01ll9:7d89dd22?l01ll;:7d89dd42?l01lom:7d89dgf2?l01??=d;54?846j=0<;63=1dd932=::8lj6:94=3031?103489==487:?164d=?>16>?<9:658974493=<70<=3b843>;59:21;:522060>21<5;;?i798;<021<<0?279=;:5769>640a2>=01??8a;54?8460<0<;63=182932=::8h86:94=33g`?10348:i;487:?15`1=?>16><k7:658977b13=<70<>e`843>;59lh1;:5220g`>21<5;;nh798;<02a`<0?279=k>5769>64`62>=01??i2;54?846n:0<;63=1g6932=::8l>6:94=33e2?10348:j:487:?15c>=?>16><h6:658977aj3=<70<>fb843>;59on1;:5220df>21<5;;mj798;<0145<0?279>=?5769>67652>=01?<?3;54?8458=0<;63=214932=::;:<6:94=303<?103489<4487:?165g=?>16>?>m:6589747k3=<70<=0e843>;5:9o1;:52232e>21<5;8:=798;<0157<0?279><=5769>67732>=01?<>5;54?8459?0<;63=205932=::;;36:94=302=?103489=l487:?164e=?>16>??k:6589746m3=<70<=1g843>;5:;:1;:522302>21<5;89>798;<0166<0?279>?:5769>67422>=01?<=7;54?845:10<;63=23;932=::;8j6:94=301f?103489>n487:?167b=?>16>?<j:6589745n3=<70<=31843>;5::81;:522310>21<5;888798;<0170<0?279>>85769>67502>=01?<<8;54?845;00<;63=22c932=::;9i6:94=331a?10348:>k487:?1566=?>16><=>:6589774:3=<70<>32843>;59:>1;:522016>21<5;;8:798;<0272<0?279=>75769>645f2>=01??<b;54?846;j0<;63=12f932=::89n6:94=330b?10348:8=487:?1517=?>16><:=:6589773<3=<70<>44843>;59=<1;:522064>21<5;;?4798;<020<<0?279=9o5769>642e2>=01??;c;54?846<m0<;63=15d932=::8?;6:94=3365?10348:9?487:?1505=?>16><;;:6589772=3=<70<>57843>;59<=1;:52207;>21<5;;>m798;<021g<0?279=8m5769>643c2>=01??:e;54?846=o0<;63=172932=::8<:6:94=3356?10348::>487:?1533=?>16><89:6589771?3=<70<>69843>;59?31;:52204b>21<5;;=n798;<022f<0?279=;j5769>640b2>=01??80;54?846?80<;63=160932=::8=86:94=3340?10348:;8487:?1520=?>16><98:658977003=<70<>78843>;59>h1;:52205`>21<5;;<h798;<023`<0?279=:h5769>64>72>=01??71;54?8460;0<;63=191932=::82?6:94=33;2?10348:4:487:?15=>=?>16><66:658977?i3=<70<>8c843>;591i1;:5220:g>21<5;;3i798;<02<c<0?279=4?5769>64?52>=01??64;54?8461:0<;63=18:932=::83<6:94=33:g?10348:5o487:?15d6=?>16><7i:658977f<3=<70<>a2843>;59h21;:5220c4>21<5;;jo798;<02eg<0?279=o>5769>64ga2>=01??m6;54?846j<0<;63=1cc932=::8h26:94=33aa?10348:ni487:?15f4=?>16><m>:658977d>3=<70<>c4843>;59jk1;:5220a:>21<5;;hi798;<02ga<0?279=i<5769>64b62>=01??k6;54?846l<0<;63=1ec932=::8n26:94=33gb?10348:hh487:?15`5=?>16><k=:658yv5aj3:1;vP<fc9><2g=;;901:?j:0;b?8g5;3;2m63n0182=d=:11h1=4l4=84;>4?e3ty8j44?:9y]7c?<51=26><<;<53`?g234=:h7?6a:?b67<61j165kh518a89<>f283o70797;3:`>{t;o21<76t^2d;?8>00399?6380b8b1>;09j0:5l52a3095<g<50lm6<7n;<;;e?7>j272::4>9c9~w6`02903wS=i7:?;32<4::16;=l5a49>34d=90k01l<>:0;`?8?am3;2o6368882=a=:1?<1=4j4}r1e2?6=0rT8j;528649775<5>:j6l;4=63b>4?f34k9=7?6a:?:b`<61h16557518`89<01283i7p}<f483>=}Y;o?0159::200?81713k>709>9;3:e>;f:90:5n529gf95<g<50236<7k;<;51?7>l2wx?k:50;:xZ6`3342<87==3:?44=<f=27<=54>9`9>e76=90k014hk:0;a?8??03;2n6366482=g=z{=:?6=47{_630>;?0;08>>527049e0=:?;=1=4o4=`3e>4?d343mo7?6a:?:<2<61m165;:518f8yv27;3:14vP;029><=7=;;901:?::`789241283j70o>f;3:e>;>nj0:5o5299595<d<50<?6<7m;|q747<721qU8=<4=9:3>64434=:87o:;<511?7>i27j=h4>9b9>=cd=90k01469:0;g?8?1;3;2h6s|41394?>|V=::7068f;117>;09:0j96382582=d=:i8o1=4o4=8da>4?e3433:7?6b:?:26<61k1v\7f9>?:18;\7f[278273;h4<229>344=i<16;?=518c89d7c283h707ia;3:e>;>0<0:5i5297095<b<uz9mj7>58z\0bc=:0>n1??=4=632>d3<5>896<7n;<c2`?7>i272jl4>9c9>==3=90h0148=:0;a?xu4nl0;65uQ3gg89=1d2:88709>0;c6?81593;2m63n1b82=f=:1o31=4o4=8:7>4?c343==7?6d:\7fp7cb=832pR>hk;<:4f?55;27<<k4n5:?465<61h16m<m518c89<`>283i70774;3:f>;>>80:5o5rs2d`>5<?sW9mo637728066=:?9o1m85270d95<g<5h;i6<7l;<;e<?7>i2724>4>9e9>=36=90n0q~=i3;29<~X4n:164:<5331892602h?01:?8:0;b?8g6j3;2m636f982=g=:1191=4l4=843>4?e3ty2n7>512y]05b<V0h018h;:200?8>fm3=h709:0;3:e>;?=l0:5l525e395<g<5;;9<7?6a:?154c=90k01??>a;3:e>;598i1=4l4=c23>4?f34kmn7?6b:?bad<61k16mh8518`89db3283j70ok0;3:e>;fk10:5n52ab795<g<uz326=4>4z\74g=Y10169k=53318925a2h?01::i:0;b?8>2n3;2m6375d82=g=:=k<1=4o4=3314?7>j279=<k518`89776i3;2n63=10a95<g<5k:;6<7m;<cef?7>i27jil4>9`9>e`0=90k01lj;:0;a?8gc83;2n63nc982=d=:ij?1=4l4}r;;>5<08rT?<l5Q999>1c4=;;901:=j:`78922b283j70690;3:e>;?=o0:5o52b0195<d<5k;96<7n;<`25?7>k27i==4>9c9>f5`=90h01o>j:0;a?8d7l3;2n63m0b82=g=:j9h1=4m4=c2b>4?e34h;57?6a:?a4=<61k16n=9518c89g61283h70l?5;3:f>;e8=0:5n52b1195<d<5k:96<7l;<ceb?7>j27jjh4>9c9>ecb=90i01lhn:0;a?8ga13;2n63nf982=g=:io=1=4l4=`d5>4?e34km97?6b:?bb1<61h16mk=518`89d`5283j70oi1;3:g>;fn90:5n52add95<d<5hon6<7l;<cf`?7>j27jin4>9b9>e`?=90h01lk7:0;`?8gb=3;2n63ne582=g=:il91=4l4=`g1>4?e34kn=7?6b:?ba5<61k16mih518`89dbb283j70okd;3:f>;flj0:5l52ae`95<e<5hnj6<7m;<cg=?7>k27jh54>9c9>ea1=90i01lj9:0;`?8gc;3;2n63nd382=f=:ijl1=4l4=`af>4?e34khh7?6b:?bgf<61k16mnl518`89def283i70ol7;3:e>;fk=0:5o525c695<g<5;;287:j;<02=6<3m279=4654d9>64?02=o01??6c;6f?8461k0?i63=1`290`=::83m69k4=33b0?2b348:m>4;e:?15d>=<l16><o8:5g8977fk3>n70<>ac87a>;59k:18h5220ce>1c<5;;i:7:j;<02f0<3m279=oo54d9>64d>2=o01??me;6f?846jm0?i63=1b090`=::8i:69k4=33`2?2b348:o84;e:?15fg=<l16><m6:5g8977dm3>n70<>ce87a>;59m818h5220f2>1c<5;;o:7:j;<02`0<3m279=io54d9>64b>2=o01??kf;6f?846ll0?i63=1d190`=::8o969k4}r;4>5<08rT?<45Q969>1c7=;;901:=k:`78922c283j70691;3:e>;?>90:5o52b0195<e<5k;96<7m;<`25?7>j27i==4>9`9>f5`=90k01o>j:0;b?8d7l3;2m63m0b82=d=:j9h1=4l4=c2b>4?d34h;57?6b:?a4=<61j16n=9518`89g61283i70l?5;3:e>;e8=0:5o52b1195<g<5k:96<7m;<ceb?7>i27jjh4>9`9>ecb=90h01lhn:0;b?8ga13;2m63nf982=d=:io=1=4o4=`d5>4?f34km97?6c:?bb1<61k16mk=518a89d`5283i70oi1;3:f>;fn90:5o52add95<g<5hon6<7m;<cf`?7>i27jin4>9c9>e`?=90k01lk7:0;a?8gb=3;2m63ne582=d=:il91=4o4=`g1>4?f34kn=7?6a:?ba5<61h16mih518a89dbb283i70okd;3:g>;flj0:5o52ae`95<d<5hnj6<7n;<cg=?7>j27jh54>9`9>ea1=90h01lj9:0;a?8gc;3;2m63nd382=g=:ijl1=4o4=`af>4?f34khh7?6a:?bgf<61h16mnl518c89def283h70ol7;3:f>;fk=0:5l525c095<g<5;;287:l;<02=6<3k279=4654b9>64?02=i01??6c;6`?8461k0?o63=1`290f=::83m69m4=33b0?2d348:m>4;c:?15d>=<j16><o8:5a8977fk3>h70<>ac87g>;59k:18n5220ce>1e<5;;i:7:l;<02f0<3k279=oo54b9>64d>2=i01??me;6`?846jm0?o63=1b090f=::8i:69m4=33`2?2d348:o84;c:?15fg=<j16><m6:5a8977dm3>h70<>ce87g>;59m818n5220f2>1e<5;;o:7:l;<02`0<3k279=io54b9>64b>2=i01??kf;6`?846ll0?o63=1d190f=::8o969m4}r;5>5<40rT?<55Q979>1c6=;;901:=l:`78922d283j70692;3:e>;?>80:5o525c295<g<5;;287:m;<02=6<3j279=4654c9>64?02=h01??6c;6a?8461k0?n63=1`290g=::83m69l4=33b0?2e348:m>4;b:?15d>=<k16><o8:5`8977fk3>i70<>ac87f>;59k:18o5220ce>1d<5;;i:7:m;<02f0<3j279=oo54c9>64d>2=h01??me;6a?846jm0?n63=1b090g=::8i:69l4=33`2?2e348:o84;b:?15fg=<k16><m6:5`8977dm3>i70<>ce87f>;59m818o5220f2>1d<5;;o:7:m;<02`0<3j279=io54c9>64b>2=h01??kf;6a?846ll0?n63=1d190g=::8o969l4=c23>4?d34kmn7?6c:?bad<61j16mh8518a89db3283h70ok0;3:g>;fk10:5o52ab795<e<uz3>6=480z\742=Y1<169hh53318925e2h?01::m:0;b?8>1;3;2m6376382=g=:j891=4o4=c31>4?d34h:=7?6a:?a55<61j16n=h518a89g6b283h70l?d;3:g>;e8j0:5n52b1`95<g<5k:j6<7n;<`3=?7>k27i<54>9`9>f51=90i01o>9:0;b?8d7=3;2o63m0582=d=:j991=4m4=c21>4?f34kmj7?6c:?bb`<61j16mkj518c89d`f283h70oi9;3:g>;fn10:5n52ag595<e<5hl=6<7l;<ce1?7>i27jj94>9b9>ec5=90k01lh=:0;`?8ga93;2m63nf182=d=:ill1=4m4=`gf>4?f34knh7?6c:?baf<61h16mh7518a89dc?283j70oj5;3:g>;fm=0:5n52ad195<e<5ho96<7l;<cf5?7>k27ji=4>9b9>ea`=90k01ljj:0;`?8gcl3;2m63ndb82=f=:imh1=4o4=`fb>4?d34ko57?6a:?b`=<61j16mi9518c89db1283j70ok3;3:g>;fl;0:5l52abd95<e<5hin6<7l;<c``?7>k27jon4>9b9>efd=90i01lmn:0;b?8gd?3;2o63nc582=f=:=ho1=4o4=33:0?2f348:5>4;a:?15<>=<h16><78:5c8977>k3>j70<>9c87e>;59h:18l5220;e>1g<5;;j87:n;<02e6<3i279=l654`9>64g02=k01??nc;6b?846ik0?m63=1c290d=::8km69o4=33a2?2f348:n84;a:?15gg=<h16><l6:5c8977em3>j70<>be87e>;59j818l5220a2>1g<5;;h:7:n;<02g0<3i279=no54`9>64e>2=k01??le;6b?846km0?m63=1e090d=::8n:69o4=33g2?2f348:h84;a:?15ag=<h16><j6:5c8977cn3>j70<>dd87e>;59l918l5220g1>1g<uz3?6=4i0z\743=Y1=169hk53318925f2h?01::n:0;b?8>1<3;2m6376282=g=:=hi1=4o4=331`?2d348:n94;c:?15``=<j16><hn:5a89747=3>h70<=1187g>;5:8h18n522305>1e<5;88=7:l;<017f<3k279=>654b9>64242=i01??;e;6`?846=00?o63=17690f=::8<m69m4=334e?2d348:484;c:?15<6=<j16><l<:5a8977cl3>h70<>e787g>;59l=18n5220g;>1e<5;;n57:l;<02ad<3k279=hl54b9>64cd2=i01??jd;6`?846ml0?o63=1g290f=::8l:69m4=33e6?2d348:j>4;c:?15c2=<j16><h::5a8977a>3>h70<>f687g>;59o218n5220d:>1e<5;;mn7:l;<02bf<3k279=kj54b9>64`b2=i01??if;6`?845890?o63=21390f=::;:969m4=3037?2d3489<94;c:?1650=<j16>?>8:5a8974703>h70<=0887g>;5:9k18n52232a>1e<5;8;o7:l;<014a<3k279>=k54b9>676a2=i01?<>1;6`?8459;0?o63=20190f=::;;?69m4=3021?2d3489=;4;c:?1641=<j16>??7:5a8974613>h70<=1`87g>;5:8i18n52233g>1e<5;8:i7:l;<015c<3k279>?>54b9>67462=i01?<=2;6`?845::0?o63=23690f=::;8>69m4=3013?2d3489>54;c:?167?=<j16>?<n:5a89745j3>h70<=2b87g>;5:;n18n52230f>1e<5;89j7:l;<0175<3k279>><54b9>67542=i01?<<4;6`?845;<0?o63=22490f=::;9<69m4=300<?2d3489?44;c:?166g=<j16>?=m:5a89775m3>h70<>2g87g>;59::18n522012>1e<5;;8>7:l;<0276<3k279=>:54b9>64522=i01??<6;6`?846;>0?o63=12;90f=::89j69m4=330f?2d348:?n4;c:?156b=<j16><=j:5a89774n3>h70<>4187g>;59=;18n522061>1e<5;;?87:l;<0200<3k279=9854b9>64202=i01??;8;6`?846<00?o63=15c90f=::8>i69m4=337g?2d348:8i4;c:?151`=<j16><;?:5a8977293>h70<>5387g>;59<918n522077>1e<5;;>97:l;<0213<3k279=8954b9>643?2=i01??:a;6`?846=k0?o63=14a90f=::8?o69m4=336a?2d348:9k4;c:?1536=<j16><8>:5a89771:3>h70<>6287g>;59??18n522045>1e<5;;=;7:l;<022=<3k279=;754b9>640f2=i01??9b;6`?846>j0?o63=17f90f=::8<n69m4=3344?2d348:;<4;c:?1524=<j16><9<:5a89770<3>h70<>7487g>;59><18n522054>1e<5;;<47:l;<023<<3k279=:l54b9>641d2=i01??8d;6`?846?l0?o63=16d90f=::82;69m4=33;5?2d348:4?4;c:?15=5=<j16><6;:5a8977?>3>h70<>8687g>;591218n5220::>1e<5;;3m7:l;<02<g<3k279=5m54b9>64>c2=i01??7e;6`?8460o0?o63=18390f=::83969m4=33:0?2>348:5>4;9:?15<>=<016><78:5;8977>k3>270<>9c87=>;59h:1845220;e>1?<5;;j87:6;<02e6<31279=l65489>64g02=301??nc;6:?846ik0?563=1c290<=::8km6974=33a2?2>348:n84;9:?15gg=<016><l6:5;8977em3>270<>be87=>;59j81845220a2>1?<5;;h:7:6;<02g0<31279=no5489>64e>2=301??le;6:?846km0?563=1e090<=::8n:6974=33g2?2>348:h84;9:?15ag=<016><j6:5;8977cn3>270<>dd87=>;59l91845220g1>1?<uzk?6=4i0z\753=Yi=169km53318922?2h?01:;6:0;b?8>1=3;2m6376582=g=:=j21=4o4=331`?2e348:n94;b:?15``=<k16><hn:5`89747=3>i70<=1187f>;5:8h18o522305>1d<5;88=7:m;<017f<3j279=>654c9>64242=h01??;e;6a?846=00?n63=17690g=::8<m69l4=334e?2e348:484;b:?15<6=<k16><l<:5`8977cl3>i70<>e787f>;59l=18o5220g;>1d<5;;n57:m;<02ad<3j279=hl54c9>64cd2=h01??jd;6a?846ml0?n63=1g290g=::8l:69l4=33e6?2e348:j>4;b:?15c2=<k16><h::5`8977a>3>i70<>f687f>;59o218o5220d:>1d<5;;mn7:m;<02bf<3j279=kj54c9>64`b2=h01??if;6a?845890?n63=21390g=::;:969l4=3037?2e3489<94;b:?1650=<k16>?>8:5`8974703>i70<=0887f>;5:9k18o52232a>1d<5;8;o7:m;<014a<3j279>=k54c9>676a2=h01?<>1;6a?8459;0?n63=20190g=::;;?69l4=3021?2e3489=;4;b:?1641=<k16>??7:5`8974613>i70<=1`87f>;5:8i18o52233g>1d<5;8:i7:m;<015c<3j279>?>54c9>67462=h01?<=2;6a?845::0?n63=23690g=::;8>69l4=3013?2e3489>54;b:?167?=<k16>?<n:5`89745j3>i70<=2b87f>;5:;n18o52230f>1d<5;89j7:m;<0175<3j279>><54c9>67542=h01?<<4;6a?845;<0?n63=22490g=::;9<69l4=300<?2e3489?44;b:?166g=<k16>?=m:5`89775m3>i70<>2g87f>;59::18o522012>1d<5;;8>7:m;<0276<3j279=>:54c9>64522=h01??<6;6a?846;>0?n63=12;90g=::89j69l4=330f?2e348:?n4;b:?156b=<k16><=j:5`89774n3>i70<>4187f>;59=;18o522061>1d<5;;?87:m;<0200<3j279=9854c9>64202=h01??;8;6a?846<00?n63=15c90g=::8>i69l4=337g?2e348:8i4;b:?151`=<k16><;?:5`8977293>i70<>5387f>;59<918o522077>1d<5;;>97:m;<0213<3j279=8954c9>643?2=h01??:a;6a?846=k0?n63=14a90g=::8?o69l4=336a?2e348:9k4;b:?1536=<k16><8>:5`89771:3>i70<>6287f>;59??18o522045>1d<5;;=;7:m;<022=<3j279=;754c9>640f2=h01??9b;6a?846>j0?n63=17f90g=::8<n69l4=3344?2e348:;<4;b:?1524=<k16><9<:5`89770<3>i70<>7487f>;59><18o522054>1d<5;;<47:m;<023<<3j279=:l54c9>641d2=h01??8d;6a?846?l0?n63=16d90g=::82;69l4=33;5?2e348:4?4;b:?15=5=<k16><6;:5`8977?>3>i70<>8687f>;591218o5220::>1d<5;;3m7:m;<02<g<3j279=5m54c9>64>c2=h01??7e;6a?8460o0?n63=18390g=::83969l4=33:0?30348:5>4:7:?15<>==>16><78:458977>k3?<70<>9c863>;59h:19:5220;e>01<5;;j87;8;<02e6<2?279=l65569>64g02<=01??nc;74?846ik0>;63=1c2912=::8km6894=33a2?30348:n84:7:?15gg==>16><l6:458977em3?<70<>be863>;59j819:5220a2>01<5;;h:7;8;<02g0<2?279=no5569>64e>2<=01??le;74?846km0>;63=1e0912=::8n:6894=33g2?30348:h84:7:?15ag==>16><j6:458977cn3?<70<>dd863>;59l919:5220g1>01<uzk86=4i0z\750=Yi:169kl5331892202h?01:;7:0;b?8>1>3;2m6376482=g=:=j<1=4o4=331`?2f348:n94;a:?15``=<h16><hn:5c89747=3>j70<=1187e>;5:8h18l522305>1g<5;88=7:n;<017f<3i279=>654`9>64242=k01??;e;6b?846=00?m63=17690d=::8<m69o4=334e?2f348:484;a:?15<6=<h16><l<:5c8977cl3>j70<>e787e>;59l=18l5220g;>1g<5;;n57:n;<02ad<3i279=hl54`9>64cd2=k01??jd;6b?846ml0?m63=1g290d=::8l:69o4=33e6?2f348:j>4;a:?15c2=<h16><h::5c8977a>3>j70<>f687e>;59o218l5220d:>1g<5;;mn7:n;<02bf<3i279=kj54`9>64`b2=k01??if;6b?845890?m63=21390d=::;:969o4=3037?2f3489<94;a:?1650=<h16>?>8:5c8974703>j70<=0887e>;5:9k18l52232a>1g<5;8;o7:n;<014a<3i279>=k54`9>676a2=k01?<>1;6b?8459;0?m63=20190d=::;;?69o4=3021?2f3489=;4;a:?1641=<h16>??7:5c8974613>j70<=1`87e>;5:8i18l52233g>1g<5;8:i7:n;<015c<3i279>?>54`9>67462=k01?<=2;6b?845::0?m63=23690d=::;8>69o4=3013?2f3489>54;a:?167?=<h16>?<n:5c89745j3>j70<=2b87e>;5:;n18l52230f>1g<5;89j7:n;<0175<3i279>><54`9>67542=k01?<<4;6b?845;<0?m63=22490d=::;9<69o4=300<?2f3489?44;a:?166g=<h16>?=m:5c89775m3>j70<>2g87e>;59::18l522012>1g<5;;8>7:n;<0276<3i279=>:54`9>64522=k01??<6;6b?846;>0?m63=12;90d=::89j69o4=330f?2f348:?n4;a:?156b=<h16><=j:5c89774n3>j70<>4187e>;59=;18l522061>1g<5;;?87:n;<0200<3i279=9854`9>64202=k01??;8;6b?846<00?m63=15c90d=::8>i69o4=337g?2f348:8i4;a:?151`=<h16><;?:5c8977293>j70<>5387e>;59<918l522077>1g<5;;>97:n;<0213<3i279=8954`9>643?2=k01??:a;6b?846=k0?m63=14a90d=::8?o69o4=336a?2f348:9k4;a:?1536=<h16><8>:5c89771:3>j70<>6287e>;59??18l522045>1g<5;;=;7:n;<022=<3i279=;754`9>640f2=k01??9b;6b?846>j0?m63=17f90d=::8<n69o4=3344?2f348:;<4;a:?1524=<h16><9<:5c89770<3>j70<>7487e>;59><18l522054>1g<5;;<47:n;<023<<3i279=:l54`9>641d2=k01??8d;6b?846?l0?m63=16d90d=::82;69o4=33;5?2f348:4?4;a:?15=5=<h16><6;:5c8977?>3>j70<>8687e>;591218l5220::>1g<5;;3m7:n;<02<g<3i279=5m54`9>64>c2=k01??7e;6b?8460o0?m63=18390d=::83969o4=33:0?31348:5>4:6:?15<>==?16><78:448977>k3?=70<>9c862>;59h:19;5220;e>00<5;;j87;9;<02e6<2>279=l65579>64g02<<01??nc;75?846ik0>:63=1c2913=::8km6884=33a2?31348:n84:6:?15gg==?16><l6:448977em3?=70<>be862>;59j819;5220a2>00<5;;h:7;9;<02g0<2>279=no5579>64e>2<<01??le;75?846km0>:63=1e0913=::8n:6884=33g2?31348:h84:6:?15ag==?16><j6:448977cn3?=70<>dd862>;59l919;5220g1>00<uzk96=4i0z\751=Yi;169ko5331892212h?01:;8:0;b?8>1?3;2m6376782=g=:=j>1=4o4=331`?2>348:n94;9:?15``=<016><hn:5;89747=3>270<=1187=>;5:8h184522305>1?<5;88=7:6;<017f<31279=>65489>64242=301??;e;6:?846=00?563=17690<=::8<m6974=334e?2>348:484;9:?15<6=<016><l<:5;8977cl3>270<>e787=>;59l=1845220g;>1?<5;;n57:6;<02ad<31279=hl5489>64cd2=301??jd;6:?846ml0?563=1g290<=::8l:6974=33e6?2>348:j>4;9:?15c2=<016><h::5;8977a>3>270<>f687=>;59o21845220d:>1?<5;;mn7:6;<02bf<31279=kj5489>64`b2=301??if;6:?845890?563=21390<=::;:96974=3037?2>3489<94;9:?1650=<016>?>8:5;8974703>270<=0887=>;5:9k18452232a>1?<5;8;o7:6;<014a<31279>=k5489>676a2=301?<>1;6:?8459;0?563=20190<=::;;?6974=3021?2>3489=;4;9:?1641=<016>??7:5;8974613>270<=1`87=>;5:8i18452233g>1?<5;8:i7:6;<015c<31279>?>5489>67462=301?<=2;6:?845::0?563=23690<=::;8>6974=3013?2>3489>54;9:?167?=<016>?<n:5;89745j3>270<=2b87=>;5:;n18452230f>1?<5;89j7:6;<0175<31279>><5489>67542=301?<<4;6:?845;<0?563=22490<=::;9<6974=300<?2>3489?44;9:?166g=<016>?=m:5;89775m3>270<>2g87=>;59::184522012>1?<5;;8>7:6;<0276<31279=>:5489>64522=301??<6;6:?846;>0?563=12;90<=::89j6974=330f?2>348:?n4;9:?156b=<016><=j:5;89774n3>270<>4187=>;59=;184522061>1?<5;;?87:6;<0200<31279=985489>64202=301??;8;6:?846<00?563=15c90<=::8>i6974=337g?2>348:8i4;9:?151`=<016><;?:5;8977293>270<>5387=>;59<9184522077>1?<5;;>97:6;<0213<31279=895489>643?2=301??:a;6:?846=k0?563=14a90<=::8?o6974=336a?2>348:9k4;9:?1536=<016><8>:5;89771:3>270<>6287=>;59??184522045>1?<5;;=;7:6;<022=<31279=;75489>640f2=301??9b;6:?846>j0?563=17f90<=::8<n6974=3344?2>348:;<4;9:?1524=<016><9<:5;89770<3>270<>7487=>;59><184522054>1?<5;;<47:6;<023<<31279=:l5489>641d2=301??8d;6:?846?l0?563=16d90<=::82;6974=33;5?2>348:4?4;9:?15=5=<016><6;:5;8977?>3>270<>8687=>;59121845220::>1?<5;;3m7:6;<02<g<31279=5m5489>64>c2=301??7e;6:?8460o0?563=18390<=::8396974=33:0?32348:5>4:5:?15<>==<16><78:478977>k3?>70<>9c861>;59h:1985220;e>03<5;;j87;:;<02e6<2=279=l65549>64g02<?01??nc;76?846ik0>963=1c2910=::8km68;4=33a2?32348:n84:5:?15gg==<16><l6:478977em3?>70<>be861>;59j81985220a2>03<5;;h:7;:;<02g0<2=279=no5549>64e>2<?01??le;76?846km0>963=1e0910=::8n:68;4=33g2?32348:h84:5:?15ag==<16><j6:478977cn3?>70<>dd861>;59l91985220g1>03<uzk:6=4i0z\756=Yi8169k75331892222h?01:;9:0;b?8>103;2m6376682=g=:=j81=4o4=331`?30348:n94:7:?15``==>16><hn:4589747=3?<70<=11863>;5:8h19:522305>01<5;88=7;8;<017f<2?279=>65569>64242<=01??;e;74?846=00>;63=176912=::8<m6894=334e?30348:484:7:?15<6==>16><l<:458977cl3?<70<>e7863>;59l=19:5220g;>01<5;;n57;8;<02ad<2?279=hl5569>64cd2<=01??jd;74?846ml0>;63=1g2912=::8l:6894=33e6?30348:j>4:7:?15c2==>16><h::458977a>3?<70<>f6863>;59o219:5220d:>01<5;;mn7;8;<02bf<2?279=kj5569>64`b2<=01??if;74?845890>;63=213912=::;:96894=3037?303489<94:7:?1650==>16>?>8:458974703?<70<=08863>;5:9k19:52232a>01<5;8;o7;8;<014a<2?279>=k5569>676a2<=01?<>1;74?8459;0>;63=201912=::;;?6894=3021?303489=;4:7:?1641==>16>??7:458974613?<70<=1`863>;5:8i19:52233g>01<5;8:i7;8;<015c<2?279>?>5569>67462<=01?<=2;74?845::0>;63=236912=::;8>6894=3013?303489>54:7:?167?==>16>?<n:4589745j3?<70<=2b863>;5:;n19:52230f>01<5;89j7;8;<0175<2?279>><5569>67542<=01?<<4;74?845;<0>;63=224912=::;9<6894=300<?303489?44:7:?166g==>16>?=m:4589775m3?<70<>2g863>;59::19:522012>01<5;;8>7;8;<0276<2?279=>:5569>64522<=01??<6;74?846;>0>;63=12;912=::89j6894=330f?30348:?n4:7:?156b==>16><=j:4589774n3?<70<>41863>;59=;19:522061>01<5;;?87;8;<0200<2?279=985569>64202<=01??;8;74?846<00>;63=15c912=::8>i6894=337g?30348:8i4:7:?151`==>16><;?:458977293?<70<>53863>;59<919:522077>01<5;;>97;8;<0213<2?279=895569>643?2<=01??:a;74?846=k0>;63=14a912=::8?o6894=336a?30348:9k4:7:?1536==>16><8>:4589771:3?<70<>62863>;59??19:522045>01<5;;=;7;8;<022=<2?279=;75569>640f2<=01??9b;74?846>j0>;63=17f912=::8<n6894=3344?30348:;<4:7:?1524==>16><9<:4589770<3?<70<>74863>;59><19:522054>01<5;;<47;8;<023<<2?279=:l5569>641d2<=01??8d;74?846?l0>;63=16d912=::82;6894=33;5?30348:4?4:7:?15=5==>16><6;:458977?>3?<70<>86863>;591219:5220::>01<5;;3m7;8;<02<g<2?279=5m5569>64>c2<=01??7e;74?8460o0>;63=183912=::8396894=33:0?33348:5>4:4:?15<>===16><78:468977>k3??70<>9c860>;59h:1995220;e>02<5;;j87;;;<02e6<2<279=l65559>64g02<>01??nc;77?846ik0>863=1c2911=::8km68:4=33a2?33348:n84:4:?15gg===16><l6:468977em3??70<>be860>;59j81995220a2>02<5;;h:7;;;<02g0<2<279=no5559>64e>2<>01??le;77?846km0>863=1e0911=::8n:68:4=33g2?33348:h84:4:?15ag===16><j6:468977cn3??70<>dd860>;59l91995220g1>02<uzk;6=4i0z\757=Yi9169k65331892232h?01:;::0;b?8>113;2m6376982=g=:=j:1=4o4=331`?31348:n94:6:?15``==?16><hn:4489747=3?=70<=11862>;5:8h19;522305>00<5;88=7;9;<017f<2>279=>65579>64242<<01??;e;75?846=00>:63=176913=::8<m6884=334e?31348:484:6:?15<6==?16><l<:448977cl3?=70<>e7862>;59l=19;5220g;>00<5;;n57;9;<02ad<2>279=hl5579>64cd2<<01??jd;75?846ml0>:63=1g2913=::8l:6884=33e6?31348:j>4:6:?15c2==?16><h::448977a>3?=70<>f6862>;59o219;5220d:>00<5;;mn7;9;<02bf<2>279=kj5579>64`b2<<01??if;75?845890>:63=213913=::;:96884=3037?313489<94:6:?1650==?16>?>8:448974703?=70<=08862>;5:9k19;52232a>00<5;8;o7;9;<014a<2>279>=k5579>676a2<<01?<>1;75?8459;0>:63=201913=::;;?6884=3021?313489=;4:6:?1641==?16>??7:448974613?=70<=1`862>;5:8i19;52233g>00<5;8:i7;9;<015c<2>279>?>5579>67462<<01?<=2;75?845::0>:63=236913=::;8>6884=3013?313489>54:6:?167?==?16>?<n:4489745j3?=70<=2b862>;5:;n19;52230f>00<5;89j7;9;<0175<2>279>><5579>67542<<01?<<4;75?845;<0>:63=224913=::;9<6884=300<?313489?44:6:?166g==?16>?=m:4489775m3?=70<>2g862>;59::19;522012>00<5;;8>7;9;<0276<2>279=>:5579>64522<<01??<6;75?846;>0>:63=12;913=::89j6884=330f?31348:?n4:6:?156b==?16><=j:4489774n3?=70<>41862>;59=;19;522061>00<5;;?87;9;<0200<2>279=985579>64202<<01??;8;75?846<00>:63=15c913=::8>i6884=337g?31348:8i4:6:?151`==?16><;?:448977293?=70<>53862>;59<919;522077>00<5;;>97;9;<0213<2>279=895579>643?2<<01??:a;75?846=k0>:63=14a913=::8?o6884=336a?31348:9k4:6:?1536==?16><8>:4489771:3?=70<>62862>;59??19;522045>00<5;;=;7;9;<022=<2>279=;75579>640f2<<01??9b;75?846>j0>:63=17f913=::8<n6884=3344?31348:;<4:6:?1524==?16><9<:4489770<3?=70<>74862>;59><19;522054>00<5;;<47;9;<023<<2>279=:l5579>641d2<<01??8d;75?846?l0>:63=16d913=::82;6884=33;5?31348:4?4:6:?15=5==?16><6;:448977?>3?=70<>86862>;591219;5220::>00<5;;3m7;9;<02<g<2>279=5m5579>64>c2<<01??7e;75?8460o0>:63=183913=::8396884=33:0?34348:5>4:3:?15<>==:16><78:418977>k3?870<>9c867>;59h:19>5220;e>05<5;;j87;<;<02e6<2;279=l65529>64g02<901??nc;70?846ik0>?63=1c2916=::8km68=4=33a2?34348:n84:3:?15gg==:16><l6:418977em3?870<>be867>;59j819>5220a2>05<5;;h:7;<;<02g0<2;279=no5529>64e>2<901??le;70?846km0>?63=1e0916=::8n:68=4=33g2?34348:h84:3:?15ag==:16><j6:418977cn3?870<>dd867>;59l919>5220g1>05<uz3m6=4i0z\754=Y1o169k95331892242h?01:;;:0;b?8>1i3;2m6376882=g=:=ko1=4o4=331`?32348:n94:5:?15``==<16><hn:4789747=3?>70<=11861>;5:8h198522305>03<5;88=7;:;<017f<2=279=>65549>64242<?01??;e;76?846=00>963=176910=::8<m68;4=334e?32348:484:5:?15<6==<16><l<:478977cl3?>70<>e7861>;59l=1985220g;>03<5;;n57;:;<02ad<2=279=hl5549>64cd2<?01??jd;76?846ml0>963=1g2910=::8l:68;4=33e6?32348:j>4:5:?15c2==<16><h::478977a>3?>70<>f6861>;59o21985220d:>03<5;;mn7;:;<02bf<2=279=kj5549>64`b2<?01??if;76?845890>963=213910=::;:968;4=3037?323489<94:5:?1650==<16>?>8:478974703?>70<=08861>;5:9k19852232a>03<5;8;o7;:;<014a<2=279>=k5549>676a2<?01?<>1;76?8459;0>963=201910=::;;?68;4=3021?323489=;4:5:?1641==<16>??7:478974613?>70<=1`861>;5:8i19852233g>03<5;8:i7;:;<015c<2=279>?>5549>67462<?01?<=2;76?845::0>963=236910=::;8>68;4=3013?323489>54:5:?167?==<16>?<n:4789745j3?>70<=2b861>;5:;n19852230f>03<5;89j7;:;<0175<2=279>><5549>67542<?01?<<4;76?845;<0>963=224910=::;9<68;4=300<?323489?44:5:?166g==<16>?=m:4789775m3?>70<>2g861>;59::198522012>03<5;;8>7;:;<0276<2=279=>:5549>64522<?01??<6;76?846;>0>963=12;910=::89j68;4=330f?32348:?n4:5:?156b==<16><=j:4789774n3?>70<>41861>;59=;198522061>03<5;;?87;:;<0200<2=279=985549>64202<?01??;8;76?846<00>963=15c910=::8>i68;4=337g?32348:8i4:5:?151`==<16><;?:478977293?>70<>53861>;59<9198522077>03<5;;>97;:;<0213<2=279=895549>643?2<?01??:a;76?846=k0>963=14a910=::8?o68;4=336a?32348:9k4:5:?1536==<16><8>:4789771:3?>70<>62861>;59??198522045>03<5;;=;7;:;<022=<2=279=;75549>640f2<?01??9b;76?846>j0>963=17f910=::8<n68;4=3344?32348:;<4:5:?1524==<16><9<:4789770<3?>70<>74861>;59><198522054>03<5;;<47;:;<023<<2=279=:l5549>641d2<?01??8d;76?846?l0>963=16d910=::82;68;4=33;5?32348:4?4:5:?15=5==<16><6;:478977?>3?>70<>86861>;59121985220::>03<5;;3m7;:;<02<g<2=279=5m5549>64>c2<?01??7e;76?8460o0>963=183910=::83968;4=33:0?35348:5>4:2:?15<>==;16><78:408977>k3?970<>9c866>;59h:19?5220;e>04<5;;j87;=;<02e6<2:279=l65539>64g02<801??nc;71?846ik0>>63=1c2917=::8km68<4=33a2?35348:n84:2:?15gg==;16><l6:408977em3?970<>be866>;59j819?5220a2>04<5;;h:7;=;<02g0<2:279=no5539>64e>2<801??le;71?846km0>>63=1e0917=::8n:68<4=33g2?35348:h84:2:?15ag==;16><j6:408977cn3?970<>dd866>;59l919?5220g1>04<uz3n6=4i0z\755=Y1l169k85331892252h?01:;<:0;b?8>1j3;2m6376`82=g=:=ki1=4o4=331`?33348:n94:4:?15``===16><hn:4689747=3??70<=11860>;5:8h199522305>02<5;88=7;;;<017f<2<279=>65559>64242<>01??;e;77?846=00>863=176911=::8<m68:4=334e?33348:484:4:?15<6===16><l<:468977cl3??70<>e7860>;59l=1995220g;>02<5;;n57;;;<02ad<2<279=hl5559>64cd2<>01??jd;77?846ml0>863=1g2911=::8l:68:4=33e6?33348:j>4:4:?15c2===16><h::468977a>3??70<>f6860>;59o21995220d:>02<5;;mn7;;;<02bf<2<279=kj5559>64`b2<>01??if;77?845890>863=213911=::;:968:4=3037?333489<94:4:?1650===16>?>8:468974703??70<=08860>;5:9k19952232a>02<5;8;o7;;;<014a<2<279>=k5559>676a2<>01?<>1;77?8459;0>863=201911=::;;?68:4=3021?333489=;4:4:?1641===16>??7:468974613??70<=1`860>;5:8i19952233g>02<5;8:i7;;;<015c<2<279>?>5559>67462<>01?<=2;77?845::0>863=236911=::;8>68:4=3013?333489>54:4:?167?===16>?<n:4689745j3??70<=2b860>;5:;n19952230f>02<5;89j7;;;<0175<2<279>><5559>67542<>01?<<4;77?845;<0>863=224911=::;9<68:4=300<?333489?44:4:?166g===16>?=m:4689775m3??70<>2g860>;59::199522012>02<5;;8>7;;;<0276<2<279=>:5559>64522<>01??<6;77?846;>0>863=12;911=::89j68:4=330f?33348:?n4:4:?156b===16><=j:4689774n3??70<>41860>;59=;199522061>02<5;;?87;;;<0200<2<279=985559>64202<>01??;8;77?846<00>863=15c911=::8>i68:4=337g?33348:8i4:4:?151`===16><;?:468977293??70<>53860>;59<9199522077>02<5;;>97;;;<0213<2<279=895559>643?2<>01??:a;77?846=k0>863=14a911=::8?o68:4=336a?33348:9k4:4:?1536===16><8>:4689771:3??70<>62860>;59??199522045>02<5;;=;7;;;<022=<2<279=;75559>640f2<>01??9b;77?846>j0>863=17f911=::8<n68:4=3344?33348:;<4:4:?1524===16><9<:4689770<3??70<>74860>;59><199522054>02<5;;<47;;;<023<<2<279=:l5559>641d2<>01??8d;77?846?l0>863=16d911=::82;68:4=33;5?33348:4?4:4:?15=5===16><6;:468977?>3??70<>86860>;59121995220::>02<5;;3m7;;;<02<g<2<279=5m5559>64>c2<>01??7e;77?8460o0>863=183911=::83968:4=33:0?36348:5>4:1:?15<>==816><78:438977>k3?:70<>9c865>;59h:19<5220;e>07<5;;j87;>;<02e6<29279=l65509>64g02<;01??nc;72?846ik0>=63=1c2914=::8km68?4=33a2?36348:n84:1:?15gg==816><l6:438977em3?:70<>be865>;59j819<5220a2>07<5;;h:7;>;<02g0<29279=no5509>64e>2<;01??le;72?846km0>=63=1e0914=::8n:68?4=33g2?36348:h84:1:?15ag==816><j6:438977cn3?:70<>dd865>;59l919<5220g1>07<uz3o6=4i0z\74c=Y1m169k;5331892262h?01:;=:0;b?8>1k3;2m6376c82=g=:=kk1=4o4=331`?34348:n94:3:?15``==:16><hn:4189747=3?870<=11867>;5:8h19>522305>05<5;88=7;<;<017f<2;279=>65529>64242<901??;e;70?846=00>?63=176916=::8<m68=4=334e?34348:484:3:?15<6==:16><l<:418977cl3?870<>e7867>;59l=19>5220g;>05<5;;n57;<;<02ad<2;279=hl5529>64cd2<901??jd;70?846ml0>?63=1g2916=::8l:68=4=33e6?34348:j>4:3:?15c2==:16><h::418977a>3?870<>f6867>;59o219>5220d:>05<5;;mn7;<;<02bf<2;279=kj5529>64`b2<901??if;70?845890>?63=213916=::;:968=4=3037?343489<94:3:?1650==:16>?>8:418974703?870<=08867>;5:9k19>52232a>05<5;8;o7;<;<014a<2;279>=k5529>676a2<901?<>1;70?8459;0>?63=201916=::;;?68=4=3021?343489=;4:3:?1641==:16>??7:418974613?870<=1`867>;5:8i19>52233g>05<5;8:i7;<;<015c<2;279>?>5529>67462<901?<=2;70?845::0>?63=236916=::;8>68=4=3013?343489>54:3:?167?==:16>?<n:4189745j3?870<=2b867>;5:;n19>52230f>05<5;89j7;<;<0175<2;279>><5529>67542<901?<<4;70?845;<0>?63=224916=::;9<68=4=300<?343489?44:3:?166g==:16>?=m:4189775m3?870<>2g867>;59::19>522012>05<5;;8>7;<;<0276<2;279=>:5529>64522<901??<6;70?846;>0>?63=12;916=::89j68=4=330f?34348:?n4:3:?156b==:16><=j:4189774n3?870<>41867>;59=;19>522061>05<5;;?87;<;<0200<2;279=985529>64202<901??;8;70?846<00>?63=15c916=::8>i68=4=337g?34348:8i4:3:?151`==:16><;?:418977293?870<>53867>;59<919>522077>05<5;;>97;<;<0213<2;279=895529>643?2<901??:a;70?846=k0>?63=14a916=::8?o68=4=336a?34348:9k4:3:?1536==:16><8>:4189771:3?870<>62867>;59??19>522045>05<5;;=;7;<;<022=<2;279=;75529>640f2<901??9b;70?846>j0>?63=17f916=::8<n68=4=3344?34348:;<4:3:?1524==:16><9<:4189770<3?870<>74867>;59><19>522054>05<5;;<47;<;<023<<2;279=:l5529>641d2<901??8d;70?846?l0>?63=16d916=::82;68=4=33;5?34348:4?4:3:?15=5==:16><6;:418977?>3?870<>86867>;591219>5220::>05<5;;3m7;<;<02<g<2;279=5m5529>64>c2<901??7e;70?8460o0>?63=183916=::83968=4=33:0?37348:5>4:0:?15<>==916><78:428977>k3?;70<>9c864>;59h:19=5220;e>06<5;;j87;?;<02e6<28279=l65519>64g02<:01??nc;73?846ik0><63=1c2915=::8km68>4=33a2?37348:n84:0:?15gg==916><l6:428977em3?;70<>be864>;59j819=5220a2>06<5;;h:7;?;<02g0<28279=no5519>64e>2<:01??le;73?846km0><63=1e0915=::8n:68>4=33g2?37348:h84:0:?15ag==916><j6:428977cn3?;70<>dd864>;59l919=5220g1>06<uz3h6=4i0z\74`=Y1j169hj5331892272h?01:;>:0;b?8>1l3;2m6376b82=g=:=k21=4o4=331`?35348:n94:2:?15``==;16><hn:4089747=3?970<=11866>;5:8h19?522305>04<5;88=7;=;<017f<2:279=>65539>64242<801??;e;71?846=00>>63=176917=::8<m68<4=334e?35348:484:2:?15<6==;16><l<:408977cl3?970<>e7866>;59l=19?5220g;>04<5;;n57;=;<02ad<2:279=hl5539>64cd2<801??jd;71?846ml0>>63=1g2917=::8l:68<4=33e6?35348:j>4:2:?15c2==;16><h::408977a>3?970<>f6866>;59o219?5220d:>04<5;;mn7;=;<02bf<2:279=kj5539>64`b2<801??if;71?845890>>63=213917=::;:968<4=3037?353489<94:2:?1650==;16>?>8:408974703?970<=08866>;5:9k19?52232a>04<5;8;o7;=;<014a<2:279>=k5539>676a2<801?<>1;71?8459;0>>63=201917=::;;?68<4=3021?353489=;4:2:?1641==;16>??7:408974613?970<=1`866>;5:8i19?52233g>04<5;8:i7;=;<015c<2:279>?>5539>67462<801?<=2;71?845::0>>63=236917=::;8>68<4=3013?353489>54:2:?167?==;16>?<n:4089745j3?970<=2b866>;5:;n19?52230f>04<5;89j7;=;<0175<2:279>><5539>67542<801?<<4;71?845;<0>>63=224917=::;9<68<4=300<?353489?44:2:?166g==;16>?=m:4089775m3?970<>2g866>;59::19?522012>04<5;;8>7;=;<0276<2:279=>:5539>64522<801??<6;71?846;>0>>63=12;917=::89j68<4=330f?35348:?n4:2:?156b==;16><=j:4089774n3?970<>41866>;59=;19?522061>04<5;;?87;=;<0200<2:279=985539>64202<801??;8;71?846<00>>63=15c917=::8>i68<4=337g?35348:8i4:2:?151`==;16><;?:408977293?970<>53866>;59<919?522077>04<5;;>97;=;<0213<2:279=895539>643?2<801??:a;71?846=k0>>63=14a917=::8?o68<4=336a?35348:9k4:2:?1536==;16><8>:4089771:3?970<>62866>;59??19?522045>04<5;;=;7;=;<022=<2:279=;75539>640f2<801??9b;71?846>j0>>63=17f917=::8<n68<4=3344?35348:;<4:2:?1524==;16><9<:4089770<3?970<>74866>;59><19?522054>04<5;;<47;=;<023<<2:279=:l5539>641d2<801??8d;71?846?l0>>63=16d917=::82;68<4=33;5?35348:4?4:2:?15=5==;16><6;:408977?>3?970<>86866>;591219?5220::>04<5;;3m7;=;<02<g<2:279=5m5539>64>c2<801??7e;71?8460o0>>63=183917=::83968<4=33:0?2a348:5>4;f:?15<>=<o16><78:5d8977>k3>m70<>9c87b>;59h:18k5220;e>1`<5;;j87:i;<02e6<3n279=l654g9>64g02=l01??nc;6e?846ik0?j63=1c290c=::8km69h4=33a2?2a348:n84;f:?15gg=<o16><l6:5d8977em3>m70<>be87b>;59j818k5220a2>1`<5;;h:7:i;<02g0<3n279=no54g9>64e>2=l01??le;6e?846km0?j63=1e090c=::8n:69h4=33g2?2a348:h84;f:?15ag=<o16><j6:5d8977cn3>m70<>dd87b>;59l918k5220g1>1`<uz386=4jfz\740=Y1:169hm53318925>2h?01::6:0;b?8>1l3;2n63:c882=<=::88o68?4=33a0?36348:ik4:1:?15cg==816>?>::438974683?:70<=1c865>;5:;<19<522312>07<5;88o7;>;<027=<29279=9=5509>642b2<;01??:9;72?846>=0>=63=17d914=::8=j68?4=33;1?36348:5=4:1:?15g5==816><jk:438977b>3?:70<>e6865>;59l219<5220g:>07<5;;nm7;>;<02ag<29279=hm5509>64cc2<;01??je;72?846n90>=63=1g3914=::8l968?4=33e7?36348:j94:1:?15c3==816><h9:438977a?3?:70<>f9865>;59o319<5220da>07<5;;mo7;>;<02ba<29279=kk5509>64`a2<;01?<?0;72?845880>=63=210914=::;:868?4=3030?363489<;4:1:?1651==816>?>7:438974713?:70<=0`865>;5:9h19<52232`>07<5;8;h7;>;<014`<29279>=h5509>67762<;01?<>2;72?8459:0>=63=206914=::;;>68?4=3022?363489=:4:1:?164>==816>??6:4389746i3?:70<=1b865>;5:8n19<52233f>07<5;8:j7;>;<0165<29279>??5509>67452<;01?<=3;72?845:=0>=63=237914=::;8<68?4=301<?363489>44:1:?167g==816>?<m:4389745k3?:70<=2e865>;5:;o19<52230e>07<5;88<7;>;<0177<29279>>=5509>67532<;01?<<5;72?845;?0>=63=225914=::;9368?4=300=?363489?l4:1:?166d==816><<j:4389775n3?:70<>31865>;59:;19<522011>07<5;;8?7;>;<0271<29279=>;5509>64512<;01??<7;72?846;00>=63=12c914=::89i68?4=330g?36348:?i4:1:?156c==816><=i:438977383?:70<>40865>;59=819<522067>07<5;;?97;>;<0203<29279=995509>642?2<;01??;9;72?846<h0>=63=15`914=::8>h68?4=337`?36348:8k4:1:?1506==816><;>:4389772:3?:70<>52865>;59<>19<522076>07<5;;>:7;>;<0212<29279=865509>643f2<;01??:b;72?846=j0>=63=14f914=::8?n68?4=336b?36348::=4:1:?1537==816><8=:4389771;3?:70<>64865>;59?<19<522044>07<5;;=47;>;<022<<29279=;o5509>640e2<;01??9c;72?846>m0>=63=17g914=::8=;68?4=3345?36348:;?4:1:?1525==816><9;:4389770=3?:70<>77865>;59>=19<52205;>07<5;;<57;>;<023g<29279=:m5509>641c2<;01??8e;72?846?o0>=63=192914=::82:68?4=33;6?36348:4>4:1:?15=2==816><69:438977??3?:70<>89865>;591319<5220:b>07<5;;3n7;>;<02<f<29279=5j5509>64>b2<;01??7f;72?846180>=63=180914=::83?6964=33:7?2?348:554;8:?15<1=<116><7l:5:8977>j3>370<>a187<>;590l1855220c7>1><5;;j?7:7;<02e=<30279=l95499>64gd2=201??nb;6;?846j90?463=1`d90==::8h=6964=33a1?2?348:nl4;8:?15g?=<116><lj:5:8977el3>370<>c387<>;59j;1855220a5>1><5;;h97:7;<02gd<30279=n75499>64eb2=201??ld;6;?846l;0?463=1e390==::8n=6964=33g1?2?348:hl4;8:?15a?=<116><ji:5:8977cm3>370<>e287<>;59l81855rs2c2>5<2sW9j=63:3e8066=:i;91=4l4=84;>4?f34?:m7?6a:\7fp7<`=83?pR>7i;<70g?55;27j>?4>9e9>=31=90i019hi:0;b?xu41l0;68uQ38g8905e2:8870o=2;3:f>;>>>0:5l524gf95<g<uz92h7>55z\0=a=:=:k1??=4=`02>4?c343=:7?6c:?7bg<61h1v\7f>7l:186\7f[5>k27>?44<229>e77=90h01489:0;b?82a13;2m6s|38`94?3|V:3i70;<8;117>;f:90:5i5297795<e<5=l<6<7n;|q0=d<72<qU?4o4=414>64434k9<7?6b:?:20<61h168k;518c8yv5fi3:19vP<a`9>113=;;901l?i:0;g?8?1<3;2o63:1082=d=z{:k26=4:{_1b=>;2<=08>>52a0d95<d<50<?6<7n;<73b?7>i2wx?l650;7xZ6g?34???7==3:?b5`<61m165;=518a8906c283j7p}<a683>0}Y;h=018:=:200?8g6m3;2n6366282=d=:=9h1=4o4}r1b2?6==rT8m;525539775<5h;o6<7k;<;56?7>k27><44>9`9~w6g2290>wS=n5:?605<4::16m<j518`89<05283j70;?7;3:e>{t;h>1<7;t^2c7?834n399?63n1b82=a=:1?;1=4m4=426>4?f3ty8m>4?:4y]7d5<5<9n6><<;<c2g?7>j272:<4>9`9>155=90k0q~=n2;291~X4i;169>8533189d7e283o70790;3:g>;2880:5l5rs2;:>5<2sW92563:348066=:i8h1=4l4=843>4?f34?:>7?69:\7fp<<<72=npR>l<;_::?82bi399?638de84g>;09l0:5o521`7;>2e<5>2o6<7n;<c34?7>j27?o:4>9`9>f32=90h01o8<:0;a?8d2k3;2n63m5082=g=:j::1=4l4=c0;>4?e34h9;7?6b:?a51<61k16n;<518`89g06283i70l;6;3:f>;e;k0:5o52b3c95<d<5k826<7m;<`12?7>j27i=i4>9c9>f6g=90k01o=6:0;b?8d4>3;2m63m3482=d=:j:81=4o4=c12>4?f34h9o7?6a:?a6g<61h16n>j518c89g5d283j70l<8;3:e>;e;>0:5l52b2695<g<5k986<7n;<`1b?7>i27i>i4>9`9>f1e=90h01o:m:0;a?8d303;2n63m4682=g=:j=91=4l4=c61>4?e34h8j7?6b:?a7`<61k16n9k518`89g2c283i70l;a;3:f>;e<00:5o52b5795<d<5k>?6<7m;<`75?7>j27i8=4>9c9>f0c=90h01o;k:0;a?8d213;2n63m5982=g=:j<?1=4l4=c77>4?e34h><7?6b:?a0c<61k16n;>518`89g3a283i70l:b;3:f>;e=h0:5o52b4595<d<5k?=6<7m;<`67?7>j27i9?4>9c9>644d283j70<>2882=d=::88=6<7m;<0266<61h1v\7f5950;6g\7f[5e92T3;63;e88066=:?8n1=4l4=0c63?1d34=3i7?6a:?4<a<61k165kh518f891gd283j70l94;3:e>;e>:0:5l52b4a95<g<5k?:6<7n;<`04?7>i27i>54>9`9>f71=90k01o?;:0;b?8d1:3;2m63m6082=d=:j=<1=4o4=c1a>4?f34h9m7?6a:?a6<<61h16n?8518c89g7c283j70l<a;3:f>;e;00:5o52b2495<d<5k9>6<7m;<`06?7>j27i?<4>9c9>f7e=90h01o<m:0;a?8d4l3;2n63m3b82=g=:j:21=4l4=c14>4?e34h887?6b:?a76<61k16n?h518`89g4c283i70l;c;3:e>;e<k0:5l52b5:95<g<5k><6<7n;<`77?7>i27i8?4>9`9>f6`=90k01o=j:0;b?8d3m3;2m63m4e82=d=:j=k1=4o4=c6:>4?f34h?97?6a:?a01<61h16n9?518c89g27283j70l:e;3:e>;e=m0:5l52b4;95<g<5k?36<7n;<`61?7>i27i994>9`9>f06=90k01o:i:0;b?8d183;2m63m5g82=d=:j<h1=4o4=c7b>4?f34h>;7?6a:?a13<61h16n8=518c89g35283j70<>2b82=g=::8826<7m;<0263<61h16><<<:0;a?xu?>3:18?uQ3c28Z=0<5=o36><<;<52g?7>j27:m8857b9>3=`=90k01:6j:0;a?8?an3;2n63;a`82=d=::83?68k4=33:7?3b348:554:e:?15<1==l16><7l:4g8977>j3?n70<>a186a>;590l19h5220c7>0c<5;;j?7;j;<02e=<2m279=l955d9>64gd2<o01??nb;7f?846j90>i63=1`d91`=::8h=68k4=33a1?3b348:nl4:e:?15g?==l16><lj:4g8977el3?n70<>c386a>;59j;19h5220a5>0c<5;;h97;j;<02gd<2m279=n755d9>64eb2<o01??ld;7f?846l;0>i63=1e391`=::8n=68k4=33g1?3b348:hl4:e:?15a?==l16><ji:4g8977cm3?n70<>e286a>;59l819h52b0795<d<5k;=6<7l;<`23?7>l27i=54>9c9>f4?=90h01o?n:0;a?8d6j3;2n63m1b82=g=:j8o1=4o4=c3e>4?f34h9<7?6d:?a64<61k16n?<518`89g44283h70l=4;3:g>;e:<0:5n52b3g95<d<uz2>6=4;2z\0ec=Y0<168h953318927e283i70?n5484g>;0190:5l5279d95<d<50ln6<7k;<6b<?7>i279=4:55b9>64?42<i01??68;7`?8461>0>o63=18a91f=::83i68m4=33b4?3d348:5k4:c:?15d2==j16><o<:4a8977f03?h70<>a686g>;59hi19n5220ca>0e<5;;i<7;l;<02ec<2k279=o855b9>64d22<i01??ma;7`?846j00>o63=1cg91f=::8ho68m4=33`6?3d348:o<4:c:?15f0==j16><m::4a8977di3?h70<>c886g>;59jo19n5220ag>0e<5;;o>7;l;<02`4<2k279=i855b9>64b22<i01??ka;7`?846l00>o63=1ed91f=::8nn68m4=33f7?3d348:i?4:c:?a50<61h16n<8518c89g70283i70l>8;3:g>;e900:5l52b0c95<e<5k;i6<7l;<`2g?7>k27i=h4>9b9>f4`=90i01o<?:0;b?8d593;2m63m2382=f=:j;91=4j4=c07>4?f34h997?6a:?a6`<61j1v\7f5:50;60\7f[5fm2T3863;e78066=:?8k1=4l4=0c67?1d34=2=7?6a:?4=5<61k165kk518`891g1283j70?n5584g>;590>19o5220;0>0d<5;;247;m;<02=2<2j279=4m55c9>64?e2<h01??n0;7a?8461o0>n63=1`691g=::8k868l4=33b<?3e348:m:4:b:?15de==k16><om:4`8977e83?i70<>ag86f>;59k<19o5220`6>0d<5;;im7;m;<02f<<2j279=ok55c9>64dc2<h01??l2;7a?846k80>n63=1b491g=::8i>68l4=33`e?3e348:o44:b:?15fc==k16><mk:4`8977c:3?i70<>d086f>;59m<19o5220f6>0d<5;;om7;m;<02`<<2j279=ih55c9>64bb2<h01??j3;7a?846m;0>n63m1482=a=:j8<1=4l4=c34>4?f34h:47?6a:?a5<<61m16n<o518c89g7e283j70l>c;3:e>;e9l0:5o52b0d95<d<5k8;6<7m;<`15?7>k27i>?4>9`9>f75=90h01o<;:0;a?8d5=3;2n63m2d82=d=z{191<7:<{_1b`>X?;27?i84<229>34?=90h01<o:1;5`?81>:3;2m6389082=g=:1on1=4m4=5c7>4?f34;j9?48c:?15<2==h16><7<:4c8977>03?j70<>9686e>;590i19l5220;a>0g<5;;j<7;n;<02=c<2i279=l:55`9>64g42<k01??n8;7b?846i>0>m63=1`a91d=::8ki68o4=33a4?3f348:mk4:a:?15g0==h16><l::4c8977ei3?j70<>b886e>;59ko19l5220`g>0g<5;;h>7;n;<02g4<2i279=n855`9>64e22<k01??la;7b?846k00>m63=1bg91d=::8io68o4=33g6?3f348:h<4:a:?15a0==h16><j::4c8977ci3?j70<>d886e>;59ml19l5220ff>0g<5;;n?7;n;<02a7<2i27i=84>9b9>f40=90n01o?8:0;`?8d603;2h63m1882=f=:j8k1=4j4=c3a>4?c34h:o7?6d:?a5`<61m16n<h518f89g47283h70l=1;3:`>;e:;0:5i52b3195<g<5k8?6<7k;<`11?7>l27i>h4>9e9~w=4=83l;wS=nc:\;6>;3m=08>>5270:95<d<5>386<7n;<5:6?7>j272ji4>9e9>0d4=90k01??=d;7`?846j=0>o63=1dd91f=::8lj68m4=3031?3d3489==4:c:?164d==j16>?<9:4a8974493?h70<=3b86g>;59:219n522060>0e<5;;?i7;l;<021<<2k279=;:55b9>640a2<i01??8a;7`?8460<0>o63=18291f=::8h868m4=33g`?3d348:i;4:c:?15`1==j16><k7:4a8977b13?h70<>e`86g>;59lh19n5220g`>0e<5;;nh7;l;<02a`<2k279=k>55b9>64`62<i01??i2;7`?846n:0>o63=1g691f=::8l>68m4=33e2?3d348:j:4:c:?15c>==j16><h6:4a8977aj3?h70<>fb86g>;59on19n5220df>0e<5;;mj7;l;<0145<2k279>=?55b9>67652<i01?<?3;7`?8458=0>o63=21491f=::;:<68m4=303<?3d3489<44:c:?165g==j16>?>m:4a89747k3?h70<=0e86g>;5:9o19n52232e>0e<5;8:=7;l;<0157<2k279><=55b9>67732<i01?<>5;7`?8459?0>o63=20591f=::;;368m4=302=?3d3489=l4:c:?164e==j16>??k:4a89746m3?h70<=1g86g>;5:;:19n522302>0e<5;89>7;l;<0166<2k279>?:55b9>67422<i01?<=7;7`?845:10>o63=23;91f=::;8j68m4=301f?3d3489>n4:c:?167b==j16>?<j:4a89745n3?h70<=3186g>;5::819n522310>0e<5;8887;l;<0170<2k279>>855b9>67502<i01?<<8;7`?845;00>o63=22c91f=::;9i68m4=331a?3d348:>k4:c:?1566==j16><=>:4a89774:3?h70<>3286g>;59:>19n522016>0e<5;;8:7;l;<0272<2k279=>755b9>645f2<i01??<b;7`?846;j0>o63=12f91f=::89n68m4=330b?3d348:8=4:c:?1517==j16><:=:4a89773<3?h70<>4486g>;59=<19n522064>0e<5;;?47;l;<020<<2k279=9o55b9>642e2<i01??;c;7`?846<m0>o63=15d91f=::8?;68m4=3365?3d348:9?4:c:?1505==j16><;;:4a89772=3?h70<>5786g>;59<=19n52207;>0e<5;;>m7;l;<021g<2k279=8m55b9>643c2<i01??:e;7`?846=o0>o63=17291f=::8<:68m4=3356?3d348::>4:c:?1533==j16><89:4a89771?3?h70<>6986g>;59?319n52204b>0e<5;;=n7;l;<022f<2k279=;j55b9>640b2<i01??80;7`?846?80>o63=16091f=::8=868m4=3340?3d348:;84:c:?1520==j16><98:4a8977003?h70<>7886g>;59>h19n52205`>0e<5;;<h7;l;<023`<2k279=:h55b9>64>72<i01??71;7`?8460;0>o63=19191f=::82?68m4=33;2?3d348:4:4:c:?15=>==j16><66:4a8977?i3?h70<>8c86g>;591i19n5220:g>0e<5;;3i7;l;<02<c<2k279=4?55b9>64?52<i01??64;7:?8461:0>563=18:91<=::83<6874=33:g?3>348:5o4:9:?15d6==016><7i:4;8977f<3?270<>a286=>;59h21945220c4>0?<5;;jo7;6;<02eg<21279=o>5589>64ga2<301??m6;7:?846j<0>563=1cc91<=::8h26874=33aa?3>348:ni4:9:?15f4==016><m>:4;8977d>3?270<>c486=>;59jk1945220a:>0?<5;;hi7;6;<02ga<21279=i<5589>64b62<301??k6;7:?846l<0>563=1ec91<=::8n26874=33gb?3>348:hh4:9:?15`5==016><k=:4;8yv?5290m<vP<bb9]=7=:<o81??=4=604>4?e34=287?6a:?4=6<61k165km518a891db283j70<>2e86f>;59k>19o5220ge>0d<5;;mm7;m;<0140<2j279><>55c9>677e2<h01?<=6;7a?845;80>n63=22a91g=::89368l4=3377?3e348:8h4:b:?150?==k16><8;:4`89771n3?i70<>7`86f>;591?19o5220;3>0d<5;;i?7;m;<02`a<2j279=h855c9>64c02<h01??j8;7a?846m00>n63=1dc91g=::8oi68l4=33fg?3e348:ii4:b:?15`c==k16><h?:4`8977a93?i70<>f386f>;59o919o5220d7>0d<5;;m97;m;<02b3<2j279=k955c9>64`?2<h01??i9;7a?846nk0>n63=1ga91g=::8lo68l4=33ea?3e348:jk4:b:?1656==k16>?>>:4`89747:3?i70<=0286f>;5:9>19o522325>0d<5;8;;7;m;<014=<2j279>=755c9>676f2<h01?<?b;7a?8458j0>n63=21f91g=::;:n68l4=303b?3e3489=<4:b:?1644==k16>??<:4`89746<3?i70<=1486f>;5:8<19o522334>0d<5;8:47;m;<015<<2j279><o55c9>677d2<h01?<>d;7a?8459l0>n63=20d91g=::;8;68l4=3015?3e3489>?4:b:?1675==k16>?<;:4`89745=3?i70<=2686f>;5:;219o52230:>0d<5;89m7;m;<016g<2j279>?m55c9>674c2<h01?<=e;7a?845:o0>n63=22291g=::;9968l4=3007?3e3489?94:b:?1663==k16>?=9:4`89744?3?i70<=3986f>;5::319o52231b>0d<5;88n7;m;<026`<2j279=?h55c9>64572<h01??<1;7a?846;;0>n63=12191g=::89?68l4=3301?3e348:?;4:b:?1561==k16><=6:4`89774i3?i70<>3c86f>;59:i19o52201g>0d<5;;8i7;m;<027c<2j279=9>55c9>64262<h01??;2;7a?846<=0>n63=15791g=::8>=68l4=3373?3e348:854:b:?151?==k16><:n:4`89773j3?i70<>4b86f>;59=n19o52206e>0d<5;;><7;m;<0214<2j279=8<55c9>64342<h01??:4;7a?846=<0>n63=14491g=::8?<68l4=336<?3e348:9l4:b:?150d==k16><;l:4`89772l3?i70<>5d86f>;59<l19o522043>0d<5;;==7;m;<0227<2j279=;=55c9>64022<h01??96;7a?846>>0>n63=17:91g=::8<268l4=335e?3e348::o4:b:?153e==k16><8k:4`89771m3?i70<>7186f>;59>;19o522051>0d<5;;<?7;m;<0231<2j279=:;55c9>64112<h01??87;7a?846?10>n63=16;91g=::8=i68l4=334g?3e348:;i4:b:?152c==k16><9i:4`8977?83?i70<>8086f>;591819o5220:0>0d<5;;387;m;<02<3<2j279=5955c9>64>?2<h01??79;7a?8460h0>n63=19`91g=::82h68l4=33;`?3e348:4h4:b:?15=`==k16><7>:4`8977>:3?i70<>95853>;59091::5220;;>31<5;;2;788;<02=f<1?279=4l5669>64g72?=01??6f;44?846i=0=;63=1`1922=::8k36;94=33b3?00348:mn497:?15dd=>>16><l?:758977fn3<<70<>b7853>;59k?1::5220`b>31<5;;i5788;<02f`<1?279=oj5669>64e52?=01??l1;44?846k?0=;63=1b7922=::8ij6;94=33`=?00348:oh497:?15fb=>>16><j=:758977c93<<70<>d7853>;59m?1::5220fb>31<5;;o5788;<02`c<1?279=ik5669>64c42?=01??j2;44?xu>93:1j=uQ3c`8Z<7<5=l:6><<;<512?7>j27<584>9`9>3<2=90h014hl:0;g?82ek3;2m63=13f91d=::8h?68o4=33fb?3f348:jl4:a:?1653==h16>???:4c89746j3?j70<=2786e>;5::;19l52231`>0g<5;;847;n;<0206<2i279=9k55`9>643>2<k01??94;7b?846>o0>m63=16c91d=::82>68o4=33:4?3f348:n>4:a:?15ab==h16><k9:4c8977b?3?j70<>e986e>;59l319l5220gb>0g<5;;nn7;n;<02af<2i279=hj55`9>64cb2<k01??i0;7b?846n80>m63=1g091d=::8l868o4=33e0?3f348:j84:a:?15c0==h16><h8:4c8977a03?j70<>f886e>;59oh19l5220d`>0g<5;;mh7;n;<02b`<2i279=kh55`9>67672<k01?<?1;7b?8458;0>m63=21191d=::;:?68o4=3032?3f3489<:4:a:?165>==h16>?>6:4c89747i3?j70<=0c86e>;5:9i19l52232g>0g<5;8;i7;n;<014c<2i279><?55`9>67752<k01?<>3;7b?8459=0>m63=20791d=::;;=68o4=3023?3f3489=54:a:?164?==h16>??n:4c89746k3?j70<=1e86e>;5:8o19l52233e>0g<5;89<7;n;<0164<2i279>?<55`9>67442<k01?<=4;7b?845:<0>m63=23591d=::;8368o4=301=?3f3489>l4:a:?167d==h16>?<l:4c89745l3?j70<=2d86e>;5:;l19l522313>0g<5;88>7;n;<0176<2i279>>:55`9>67522<k01?<<6;7b?845;>0>m63=22:91d=::;9268o4=300e?3f3489?o4:a:?157c==h16><<i:4c8977483?j70<>3086e>;59:819l522010>0g<5;;887;n;<0270<2i279=>855`9>64502<k01??<9;7b?846;h0>m63=12`91d=::89h68o4=330`?3f348:?h4:a:?156`==h16><:?:4c8977393?j70<>4386e>;59=>19l522066>0g<5;;?:7;n;<0202<2i279=9655`9>642>2<k01??;a;7b?846<k0>m63=15a91d=::8>o68o4=337b?3f348:9=4:a:?1507==h16><;=:4c89772;3?j70<>5586e>;59<?19l522075>0g<5;;>;7;n;<021=<2i279=8o55`9>643e2<k01??:c;7b?846=m0>m63=14g91d=::8?m68o4=3354?3f348::<4:a:?1534==h16><8<:4c89771=3?j70<>6786e>;59?=19l52204;>0g<5;;=57;n;<022d<2i279=;l55`9>640d2<k01??9d;7b?846>l0>m63=16291d=::8=:68o4=3346?3f348:;>4:a:?1522==h16><9::4c89770>3?j70<>7686e>;59>219l52205:>0g<5;;<n7;n;<023f<2i279=:j55`9>641b2<k01??8f;7b?846090>m63=19391d=::82968o4=33;7?3f348:494:a:?15=0==h16><68:4c8977?03?j70<>8886e>;591k19l5220:a>0g<5;;3o7;n;<02<a<2i279=5k55`9>64>a2<k01??61;7b?8461;0>m63=186923=::8386;84=33:<?01348:5:496:?15<e=>?16><7m:748977f83<=70<>9g852>;59h>1:;5220c0>30<5;;j4789;<02e2<1>279=lm5679>64ge2?<01??m0;45?846io0=:63=1c4923=::8h>6;84=33ae?01348:n4496:?15gc=>?16><lk:748977d:3<=70<>c0852>;59j<1:;5220a6>30<5;;hm789;<02g<<1>279=nk5679>64ec2?<01??k2;45?846l80=:63=1e4923=::8n>6;84=33ge?01348:h4496:?15a`=>?16><jj:748977b;3<=70<>e3852>{t190;6k>t^2`b?[?734>m<7==3:?460<61k16;48518c892?2283i707ib;3:g>;3jh0:5l52200g>0?<5;;i87;6;<02ac<21279=ko5589>67622<301?<>0;7:?8459k0>563=23491<=::;9:6874=300g?3>348:?54:9:?1515==016><:j:4;8977213?270<>6586=>;59?l19452205b>0?<5;;397;6;<02=5<21279=o=5589>64bc2<301??j6;7:?846m>0>563=1d:91<=::8o26874=33fe?3>348:io4:9:?15`e==016><kk:4;8977bm3?270<>f186=>;59o;1945220d1>0?<5;;m?7;6;<02b1<21279=k;5589>64`12<301??i7;7:?846n10>563=1g;91<=::8li6874=33eg?3>348:ji4:9:?15cc==016><hi:4;8974783?270<=0086=>;5:98194522320>0?<5;8;87;6;<0143<21279>=95589>676?2<301?<?9;7:?8458h0>563=21`91<=::;:h6874=303`?3>3489<h4:9:?165`==016>??>:4;89746:3?270<=1286=>;5:8>194522336>0?<5;8::7;6;<0152<21279><65589>677>2<301?<>a;7:?8459j0>563=20f91<=::;;n6874=302b?3>3489>=4:9:?1677==016>?<=:4;89745;3?270<=2586=>;5:;?194522304>0?<5;8947;6;<016<<21279>?o5589>674e2<301?<=c;7:?845:m0>563=23g91<=::;8m6874=3004?3>3489??4:9:?1665==016>?=;:4;89744=3?270<=3786=>;5::=19452231;>0?<5;8857;6;<017d<21279>>l5589>644b2<301??=f;7:?846;90>563=12391<=::8996874=3307?3>348:?94:9:?1563==016><=9:4;89774?3?270<>3886=>;59:k19452201a>0?<5;;8o7;6;<027a<21279=>k5589>645a2<301??;0;7:?846<80>563=15091<=::8>?6874=3371?3>348:8;4:9:?1511==016><:7:4;8977313?270<>4`86=>;59=h19452206`>0?<5;;?h7;6;<020c<21279=8>5589>64362<301??:2;7:?846=:0>563=14691<=::8?>6874=3362?3>348:9:4:9:?150>==016><;n:4;89772j3?270<>5b86=>;59<n19452207f>0?<5;;>j7;6;<0225<21279=;?5589>64052<301??93;7:?846><0>563=17491<=::8<<6874=335<?3>348::44:9:?153g==016><8m:4;89771k3?270<>6e86=>;59?o194522053>0?<5;;<=7;6;<0237<21279=:=5589>64132<301??85;7:?846??0>563=16591<=::8=36874=334=?3>348:;o4:9:?152e==016><9k:4;89770m3?270<>7g86=>;591:1945220:2>0?<5;;3>7;6;<02<6<21279=5:5589>64>12<301??77;7:?846010>563=19;91<=::82j6874=33;f?3>348:4n4:9:?15=b==016><6j:4;8977?n3?270<>9086=>;59081945220;7>33<5;;2?78:;<02==<1=279=495649>64?d2??01??6b;46?846i90=963=18d920=::8k?6;;4=33b7?02348:m5495:?15d1=><16><ol:778977fj3<>70<>b1851>;59hl1:85220`5>33<5;;i978:;<02fd<1=279=o75649>64db2??01??md;46?846k;0=963=1b3920=::8i=6;;4=33`1?02348:ol495:?15f?=><16><mj:778977dl3<>70<>d3851>;59m;1:85220f5>33<5;;o978:;<02`d<1=279=i75649>64ba2??01??ke;46?846m:0=963=1d0920=z{1l1<7h?{_1a=>X?n27?ik4<229>372=90h01:78:0;b?81>>3;2n636fc82=a=:<k21=4o4=331`?00348:n9497:?15``=>>16><hn:7589747=3<<70<=11853>;5:8h1::522305>31<5;88=788;<017f<1?279=>65669>64242?=01??;e;44?846=00=;63=176922=::8<m6;94=334e?00348:48497:?15<6=>>16><l<:758977cl3<<70<>e7853>;59l=1::5220g;>31<5;;n5788;<02ad<1?279=hl5669>64cd2?=01??jd;44?846ml0=;63=1g2922=::8l:6;94=33e6?00348:j>497:?15c2=>>16><h::758977a>3<<70<>f6853>;59o21::5220d:>31<5;;mn788;<02bf<1?279=kj5669>64`b2?=01??if;44?845890=;63=213922=::;:96;94=3037?003489<9497:?1650=>>16>?>8:758974703<<70<=08853>;5:9k1::52232a>31<5;8;o788;<014a<1?279>=k5669>676a2?=01?<>1;44?8459;0=;63=201922=::;;?6;94=3021?003489=;497:?1641=>>16>??7:758974613<<70<=1`853>;5:8i1::52233g>31<5;8:i788;<015c<1?279>?>5669>67462?=01?<=2;44?845::0=;63=236922=::;8>6;94=3013?003489>5497:?167?=>>16>?<n:7589745j3<<70<=2b853>;5:;n1::52230f>31<5;89j788;<0175<1?279>><5669>67542?=01?<<4;44?845;<0=;63=224922=::;9<6;94=300<?003489?4497:?166g=>>16>?=m:7589775m3<<70<>2g853>;59::1::522012>31<5;;8>788;<0276<1?279=>:5669>64522?=01??<6;44?846;>0=;63=12;922=::89j6;94=330f?00348:?n497:?156b=>>16><=j:7589774n3<<70<>41853>;59=;1::522061>31<5;;?8788;<0200<1?279=985669>64202?=01??;8;44?846<00=;63=15c922=::8>i6;94=337g?00348:8i497:?151`=>>16><;?:758977293<<70<>53853>;59<91::522077>31<5;;>9788;<0213<1?279=895669>643?2?=01??:a;44?846=k0=;63=14a922=::8?o6;94=336a?00348:9k497:?1536=>>16><8>:7589771:3<<70<>62853>;59??1::522045>31<5;;=;788;<022=<1?279=;75669>640f2?=01??9b;44?846>j0=;63=17f922=::8<n6;94=3344?00348:;<497:?1524=>>16><9<:7589770<3<<70<>74853>;59><1::522054>31<5;;<4788;<023<<1?279=:l5669>641d2?=01??8d;44?846?l0=;63=16d922=::82;6;94=33;5?00348:4?497:?15=5=>>16><6;:758977?>3<<70<>86853>;59121::5220::>31<5;;3m788;<02<g<1?279=5m5669>64>c2?=01??7e;44?8460o0=;63=183922=::8396;94=33:0?03348:5>494:?15<>=>=16><78:768977>k3<?70<>9c850>;59h:1:95220;e>32<5;;j878;;<02e6<1<279=l65659>64g02?>01??nc;47?846ik0=863=1c2921=::8km6;:4=33a2?03348:n8494:?15gg=>=16><l6:768977em3<?70<>be850>;59j81:95220a2>32<5;;h:78;;<02g0<1<279=no5659>64e>2?>01??le;47?846km0=863=1e0921=::8n:6;:4=33g2?03348:h8494:?15ag=>=16><j6:768977cn3<?70<>dd850>;59l91:95220g1>32<uz2n6=4i0z\0f==Y0l168hk533189244283i70968;3:e>;01>0:5o529gc95<e<5=h=6<7n;<026a<1>279=o:5679>64ca2?<01??ia;45?8458<0=:63=202923=::;;i6;84=3012?013489?<496:?166e=>?16><=7:7489773;3<=70<>4d852>;59<31:;522047>30<5;;=j789;<023d<1>279=5;5679>64?72?<01??m3;45?846lm0=:63=1d4923=::8o<6;84=33f<?01348:i4496:?15`g=>?16><km:748977bk3<=70<>ee852>;59lo1:;5220d3>30<5;;m=789;<02b7<1>279=k=5679>64`32?<01??i5;45?846n?0=:63=1g5923=::8l36;84=33e=?01348:jo496:?15ce=>?16><hk:748977am3<=70<>fg852>;5:9:1:;522322>30<5;8;>789;<0146<1>279>=:5679>67612?<01?<?7;45?845810=:63=21;923=::;:j6;84=303f?013489<n496:?165b=>?16>?>j:7489747n3<=70<=10852>;5:881:;522330>30<5;8:8789;<0150<1>279><85679>67702?<01?<>8;45?845900=:63=20c923=::;;h6;84=302`?013489=h496:?164`=>?16>?<?:748974593<=70<=23852>;5:;91:;522307>30<5;899789;<0162<1>279>?65679>674>2?<01?<=a;45?845:k0=:63=23a923=::;8o6;84=301a?013489>k496:?1666=>?16>?==:7489744;3<=70<=35852>;5::?1:;522315>30<5;88;789;<017=<1>279>>75679>675f2?<01?<<b;45?846:l0=:63=13d923=::89;6;84=3305?01348:??496:?1565=>?16><=;:7489774=3<=70<>37852>;59:=1:;52201:>30<5;;8m789;<027g<1>279=>m5679>645c2?<01??<e;45?846;o0=:63=152923=::8>:6;84=3376?01348:89496:?1513=>?16><:9:7489773?3<=70<>49852>;59=31:;52206b>30<5;;?n789;<020f<1>279=9j5679>642a2?<01??:0;45?846=80=:63=140923=::8?86;84=3360?01348:98496:?1500=>?16><;8:748977203<=70<>5`852>;59<h1:;52207`>30<5;;>h789;<021`<1>279=8h5679>64072?<01??91;45?846>;0=:63=171923=::8<>6;84=3352?01348:::496:?153>=>?16><86:7489771i3<=70<>6c852>;59?i1:;52204g>30<5;;=i789;<0235<1>279=:?5679>64152?<01??83;45?846?=0=:63=167923=::8==6;84=3343?01348:;5496:?152?=>?16><9m:7489770k3<=70<>7e852>;59>o1:;52205e>30<5;;3<789;<02<4<1>279=5<5679>64>42?<01??74;45?8460?0=:63=195923=::8236;84=33;=?01348:4l496:?15=d=>?16><6l:748977?l3<=70<>8d852>;591l1:;5220;2>30<5;;2>789;<02=1<1;279=4=5629>64??2?901??67;40?8461j0=?63=18`926=::8k;6;=4=33:b?04348:m9493:?15d5=>:16><o7:718977f?3<870<>ab857>;59hh1:>5220`3>35<5;;jj78<;<02f3<1;279=o;5629>64df2?901??m9;40?846jl0=?63=1cf926=::8i96;=4=33`5?04348:o;493:?15f3=>:16><mn:718977d13<870<>cd857>;59jn1:>5220f1>35<5;;o=78<;<02`3<1;279=i;5629>64bf2?901??k9;40?846lo0=?63=1eg926=::8o86;=4=33f6?043ty3h7>5f1y]7g1<V1n019kk:200?815:3;2n6389882=d=:?021=4l4=8db>4?c34>i87?6a:?157b=><16><l;:778977bn3<>70<>f`851>;5:9?1:8522333>33<5;8:n78:;<0163<1=279>>?5649>675d2??01??<8;46?846<:0=963=15g920=::8?26;;4=3350?02348::k495:?152g=><16><6::778977>83<>70<>b2851>;59mn1:85220g5>33<5;;n;78:;<02a=<1=279=h75649>64cf2??01??jb;46?846mj0=963=1df920=::8on6;;4=33e4?02348:j<495:?15c4=><16><h<:778977a<3<>70<>f4851>;59o<1:85220d4>33<5;;m478:;<02b<<1=279=kl5649>64`d2??01??id;46?846nl0=963=1gd920=::;:;6;;4=3035?023489<?495:?1655=><16>?>;:7789747>3<>70<=06851>;5:921:852232:>33<5;8;m78:;<014g<1=279>=m5649>676c2??01?<?e;46?8458o0=963=203920=::;;96;;4=3027?023489=9495:?1643=><16>??9:7789746?3<>70<=19851>;5:831:852233b>33<5;8:o78:;<015a<1=279><k5649>677a2??01?<=0;46?845:80=963=230920=::;886;;4=3010?023489>8495:?1671=><16>?<7:778974513<>70<=2`851>;5:;h1:852230`>33<5;89h78:;<016`<1=279>?h5649>67572??01?<<2;46?845;:0=963=226920=::;9>6;;4=3002?023489?:495:?166>=><16>?=6:7789744i3<>70<=3c851>;59;o1:852200e>33<5;;8<78:;<0274<1=279=><5649>64542??01??<4;46?846;<0=963=124920=::89<6;;4=330=?02348:?l495:?156d=><16><=l:7789774l3<>70<>3d851>;59:l1:8522063>33<5;;?=78:;<0207<1=279=9:5649>64222??01??;6;46?846<>0=963=15:920=::8>26;;4=337e?02348:8o495:?151e=><16><:k:7789773n3<>70<>51851>;59<;1:8522071>33<5;;>?78:;<0211<1=279=8;5649>64312??01??:7;46?846=10=963=14c920=::8?i6;;4=336g?02348:9i495:?150c=><16><;i:778977183<>70<>60851>;59?81:8522040>33<5;;=978:;<0223<1=279=;95649>640?2??01??99;46?846>h0=963=17`920=::8<h6;;4=335`?02348::h495:?1526=><16><9>:7789770:3<>70<>72851>;59>>1:8522056>33<5;;<:78:;<0232<1=279=:65649>641>2??01??8b;46?846?j0=963=16f920=::8=n6;;4=334b?02348:4=495:?15=7=><16><6=:778977?;3<>70<>85851>;591<1:85220:4>33<5;;3478:;<02<<<1=279=5o5649>64>e2??01??7c;46?8460m0=963=19g920=::82m6;;4=33:5?02348:5?495:?15<2=>;16><7<:708977>03<970<>96856>;590i1:?5220;a>34<5;;j<78=;<02=c<1:279=l:5639>64g42?801??n8;41?846i>0=>63=1`a927=::8ki6;<4=33a4?05348:mk492:?15g0=>;16><l::708977ei3<970<>b8856>;59ko1:?5220`g>34<5;;h>78=;<02g4<1:279=n85639>64e22?801??la;41?846k00=>63=1bg927=::8io6;<4=33g6?05348:h<492:?15a0=>;16><j::708977ci3<970<>d8856>;59ml1:?5220ff>34<5;;n?78=;<02a7<1:2wx4n4?:g2xZ6d13W2h70:jc;117>;0:80:5o5278c95<g<5>326<7m;<;e=?7>k27?n?4>9`9>644c2?>01??m4;47?846mo0=863=1gc921=::;:>6;:4=3024?033489=o494:?1670=>=16>?=>:7689744k3<?70<>39850>;59=91:952206f>32<5;;>578;;<0221<1<279=;h5659>641f2?>01??75;47?846190=863=1c1921=::8no6;:4=33f2?03348:i:494:?15`>=>=16><k6:768977bi3<?70<>ec850>;59li1:95220gg>32<5;;ni78;;<02b5<1<279=k?5659>64`52?>01??i3;47?846n=0=863=1g7921=::8l=6;:4=33e3?03348:j5494:?15c?=>=16><hm:768977ak3<?70<>fe850>;59oo1:95220de>32<5;8;<78;;<0144<1<279>=<5659>67642?>01?<?4;47?8458?0=863=215921=::;:36;:4=303=?033489<l494:?165d=>=16>?>l:7689747l3<?70<=0d850>;5:9l1:9522332>32<5;8:>78;;<0156<1<279><:5659>67722?>01?<>6;47?8459>0=863=20:921=::;;26;:4=302e?033489=n494:?164b=>=16>??j:7689746n3<?70<=21850>;5:;;1:9522301>32<5;89?78;;<0161<1<279>?;5659>67402?>01?<=8;47?845:00=863=23c921=::;8i6;:4=301g?033489>i494:?167c=>=16>?<i:768974483<?70<=33850>;5::91:9522317>32<5;88978;;<0173<1<279>>95659>675?2?>01?<<9;47?845;h0=863=22`921=::88n6;:4=331b?03348:?=494:?1567=>=16><==:7689774;3<?70<>35850>;59:?1:9522015>32<5;;8;78;;<027<<1<279=>o5659>645e2?>01??<c;47?846;m0=863=12g921=::89m6;:4=3374?03348:8<494:?1514=>=16><:;:7689773=3<?70<>47850>;59==1:952206;>32<5;;?578;;<020d<1<279=9l5659>642d2?>01??;d;47?846<o0=863=142921=::8?:6;:4=3366?03348:9>494:?1502=>=16><;::7689772>3<?70<>56850>;59<21:952207b>32<5;;>n78;;<021f<1<279=8j5659>643b2?>01??:f;47?846>90=863=173921=::8<96;:4=3357?03348::8494:?1530=>=16><88:768977103<?70<>68850>;59?k1:952204a>32<5;;=o78;;<022a<1<279=;k5659>64172?>01??81;47?846?;0=863=161921=::8=?6;:4=3341?03348:;;494:?1521=>=16><97:768977013<?70<>7c850>;59>i1:952205g>32<5;;<i78;;<023c<1<279=5>5659>64>62?>01??72;47?8460:0=863=196921=::82=6;:4=33;3?03348:45494:?15=?=>=16><6n:768977?j3<?70<>8b850>;591n1:95220:f>32<5;;3j78;;<02=4<1<279=4<5659>64?32?;01??63;42?846110==63=185924=::83h6;?4=33:f?06348:m=491:?15<`=>816><o;:738977f;3<:70<>a9855>;59h=1:<5220c`>37<5;;jn78>;<02f5<19279=lh5609>64d12?;01??m5;42?846jh0==63=1c;924=::8hn6;?4=33a`?06348:o?491:?15f7=>816><m9:738977d=3<:70<>c`855>;59j31:<5220af>37<5;;hh78>;<02`7<19279=i?5609>64b12?;01??k5;42?846lh0==63=1e;924=::8nm6;?4=33ga?06348:i>491:?15`4=>81v\7f5l50;d3\7f[5e=2T3n63;ec8066=:?;:1=4l4=6;a>4?f34=2m7?6b:?:b<<61m168o>518c89775l3<870<>b5857>;59ll1:>5220db>35<5;8;978<;<0155<1;279><l5629>67412?901?<<1;40?845;j0=?63=12:926=::8>86;=4=337a?04348:94493:?1532=>:16><8i:7189770i3<870<>84857>;590:1:>5220`0>35<5;;oh78<;<02a3<1;279=h95629>64c?2?901??j9;40?846mh0=?63=1d`926=::8oh6;=4=33f`?04348:ih493:?15c6=>:16><h>:718977a:3<870<>f2857>;59o>1:>5220d6>35<5;;m:78<;<02b2<1;279=k65629>64`>2?901??ib;40?846nj0=?63=1gf926=::8ln6;=4=33eb?043489<=493:?1657=>:16>?>=:7189747;3<870<=05857>;5:9<1:>522324>35<5;8;478<;<014<<1;279>=o5629>676e2?901?<?c;40?8458m0=?63=21g926=::;:m6;=4=3025?043489=?493:?1645=>:16>??;:7189746=3<870<=17857>;5:8=1:>52233;>35<5;8:578<;<015d<1;279><m5629>677c2?901?<>e;40?8459o0=?63=232926=::;8:6;=4=3016?043489>>493:?1672=>:16>?<::7189745?3<870<=29857>;5:;31:>52230b>35<5;89n78<;<016f<1;279>?j5629>674b2?901?<=f;40?845;90=?63=220926=::;986;=4=3000?043489?8493:?1660=>:16>?=8:718974403<870<=38857>;5::k1:>52231a>35<5;;9i78<;<026c<1;279=>>5629>64562?901??<2;40?846;:0=?63=126926=::89>6;=4=3302?04348:?:493:?156?=>:16><=n:7189774j3<870<>3b857>;59:n1:>52201f>35<5;;8j78<;<0205<1;279=9?5629>64252?901??;4;40?846<<0=?63=154926=::8><6;=4=337<?04348:84493:?151g=>:16><:m:7189773k3<870<>4e857>;59=l1:>522073>35<5;;>=78<;<0217<1;279=8=5629>64332?901??:5;40?846=?0=?63=145926=::8?36;=4=336e?04348:9o493:?150e=>:16><;k:7189772m3<870<>5g857>;59?:1:>522042>35<5;;=>78<;<0226<1;279=;;5629>64012?901??97;40?846>10=?63=17;926=::8<j6;=4=335f?04348::n493:?153b=>:16><8j:718977083<870<>70857>;59>81:>522050>35<5;;<878<;<0230<1;279=:85629>64102?901??88;40?846?00=?63=16`926=::8=h6;=4=334`?04348:;h493:?152`=>:16><6?:718977?93<870<>83857>;59191:>5220:7>35<5;;3:78<;<02<2<1;279=565629>64>>2?901??7a;40?8460k0=?63=19a926=::82o6;=4=33;a?04348:4k493:?15<7=>:16><7=:718977><3<;70<>92854>;59021:=5220;4>36<5;;2o78?;<02=g<18279=l>5619>64?a2?:01??n4;43?846i:0=<63=1`:925=::8k<6;>4=33bg?07348:mo490:?15g6=>916><oi:728977e>3<;70<>b4854>;59kk1:=5220`:>36<5;;ii78?;<02fa<18279=n<5619>64e62?:01??l6;43?846k<0=<63=1bc925=::8i26;>4=33`a?07348:oi490:?15a4=>916><j>:728977c>3<;70<>d4854>;59mk1:=5220f:>36<5;;oj78?;<02``<18279=h=5619>64c52?:0q~6n:18e4~X4j=1U4l524d19775<5>;m6<7m;<5:g?7>i27<5o4>9c9>=c>=90n019oj:0;b?846:m0=>63=1c6927=::8om6;<4=33ee?053489<8492:?1646=>;16>??m:7089745>3<970<=30856>;5::i1:?52201;>34<5;;??78=;<020`<1:279=875639>64032?801??9f;41?846?h0=>63=197927=::83;6;<4=33a7?05348:hi492:?15`0=>;16><k8:708977b03<970<>e8856>;59lk1:?5220ga>34<5;;no78=;<02aa<1:279=hk5639>64`72?801??i1;41?846n;0=>63=1g1927=::8l?6;<4=33e1?05348:j;492:?15c1=>;16><h7:708977a13<970<>fc856>;59oi1:?5220dg>34<5;;mi78=;<02bc<1:279>=>5639>67662?801?<?2;41?8458:0=>63=216927=::;:=6;<4=3033?053489<5492:?165?=>;16>?>n:7089747j3<970<=0b856>;5:9n1:?52232f>34<5;8;j78=;<0154<1:279><<5639>67742?801?<>4;41?8459<0=>63=204927=::;;<6;<4=302<?053489=4492:?164g=>;16>??l:7089746l3<970<=1d856>;5:8l1:?522303>34<5;89=78=;<0167<1:279>?=5639>67432?801?<=5;41?845:>0=>63=23:927=::;826;<4=301e?053489>o492:?167e=>;16>?<k:7089745m3<970<=2g856>;5:::1:?522311>34<5;88?78=;<0171<1:279>>;5639>67512?801?<<7;41?845;10=>63=22;927=::;9j6;<4=300f?05348:>h492:?157`=>;16><=?:708977493<970<>33856>;59:91:?522017>34<5;;8978=;<0273<1:279=>95639>645>2?801??<a;41?846;k0=>63=12a927=::89o6;<4=330a?05348:?k492:?1516=>;16><:>:7089773:3<970<>45856>;59=?1:?522065>34<5;;?;78=;<020=<1:279=975639>642f2?801??;b;41?846<j0=>63=15f927=::8>m6;<4=3364?05348:9<492:?1504=>;16><;<:7089772<3<970<>54856>;59<<1:?522074>34<5;;>478=;<021d<1:279=8l5639>643d2?801??:d;41?846=l0=>63=14d927=::8<;6;<4=3355?05348::?492:?1535=>;16><8::7089771>3<970<>66856>;59?21:?52204:>34<5;;=m78=;<022g<1:279=;m5639>640c2?801??9e;41?846?90=>63=163927=::8=96;<4=3347?05348:;9492:?1523=>;16><99:7089770?3<970<>79856>;59>31:?52205a>34<5;;<o78=;<023a<1:279=:k5639>641a2?801??70;41?846080=>63=190927=::8286;<4=33;0?05348:4;492:?15=1=>;16><67:708977?13<970<>8`856>;591h1:?5220:`>34<5;;3h78=;<02<`<1:279=5h5639>64?62?801??62;41?8461=0>j63=18191c=::83368h4=33:3?3a348:5n4:f:?15<d==o16><o?:4d8977>n3?m70<>a586b>;59h919k5220c;>0`<5;;j;7;i;<02ef<2n279=ll55g9>64d72<l01??nf;7e?846j?0>j63=1c791c=::8hj68h4=33a=?3a348:nh4:f:?15gb==o16><m=:4d8977d93?m70<>c786b>;59j?19k5220ab>0`<5;;h57;i;<02g`<2n279=nj55g9>64b52<l01??k1;7e?846l?0>j63=1e791c=::8nj68h4=33g=?3a348:hk4:f:?15ac==o16><k<:4d8977b:3?m7p}71;29ac}Y;hh0R5?4=5g1>64434=:;7?6b:?4=f<61k165k6518a891da283270<>2e855>;59k>1:<5220ge>37<5;;mm78>;<0140<19279><>5609>677e2?;01?<=6;42?845;80==63=22a924=::8936;?4=3377?06348:8h491:?150?=>816><8;:7389771n3<:70<>7`855>;591?1:<5220;3>37<5;;i?78>;<02`a<19279=h85609>64c02?;01??j8;42?846m00==63=1dc924=::8oi6;?4=33fg?06348:ii491:?15`c=>816><h?:738977a93<:70<>f3855>;59o91:<5220d7>37<5;;m978>;<02b3<19279=k95609>64`?2?;01??i9;42?846nk0==63=1ga924=::8lo6;?4=33ea?06348:jk491:?1656=>816>?>>:7389747:3<:70<=02855>;5:9>1:<522325>37<5;8;;78>;<014=<19279>=75609>676f2?;01?<?b;42?8458j0==63=21f924=::;:n6;?4=303b?063489=<491:?1644=>816>??<:7389746<3<:70<=14855>;5:8<1:<522334>37<5;8:478>;<015<<19279><o5609>677d2?;01?<>d;42?8459l0==63=20d924=::;8;6;?4=3015?063489>?491:?1675=>816>?<;:7389745=3<:70<=26855>;5:;21:<52230:>37<5;89m78>;<016g<19279>?m5609>674c2?;01?<=e;42?845:o0==63=222924=::;996;?4=3007?063489?9491:?1663=>816>?=9:7389744?3<:70<=39855>;5::31:<52231b>37<5;88n78>;<026`<19279=?h5609>64572?;01??<1;42?846;;0==63=121924=::89?6;?4=3301?06348:?;491:?1561=>816><=6:7389774i3<:70<>3c855>;59:i1:<52201g>37<5;;8i78>;<027c<19279=9>5609>64262?;01??;2;42?846<=0==63=157924=::8>=6;?4=3373?06348:85491:?151?=>816><:n:7389773j3<:70<>4b855>;59=n1:<52206e>37<5;;><78>;<0214<19279=8<5609>64342?;01??:4;42?846=<0==63=144924=::8?<6;?4=336<?06348:9l491:?150d=>816><;l:7389772l3<:70<>5d855>;59<l1:<522043>37<5;;==78>;<0227<19279=;=5609>64022?;01??96;42?846>>0==63=17:924=::8<26;?4=335e?06348::o491:?153e=>816><8k:7389771m3<:70<>71855>;59>;1:<522051>37<5;;<?78>;<0231<19279=:;5609>64112?;01??87;42?846?10==63=16;924=::8=i6;?4=334g?06348:;i491:?152c=>816><9i:738977?83<:70<>80855>;59181:<5220:0>37<5;;3878>;<02<3<19279=595609>64>?2?;01??79;42?8460h0==63=19`924=::82h6;?4=33;`?06348:4h491:?15=`=>816><7>:738977>:3<:70<>9586<>;59091955220;;>0><5;;2;7;7;<02=f<20279=4l5599>64g72<201??6f;7;?846i=0>463=1`191==::8k36864=33b3?3?348:mn4:8:?15dd==116><l?:4:8977fn3?370<>b786<>;59k?1955220`b>0><5;;i57;7;<02f`<20279=oj5599>64e52<201??l1;7;?846k?0>463=1b791==::8ij6864=33`=?3?348:oh4:8:?15fb==116><j=:4:8977c93?370<>d786<>;59m?1955220fb>0><5;;o57;7;<02`c<20279=ik5599>64c42<201??j2;7;?xu4k<0;6:uQ3b7892g>2:88709:0;3:f>;f>l0:5o52a5`95<d<50n36<7m;<;a1?7>j2wx?n=50;5xZ6e434=j47==3:?40c<61k16m;j518f89d2f283o707k7;3:`>;>j=0:5i5rs2a1>5<0sW9h>638a68066=:?=o1=4l4=`4g>4?e34k?m7?6b:?:`2<61k165o:518`8yv5d93:1;vP<c09>3d0=;;901::k:0;a?8g1k3;2h63n4882=a=:1m<1=4j4=8`0>4?c3ty8o=4?:6y]7f6<5>k>6><<;<57g?7>j27j:n4>9c9>e1?=90h014j9:0;a?8?e;3;2n6s|3cd94?1|V:hm709n4;117>;0<k0:5o52a7`95<b<5h>36<7k;<;g1?7>l272n?4>9e9~w6db290<wS=me:?4e6<4::16;9o518`89d0e283i70o;8;3:f>;>l<0:5o529c095<d<uz9hi7>57z\0g`=:?k;1??=4=67:>4?e34k=m7?6d:?b02<61m165i:518f89<d6283o7p}<ce83>2}Y;jn01:l?:200?81203;2n63n6`82=g=:i==1=4l4=8f7>4?e343i=7?6b:\7fp7fe=83=pR>ml;<5bb?55;27<9:4>9c9>e3?=90n01l:9:0;g?8?c;3;2h636b182=a=z{:ii6=48{_1`f>;0il08>>5274495<d<5h<26<7m;<c72?7>j272h>4>9c9>=g6=90h0q~=la;293~X4kh16;lj533189232283i70o98;3:`>;f<<0:5i529e095<b<50km6<7k;|q0g<<72>qU?n74=6c`>64434=>87?6b:?b2=<61k16m9;518`89<b5283i707nf;3:f>{t;j21<79t^2a;?81fj399?6385282=g=:i?=1=4j4=`67>4?c343o=7?6d:?:e`<61m1v\7f>m8:184\7f[5d?27<ml4<229>304=90h01l88:0;a?8g3<3;2n636d082=g=:1ho1=4l4}r1`2?6=?rT8o;527`09775<5>?:6<7m;<c52?7>l27j8>4>9e9>=a6=90n014ok:0;g?xu4jm0;6:uQ3cf892g62:88709;9;3:f>;f>?0:5o52a5195<d<50n;6<7m;<;b`?7>j2wx?;o50;0xZ60f34ki>7==3:\7fp73>=838pR>87;<ca5?55;2wx?;950;0xZ60034ki<7==3:\7fp730=838pR>89;<cbb?55;2wx?;;50;0xZ60234kji7==3:\7fp732=838pR>8;;<cb`?55;2wx?;=50;0xZ60434kjo7==3:\7fp725=838pR>9<;<cae?55;2wx?:<50;0xZ61534ki57==3:\7fp727=838pR>9>;<ca<?55;2wx?:>50;0xZ61734ki;7==3:\7fp73`=838pR>8i;<ca2?55;2wx?;k50;0xZ60b34ki97==3:\7fp73b=838pR>8k;<ca0?55;2wx?;m50;0xZ60d34ki?7==3:\7fp73d=838pR>8m;<cbf?55;2wx?;<50;0xZ60534kjm7==3:\7fp7a1=83>pR>j8;<473?55;27j8o4>9`9>272=90k0q~=k5;290~X4l<16:98533189d2f283h708?9;3:e>{t;m>1<7:t^2f7?803=399?63n4`82=d=:>9=1=4o4}r1g7?6=<rT8h>526569775<5h>26<7l;<431?7>i2wx?i<50;6xZ6b534<??7==3:?b0<<61h16:==518c8yv5c93:18vP<d09>214=;;901l:7:0;`?80793;2m6s|3e294?2|V:n;708;1;117>;f<10:5l525gd95<g<uz9n<7>54z\0a5=:>=l1??=4=`64>4?d34<:n7?6a:\7fp7a`=83>pR>ji;<47a?55;27j8:4>9`9>24?=90k0q~=ke;290~X4ll16:9j533189d21283h708>7;3:e>{t;mn1<7:t^2fg?803k399?63n4782=d=:>8?1=4o4}r1gg?6=<rT8hn5265`9775<5h>>6<7l;<427?7>i2wx?il50;6xZ6be34<?m7==3:?b00<61h16:<?518c8yv5ci3:18vP<d`9>21?=;;901l:;:0;`?807n3;2m6s|3e;94?2|V:n2708;8;117>;f<=0:5l5261f95<g<uz9o47>54z\0`==:>=:1??=4=`60>4?d34<;n7?6a:\7fp7f`=83>pR>mi;<40b?55;27j8>4>9`9>24e=9030q~=j9;291~X4m016:4o533189d0b283j707m5;3:e>;1?>0:5l5rs2g4>5<2sW9n;639988066=:i?n1=4m4=8`7>4?d34<>o7?6a:\7fp7`0=83?pR>k9;<4:<?55;27j:i4>9`9>=g2=90k01;;n:0;b?xu4m<0;68uQ3d7893?02:8870o9c;3:g>;>j:0:5n5264:95<g<uz9n87>55z\0a1=:>0<1??=4=`4`>4?f343i?7?6a:?513<61h1v\7f>k<:186\7f[5b;27=584<229>e3d=90i014l=:0;`?802<3;2m6s|3d094?3|V:o970864;117>;f>k0:5l529c095<g<5??96<7n;|q0b7<72<qU?k<4=7c1>64434k=m7?6c:?:f4<61j16:;k518c8yv5a93:19vP<f09>2d7=;;901l8n:0;b?8?e93;2m6396b82=d=z{:l;6=4:{_1e4>;1i908>>52a7;95<e<50h;6<7l;<45e?7>i2wx?hh50;7xZ6ca34<2j7==3:?b2<<61h165o>518c8930?283j7p}<ed83>0}Y;lo01;7j:200?8g103;2o636ag82=f=:>?<1=4o4}r1f`?6==rT8ii5268f9775<5h<36<7n;<;bb?7>i27=:94>9`9~w6cd290>wS=jc:?5=f<4::16m;9518a89<gb283h70892;3:e>{t;lh1<7;t^2ga?80>j399?63n6682=d=:1ho1=4o4=743>4?f3ty8il4?:4y]7`g<5?386><<;<c52?7>k272mi4>9b9>20c=90k0q~=j1;291~X4m816:4<533189d01283j707nd;3:e>;1>o0:545rs05b>5<5sW;<m63>a5096db<uz;<47>52z\23==:9h>:6?ok;|q233<72;qU=:84=0c0b?4fl2wx=:;50;0xZ41234;j?i4=ae9~w4132909wS?84:?2e6e=:hn0q~?83;296~X6?:16=l=m:3cg?xu6?;0;6?uQ160894g4i38jh6s|16394?4|V8=:70?n3881ea=z{8=;6=4={_344>;6i:21>lj4}r35b?6=:rT::k521`14>7gc3ty::h4?:3y]53c<58k8:7<nd:\7fp53b=838pR<8k;<3b70<5im1v\7f<8m:181\7f[71j27:m><52`f8yv71i3:1>vP>6`9>5d372;ko7p}>6883>7}Y9?301<o;f;0b`>{t9?21<7<t^04;?87f<l09mi5rs044>5<5sW;=;63>a5f96db<uz;=:7>52z\223=:9h>h6?ok;|q220<72;qU=;;4=0c7f?4fl2wx=;:50;0xZ40334;j844=ae9~w4042909wS?93:?2e6c=:hn0q~?92;296~X6>;16=l=<:3cg?xu6080;6?uQ193894g3i38jh6s|19294?4|V82;70?n4981ea=z{8=m6=4={_34b>;6i==1>lj4}r34a?6=:rT:;h521`65>7gc3ty:;i4?:3y]52b<58k?97<nd:\7fp52e=838pR<9l;<3b01<5im1v\7f<9m:181\7f[70j27:m9=52`f8yv70?3:1>vP>769>5d272;ko7p}>6b83>7}Y9?i01<o<4;0b`>{t9?;1<7<t^042?87f;809mi5rs213>5<5sW98<636368066=z{:8n6=4={_11a>;>;?08>>5rs20g>5<5sW99h636348066=z{:8h6=4={_11g>;>;=08>>5rs20a>5<5sW99n636328066=z{:8j6=4={_11e>;>;;08>>5rs20:>5<5sW995636308066=z{:926=4={_10=>;>;o08>>5rs21;>5<5sW9846363d8066=z{:9<6=4={_103>;>;m08>>5rs215>5<5sW98:6363b8066=z{:9>6=4={_101>;>;k08>>5rs217>5<5sW9886363`8066=z{:986=4={_107>;>;008>>5rs211>5<5sW98>636398066=z{:9:6=4={_105>;>;908>>5rs20;>5<5sW9946362g8066=z{8::6=46{_335>;59m<1m;5220f6>d0<5;;om7o9;<02`<<f>279=ih5a79>64bb2h<01??j3;c5?846m;0j:6s|fg83><}Yno16><m9:`48977d=3k=70<>c`8b2>;59j31m;5220af>d0<5;;hh7o9;<02`7<f>279=i?5a79~wcb=833pRkj4=33a2?g1348:n84n6:?15gg=i?16><l6:`48977em3k=70<>be8b2>;59j81m;5220a2>d0<uzlh6=46{_d`?846i=0j:63=1`19e3=::8k36l84=33b3?g1348:mn4n6:?15dd=i?16><l?:`48977fn3k=7p}ib;29=~Xaj279=4:5a79>64?42h<01??68;c5?8461>0j:63=18a9e3=::83i6l84=33b4?g1348:5k4n6:\7fpbd<72=;pRko4=337g?771279=9j511;89773n3;;563=142955?<5;;>=7??9:?1504=99301??:3;33=>;59<>1==74=3361?771279=88511;89772?3;;563=14:955?<5;;>m7??9:?150d=99301??:c;33=>;59<n1==74=336a?771279=8h511;8977183;;563=173955?<5;;=>7??9:?1535=99301??95;33=>;59?<1==74=3353?771279=;6511;8977113;;563=17c955?<5;;=n7??9:?153e=99301??9d;33=>;59?o1==74=3344?771279=:?511;89770:3;;563=161955?<5;;<87??9:?1523=99301??86;33=>;59>=1==74=334<?771279=:7511;89770j3;;563=16a955?<5;;<h7??9:?152c=99301??8f;33=>;591:1==74=33;5?771279=5<511;8977?;3;;563=196955?<5;;3:7??9:?15=1=99301??78;33=>;59131==74=33;e?771279=5l511;8977?k3;;563=19f955?<5;;3i7??9:?15=`=99301??61;33=>;59081==74}rd:>5<39rTm563=15a9g1=::8>o6n:4=337b?e3348:9=4l4:?1507=k=16><;=:b689772;3i?70<>558`0>;59<?1o9522075>f2<5;;>;7m;;<021=<d<279=8o5c59>643e2j>01??:c;a7?846=m0h863=14g9g1=::8?m6n:4=3354?e3348::<4l4:?1534=k=16><8<:b689771=3i?70<>678`0>;59?=1o952204;>f2<5;;=57m;;<022d<d<279=;l5c59>640d2j>01??9d;a7?846>l0h863=1629g1=::8=:6n:4=3346?e3348:;>4l4:?1522=k=16><9::b689770>3i?70<>768`0>;59>21o952205:>f2<5;;<n7m;;<023f<d<279=:j5c59>641b2j>01??8f;a7?846090h863=1939g1=::8296n:4=33;7?e3348:494l4:?15=0=k=16><68:b68977?03i?70<>888`0>;591k1o95220:a>f2<5;;3o7m;;<02<a<d<279=5k5c59>64>a2j>01??61;a7?8461;0h86s|f983>17|Vo201??;c;a0?846<m0h?63=15d9g6=::8?;6n=4=3365?e4348:9?4l3:?1505=k:16><;;:b189772=3i870<>578`7>;59<=1o>52207;>f5<5;;>m7m<;<021g<d;279=8m5c29>643c2j901??:e;a0?846=o0h?63=1729g6=::8<:6n=4=3356?e4348::>4l3:?1533=k:16><89:b189771?3i870<>698`7>;59?31o>52204b>f5<5;;=n7m<;<022f<d;279=;j5c29>640b2j901??80;a0?846?80h?63=1609g6=::8=86n=4=3340?e4348:;84l3:?1520=k:16><98:b18977003i870<>788`7>;59>h1o>52205`>f5<5;;<h7m<;<023`<d;279=:h5c29>64>72j901??71;a0?8460;0h?63=1919g6=::82?6n=4=33;2?e4348:4:4l3:?15=>=k:16><66:b18977?i3i870<>8c8`7>;591i1o>5220:g>f5<5;;3i7m<;<02<c<d;279=4?5c29>64?52j90q~h8:1875~Xa?279=9m5c39>642c2j801??;f;a1?846=90h>63=1439g7=::8?96n<4=3367?e5348:994l2:?1503=k;16><;9:b089772?3i970<>598`6>;59<k1o?52207a>f4<5;;>o7m=;<021a<d:279=8k5c39>643a2j801??90;a1?846>80h>63=1709g7=::8<86n<4=3351?e5348::;4l2:?1531=k;16><87:b08977113i970<>6`8`6>;59?h1o?52204`>f4<5;;=h7m=;<022`<d:279=:>5c39>64162j801??82;a1?846?:0h>63=1669g7=::8=>6n<4=3342?e5348:;:4l2:?152>=k;16><96:b089770j3i970<>7b8`6>;59>n1o?52205f>f4<5;;<j7m=;<02<5<d:279=5?5c39>64>52j801??73;a1?8460=0h>63=1949g7=::82<6n<4=33;<?e5348:444l2:?15=g=k;16><6m:b08977?k3i970<>8e8`6>;591o1o?5220:e>f4<5;;2=7m=;<02=7<d:2wxj;4?:53xZc0<5;;?o7m>;<020a<d9279=9h5c09>64372j;01??:1;a2?846=;0h=63=1419g4=::8??6n?4=3361?e6348:9;4l1:?1501=k816><;7:b389772i3i:70<>5c8`5>;59<i1o<52207g>f7<5;;>i7m>;<021c<d9279=;>5c09>64062j;01??92;a2?846>:0h=63=1779g4=::8<=6n?4=3353?e6348::54l1:?153?=k816><8n:b389771j3i:70<>6b8`5>;59?n1o<52204f>f7<5;;<<7m>;<0234<d9279=:<5c09>64142j;01??84;a2?846?<0h=63=1649g4=::8=<6n?4=334<?e6348:;44l1:?152d=k816><9l:b389770l3i:70<>7d8`5>;59>l1o<5220:3>f7<5;;3=7m>;<02<7<d9279=5=5c09>64>32j;01??76;a2?8460>0h=63=19:9g4=::8226n?4=33;e?e6348:4o4l1:?15=e=k816><6k:b38977?m3i:70<>8g8`5>;590;1o<5220;1>f7<uzl>6=4;1z\e1>;59=i1o=52206g>f6<5;;?j7m?;<0215<d8279=8?5c19>64352j:01??:3;a3?846==0h<63=1479g5=::8?=6n>4=3363?e7348:954l0:?150g=k916><;m:b289772k3i;70<>5e8`4>;59<o1o=52207e>f6<5;;=<7m?;<0224<d8279=;<5c19>64042j:01??95;a3?846>?0h<63=1759g5=::8<36n>4=335=?e7348::l4l0:?153d=k916><8l:b289771l3i;70<>6d8`4>;59>:1o=522052>f6<5;;<>7m?;<0236<d8279=::5c19>64122j:01??86;a3?846?>0h<63=16:9g5=::8=26n>4=334f?e7348:;n4l0:?152b=k916><9j:b289770n3i;70<>818`4>;591;1o=5220:1>f6<5;;3?7m?;<02<1<d8279=585c19>64>02j:01??78;a3?846000h<63=19c9g5=::82i6n>4=33;g?e7348:4i4l0:?15=c=k916><6i:b28977>93i;70<>938`4>{tn=0;69?t^g689773k3hh70<>4e8ag>;59=l1nn522073>ge<5;;>=7ll;<0217<ek279=8=5bb9>64332ki01??:5;``?846=?0io63=1459ff=::8?36om4=336e?dd348:9o4mc:?150e=jj16><;k:ca89772m3hh70<>5g8ag>;59?:1nn522042>ge<5;;=>7ll;<0226<ek279=;;5bb9>64012ki01??97;``?846>10io63=17;9ff=::8<j6om4=335f?dd348::n4mc:?153b=jj16><8j:ca8977083hh70<>708ag>;59>81nn522050>ge<5;;<87ll;<0230<ek279=:85bb9>64102ki01??88;``?846?00io63=16`9ff=::8=h6om4=334`?dd348:;h4mc:?152`=jj16><6?:ca8977?93hh70<>838ag>;59191nn5220:7>ge<5;;3:7ll;<02<2<ek279=565bb9>64>>2ki01??7a;``?8460k0io63=19a9ff=::82o6om4=33;a?dd348:4k4mc:?15<7=jj16><7=:ca8yv`5290?=vPi2:?151e=j816><:k:c389773n3h:70<>518a5>;59<;1n<522071>g7<5;;>?7l>;<0211<e9279=8;5b09>64312k;01??:7;`2?846=10i=63=14c9f4=::8?i6o?4=336g?d6348:9i4m1:?150c=j816><;i:c38977183h:70<>608a5>;59?81n<522040>g7<5;;=97l>;<0223<e9279=;95b09>640?2k;01??99;`2?846>h0i=63=17`9f4=::8<h6o?4=335`?d6348::h4m1:?1526=j816><9>:c389770:3h:70<>728a5>;59>>1n<522056>g7<5;;<:7l>;<0232<e9279=:65b09>641>2k;01??8b;`2?846?j0i=63=16f9f4=::8=n6o?4=334b?d6348:4=4m1:?15=7=j816><6=:c38977?;3h:70<>858a5>;591<1n<5220:4>g7<5;;347l>;<02<<<e9279=5o5b09>64>e2k;01??7c;`2?8460m0i=63=19g9f4=::82m6o?4=33:5?d6348:5?4m1:\7fpb4<72=;pRk?4=337g?g1348:8i4n6:?151`=i?16><;?:`48977293k=70<>538b2>;59<91m;522077>d0<5;;>97o9;<0213<f>279=895a79>643?2h<01??:a;c5?846=k0j:63=14a9e3=::8?o6l84=336a?g1348:9k4n6:?1536=i?16><8>:`489771:3k=70<>628b2>;59??1m;522045>d0<5;;=;7o9;<022=<f>279=;75a79>640f2h<01??9b;c5?846>j0j:63=17f9e3=::8<n6l84=3344?g1348:;<4n6:?1524=i?16><9<:`489770<3k=70<>748b2>;59><1m;522054>d0<5;;<47o9;<023<<f>279=:l5a79>641d2h<01??8d;c5?846?l0j:63=16d9e3=::82;6l84=33;5?g1348:4?4n6:?15=5=i?16><6;:`48977?>3k=70<>868b2>;59121m;5220::>d0<5;;3m7o9;<02<g<f>279=5m5a79>64>c2h<01??7e;c5?8460o0j:63=1839e3=::8396l84}rd3>5<39rTm<63=207955?<5;8::7??9:?1641=99301?<>8;33=>;5:831==74=302e?771279><m511;89746l3;;563=20g955?<5;8:j7??9:?1676=99301?<=1;33=>;5:;81==74=3017?771279>?:511;89745=3;;563=235955?<5;8947??9:?167?=99301?<=a;33=>;5:;h1==74=301g?771279>?j511;89745m3;;563=23d955?<5;88<7??9:?1664=99301?<<3;33=>;5::>1==74=3001?771279>>8511;89744?3;;563=22:955?<5;8857??9:?166g=99301?<<b;33=>;59;o1==74=331b?771279=>>511;8977493;;563=120955?<5;;8?7??9:?1562=99301??<5;33=>;59:<1==74=3303?771279=>7511;89774i3;;563=12`955?<5;;8o7??9:?156b=99301??<e;33=>;59:l1==74=3374?771279=9?511;89773:3;;563=156955?<5;;?97??9:?1510=99301??;7;33=>;59=21==74=337=?771279=9o511;89773j3;;56s|eg83>17|Vll01?<>5;a7?8459?0h863=2059g1=::;;36n:4=302=?e33489=l4l4:?164e=k=16>??k:b689746m3i?70<=1g8`0>;5:;:1o9522302>f2<5;89>7m;;<0166<d<279>?:5c59>67422j>01?<=7;a7?845:10h863=23;9g1=::;8j6n:4=301f?e33489>n4l4:?167b=k=16>?<j:b689745n3i?70<=318`0>;5::81o9522310>f2<5;8887m;;<0170<d<279>>85c59>67502j>01?<<8;a7?845;00h863=22c9g1=::;9i6n:4=331a?e3348:>k4l4:?1566=k=16><=>:b689774:3i?70<>328`0>;59:>1o9522016>f2<5;;8:7m;;<0272<d<279=>75c59>645f2j>01??<b;a7?846;j0h863=12f9g1=::89n6n:4=330b?e3348:8=4l4:?1517=k=16><:=:b689773<3i?70<>448`0>;59=<1o9522064>f2<5;;?47m;;<020<<d<279=9o5c59>642e2j>0q~kj:1875~Xbm279><;5c29>67712j901?<>7;a0?845910h?63=20;9g6=::;;j6n=4=302g?e43489=i4l3:?164c=k:16>??i:b18974583i870<=208`7>;5:;81o>522300>f5<5;8987m<;<0160<d;279>?95c29>674?2j901?<=9;a0?845:h0h?63=23`9g6=::;8h6n=4=301`?e43489>h4l3:?167`=k:16>?=?:b189744:3i870<=328`7>;5::>1o>522316>f5<5;88:7m<;<0172<d;279>>65c29>675>2j901?<<a;a0?845;k0h?63=13g9g6=::88m6n=4=3304?e4348:?<4l3:?1564=k:16><=<:b189774<3i870<>348`7>;59:<1o>522014>f5<5;;857m<;<027d<d;279=>l5c29>645d2j901??<d;a0?846;l0h?63=12d9g6=::8>;6n=4=3375?e4348:8?4l3:?1512=k:16><:::b189773>3i870<>468`7>;59=21o>52206:>f5<5;;?m7m<;<020g<d;2wxii4?:53xZ`b<5;8:97m=;<0153<d:279><95c39>677?2j801?<>9;a1?8459h0h>63=20a9g7=::;;o6n<4=302a?e53489=k4l2:?1676=k;16>?<>:b089745:3i970<=228`6>;5:;>1o?522306>f4<5;89;7m=;<016=<d:279>?75c39>674f2j801?<=b;a1?845:j0h>63=23f9g7=::;8n6n<4=301b?e53489?=4l2:?1664=k;16>?=<:b089744<3i970<=348`6>;5::<1o?522314>f4<5;8847m=;<017<<d:279>>o5c39>675e2j801??=e;a1?846:o0h>63=1229g7=::89:6n<4=3306?e5348:?>4l2:?1562=k;16><=::b089774>3i970<>368`6>;59:31o?52201b>f4<5;;8n7m=;<027f<d:279=>j5c39>645b2j801??<f;a1?846<90h>63=1539g7=::8>96n<4=3370?e5348:884l2:?1510=k;16><:8:b08977303i970<>488`6>;59=k1o?52206a>f4<uzoh6=4;1z\fg>;5:8?1o<522335>f7<5;8:;7m>;<015=<d9279><75c09>677f2j;01?<>c;a2?8459m0h=63=20g9g4=::;;m6n?4=3014?e63489><4l1:?1674=k816>?<<:b389745<3i:70<=248`5>;5:;=1o<52230;>f7<5;8957m>;<016d<d9279>?l5c09>674d2j;01?<=d;a2?845:l0h=63=23d9g4=::;9;6n?4=3006?e63489?>4l1:?1662=k816>?=::b389744>3i:70<=368`5>;5::21o<52231:>f7<5;88m7m>;<017g<d9279=?k5c09>644a2j;01??<0;a2?846;80h=63=1209g4=::8986n?4=3300?e6348:?84l1:?1560=k816><=8:b38977413i:70<>3`8`5>;59:h1o<52201`>f7<5;;8h7m>;<027`<d9279=>h5c09>64272j;01??;1;a2?846<;0h=63=1569g4=::8>>6n?4=3372?e6348:8:4l1:?151>=k816><:6:b389773i3i:70<>4c8`5>{tmk0;69?t^d`89746=3i;70<=178`4>;5:8=1o=52233;>f6<5;8:57m?;<015d<d8279><m5c19>677c2j:01?<>e;a3?8459o0h<63=2329g5=::;8:6n>4=3016?e73489>>4l0:?1672=k916>?<::b289745?3i;70<=298`4>;5:;31o=52230b>f6<5;89n7m?;<016f<d8279>?j5c19>674b2j:01?<=f;a3?845;90h<63=2209g5=::;986n>4=3000?e73489?84l0:?1660=k916>?=8:b28974403i;70<=388`4>;5::k1o=52231a>f6<5;;9i7m?;<026c<d8279=>>5c19>64562j:01??<2;a3?846;:0h<63=1269g5=::89>6n>4=3302?e7348:?:4l0:?156?=k916><=n:b289774j3i;70<>3b8`4>;59:n1o=52201f>f6<5;;8j7m?;<0205<d8279=9?5c19>64252j:01??;4;a3?846<<0h<63=1549g5=::8><6n>4=337<?e7348:844l0:?151g=k916><:m:b28yvcf290?=vPja:?1643=jj16>??9:ca89746?3hh70<=198ag>;5:831nn52233b>ge<5;8:o7ll;<015a<ek279><k5bb9>677a2ki01?<=0;``?845:80io63=2309ff=::;886om4=3010?dd3489>84mc:?1671=jj16>?<7:ca8974513hh70<=2`8ag>;5:;h1nn52230`>ge<5;89h7ll;<016`<ek279>?h5bb9>67572ki01?<<2;``?845;:0io63=2269ff=::;9>6om4=3002?dd3489?:4mc:?166>=jj16>?=6:ca89744i3hh70<=3c8ag>;59;o1nn52200e>ge<5;;8<7ll;<0274<ek279=><5bb9>64542ki01??<4;``?846;<0io63=1249ff=::89<6om4=330=?dd348:?l4mc:?156d=jj16><=l:ca89774l3hh70<>3d8ag>;59:l1nn522063>ge<5;;?=7ll;<0207<ek279=9:5bb9>64222ki01??;6;``?846<>0io63=15:9ff=::8>26om4=337e?dd348:8o4mc:\7fpa<<72=;pRh74=3021?d63489=;4m1:?1641=j816>??7:c38974613h:70<=1`8a5>;5:8i1n<52233g>g7<5;8:i7l>;<015c<e9279>?>5b09>67462k;01?<=2;`2?845::0i=63=2369f4=::;8>6o?4=3013?d63489>54m1:?167?=j816>?<n:c389745j3h:70<=2b8a5>;5:;n1n<52230f>g7<5;89j7l>;<0175<e9279>><5b09>67542k;01?<<4;`2?845;<0i=63=2249f4=::;9<6o?4=300<?d63489?44m1:?166g=j816>?=m:c389775m3h:70<>2g8a5>;59::1n<522012>g7<5;;8>7l>;<0276<e9279=>:5b09>64522k;01??<6;`2?846;>0i=63=12;9f4=::89j6o?4=330f?d6348:?n4m1:?156b=j816><=j:c389774n3h:70<>418a5>;59=;1n<522061>g7<5;;?87l>;<0200<e9279=985b09>64202k;01??;8;`2?846<00i=63=15c9f4=::8>i6o?4}r33<?6=<8qU==64=3021?g13489=;4n6:?1641=i?16>??7:`48974613k=70<=1`8b2>;5:8i1m;52233g>d0<5;8:i7o9;<015c<f>279>?>5a79>67462h<01?<=2;c5?845::0j:63=2369e3=::;8>6l84=3013?g13489>54n6:?167?=i?16>?<n:`489745j3k=70<=2b8b2>;5:;n1m;52230f>d0<5;89j7o9;<0175<f>279>><5a79>67542h<01?<<4;c5?845;<0j:63=2249e3=::;9<6l84=300<?g13489?44n6:?166g=i?16>?=m:`489775m3k=70<>2g8b2>;59::1m;522012>d0<5;;8>7o9;<0276<f>279=>:5a79>64522h<01??<6;c5?846;>0j:63=12;9e3=::89j6l84=330f?g1348:?n4n6:?156b=i?16><=j:`489774n3k=70<>418b2>;59=;1m;522061>d0<5;;?87o9;<0200<f>279=985a79>64202h<01??;8;c5?846<00j:63=15c9e3=::8>i6l84}r333?6=<8qU==94=331`?771279=o:511;8977bn3;;563=1gc955?<5;8;97??9:?1646=99301?<>b;33=>;5:;<1==74=3005?771279>>m511;8977403;;563=151955?<5;;?i7??9:?150?=99301??94;33=>;59?l1==74=334e?771279=5;511;8977>83;;563=1c1955?<5;;oh7??9:?15`0=99301??j7;33=>;59l21==74=33f=?771279=ho511;8977bj3;;563=1da955?<5;;nh7??9:?15`c=99301??i0;33=>;59o;1==74=33e6?771279=k=511;8977a<3;;563=1g7955?<5;;m:7??9:?15c1=99301??i8;33=>;59o31==74=33ef?771279=km511;8977al3;;563=1gg955?<5;;mj7??9:?1656=99301?<?1;33=>;5:981==74=3037?771279>=:511;89747>3;;563=215955?<5;8;47??9:?165?=99301?<?a;33=>;5:9h1==74=303g?771279>=j511;89747m3;;563=21d955?<5;8:=7??9:?1644=99301?<>3;33=>;5:8>1==74}r332?6=<8qU==84=331`?e3348:n94l4:?15``=k=16><hn:b689747=3i?70<=118`0>;5:8h1o9522305>f2<5;88=7m;;<017f<d<279=>65c59>64242j>01??;e;a7?846=00h863=1769g1=::8<m6n:4=334e?e3348:484l4:?15<6=k=16><l<:b68977cl3i?70<>e78`0>;59l=1o95220g;>f2<5;;n57m;;<02ad<d<279=hl5c59>64cd2j>01??jd;a7?846ml0h863=1g29g1=::8l:6n:4=33e6?e3348:j>4l4:?15c2=k=16><h::b68977a>3i?70<>f68`0>;59o21o95220d:>f2<5;;mn7m;;<02bf<d<279=kj5c59>64`b2j>01??if;a7?845890h863=2139g1=::;:96n:4=3037?e33489<94l4:?1650=k=16>?>8:b68974703i?70<=088`0>;5:9k1o952232a>f2<5;8;o7m;;<014a<d<279>=k5c59>676a2j>01?<>1;a7?8459;0h863=2019g1=::;;?6n:4}r331?6=<8qU==;4=331`?e4348:n94l3:?15``=k:16><hn:b189747=3i870<=118`7>;5:8h1o>522305>f5<5;88=7m<;<017f<d;279=>65c29>64242j901??;e;a0?846=00h?63=1769g6=::8<m6n=4=334e?e4348:484l3:?15<6=k:16><l<:b18977cl3i870<>e78`7>;59l=1o>5220g;>f5<5;;n57m<;<02ad<d;279=hl5c29>64cd2j901??jd;a0?846ml0h?63=1g29g6=::8l:6n=4=33e6?e4348:j>4l3:?15c2=k:16><h::b18977a>3i870<>f68`7>;59o21o>5220d:>f5<5;;mn7m<;<02bf<d;279=kj5c29>64`b2j901??if;a0?845890h?63=2139g6=::;:96n=4=3037?e43489<94l3:?1650=k:16>?>8:b18974703i870<=088`7>;5:9k1o>52232a>f5<5;8;o7m<;<014a<d;279>=k5c29>676a2j901?<>1;a0?8459;0h?63=2019g6=::;;?6n=4}r330?6=<8qU==:4=331`?e5348:n94l2:?15``=k;16><hn:b089747=3i970<=118`6>;5:8h1o?522305>f4<5;88=7m=;<017f<d:279=>65c39>64242j801??;e;a1?846=00h>63=1769g7=::8<m6n<4=334e?e5348:484l2:?15<6=k;16><l<:b08977cl3i970<>e78`6>;59l=1o?5220g;>f4<5;;n57m=;<02ad<d:279=hl5c39>64cd2j801??jd;a1?846ml0h>63=1g29g7=::8l:6n<4=33e6?e5348:j>4l2:?15c2=k;16><h::b08977a>3i970<>f68`6>;59o21o?5220d:>f4<5;;mn7m=;<02bf<d:279=kj5c39>64`b2j801??if;a1?845890h>63=2139g7=::;:96n<4=3037?e53489<94l2:?1650=k;16>?>8:b08974703i970<=088`6>;5:9k1o?52232a>f4<5;8;o7m=;<014a<d:279>=k5c39>676a2j801?<>1;a1?8459;0h>63=2019g7=::;;?6n<4}r337?6=<8qU===4=331`?e6348:n94l1:?15``=k816><hn:b389747=3i:70<=118`5>;5:8h1o<522305>f7<5;88=7m>;<017f<d9279=>65c09>64242j;01??;e;a2?846=00h=63=1769g4=::8<m6n?4=334e?e6348:484l1:?15<6=k816><l<:b38977cl3i:70<>e78`5>;59l=1o<5220g;>f7<5;;n57m>;<02ad<d9279=hl5c09>64cd2j;01??jd;a2?846ml0h=63=1g29g4=::8l:6n?4=33e6?e6348:j>4l1:?15c2=k816><h::b38977a>3i:70<>f68`5>;59o21o<5220d:>f7<5;;mn7m>;<02bf<d9279=kj5c09>64`b2j;01??if;a2?845890h=63=2139g4=::;:96n?4=3037?e63489<94l1:?1650=k816>?>8:b38974703i:70<=088`5>;5:9k1o<52232a>f7<5;8;o7m>;<014a<d9279>=k5c09>676a2j;01?<>1;a2?8459;0h=63=2019g4=::;;?6n?4}r336?6=<8qU==<4=331`?e7348:n94l0:?15``=k916><hn:b289747=3i;70<=118`4>;5:8h1o=522305>f6<5;88=7m?;<017f<d8279=>65c19>64242j:01??;e;a3?846=00h<63=1769g5=::8<m6n>4=334e?e7348:484l0:?15<6=k916><l<:b28977cl3i;70<>e78`4>;59l=1o=5220g;>f6<5;;n57m?;<02ad<d8279=hl5c19>64cd2j:01??jd;a3?846ml0h<63=1g29g5=::8l:6n>4=33e6?e7348:j>4l0:?15c2=k916><h::b28977a>3i;70<>f68`4>;59o21o=5220d:>f6<5;;mn7m?;<02bf<d8279=kj5c19>64`b2j:01??if;a3?845890h<63=2139g5=::;:96n>4=3037?e73489<94l0:?1650=k916>?>8:b28974703i;70<=088`4>;5:9k1o=52232a>f6<5;8;o7m?;<014a<d8279>=k5c19>676a2j:01?<>1;a3?8459;0h<63=2019g5=::;;?6n>4}rdf>5<39rTmi63=13f9ff=::8h?6om4=33fb?dd348:jl4mc:?1653=jj16>???:ca89746j3hh70<=278ag>;5::;1nn52231`>ge<5;;847ll;<0206<ek279=9k5bb9>643>2ki01??94;``?846>o0io63=16c9ff=::82>6om4=33:4?dd348:n>4mc:?15ab=jj16><k9:ca8977b?3hh70<>e98ag>;59l31nn5220gb>ge<5;;nn7ll;<02af<ek279=hj5bb9>64cb2ki01??i0;``?846n80io63=1g09ff=::8l86om4=33e0?dd348:j84mc:?15c0=jj16><h8:ca8977a03hh70<>f88ag>;59oh1nn5220d`>ge<5;;mh7ll;<02b`<ek279=kh5bb9>67672ki01?<?1;``?8458;0io63=2119ff=::;:?6om4=3032?dd3489<:4mc:?165>=jj16>?>6:ca89747i3hh70<=0c8ag>;5:9i1nn52232g>ge<5;8;i7ll;<014c<ek279><?5bb9>67752ki01?<>3;``?8459=0io6s|f283>17|Vo901??=d;`2?846j=0i=63=1dd9f4=::8lj6o?4=3031?d63489==4m1:?164d=j816>?<9:c38974493h:70<=3b8a5>;59:21n<522060>g7<5;;?i7l>;<021<<e9279=;:5b09>640a2k;01??8a;`2?8460<0i=63=1829f4=::8h86o?4=33g`?d6348:i;4m1:?15`1=j816><k7:c38977b13h:70<>e`8a5>;59lh1n<5220g`>g7<5;;nh7l>;<02a`<e9279=k>5b09>64`62k;01??i2;`2?846n:0i=63=1g69f4=::8l>6o?4=33e2?d6348:j:4m1:?15c>=j816><h6:c38977aj3h:70<>fb8a5>;59on1n<5220df>g7<5;;mj7l>;<0145<e9279>=?5b09>67652k;01?<?3;`2?8458=0i=63=2149f4=::;:<6o?4=303<?d63489<44m1:?165g=j816>?>m:c389747k3h:70<=0e8a5>;5:9o1n<52232e>g7<5;8:=7l>;<0157<e9279><=5b09>67732k;0q~k7:1875~Xb0279=?j5a79>64d32h<01??jf;c5?846nh0j:63=2179e3=::;;;6l84=302f?g13489>;4n6:?1667=i?16>?=l:`48977403k=70<>428b2>;59=o1m;52207:>d0<5;;=87o9;<022c<f>279=:o5a79>64>22h<01??60;c5?846j:0j:63=1ef9e3=::8o=6l84=33f3?g1348:i54n6:?15`?=i?16><kn:`48977bj3k=70<>eb8b2>;59ln1m;5220gf>d0<5;;m<7o9;<02b4<f>279=k<5a79>64`42h<01??i4;c5?846n<0j:63=1g49e3=::8l<6l84=33e<?g1348:j44n6:?15cd=i?16><hl:`48977al3k=70<>fd8b2>;59ol1m;522323>d0<5;8;=7o9;<0147<f>279>==5a79>67632h<01?<?6;c5?8458>0j:63=21:9e3=::;:26l84=303e?g13489<o4n6:?165e=i?16>?>k:`489747m3k=70<=0g8b2>;5:8;1m;522331>d0<5;8:?7o9;<0151<f>2wx>=<50;37\7f850138i<639a28b1>;1=90j963:478b1>;2nm0j963;f28b1>;2ih0j963;a18b1>;>m?0<:63n08842>;f;80<:63n55842>;><l0<:63670842>;>1k0<:636bd842>;0:10<:63806842>;0=h0<:63838842>{tij;1<7:t=``f>2e<5hi:6><<;<cab?1d34kh<79l;|qbfg<728=?w0ome;117>;fk80<863:9`81f1=:=031;4525`093<=:=h91;4525`693<=:=h?1;4525`493<=:=h=1;45258`93<=:=h21;4525`;93<=:=0i1;45258f93<=:=0o1;45258d93<=:=h:1;4525`393<=:=:<1;45252796g2<5<9n6:74=41e>2?<5<>;6:74=462>2?<5<>96:74=460>2?<5<9<6:74=467>2?<5<>>6:74=41;>2?<5<926:74=41b>2?<5<9i6:74=41`>2?<5<9o6:74=5g1>2?<5=o86:74=5ga>2?<5=oh6:74=5gg>2?<5=on6:74=5ge>2?<5=l;6:74=5d2>2?<5=l96:74=5g7>2?<5=o>6:74=5g5>2?<5=o<6:74=5g;>2?<5=o26:74=5gb>2?<50no6?l;;<;77?4e<27<hi489:?4`f<0127<ho489:?4`d<0127<h4489:?4`=<0127<h:489:?4a0<0127<i9489:?4a6<0127<i?489:?4a4<0127<i=489:?4`c<0127<hh489:?4`3<0127<h8489:?;3d<01273;4489:?;3=<01273;:489:?;33<01273;8489:?;31<012734?489:?;<4<012734=489:?;3c<01273;h489:?;3a<01273;n489:?;3g<01273;>489:?;37<01273h=489:?;gc<01273oh489:?;ga<01273on489:?;gg<01273ol489:?;`=<01273h:489:?;`3<01273h8489:?;`1<01273h>489:?;`7<01273h<489:?;g<<01273o5489:?;b7<01273j<489:?;b5<01273ik489:?;a`<01273ii489:?;af<01273jl489:?;b<<01273j5489:?;b2<01273j;489:?;b0<01273j9489:?;b6<01273io489:?;ad<01272?:489:?:73<01272?8489:?:71<01272?>489:?:77<01272?<489:?:7c<01272?h489:?:7a<01272?n489:?:7g<01272?l489:?:7<<01272?5489:?:75<01272>k489:?157b=;==01??m4;173>;59ll1?994=33ee?53?279>=;535589746839?;63=20`9711<5;89:7=;7:?1667=;==01?<<c;173>;59:21?994=3377?53?279=9k535589772139?;63=1769711<5;;=j7=;7:?152g=;==01??75;173>;590:1?994=33a7?53?279=ij53558977b>39?;63=1d59711<5;;n47=;7:?15`?=;==01??ja;173>;59lh1?994=33fg?53?279=hj53558977bm39?;63=1g29711<5;;m=7=;7:?15c4=;==01??i3;173>;59o>1?994=33e1?53?279=k853558977a?39?;63=1g:9711<5;;m57=;7:?15cd=;==01??ic;173>;59on1?994=33ea?53?279=kh535589747839?;63=2139711<5;8;>7=;7:?1655=;==01?<?4;173>;5:9<1?994=3033?53?279>=6535589747139?;63=21c9711<5;8;n7=;7:?165e=;==01?<?d;173>;5:9o1?994=303b?53?279><?535589746:39?;63=2019711<5;8:87=;7:?1643=;==01?<>6;173>;5:8=1?994=302<?53?279><7535589746i39?;63=20a9711<5;8:h7=;7:?164c=;==01?<>f;173>;5:;:1?994=3015?53?279>?<535589745;39?;63=2369711<5;8997=;7:?1671=;==01?<=8;173>;5:;31?994=301e?53?279>?l535589745k39?;63=23f9711<5;89i7=;7:?167`=;==01?<<0;173>;5::81?994=3007?53?279>>:535589744=39?;63=2249711<5;88;7=;7:?166>=;==01?<<9;173>;5::k1?994=300f?53?279=?k535589775n39?;63=1229711<5;;8=7=;7:?1564=;==01??<3;173>;59:>1?994=3301?53?279=>8535589774?39?;63=12;9711<5;;8m7=;7:?156d=;==01??<c;173>;59:n1?994=330a?53?279=>h535589773839?;63=1539711<5;;?>7=;7:?1512=;==01??;5;173>;59=<1?994=3373?53?279=96535589773139?;63=15c9711<5;;?n7=;7:?151e=;==01??;d;173>;59=l1?994=3364?53?279=8?535589772:39?;63=1419711<5;;>87=;7:?1503=;==01??:6;173>;59<=1?994=336<?53?279=8o535589772j39?;63=14a9711<5;;>h7=;7:?150c=;==01??:f;173>;59?:1?994=3355?53?279=;<535589771;39?;63=1779711<5;;=:7=;7:?1531=;==01??98;173>;59?31?994=335e?53?279=;l535589771k39?;63=17f9711<5;;=i7=;7:?1526=;==01??81;173>;59>81?994=3347?53?279=::535589770=39?;63=1649711<5;;<;7=;7:?152>=;==01??89;173>;59>h1?994=334g?53?279=:j535589770m39?;63=16d9711<5;;3<7=;7:?15=7=;==01??72;173>;59191?994=33;0?53?279=5853558977??39?;63=19:9711<5;;357=;7:?15=g=;==01??7b;173>;591i1?994=33;`?53?279=5k53558977?n39?;63=1839711<5;;2>7=;7:?15<2=;==01??63;173>;59021?994=33:3?53?279=4m53558977>j39?;63=1`29711<5;;2j7=;7:?15d2=;==01??n3;173>;59h21?994=33b3?53?279=lm53558977fj39?;63=1c29711<5;;jj7=;7:?15g0=;==01??m5;173>;59kk1?994=33a=?53?279=ok53558977el39?;63=1b09711<5;;h=7=;7:?15f0=;==01??l5;173>;59jk1?994=33`=?53?279=nk53558977dl39?;63=1e09711<5;;o=7=;7:?15a0=;==01??k5;173>;59mk1?994=33g=?53?279=ih53558977cm39?;63=1d19711<5;;n>7=;7:\7fpef4=83;39v3nc2840>;fk;08>>526g596g2<5?l=6?l;;<4eb?1>34=;<796;<535?1>34=;>796;<537?1>34=;8796;<4e<?1>34=;9796;<532?1>34<m5796;<4ee?1>34<mn796;<4eg?1>34<mh796;<4ea?1>34<2?7<m4:?5=7<0127=5o489:?5=f<0127=5i489:?5=`<0127=5k489:?5e5<0127=59489:?5e4<0127=m?489:?5=0<0127=5;489:?5=2<0127=55489:?5=<<0127=5l489:?505<0127=?k4=b59>21>=?016:975789>21g=?016:9l5789>21e=?016:9j5789>217=?016:9k5789>21`=?016:9<5789>215=?016:9:5789>213=?016:985789>211=?0169hm5789>1`b=?0169k;5789>1c0=?0169k95789>1c>=?0169k75789>1cg=?0169kl5789>1ce=?0169hk5789>1``=?0169k>5789>1c7=?0169k<5789>1c5=?0169k:5789>e7>=:k>0147?:3`7?81f13=2709n8;5:?81f?3=2709n6;5:?81f=3=2709n4;5:?81f;3=2709m1;5:?81e83=2709nf;5:?81fm3=2709nd;5:?81fk3=2709nb;5:?81fi3=2709n2;5:?81f93=2709if;5:?81am3=2709id;5:?81ak3=2709ib;5:?81ai3=2709i9;5:?8>7?3=2706?6;5:?8>7=3=2706?4;5:?8>7;3=2706?2;5:?8>793=2706?0;5:?81a03=2709i7;5:?8>593=2706=0;5:?8>6n3=2706>e;5:?8>6l3=2706>c;5:?8>6j3=2706=9;5:?8>503=2706=7;5:?8>5>3=2706=5;5:?8>5<3=2706=3;5:?8>5:3=2706>a;5:?8>613=2706ne;5:?8>fl3=2706nc;5:?8>fj3=2706na;5:?8>f13=2706n8;5:?8>e>3=2706m5;5:?8>e<3=2706m3;5:?8>e:3=2706m1;5:?8>e83=2706nf;5:?8>f?3=2706n6;5:?8ge:3=270om1;5:?8ge83=270onf;5:?8gfm3=270ond;5:?8gfk3=270oma;5:?8ge13=270om8;5:?8ge?3=270om6;5:?8ge=3=270om4;5:?8ge;3=270onb;5:?8gfi3=270<>2e8003=::8h?6>:9;<02ac<4<?16><hn:265?8458<088;522333>6213489=o4<479>67412:>=70<=308003=::;9h6>:9;<027=<4<?16><:<:265?846<l088;52207:>621348::94<479>640a2:>=70<>7`8003=::82>6>:9;<02=5<4<?16><l<:265?846lm088;5220g5>621348:i:4<479>64c?2:>=70<>e88003=::8oj6>:9;<02ag<4<?16><kl:265?846mm088;5220gf>621348:j=4<479>64`62:>=70<>f38003=::8l86>:9;<02b1<4<?16><h::265?846n?088;5220d4>621348:j54<479>64`>2:>=70<>fc8003=::8lh6>:9;<02ba<4<?16><hj:265?846no088;522323>6213489<<4<479>67652:>=70<=028003=::;:?6>:9;<0143<4<?16>?>8:265?84581088;52232:>6213489<l4<479>676e2:>=70<=0b8003=::;:o6>:9;<014`<4<?16>?>i:265?84598088;522331>6213489=>4<479>67732:>=70<=148003=::;;=6>:9;<0152<4<?16>??7:265?84590088;52233b>6213489=n4<479>677c2:>=70<=1d8003=::;;m6>:9;<0165<4<?16>?<>:265?845:;088;522300>6213489>94<479>67422:>=70<=268003=::;836>:9;<016<<4<?16>?<n:265?845:k088;52230`>6213489>i4<479>674b2:>=70<=2g8003=::;9;6>:9;<0177<4<?16>?=<:265?845;=088;522316>6213489?;4<479>67502:>=70<=398003=::;926>:9;<017d<4<?16>?=m:265?846:l088;52200e>621348:?=4<479>64562:>=70<>338003=::8986>:9;<0271<4<?16><=::265?846;?088;522014>621348:?44<479>645f2:>=70<>3c8003=::89h6>:9;<027a<4<?16><=j:265?846;o088;522063>621348:8<4<479>64252:>=70<>458003=::8>>6>:9;<0203<4<?16><:8:265?846<1088;52206:>621348:8l4<479>642e2:>=70<>4b8003=::8>o6>:9;<020c<4<?16><;?:265?846=8088;522071>621348:9>4<479>64332:>=70<>548003=::8?=6>:9;<0212<4<?16><;7:265?846=h088;52207a>621348:9n4<479>643c2:>=70<>5d8003=::8?m6>:9;<0225<4<?16><8>:265?846>;088;522040>621348::84<479>64012:>=70<>668003=::8<36>:9;<022<<4<?16><8n:265?846>k088;52204`>621348::i4<479>640b2:>=70<>718003=::8=:6>:9;<0237<4<?16><9<:265?846?=088;522056>621348:;;4<479>64102:>=70<>798003=::8=26>:9;<023g<4<?16><9l:265?846?m088;52205f>621348:;k4<479>64>72:>=70<>808003=::8296>:9;<02<6<4<?16><6;:265?8460?088;5220:4>621348:454<479>64>>2:>=70<>8`8003=::82i6>:9;<02<f<4<?16><6k:265?8460l088;5220:e>621348:5<4<479>64?52:>=70<>958003=::8386>:9;<02==<4<?16><78:265?8461j088;5220;a>621348:m=4<479>64?a2:>=70<>a58003=::8k86>:9;<02e=<4<?16><o8:265?846ij088;5220ca>621348:n=4<479>64ga2:>=70<>b78003=::8h>6>:9;<02fd<4<?16><l6:265?846jl088;5220`g>621348:o?4<479>64e62:>=70<>c78003=::8i>6>:9;<02gd<4<?16><m6:265?846kl088;5220ag>621348:h?4<479>64b62:>=70<>d78003=::8n>6>:9;<02`d<4<?16><j6:265?846lo088;5220ff>621348:i>4<479>64c52:>=7p}nc283>7}:ij91??=4=`a1>2e<uzk297>578y>2c1=?=16:k85759>2c`=?=16;=>5759>357=?=16;=<5759>355=?=16;=:5759>2c>=?=16;=;5759>350=?=16:k75759>2cg=?=16:kl5759>2ce=?=16:kj5759>2cc=?=16:4=5759>2<4=?=16:4l5759>2<e=?=16:4j5759>2<c=?=16:4h5759>2d6=?=16:4:5759>2d7=?=16:l<5759>2<3=?=16:485759>2<1=?=16:465759>2<?=?=16:4o5759>216=?=16:>h5759>21>=?=16:975759>21g=?=16:9l5759>21e=?=16:9j5759>217=?=16:9k5759>21`=?=16:9<5759>215=?=16:9:5759>213=?=16:985759>211=?=169hm5759>1`b=?=169k;5759>1c0=?=169k95759>1c>=?=169k75759>1cg=?=169kl5759>1ce=?=169hk5759>1``=?=169k>5759>1c7=?=169k<5759>1c5=?=169k:5759>ed6=:hn01??=d;161>;59;n1?8=4=331`?52:279=?j53438977e<39>963=1c69705<5;;i87=:2:?15g2=;<;01??jf;161>;59ll1?8=4=33fb?52:279=hh53438977ai39>963=1gc9705<5;;mm7=:2:?15cg=;<;01?<?5;161>;5:9?1?8=4=3031?52:279>=;534389746839>963=2029705<5;8:<7=:2:?1646=;<;01?<>b;161>;5:8h1?8=4=302f?52:279><l534389745>39>963=2349705<5;89:7=:2:?1670=;<;01?<<1;161>;5::;1?8=4=3005?52:279>>?534389744k39>963=22a9705<5;88o7=:2:?166e=;<;01??<8;161>;59:21?8=4=330<?52:279=>6534389773;39>963=1519705<5;;??7=:2:?1515=;<;01??;e;161>;59=o1?8=4=337a?52:279=9k53438yv0ck3:1>v39f684g>;1kk09mi5rs7gg>5<4s4<m;7==3:?:`5<61j16:o?518c8yv0c<3:1?v39f784g>;1i:088=526b096db<uz<n97>53z?5b3<4::165i>518c893e528327p}9de83>7}:>ol1;n526ba96db<uz<ni7>53z?5bc<4::165i?518c893d4283j7p}9dd83>7}:?9:1;n526bf96db<uz<nj7>53z?445<4::165i?518a893d2283j7p}9dg83>7}:?9;1;n526bg96db<uz<m<7>53z?444<4::165i<518c893d0283j7p}9e183>7}:?981;n526bd96db<uz<m=7>53z?447<4::165i<518a893d>283j7p}9e083>7}:?991;n526e296db<uz<m>7>53z?446<4::165i=518c893de283j7p}9e383>7}:?9>1;n526e396db<uz<m?7>53z?441<4::165i=518a893dc283j7p}9d483>7}:>o21;n526b196db<uz<n:7>53z?5b=<4::165i;518c893g2283j7p}9e283>7}:?9?1;n526e096db<uz<m87>53z?440<4::165i:518c893da283j7p}9e583>7}:?9<1;n526e196db<uz<m97>53z?443<4::165i:518a893e6283j7p}9d783>7}:>o31;n526b696db<uz<n;7>53z?5b<<4::165i;518a893g0283j7p}9d683>7}:>ok1;n526b796db<uz<n47>53z?5bd<4::165i8518c893g>283j7p}9d983>7}:>oh1;n526b496db<uz<n57>53z?5bg<4::165i8518a893ge283j7p}9d883>7}:>oi1;n526b596db<uz<nm7>53z?5bf<4::165i9518c893gc283j7p}9d`83>7}:>on1;n526b:96db<uz<nn7>53z?5ba<4::165i9518a893ga283j7p}9dc83>7}:>oo1;n526b;96db<uz<no7>53z?5b`<4::165i6518c893ef283j7p}9a283>6}:>h91>lj4=7`3>20<5?ii6:84}r4a5?6=;r7=n=4<419>2fd=9lo01;l>:3cg?xu1j90;6>u26c296db<5?h96:84=7a`>20<uz<i?7>53z?5f7<4<916:nm51dg893d42;ko7p}9b383>6}:>k81>lj4=7`7>20<5?io6:84}r4a1?6=;r7=n94<419>2fb=9lo01;l::3cg?xu1j=0;6>u26c696db<5?h=6:84=7af>20<uz<i;7>53z?5f3<4<916:nk51dg893d02;ko7p}9b783>6}:>k<1>lj4=7`;>20<5?im6:84}r4a=?6=;r7=n54<419>2f`=9lo01;l6:3cg?xu1j10;6>u26c:96db<5?hj6:84=7f3>20<uz<in7>53z?5fd<4<916:i>51dg893de2;ko7p}9b`83>6}:>kk1>lj4=7``>20<5?n:6:84}r4a`?6=;r7=nn4<419>2a7=9lo01;lk:3cg?xu1jj0;6>u26ca96db<5?hn6:84=7f1>20<uz<ij7>53z?5f`<4<916:i<51dg893da2;ko7p}9bd83>6}:>ko1>lj4=7a3>20<5?n86:84}r4`5?6=;r7=o=4<419>2a5=9lo01;m>:3cg?xu1k90;6>u26b296db<5?k?6:84=7a0>20<uz<j97>53z?5e1<4<916:n=51dg893g22;ko7p}9a583>6}:>h>1>lj4=7c5>20<5?i?6:84}r4b3?6=;r7=m;4<419>2f2=9lo01;o8:3cg?xu1i?0;6>u26`496db<5?k36:84=7a6>20<uz<j57>53z?5e=<4<916:n;51dg893g>2;ko7p}9a983>6}:>h21>lj4=7cb>20<5?i=6:84}r4bf?6=;r7=ml4<419>2f0=9lo01;om:3cg?xu1ih0;6>u26`c96db<5?kh6:84=7a4>20<uz<jh7>53z?5ef<4<916:n951dg893gc2;ko7p}9ab83>6}:>hi1>lj4=7cf>20<5?i36:84}r4bb?6=;r7=mh4<419>2f>=9lo01;oi:3cg?xu1il0;6?u26`g96db<5?i26:84}r4`e?6=:r7=o44>ed9>2fg=:hn0q~879;296~;11:0<o6397981ea=z{?2:6=4<{<4:6?1d34<><7=;0:?52c<5im1v\7f;6n:181\7f80>j3=h70889;0b`>{t>1h1<7<t=7;`>2e<5?=j6?ok;|q5<f<72;q6:4j57b9>22d=:hn0q~87d;296~;11l0<o6397b81ea=z{?2n6=4={<4:b?1d34<<h7<nd:\7fp2=`=838p1;o?:6a8931b2;ko7p}98383>7}:>0>1;n5266296db<uz<2<7>52z?5e4<0k27=;k4=ae9~w3?62909w08n2;5`?80?838jh6s|69194?4|5?3>6:m4=752>7gc3ty=494?:3y>2<0=?j16::<52`f8yv0?=3:1>v399684g>;1?:09mi5rs7:5>5<5s4<2479l;<440?4fl2wx:5950;0x93?>2>i01;9::3cg?xu1010;6?u268c93f=:>><1>lj4}r464?6=;r7=9=4=ae9>20b=??16::65779~w33b2908w08:d;174>;1?10:ih5264g96db<uz<>h7>53z?51a<5im16:8h5779>22?=??1v\7f;8?:180\7f802n39?<6397882a`=:>?:1>lj4}r46b?6=;r7=9k4=ae9>237=??16::o5779~w3052908w0891;174>;1?h0:ih5267096db<uz<==7>53z?524<5im16:;=5779>22d=??1v\7f;8;:180\7f801;39?<6397c82a`=:>?>1>lj4}r457?6=;r7=:>4=ae9>233=??16::m5779~w3012908w0895;174>;1?j0:ih5267496db<uz<=97>53z?520<5im16:;95779>22b=??1v\7f;87:180\7f801?39?<6397e82a`=:>?21>lj4}r453?6=;r7=::4=ae9>23?=??16::k5779~w30f2908w0899;174>;1?l0:ih5267c96db<uz<=57>53z?52<<5im16:;l5779>22`=??1v\7f;8l:180\7f801j39?<6397g82a`=:>?i1>lj4}r45f?6=;r7=:o4=ae9>23b=??16:5>5779~w30b2908w089d;174>;1090:ih5267g96db<uz<=h7>53z?52a<5im16:8?5779>226=??1v\7f;;=:180\7f802939?<6397182a`=:><81>lj4}r465?6=;r7=9<4=ae9>205=??16::?5779~w3332908w08:3;174>;1?80:ih5264696db<uz<>?7>53z?516<5im16:8;5779>224=??1v\7f;;9:180\7f802=39?<6397382a`=:><<1>lj4}r461?6=;r7=984=ae9>201=??16::=5779~w33?2908w08:7;174>;1?:0:ih5264:96db<uz<>;7>53z?512<5im16:875779>222=??1v\7f;;n:180\7f802139?<6397582a`=:><k1>lj4}r46=?6=;r7=944=ae9>20d=??16::;5779~w33d2908w08:b;174>;1?<0:ih5264a96db<uz<>n7>52z?51g<5im16::85779~w3102909w0886;3fa>;1?>09mi5rs45e>5<5s4?2m79l;<75a?4fl2wx94>50;1x90?f2:8870773;3:g>;2==0:5l5rs454>5<4s4?2579l;<772?53827>:84=ae9~w0>?2908w0;69;117>;>0:0:5l5257795<?<uz?3<7>52z?6e7<0k27>:k4=ae9~w0?62908w0;n2;117>;>0=0:5l5254495<g<uz?3=7>52z?6e6<0k27>;=4=ae9~w0?52908w0;n3;117>;>0=0:5n5254:95<g<uz?3>7>52z?6e1<0k27>;<4=ae9~w0?42908w0;n4;117>;>0<0:5l5254c95<g<uz?3?7>52z?6e0<0k27>;?4=ae9~w0?32908w0;n5;117>;>0<0:5n5254a95<g<uz?387>52z?6e3<0k27>;>4=ae9~w0?22908w0;n6;117>;>0?0:5l5254g95<g<uz?397>52z?6e2<0k27>;94=ae9~w0?12908w0;n7;117>;>0?0:5n5257295<g<uz?<47>52z?6=g<0k27>:;4=ae9~w0>>2908w0;6b;117>;>010:5l5255:95<g<uz?3:7>52z?6e=<0k27>;84=ae9~w0?02908w0;n8;117>;>0>0:5l5257095<g<uz?3;7>52z?6e<<0k27>;;4=ae9~w0??2908w0;n9;117>;>0>0:5n5257695<g<uz?<57>52z?6=f<0k27>::4=ae9~w0>f2908w0;6c;117>;>010:5n5255c95<g<uz?<m7>52z?6=a<0k27>:54=ae9~w0>e2908w0;6d;117>;>000:5l5255a95<g<uz?<n7>52z?6=`<0k27>:44=ae9~w0>d2908w0;6e;117>;>000:5n5255g95<g<uz?<o7>52z?6=c<0k27>:l4=ae9~w0>c2908w0;6f;117>;>0h0:5l5254295<g<uz?<h7>52z?6e5<0k27>:o4=ae9~w0>b2908w0;n0;117>;>0h0:5n5254095<g<uz?<i7>52z?6e4<0k27>:n4=ae9~w0>a2908w0;n1;117>;>0k0:5l5257f95<g<uz??:7>53z?603<5im1698=5779>13c=??1v\7f8;;:180\7f832;39?<63:6d82a`=:=<>1>lj4}r767?6=;r7>9>4=ae9>103=??169;h5779~w0312908w0;:5;174>;2>o0:ih5254496db<uz?>97>53z?610<5im169895779>126=??1v\7f8;7:180\7f832?39?<63:7182a`=:=<21>lj4}r763?6=;r7>9:4=ae9>10?=??169:?5779~w03f2908w0;:9;174>;2?80:ih5254c96db<uz?>57>53z?61<<5im1698l5779>124=??1v\7f8;l:180\7f832j39?<63:7382a`=:=<i1>lj4}r76f?6=;r7>9o4=ae9>10b=??169:=5779~w03b2908w0;:d;174>;2?:0:ih5254g96db<uz?>h7>53z?61a<5im1698h5779>122=??1v\7f88?:180\7f832n39?<63:7582a`=:=?:1>lj4}r76b?6=;r7>9k4=ae9>137=??169:;5779~w0052908w0;91;174>;2?<0:ih5257096db<uz?==7>53z?624<5im169;=5779>120=??1v\7f88;:180\7f831;39?<63:7782a`=:=?>1>lj4}r757?6=;r7>:>4=ae9>111=??169;85779~w02?2908w0;;7;174>;2>?0:ih5255:96db<uz??;7>53z?602<5im169975779>131=??1v\7f8:n:180\7f833139?<63:6682a`=:==k1>lj4}r77=?6=;r7>844=ae9>11d=??169;65779~w02d2908w0;;b;174>;2>10:ih5255a96db<uz??n7>53z?60g<5im1699j5779>13?=??1v\7f8:j:180\7f833l39?<63:6882a`=:==o1>lj4}r77`?6=;r7>8i4=ae9>11`=??169;o5779~w0372908w0;;f;174>;2>h0:ih5254296db<uz??j7>53z?60c<5im1698?5779>13d=??1v\7f8;=:180\7f832939?<63:6c82a`=:=<81>lj4}r765?6=:r7>9<4=ae9>13e=??1v\7f88k:181\7f831k3;ni63:6e81ea=z{?9=6=4={<474?1d34<997<nd:\7fp27c=839p1;=i:6a890`c2:>;708>c;0b`>{t>:=1<7<t=76;>2e<5?8=6?ok;|q57=<72;q6:9757b9>271=:hn0q~8<9;296~;1<h0<o6392981ea=z{?9j6=4={<47f?1d34<957<nd:\7fp26d=838p1;:l:6a8934f2;ko7p}93b83>7}:>=n1;n5263`96db<uz<9j7>52z?504<0k27==i4=ae9~w35c2909w08;e;5`?805k38jh6s|62g94?4|5?>m6:m4=70g>7gc3ty=?=4?:3y>214=?j16:<k52`f8yv0493:1>v394284g>;19o09mi5rs711>5<5s4<?879l;<414?4fl2wx:>=50;0x93222>i01;<>:3cg?xu1;=0;6?u265493f=:>;81>lj4}r401?6=:r7=8:48c:?566<5im1v\7f8hk:180\7f83al38jh6390`842>;1:<0<:6s|61`94?5|5?:j6>:?;<411?7bm27=<o4=ae9~w36f2908w08?a;0b`>;18j0<:63927842>{t>9n1<7=t=72`>62734<9:7?je:?54a<5im1v\7f;>l:180\7f807k38jh6390d842>;1:>0<:6s|61d94?5|5?:n6>:?;<413?7bm27=<k4=ae9~w36b2908w08?e;0b`>;1990<:63929842>{t>8;1<7=t=733>62734<947?je:?554<5im1v\7f;??:180\7f806838jh63913842>;1:00<:6s|60194?5|5?;96>:?;<41=?7bm27==>4=ae9~w3752908w08>2;0b`>;19=0<:6392`842>{t>8?1<7=t=737>62734<9m7?je:?550<5im1v\7f;?;:180\7f806<38jh63917842>;1:k0<:6s|60594?5|5?;=6>:?;<41f?7bm27==:4=ae9~w3712908w08>6;0b`>;1910<:6392b842>{t>831<7=t=73;>62734<9o7?je:?55<<5im1v\7f;?7:180\7f806038jh6391`842>;1:m0<:6s|60`94?5|5?;j6>:?;<41`?7bm27==o4=ae9~w37f2908w08>a;0b`>;2nl0<:6391e842>{t=ol1<7=t=4df>62734<:h7?je:?6bc<5im1v\7f8hj:180\7f83am38jh63901842>;19l0<:6s|61394?5|5?:;6>:?;<42a?7bm27=<<4=ae9~w3672908w08?0;0b`>;18;0<:6391g842>{t>991<7=t=721>62734<:j7?je:?546<5im1v\7f;>=:180\7f807:38jh63905842>;1:90<:6s|61794?5|5?:?6>:?;<414?7bm27=<84=ae9~w3632908w08?4;0b`>;18?0<:63920842>{t>9=1<7=t=725>62734<9=7?je:?542<5im1v\7f;>9:180\7f807>38jh63909842>;1:;0<:6s|61;94?5|5?:36>:?;<416?7bm27=<44=ae9~w36?2909w08?8;0b`>;1::0<:6s|63694?4|5?886<kj;<410?4fl2wx9?m50;0x90512>i018?m:3cg?xu2:=0;6>u252793f=:<o91?9>4=431>7gc3ty>>i4?:3y>16c=?j169<m52`f8yv35m3:1>v3:3g84g>;29m09mi5rs40e>5<5s4??<79l;<72a?4fl2wx9>>50;0x90262>i018?i:3cg?xu2;80;6?u255093f=:=;:1>lj4}r706?6=:r7>8>48c:?664<5im1v\7f8<::181\7f834?3=h70;>3;0b`>{t=:91<7<t=467>2e<5<896?ok;|q671<72;q699;57b9>175=:hn0q~;=6;296~;2;10<o63:1581ea=z{<8<6=4={<70=?1d34?:97<nd:\7fp17>=838p18=n:6a890712;ko7p}:2883>7}:=:h1;n5250596db<uz?9m7>52z?67f<0k27>=54=ae9~w04e2909w0;<d;5`?836138jh6s|4g194?5|5=l86?ok;<734?1134?:n799;|q644<72:q69=>53528907e28on70;?1;0b`>{t=9:1<7=t=423>7gc34?;>799;<72g?113ty><>4?:2y>154=;=:018?l:0gf?837;38jh6s|51094?5|5<:96?ok;<730?1134?:h799;|q640<72:q69=:53528907c28on70;?5;0b`>{t=9>1<7=t=427>7gc34?;:799;<72a?113ty><:4?:2y>150=;=:018?j:0gf?837?38jh6s|51494?5|5<:=6?ok;<73<?1134?:j799;|q64<<72:q69=653528907a28on70;?9;0b`>{t=921<7=t=42;>7gc34?;m799;<714?113ty><o4?:2y>15g=;=:018<?:0gf?837j38jh6s|51c94?5|5<:j6?ok;<73g?1134?9=799;|q64a<72:q69=m53528904628on70;?d;0b`>{t=9i1<7=t=42`>7gc34?;i799;<716?113ty><k4?:2y>15c=;=:018<=:0gf?837n38jh6s|51g94?5|5<:n6?ok;<724?1134?9?799;|q654<72:q69<>53528904428on70;>1;0b`>{t=8:1<7=t=433>7gc34>m8799;<727?113ty?j84?:2y>0c2=;=:018?<:0gf?82a=38jh6s|4g694?5|5=l?6?ok;<6e2?1134?:8799;|q7b2<72:q68k853528907328on70:i7;0b`>{t<o<1<7=t=5d5>7gc34>m4799;<721?113ty?j44?:2y>0c>=;=:018?::0gf?82a138jh6s|4g:94?5|5=l36?ok;<6ee?1134?::799;|q7bg<72:q68ko53528907128on70:ib;0b`>{t<ok1<7=t=5db>7gc34>mo799;<723?113ty?ji4?:2y>0ce=;=:018?8:0gf?82al38jh6s|4ga94?5|5=lh6?ok;<6ea?1134?:4799;|q7bc<72:q68kk53528907?28on70:if;0b`>{t<oo1<7<t=5df>7gc34?:5799;|q65d<72;q69<751dg8907f2;ko7p}:dc83>6}:=li1;n525`c9716<5<i26?ok;|q6a6<72;q69hj57b9>1a4=:hn0q~;j4;296~;2n<0<o63:d281ea=z{<o>6=4={<7e2?1d34?o87<nd:\7fp1`0=838p18h8:6a890b22;ko7p}:e683>7}:=o21;n525e496db<uz?n47>52z?6b<<0k27>h:4=ae9~w0c>2909w0;ia;5`?83c038jh6s|5dc94?4|5<li6:m4=4f:>7gc3ty>io4?:3y>1ce=?j169io52`f8yv3ck3:1>v3:ed84g>;2kh09mi5rs4fg>5<5s4?nj79l;<7`f?4fl2wx9ik50;0x90`72>i018ml:3cg?xu2lo0;6?u25g393f=:=jn1>lj4}r7f4?6=:r7>j?48c:?6g`<5im1v\7f8k>:181\7f83a;3=h70;lf;0b`>{t=l81<7<t=4d7>2e<5<n;6?ok;|q6ed<72:q69lo52`f890d02><018j=:648yv3e03:1?v3:b68005=:=m81=hk4=4`;>7gc3ty>n:4?:2y>1g1=:hn018l6:64890b42><0q~;ma;297~;2j0088=525e195`c<5<hj6?ok;|q6f<<72:q69o752`f890de2><018j;:648yv3ek3:1?v3:bc8005=:=m>1=hk4=4``>7gc3ty>no4?:2y>1gd=:hn018lk:64890b22><0q~;me;297~;2jm088=525e795`c<5<hn6?ok;|q6fa<72:q69oj52`f890da2><018j9:648yv3d83:1?v3:bg8005=:=m<1=hk4=4a3>7gc3ty>nk4?:2y>1g`=:hn018m>:64890b02><0q~;l2;297~;2k8088=525e595`c<5<i96?ok;|q6g4<72:q69n?52`f890e42><018j7:648yv3d<3:1?v3:c28005=:=m21=hk4=4a7>7gc3ty>o>4?:2y>1f5=:hn018m::64890b>2><0q~;l6;297~;2k<088=525e;95`c<5<i=6?ok;|q6g0<72:q69n;52`f890e02><018jn:648yv3d03:1?v3:c68005=:=mk1=hk4=4a;>7gc3ty>o:4?:2y>1f1=:hn018om:64890ef2><0q~;nc;297~;2ik088=525bc95`c<5<kh6?ok;|q6eg<72:q69ll52`f890gc2><018mm:648yv3fm3:1?v3:ae8005=:=jh1=hk4=4cf>7gc3ty>mi4?:2y>1db=:hn018oi:64890ed2><0q~;m0;297~;2io088=525ba95`c<5<h;6?ok;|q6ec<72:q69lh52`f890d62><018mk:648yv3e:3:1?v3:b08005=:=jn1=hk4=4`1>7gc3ty>n<4?:2y>1g7=:hn018l<:64890eb2><0q~;m4;297~;2j:088=525bg95`c<5<h?6?ok;|q6f6<72:q69o=52`f890d22><018mi:648yv3e>3:1?v3:b48005=:=jl1=hk4=4`5>7gc3ty>n84?:3y>1g3=:hn018j?:648yv3c93:1>v3:d182a`=:=m;1>lj4}r6g5?6=;r7?i?48c:?7e5<4<9168oh52`f8yv2c13:1>v3;e284g>;3k109mi5rs5fb>5<5s4>nn79l;<6`=?4fl2wx8il50;0x91cd2>i019mn:3cg?xu3lj0;6?u24df93f=:<jh1>lj4}r6g`?6=:r7?ih48c:?7gf<5im1v\7f9jj:181\7f82bn3=h70:ld;0b`>{t<ml1<7<t=5d3>2e<5=in6?ok;|q7a5<72;q68k?57b9>0f`=:hn0q~:j1;296~;3n;0<o63;d181ea=z{=n96=4={<6f0?1d34>h<7<nd:\7fp0a5=838p19k::6a891e62;ko7p};d583>7}:<l<1;n524b096db<uz>o97>52z?7a2<0k27?o>4=ae9~w1b12909w0:j8;5`?82d<38jh6s|4e594?4|5=o26:m4=5a6>7gc3ty?h54?:3y>0`g=?j168n852`f8yv2f83:1?v3;a181ea=:<hn1;;524b:933=z{=kn6=4<{<6b`?53827?o54>ed9>0dc=:hn0q~:nd;297~;3im09mi524`d933=:<j31;;5rs5`3>5<4s4>jj7=;0:?7g<<6ml168o>52`f8yv2fn3:1?v3;ag81ea=:<k;1;;524bc933=z{=h96=4<{<6a5?53827?ol4>ed9>0g4=:hn0q~:m1;297~;3j809mi524c1933=:<jh1;;5rs5`7>5<4s4>i?7=;0:?7gg<6ml168o:52`f8yv2e;3:1?v3;b281ea=:<k?1;;524ba933=z{=h=6=4<{<6a1?53827?on4>ed9>0g0=:hn0q~:m5;297~;3j<09mi524c5933=:<jn1;;5rs5`;>5<4s4>i;7=;0:?7ga<6ml168o652`f8yv2e?3:1?v3;b681ea=:<k31;;524bg933=z{=hj6=4<{<6a=?53827?oh4>ed9>0gg=:hn0q~:m9;297~;3j009mi524c`933=:<jl1;;5rs5``>5<4s4>in7=;0:?7gc<6ml168om52`f8yv2ej3:1?v3;bc81ea=:<kn1;;524e2933=z{=hn6=4<{<6a`?53827?h=4>ed9>0gc=:hn0q~:md;297~;3jm09mi524`3933=:<j:1;;5rs5c1>5<4s4>j=7=;0:?7g5<6ml168l<52`f8yv2f93:1?v3;a081ea=:<h91;;524b3933=z{=k?6=4<{<6b7?53827?o<4>ed9>0d2=:hn0q~:n3;297~;3i:09mi524`7933=:<j81;;5rs5c5>5<4s4>j97=;0:?7g7<6ml168l852`f8yv2f=3:1?v3;a481ea=:<h=1;;524b1933=z{=k36=4<{<6b3?53827?o>4>ed9>0d>=:hn0q~:n7;297~;3i>09mi524`;933=:<j>1;;5rs5cb>5<4s4>j57=;0:?7g1<6ml168lo52`f8yv2f13:1?v3;a881ea=:<hh1;;524b7933=z{=kh6=4<{<6bf?53827?o84>ed9>0de=:hn0q~:nb;296~;3ik09mi524b4933=z{=i<6=4={<6`2?7bm27?o:4=ae9~w<ca2909w07j6;174>;>n109mi5rs8ff>5<5s43n:7<nd:?:a2<0>2wx5k>50;0x9<c02:>;707i9;0b`>{t1ml1<7<t=8g4>7gc343n4799;|q:b4<72;q65h6535289<`f2;ko7p}6e183>7}:1l21>lj4=8g:>20<uz3m>7>52z?:a<<4<9165kl52`f8yv?b93:1>v36e881ea=:1lk1;;5rs8d0>5<5s43nm7=;0:?:bf<5im1v\7f4k=:181\7f8?bi38jh636ec842>{t1o>1<7<t=8ga>627343mh7<nd:\7fp=`5=838p14km:3cg?8?bk3==7p}6f483>7}:1li1?9>4=8df>7gc3ty2i94?:3y>=`e=:hn014kk:648yv?a>3:1>v36ee8005=:1ol1>lj4}r;f1?6=:r72ii4=ae9>=`c=??1v\7f4h8:181\7f8?bm39?<63n0181ea=z{h8?6=4={<;fa?4fl272hn4>9e9~wd752909w0o?9;174>;f9k09mi5rs`22>5<5s4k;57<nd:?b4d<0>2wxm<=50;0x9d6f2:>;70o>c;0b`>{ti981<7<t=`2b>7gc34k;n799;|qb51<72;q6m=l535289d7c2;ko7p}n0283>7}:i9h1>lj4=`2`>20<uzk:97>52z?b4f<4<916m<k52`f8yvg7<3:1>v3n0b81ea=:i9n1;;5rs`35>5<5s4k;h7=;0:?b5c<5im1v\7fl>::181\7f8g7l38jh63n0d842>{ti8=1<7<t=`2f>62734k9<7<nd:\7fpe50=838p1l>j:3cg?8g7n3==7p}n1983>7}:i9l1?9>4=`02>7gc3tyj<:4?:3y>e5`=:hn01l??:648yvg613:1>v3n118005=:i;81>lj4}rc3<?6=:r7j==4=ae9>e47=??1v\7fl?n:181\7f8g6939?<63n2281ea=z{h8>6=4={<c25?4fl272hn4>9`9~w<be2909w07kd;5`?8?ck38jh6s|a2c94?4|5h9:6>:?;<c77?4fl2wxm?750;0x9d562;ko70o<2;55?xuf;k0;6?u2a209716<5h>?6?ok;|qb6d<72;q6m><52`f89d542><0q~o<c;296~;f;:088=52a5796db<uzk9n7>52z?b76<5im16m>:5779~wd5c2909w0o<4;174>;f<?09mi5rs`0`>5<5s4k887<nd:?b70<0>2wxm>k50;0x9d522:>;70o;7;0b`>{ti;n1<7<t=`16>7gc34k8:799;|qb7c<72;q6m>8535289d2?2;ko7p}n2d83>7}:i:<1>lj4=`14>20<uzk?<7>52z?b72<4<916m9752`f8yvg5n3:1>v3n3681ea=:i:21;;5rs`62>5<5s4k847=;0:?b0d<5im1v\7fl=?:181\7f8g4038jh63n38842>{ti=81<7<t=`1:>62734k?n7<nd:\7fpe3`=838p1l=6:3cg?8g5?3;2h6s|a4f94?4|5h??6>:?;<c52?4fl2wxm9m50;0x9d332;ko70o:5;55?xuf=l0;6?u2a479716<5h<<6?ok;|qb0a<72;q6m8;52`f89d312><0q~o:f;296~;f=?088=52a7:96db<uzk?i7>52z?b13<5im16m895779~wd072909w0o:7;174>;f>009mi5rs`6e>5<5s4k>;7<nd:?b1=<0>2wxm;?50;0x9d3?2:>;70o9a;0b`>{ti<:1<7<t=`7;>7gc34k>5799;|qb27<72;q6m87535289d0e2;ko7p}n5083>7}:i<31>lj4=`7b>20<uzk=?7>52z?b1d<4<916m;m52`f8yvg2:3:1>v3n5`81ea=:i<h1;;5rs`47>5<5s4k>n7=;0:?b2a<5im1v\7fl;<:181\7f8g2j38jh63n5b842>{ti??1<7<t=`7`>62734k=i7<nd:\7fpe26=838p1l;l:3cg?8g5?3;2m6s|a3494?4|5h836:m4=`04>7gc3ty29:4?:3y>=1c=;=:0148?:3cg?xu><?0;6?u295g96db<50>m6:84}r;6<?6=:r728k4<419>=37=:hn0q~7;7;296~;><o09mi52942933=z{0?26=4={<;64?538272:?4=ae9~w<2?2909w07:0;0b`>;>=80<:6s|94c94?4|50?:6>:?;<;57?4fl2wx59750;0x9<362;ko707:2;55?xu>=k0;6?u29409716<50<?6?ok;|q:0d<72;q658<52`f89<342><0q~7:c;296~;>=:088=5297796db<uz3?n7>52z?:16<5im1658:5779~w<3c2909w07:4;174>;>>?09mi5rs86`>5<5s43>87<nd:?:10<0>2wx58k50;0x9<322:>;70797;0b`>{t1=n1<7<t=876>7gc343>:799;|q:1c<72;q6588535289<0?2;ko7p}68b83>7}:1<<1>lj4=866>4?c3ty2;l4?:3y>=27=;=:0146<:3cg?xu>>00;6?u296396db<50=96:84}r;4f?6=:r72;?4<419>==2=:hn0q~79a;296~;>?;09mi52961933=z{0=h6=4={<;47?538272484=ae9~w<0e2909w0783;0b`>;>?=0<:6s|96f94?4|50=?6>:?;<;;2?4fl2wx5;m50;0x9<132;ko70785;55?xu>?l0;6?u29679716<502<6?ok;|q:2a<72;q65:;52`f89<112><0q~78f;296~;>??088=5299:96db<uz3=i7>52z?:33<5im165:95779~w<>72909w0787;174>;>0009mi5rs84e>5<5s43<;7<nd:?:3=<0>2wx55?50;0x9<1?2:>;7077a;0b`>{t1>:1<7<t=85;>7gc343<5799;|q:<7<72;q65:7535289<>e2;ko7p}68e83>7}:1>31>lj4=866>4?f3ty28<4?:3y>=15=?=1659<52`f8yv?3<3:1>v364284g>;><<09mi5rs8c7>5<5s432n7=;0:?:ea<5im1v\7f47<:181\7f8?>j38jh6369b842>{t1h?1<7<t=8;`>627343ji7<nd:\7fp=<2=838p147l:3cg?8?>l3==7p}6a783>7}:10n1?9>4=8ce>7gc3ty2584?:3y>=<b=:hn0147j:648yv?f?3:1>v369d8005=:1k:1>lj4}r;:2?6=:r725h4=ae9>=<`=??1v\7f4o7:181\7f8?>n39?<636b081ea=z{03<6=4={<;:b?4fl272m=486:\7fp=d?=838p14o?:263?8?e:38jh6s|98:94?4|50k;6?ok;<;b5?113ty2ml4?:3y>=d7=;=:014l<:3cg?xu>100;6?u29`396db<50k96:84}r;bf?6=:r72m?4<419>=g2=:hn0q~76a;296~;>i;09mi529`1933=z{0kh6=4={<;b7?538272n84=ae9~w<b>2909w07n3;0b`>;>1;0:5i5rs8a4>5<5s43ii7=;0:?:`5<5im1v\7f4l9:181\7f8?em38jh636bg842>{t1j21<7<t=8`e>627343o=7<nd:\7fp=g1=838p14li:3cg?8?d83==7p}6c883>7}:1j:1?9>4=8f1>7gc3ty2n54?:3y>=f6=:hn014m>:648yv?di3:1>v36c08005=:1m91>lj4}r;a=?6=:r72o<4=ae9>=f4=??1v\7f4mm:181\7f8?d:39?<636d581ea=z{0hj6=4={<;`6?4fl272o>486:\7fp=fe=838p14m<:263?8?c=38jh6s|9c`94?4|50i86?ok;<;`0?113ty2oi4?:3y>=f2=;=:014j9:3cg?xu>jj0;6?u29b696db<50i>6:84}r;`a?6=:r72o84<419>=a1=:hn0q~7md;296~;>k<09mi529b4933=z{0im6=4={<;`2?538272h54=ae9~w<bf2909w07l6;0b`>;>1;0:5l5rs8:f>5<5s432<79;;<;;b?4fl2wx54?50;0x9<?72>i0147=:3cg?xu0mm0;6lu27`;93f=:?ol1??=4=6`0>4?e34=i97?6a:?;0g<61h1648;518c89=5c283i7096f;3:g>;0jl0:5i5278g95<b<uz=i>7>52z?4e=<0k27<n>4=ae9~w2d32909w09n7;5`?81e=38jh6s|78f94?4|5>k=6:m4=6;f>7gc3ty<n;4?:3y>3d3=?j16;o952`f8yv1e03:1>v38a584g>;0j009mi5rs6`b>5<5s4=j?79l;<5ae?4fl2wx;om50;0x92d62>i01:lk:3cg?xu0k90;6?u27c293f=:?j;1>lj4}r5`6?6=:r7<mk48c:?4g7<5im1v\7f5;k:181\7f81fm3=h706:d;0b`>{t0<h1<7<t=6cg>2e<51?i6?ok;|q;1<<72;q6;lm57b9><0?=:hn0q~6:2;296~;0ik0<o6375781ea=z{1>j6=4={<5be?1d342?i7<nd:\7fp<10=838p1:o=:6a89=202;ko7p}73`83>7}:?h;1;n5285296db<uz2;j7>52z?4bc<0k273><4<229~w=6b2909w09ie;5`?8>58399?6s|7da94??|5>ln6><<;<5a7?7>i27<n84>9c9><1d=90h015;::0;a?8>4n3;2m6389g82=g=:?ko1=4m4=6;f>4?d3ty3<i4?:3y>3cb=?j164<h53318yv1bj3:14v38fe8066=:?k?1=4m4=96a>4?c342>97?6c:?;7c<61j16;4h518c892db283i7096e;3:f>{t09i1<7<t=6d`>2e<51;n6><<;|q4ad<72jq6;km5331892df283h709m7;3:f>;0j00:5l5284f95<e<51?h6<7n;<:6e?7>j2739>4>9c9>3f5=90h015=l:0;g?81el3;2o6389d82=d=z{1:i6=4={<5ef?1d342:h7==3:\7fp3`?=83kp1:hm:200?81ej3;2m638b682=f=:?k31=4l4=97`>4?e342>m7?6c:?;11<61k1649j518c892e4283h706<b;3:e>{t09k1<7<t=6db>2e<51;h6><<;|q4a=<720q6;ko5331892df283i709m9;3:`>;?<j0:5n527b095<e<5>ho6<7m;<5`5?7>j2739:4>9b9><6c=90h0q~6?9;296~;0n00<o6371c8066=z{>o<6=48{<5e=?55;27<no4>9c9><0e=90i015;n:0;g?8>2;3;2h638c282=a=:0:h1=4j4}r:2<?6=:r73<:48c:?;6<<4::1v\7f:h9:186\7f8>7?399?6374b82=d=:0:l1=4j4=6`f>4?f342>;7?6a:\7fp<41=838p15>9:6a89=4?2:887p}8f483><}:09<1??=4=97g>4?e342>n7?6b:?;1<<61k1648:518c89=2c283i709l3;3:e>;?;k0:5o527b395<e<uz2::7>52z?;40<0k273>:4<229~w2`3290>w06?5;117>;?<j0:5o527b095<d<51?<6<7m;<:0a?7>i2wx4<;50;0x9=632>i015<9:200?xu0n:0;69u28169775<51>i6<7l;<:0b?7>j2739:4>9e9~w=732909w06?3;5`?8>5=399?6s|7g094?0|51:86><<;<:6f?7>k273944>9b9><02=90i015:l:0;g?8>4k3;2n6s|80194?4|51:96:m4=907>6443ty<j<4?:5y><54=;;9015;n:0;b?8>2;3;2o6373c82=f=z{1;96=4={<:35?1d3429?7==3:\7fp3c6=839p15>>:200?8>2;3;2m6373b82=f=z{1;:6=4={<:34?1d3429>7==3:\7fp3``=839p15>?:200?8>3l3;2o6373e82=d=z{1;;6=4={<5e<?1d342:m7==3:\7fp3`c=838p1:h7:200?8>4m3;2o6s|81:94?4|5>l<6:m4=93:>6443ty<i;4?:3y>3c1=;;9015=l:0;b?xu0kk0;6?u283393f=:?mn1??=4}r5`e?6=:r73>=48c:?4`f<4::1v\7f:m6:181\7f8>6n3=h709kb;117>{t?j21<7<t=93f>2e<5>nj6><<;|q4g2<72;q64<j57b9>3a?=;;90q~9l6;296~;?9j0<o638d98066=z{>i>6=4={<:2f?1d34=o;7==3:\7fp3a2=838p15<6:6a892c22:887p}8d283>7}:0;21;n527d69775<uz=o>7>52z?;62<0k27<i>4<229~w2b62909w06=6;5`?81b:399?6s|7e294?4|518>6:m4=6g2>6443ty<ok4?:3y><72=?j16;h>53318yv1dm3:1>v372284g>;0lo08>>5rs6ag>5<5s429>79l;<5ga?55;2wx;nm50;0x9=7f2>i01:j9:200?xu0k=0;6?u280;93f=:?m?1??=4}r:1e?6=:r7<hn48c:?4<a<5im1v\7f5<m:181\7f81cj3=h7097e;0b`>{t0;i1<7<t=6fb>2e<5>2m6?ok;|q;6a<72;q6;i757b9>3<6=:hn0q~6=e;296~;0l10<o6389081ea=z{18m6=4={<5g3?1d34=2>7<nd:\7fp<66=838p1:k::6a892?42;ko7p}73083>7}:?l>1;n5278696db<uz28>7>52z?4a6<0k27<584=ae9~w=542909w09j2;5`?81>>38jh6s|82694?4|5>o:6:m4=6;4>7gc3ty3?84?:3y>3`6=?j16;4652`f8yv>4>3:1>v38dg84g>;01009mi5rs914>5<5s4=oi79l;<5:e?4fl2wx4>650;0x92b12>i01:7m:3cg?xu?;00;6?u27e793f=:?0i1>lj4}r:aa?6=ir73;l48c:?;`5<4::1645:518`89=>1283j707>c;3:e>;>:?0:5l5291g95<d<51=;6<7l;<:;b?7>l273:k4>9e9~w=>42909w0689;5`?8>?<38jh6s|89794?4|51=36:m4=9:5>7gc3ty3:h4?:3y><21=?j164;h52`f8yv>??3:1>v377784g>;?0109mi5rs9::>5<5s42<979l;<:;e?4fl2wx45l50;0x9=132>i0156m:3cg?xu?0m0;6?u289093f=:01o1>lj4}r::5?6=:r734<48c:?;=7<5im1v\7f57<:181\7f8>?83=h70663;0b`>{t1;o1<7<t=95e>2e<508n6?ok;|q:6f<72;q64:k57b9>=7e=:hn0q~7=a;296~;??m0<o6362`81ea=z{0886=4={<:4g?1d3439;7<nd:\7fp=4d=838p159m:6a89<7a2;ko7p}61683>7}:0>91;n5290:96db<uz3;n7>52z?;37<0k272=<4=ae9~w=c72909w06k0;5`?8>a:399?6s|8ed94?4|51im6:m4=9d2>6443ty3ni4?:8y><f`=;;90156;:0;b?8>?>3;2n6361b82=g=:1;<1=4l4=833>4?f342<<7?6b:?;<c<61j164;h518a8yv>cm3:1>v37cd84g>;?n908>>5rs9``>5<?s42hi7==3:?;<3<61j165<m518f89<41283h707>0;3:g>;??90:5l5289d95<d<51<m6<7m;|q;`a<72;q64nj57b9><``=;;90q~6mb;29g~;?km08>>5289`95<e<51236<7m;<:;e?7>i272>h4>9b9>=7b=90k014<m:0;a?8?5<3;2n6379582=g=:19n1=4j4=9:f>4?d342=j7?6a:\7fp<ae=838p15ml:6a89=cb2:887p}7b`83>d}:0ji1??=4=9:`>4?f342347?6c:?;<d<61k165?j518`89<4e283h707=5;3:f>;>9l0:5l5288695<e<50:h6<7n;|q;`g<72;q64nl57b9><`b=;;90q~6m9;29=~;?kk08>>5289`95<d<512j6<7k;<;2`?7>k2735>4>9b9><=c=90h0157=:0;a?8?503;2o6360g82=g=z{1nj6=4={<:`e?1d342no7==3:\7fp<g>=83=p15mn:200?8>?k3;2n6362e82=f=:1;h1=4j4=807>4?c342287?6d:?:4f<61m1v\7f5k6:181\7f8>c03=h706ia;117>{t0j=1<7;t=9f;>644343:h7?6a:?:55<61m1645h518c89<4?283j7p}7e983>7}:0m=1;n528g;9775<uz2h:7>59z?;`2<4::165?k518`89<4d283i707=a;3:f>;>:<0:5l5290g95<d<513?6<7n;<;3g?7>j2735?4>9b9~w=c02909w06k6;5`?8>a0399?6s|8b794?3|51n=6><<;<;2`?7>j2735>4>9c9>=7>=90h014>i:0;b?xu?m?0;6?u28e793f=:0o=1??=4}r:`0?6=<r73h84<229>=4e=90i014??:0;a?8?503;2h6s|8d794?4|51n?6:m4=9d5>6443ty3o>4?:7y><a2=;;9014<l:0;`?8?5i3;2o6362482=f=:18n1=4j4=82g>4?e3ty3i94?:3y><a5=?j164k;53318yv>d:3:18v37d28066=:1;h1=4o4=807>4?d343;o7?6c:\7fp<`5=838p15j=:6a89=`32:887p}7c083>6}:0m81??=4=807>4?f343;h7?6c:\7fp<`4=838p15j>:6a89=`42:887p}7c183>6}:0m;1??=4=83f>4?d343;i7?6a:\7fp<`7=838p15m6:6a89=ce2:887p}7bg83>7}:0j31??=4=82e>4?d3ty3h44?:3y><f>=?j164ho53318yv>e?3:1>v37c98066=:19n1=4o4}r::g?6=:r73j?48c:?;e`<4::1v\7f57m:181\7f8>a93=h706nd;117>{t00k1<7<t=9d3>2e<51kh6><<;|q;=<<72;q64hh57b9><dd=;;90q~668;296~;?ml0<o637a`8066=z{13<6=4={<:f`?1d342j57==3:\7fp<<0=838p15kl:6a89=g?2:887p}7a483>7}:0ok1;n528c49775<uz2j87>52z?;b<<0k273n84<229~w=g42909w06i8;5`?8>e<399?6s|8`094?4|51l<6:m4=9`0>6443ty3m<4?:3y><c0=?j164o<53318yv>f83:1>v37f484g>;?j808>>5rs9;e>5<5s42m879l;<:a4?55;2wx44k50;0x9=`42>i015oi:200?xu?1m0;6?u28d`93f=:0h=1??=4}r::1?6=:r73il48c:?;e3<4::1v\7f5hm:181\7f8>fl3=h706:e;0b`>{t0oi1<7<t=9c`>2e<51?m6?ok;|q;ba<72;q64ll57b9><36=:hn0q~6ie;296~;?ih0<o6376081ea=z{1lm6=4={<:b=?1d342=>7<nd:\7fp=56=838p15o7:6a89=042;ko7p}60083>7}:0k<1;n5287696db<uz3;>7>52z?;f0<0k273:84=ae9~w<642909w06m4;5`?8>1>38jh6s|91694?4|51h86:m4=944>7gc3ty2<84?:3y><g4=?j164;652`f8yv?7>3:1>v37b084g>;?>009mi5rs824>5<5s42i<79l;<:5e?4fl2wx5=650;0x9=ga2>i0158m:3cg?xu>800;6?u28`593f=:0?i1>lj4}r;3e?6=:r73m;48c:?;2a<5im1v\7f:>k:181\7f815n3==709?d;0b`>{t?121<7<t=60e>4cb34=:i7<nd:\7fpe2>=838p1:<i:3cg?8?4?3=h7p}80b83>6}:?;o1;;5271f933=:?9i1>lj4}r5;3?6=;r7<>h4>ed9>35b=;=:01:?k:3cg?xuf?>0;6?u273g96db<509=6:m4}r53f?6=;r7<>i486:?44f<0>27<<o4=ae9~w2>12908w09=d;3fa>;08j088=5270a96db<uzk<:7>52z?46a<5im165>;57b9~w26f2908w09=c;55?817j3==709?a;0b`>{t?1?1<7=t=60`>4cb34=;n7=;0:?45g<5im1v\7fl9::181\7f815k38jh6363584g>{t?931<7=t=60a>20<5>:j6:84=62:>7gc3ty<494?:2y>37d=9lo01:>n:263?816i38jh6s|a6694?4|5>8i6?ok;<;07?1d3ty<<54?:2y>37g=??16;=75779>35>=:hn0q~973;297~;0:h0:ih5271;9716<5>;26?ok;|qb36<72;q6;?o52`f89<552>i0q~9>6;297~;0:00<:63809842>;09?09mi5rs6:1>5<4s4=957?je:?44=<4<916;<652`f8yvg0:3:1>v382881ea=:1:;1;n5rs636>5<4s4=84799;<522?1134=:97<nd:\7fp3=7=839p1:=7:0gf?816>39?<6382681ea=z{h2:6=4={<50<?4fl272?k48c:\7fp342=839p1:=8:64892722><01:?;:3cg?xu0090;6>u272595`c<5>;>6>:?;<512?4fl2wxm5>50;0x92502;ko707<e;5`?xu09:0;6>u2724933=:?8>1;;5270196db<uz=<j7>53z?473<6ml16;<:5352892422;ko7p}n7g83>7}:?:<1>lj4=81g>2e<uz=:>7>53z?470<0>27<=>486:?457<5im1v\7f:9j:180\7f814=3;ni638128005=:?;>1>lj4}rc4a?6=:r7<?84=ae9>=6e=?j1v\7f:?>:180\7f814<3==709>2;55?816938jh6s|76f94?5|5>9?6<kj;<526?53827<>>4=ae9~wd1c2909w09<4;0b`>;>;k0<o6s|70294?5|5>986:84=632>20<5>;;6?ok;|q43f<72:q6;>=51dg892762:>;709=2;0b`>{ti>i1<7<t=610>7gc3438m79l;|q44c<72:q6;><5779>346=??16;=h52`f8yv10j3:1?v383382a`=:?8:1?9>4=602>7gc3tyj;o4?:3y>364=:hn014=6:6a8yv17m3:1?v3830842>;08o0<:6380d81ea=z{>=j6=4<{<505?7bm27<<k4<419>376=:hn0q~o8a;296~;0;809mi5292:93f=z{>:<6=4<{<504?1134=;i799;<533?4fl2wx;:750;1x925728on709?e;174>;09o09mi5rs`5:>5<5s4=8<7<nd:?:75<0k2wx;:650;1x924?28on709?7;174>;09>09mi5rs`52>5<5s4=947<nd:?:6c<0k2wx;>h50;0x92062><01:=i:3cg?xu0?>0;6?u277395`c<5>?;6?ok;|qb<<<72;q6;;?52`f89dd52>i0q~9<e;297~;0>90<:6383g842>;0;l09mi5rs655>5<4s4==<7?je:?47c<4<916;9h52`f8yvg?03:1>v386181ea=:ik;1;n5rs61g>5<4s4=>j799;<50a?1134=8h7<nd:\7fp323=839p1:;i:0gf?814m39?<6384d81ea=z{h2<6=4={<56b?4fl27jn=48c:\7fp36e=839p1:;j:648925c2><01:=l:3cg?xu0?=0;6>u274g95`c<5>9o6>:?;<57`?4fl2wxm5850;0x923b2;ko70onf;5`?xu0;k0;6>u274f933=:?:i1;;5272`96db<uz=<?7>53z?41a<6ml16;>m53528922d2;ko7p}n8483>7}:?<n1>lj4=`cf>2e<uz=8m7>53z?41f<0>27<?o486:?47d<5im1v\7f:9=:180\7f812k3;ni6383c8005=:?=h1>lj4}rc;0?6=:r7<9n4=ae9>edb=?j1v\7f::7:180\7f812j3==709<a;55?813038jh6s|76394?5|5>?i6<kj;<50e?53827<8l4=ae9~wd>42909w09:b;0b`>;fij0<o6s|75594?5|5><j6:84=66;>20<5>><6?ok;|q435<72:q6;;o51dg8922?2:>;709:9;0b`>{ti081<7<t=64b>7gc34kim79l;|q403<72:q6;;75779>311=??16;9852`f8yv11n3:1?v386882a`=:?==1?9>4=67;>7gc3tyj5<4?:3y>33?=:hn01ll6:6a8yv13=3:1?v3869842>;0<?0<:6384481ea=z{><n6=4<{<55<?7bm27<8;4<419>301=:hn0q~o60;296~;0>109mi52ac:93f=z{>>?6=4<{<553?1134=?9799;<570?4fl2wx;;j50;1x920028on709;5;174>;0=?09mi5rs`:e>5<5s4==;7<nd:?bf2<0k2wx;9=50;1x92012><01::;:64892242;ko7p}86b83>6}:??<1=hk4=667>62734=>97<nd:\7fpe=c=838p1:89:3cg?8ge>3=h7p}84383>6}:???1;;52751933=:?=81>lj4}r55f?6=;r7<:84>ed9>315=;=:01:;;:3cg?xuf0m0;6?u277796db<5hh>6:m4}r575?6=;r7<:9486:?407<0>27<8<4=ae9~w2>d2908w0994;3fa>;0<;088=5274196db<uzk3o7>52z?421<5im16mo:57b9~w2272908w0993;55?81393==709;0;0b`>{t?1h1<7=t=640>4cb34=?=7=;0:?417<5im1v\7fl6m:181\7f811;38jh63nb284g>{t?:31<7=t=641>20<5>>;6:84=61:>7gc3ty<4l4?:2y>334=9lo01::?:263?812938jh6s|a9c94?4|5><96?ok;<cbf?1d3ty<444?:2y>30g=9lo01:=6:263?813138jh6s|a9094?4|5>?j6?ok;<cbe?1d3ty:?h>50;0x9441=3;2m63>3d296db<uz;9i>4?:3y>5702283i70?=e281ea=z{8k9i7>580y>57022:>;70?<238005=:9:<96>:?;<37=c<4<916=?6::263?874>:088=52124f>62734;?nk4<419>57>12:>;70?<788005=:9:2?6>:?;<37g5<4<916=?7>:263?8740o088=5212;b>62734;?oo4<419>57?d2:>;70?<9d8005=:9:3m6>:?;<37`3<4<916=?7j:263?874i9088=521247>62734;?h54<419>57?a2:>;70?<648005=:9:<=6>:?;<37`<<4<916=?o?:263?874>>088=52124;>62734;?hl4<419>57g62:>;70?<688005=:9:<j6>:?;<37`g<4<916=?o=:263?874>k088=52124`>62734;?hn4<419>57g42:>;70?<6e8005=:9:<m6>:?;<37`a<4<916=?68:263?874?9088=521252>62734;?o<4<419>57>?2:>;70?<738005=:9:=86>:?;<37g7<4<916=?66:263?874?=088=521256>62734;?o>4<419>57>f2:>;70?<778005=:9:=<6>:?;<37g1<4<916=?6m:263?874?1088=52125b>62734;?o84<419>57>d2:>;70?<7c8005=:9:=h6>:?;<37g3<4<916=?6k:263?874?m088=52125f>62734;?o:4<419>57>b2:>;70?<7g8005=:9:2;6>:?;<37g=<4<916=?6i:263?87408088=5212:1>62734;?o44<419>57?72:>;70?<828005=:9:2>6>:?;<37gd<4<916=?7=:263?8740?088=5212:4>62734;?on4<419>57?42:>;70?<898005=:9:226>:?;<37ga<4<916=?7;:263?8740h088=5212:a>62734;?oh4<419>57?22:>;70?<8b8005=:9:2o6>:?;<37gc<4<916=?79:263?8740l088=5212;3>62734;?h=4<419>57?02:>;70?<908005=:9:396>:?;<37`4<4<916=?77:263?8741:088=5212;7>62734;?h?4<419>57?>2:>;70?<948005=:9:3=6>:?;<37`6<4<916=?7n:263?8741>088=5212;;>62734;?h94<419>57?e2:>;70?<988005=:9:3i6>:?;<37`0<4<916=?7k:263?8741j088=5212;g>62734;?h:4<419>5d312:887p}>27794?4|588=97<nd:?2670=90h0q~?:f983>7}:9:896<7n;<36b=<5im1v\7f<=j1;296~;6;;81=4l4=0674?4fl2wx=><=:181\7f874:;09mi521305>4?f3ty:m?h50;62\7f875:?088=5213c7>62734;98;4<419>57e32:>;70?=468005=:9;i>6>:?;<3117<4<916=?j?:263?875=m088=5213fa>62734;99k4<419>57bc2:>;70?=618005=:9;nn6>:?;<3124<4<916=?ji:263?875>;088=5213g3>62734;9:>4<419>57c62:>;70?=658005=:9;o96>:?;<310=<4<916=?m9:263?875<0088=5213a4>62734;98l4<419>57e?2:>;70?=4c8005=:9;i26>:?;<310f<4<916=?mn:263?875<m088=5213aa>62734;98h4<419>57ed2:>;70?=4g8005=:9;io6>:?;<3115<4<916=?mj:263?875=8088=5213ae>62734;99>4<419>57b62:>;70?=558005=:9;n96>:?;<3110<4<916=?j<:263?875=?088=5213f7>62734;99:4<419>57b22:>;70?=598005=:9;n=6>:?;<311<<4<916=?j8:263?875=h088=5213f;>62734;99o4<419>57b>2:>;70?=5b8005=:9;nj6>:?;<311`<4<916=?jl:263?87f=>08>>5rs0012?6=:r7:>?852`f894g493;2n6s|14d:>5<5s4;8:?4>9`9>533?2;ko7p}>3da94?4|589=>7?6b:?2017=:hn0q~?<2283>7}:9:<96?ok;<31e1<61k1v\7fikm:181\7f8731o0:5l52dd`96db<uz;=<94?:3y>51?a283i70?95881ea=z{8>2j7>52z?20<`=:hn01<<n4;3:e>{t9;k?6=4={<31e1<5im16=l=>:0;`?xu6;o=1<7<t=00;1?7>i27:89m52`f8yv75m=0;6?u213:6>4?e34;8<>4=ae9~w441>3:1>v3>29796db<588?:7?6b:\7fp536a2909w0?<6282=d=:9?<?6?ok;|q2054=838p1<=93;3:f>;6<<=1>lj4}r306`<72;q6=>8<:3cg?875<?0:5l5rs0013?6=:r7:>9852`f894g4;3;2n6s|173b>5<5s4;8:h4>9`9>530a2;ko7p}>41f94?4|589=i7?6b:?2034=:hn0q~?<3883>7}:9:<n6?ok;<31g1<61k1v\7fikl:181\7f873jo0:5l52e1`96db<uz;=>84?:3y>51da283i70?97`81ea=z{8>j<7>52z?20g`=:hn01<<l4;3:e>{t9;k>6=4={<31g1<5im16=l=<:0;`?xu6<821<7<t=00;2?7>i27:8;j52`f8yv75mo0;6?u213:5>4?e34;8<94=ae9~w44093:1>v3>29496db<588?;7?6b:\7fp53572909w0?<7882=d=:9?2>6?ok;|q2075=838p1<=89;3:f>;6<>21>lj4}r3001<72;q6=>96:3cg?875<>0:5l5rs0006?6=:r7:>9952`f894g4m3;2n6s|171a>5<5s4;8494>9`9>53?72;ko7p}>43g94?4|589387?6b:?20=5=:hn0q~?<4g83>7}:9:2?6?ok;<31g0<61k1v\7fih8:181\7f873k90:5l52e1a96db<uz;=8;4?:3y>51e7283i70?99c81ea=z{8>jn7>52z?20f6=:hn01<<l5;3:e>{t9;h;6=4={<31g0<5im16=l=j:0;`?xu6<:31<7<t=00:5?7>i27:85k52`f8yv75nh0;6?u213;2>4?e34;8<k4=ae9~w440k3:1>v3>28396db<588>>7?6b:\7fp53362909w0?<8g82=d=:9?k=6?ok;|q27`4=838p1<=7f;3:f>;6<031>lj4}r301d<72;q6=>6i:3cg?875=;0:5l5rs000`?6=:r7:>8<52`f894g313;2n6s|14db>5<5s4;85l4>9`9>53d62;ko7p}>3d194?4|5892m7?6b:?2014=:hn0q~?<5g83>7}:9:3j6?ok;<31`5<61k1v\7fh>=:181\7f873kk0:5l52e0596db<uz;>jo4?:3y>51ee283i70?95`81ea=z{8>i:7>52z?20fd=:hn01<<k0;3:e>{t9;hi6=4={<31`5<5im16=l:6:0;`?xu6;l>1<7<t=00:g?7>i27:89=52`f8yv75nm0;6?u213;`>4?e34;8=l4=ae9~w440n3:1>v3>28a96db<588>h7?6b:\7fp50`d2909w0?<9d82=d=:9??i6?ok;|q27`3=838p1<=6e;3:f>;6<=>1>lj4}r3025<72;q6=>7j:3cg?875=m0:5l5rs0074?6=:r7:>8j52`f894g3j3;2n6s|14dg>5<5s4;85k4>9`9>533d2;ko7p}>3d494?4|5892j7?6b:?2013=:hn0q~?<6083>7}:9:3m6?ok;<31`g<61k1v\7fh>::181\7f873l?0:5l52e3096db<uz;>jh4?:3y>51b1283i70?95e81ea=z{8>i57>52z?20a0=:hn01<<kb;3:e>{t9;hn6=4={<31`g<5im16=l:m:0;`?xu6;l=1<7<t=00:a?7>i27:89852`f8yv75nl0;6?u213;f>4?e34;8=n4=ae9~w44?83:1>v3>28g96db<588>j7?6b:\7fp50`a2909w0?<a182=d=:9??n6?ok;|q27`>=838p1<=n0;3:f>;6<==1>lj4}r3061<72;q6=>o?:3cg?875=o0:5l5rs0075?6=:r7:>8h52`f894g3k3;2n6s|1723>5<5s4;8:94>9`9>533a2;ko7p}>3d;94?4|589=87?6b:?201>=:hn0q~?<2483>7}:9:<?6?ok;<31`a<61k1v\7fh>9:181\7f873l10:5l52e3696db<uz;=<<4?:3y>51b?283i70?96181ea=z{8>im7>52z?20a>=:hn01<<kd;3:e>{t9;hm6=4={<31`a<5im16=l:l:0;`?xu6;lk1<7<t=00:b?7>i27:89752`f8yv75no0;6?u213;e>4?e34;8=i4=ae9~w44?93:1>v3>28d96db<588=<7?6b:\7fp53652909w0?<6482=d=:9?<:6?ok;|q27`d=838p1<=95;3:f>;6<=k1>lj4}r3063<72;q6=>8::3cg?875>90:5l5rs0076?6=:r7:>;>52`f894g3l3;2n6s|1720>5<5s4;8:;4>9`9>53052;ko7p}>3df94?4|589=:7?6b:?201d=:hn0q~?<2683>7}:9:<=6?ok;<31``<61k1v\7fh>8:181\7f873l00:5l52e3796db<uz;=<84?:3y>51b>283i70?96281ea=z{8>in7>52z?20a?=:hn01<<ke;3:e>{t9;i;6=4={<31``<5im16=l:k:0;`?xu6;lo1<7<t=00b4?7>i27:89j52`f8yv74890;6?u213c3>4?e34;8=h4=ae9~w44?:3:1>v3>2`296db<588==7?6b:\7fp53612909w0?<6682=d=:9?<>6?ok;|q27``=838p1<=97;3:f>;6<=o1>lj4}r306=<72;q6=>88:3cg?875>80:5l5rs0077?6=:r7:>;?52`f894g3m3;2n6s|1724>5<5s4;8:54>9`9>53012;ko7p}>3g294?4|589=47?6b:?201`=:hn0q~?<2883>7}:9:<36?ok;<31`c<61k1v\7fh>7:181\7f873lh0:5l52e3496db<uz;=<54?:3y>51bf283i70?96681ea=z{8>io7>52z?20ag=:hn01<<kf;3:e>{t9;i:6=4={<31`c<5im16=l:j:0;`?xu6;o;1<7<t=00b5?7>i27:88>52`f8yv74880;6?u213c2>4?e34;8=k4=ae9~w44?;3:1>v3>2`396db<588=>7?6b:\7fp536>2909w0?<6882=d=:9?<36?ok;|q27c4=838p1<=99;3:f>;6<<;1>lj4}r306d<72;q6=>86:3cg?875>;0:5l5rs0070?6=:r7:>;<52`f894g3n3;2n6s|172b>5<5s4;8:l4>9`9>530>2;ko7p}>3g194?4|589=m7?6b:?2004=:hn0q~?<2c83>7}:9:<j6?ok;<31a5<61k1v\7fh>6:181\7f873lk0:5l52e3596db<uz;=<o4?:3y>51be283i70?96`81ea=z{8>ih7>52z?20ad=:hn01<<j0;3:e>{t9;i96=4={<31a5<5im16=l:i:0;`?xu6;o>1<7<t=00b6?7>i27:88=52`f8yv748;0;6?u213c1>4?e34;8>=4=ae9~w44?<3:1>v3>2`096db<588=?7?6b:\7fp536d2909w0?<6c82=d=:9?<i6?ok;|q27c3=838p1<=9b;3:f>;6<<>1>lj4}r306f<72;q6=>8m:3cg?875>:0:5l5rs0071?6=:r7:>;=52`f894g283;2n6s|172g>5<5s4;8:n4>9`9>530d2;ko7p}>3g494?4|589=o7?6b:?2003=:hn0q~?<2e83>7}:9:<h6?ok;<31a4<61k1v\7fh>n:181\7f873lj0:5l52e3:96db<uz;=<h4?:3y>51bd283i70?96e81ea=z{8>ii7>52z?20ae=:hn01<<j1;3:e>{t9;i86=4={<31a4<5im16=l;?:0;`?xu6;o21<7<t=00b7?7>i27:88852`f8yv75m<0;6?u213c0>4?e34;8><4=ae9~w441?3:1>v3>2`196db<588=87?6b:\7fp53772909w0?<6e82=d=:9?<n6?ok;|q27c?=838p1<=9d;3:f>;6<<21>lj4}r306c<72;q6=>8k:3cg?875>=0:5l5rs001<?6=:r7:>;:52`f894g4:3;2n6s|1732>5<5s4;8:k4>9`9>53172;ko7p}>3gc94?4|589=j7?6b:?200?=:hn0q~?<3183>7}:9:<m6?ok;<31a7<61k1v\7fikk:181\7f873lm0:5l52e3;96db<uz;==?4?:3y>51bc283i70?97081ea=z{8>j=7>52z?20ab=:hn01<<j2;3:e>{t9;k=6=4={<31a7<5im16=l==:0;`?xu6;oh1<7<t=00;3?7>i27:88o52`f8yv75m?0;6?u213:4>4?e34;8<84=ae9~w44103:1>v3>29596db<588?47?6b:\7fp53742909w0?<7182=d=:9?=96?ok;|q27ce=838p1<=80;3:f>;6<<h1>lj4}r3074<72;q6=>9?:3cg?875<10:5l5rs001=?6=:r7:>9652`f894g4<3;2n6s|1737>5<5s4;8;<4>9`9>53142;ko7p}>3gf94?4|589<=7?6b:?200e=:hn0q~?<3383>7}:9:=:6?ok;<31g3<61k1v\7fikj:181\7f873k80:5l52e1f96db<uz;==84?:3y>51e6283i70?97581ea=z{8>j>7>52z?20f7=:hn01<<l6;3:e>{t9;k<6=4={<31g3<5im16=l=;:0;`?xu6;oo1<7<t=00;<?7>i27:88j52`f8yv75m>0;6?u213:;>4?e34;8<;4=ae9~w44113:1>v3>29:96db<588?57?6b:\7fp53712909w0?<7382=d=:9?=>6?ok;|q27c`=838p1<=82;3:f>;6<<o1>lj4}r3076<72;q6=>9=:3cg?875<00:5l5rs001e?6=:r7:>9752`f894g4=3;2n6s|1734>5<5s4;8;>4>9`9>53112;ko7p}>41294?4|589<?7?6b:?200`=:hn0q~?<3583>7}:9:=86?ok;<31g2<61k1v\7fiki:181\7f873k;0:5l52e1g96db<uz;==54?:3y>51e5283i70?97681ea=z{8>j?7>52z?20f4=:hn01<<l7;3:e>{t9;k36=4={<31g2<5im16=l=::0;`?xu6<9;1<7<t=00;=?7>i27:8;>52`f8yv75m10;6?u213::>4?e34;8<:4=ae9~w441i3:1>v3>29;96db<588?m7?6b:\7fp537>2909w0?<7582=d=:9?=36?ok;|q2055=838p1<=84;3:f>;6<?;1>lj4}r3070<72;q6=>9;:3cg?875<h0:5l5rs001f?6=:r7:>9o52`f894g4>3;2n6s|173a>5<5s4;8;84>9`9>531>2;ko7p}>41694?4|589<97?6b:?2035=:hn0q~?<3783>7}:9:=>6?ok;<31g=<61k1v\7fih?:181\7f873k:0:5l52e1d96db<uz;==n4?:3y>51e4283i70?97c81ea=z{8>j87>52z?20f5=:hn01<<l8;3:e>{t9;k26=4={<31g=<5im16=l=9:0;`?xu6<9?1<7<t=00;e?7>i27:8;:52`f8yv75m00;6?u213:b>4?e34;8<54=ae9~w441j3:1>v3>29c96db<588?n7?6b:\7fp537c2909w0?<7782=d=:9?=h6?ok;|q2050=838p1<=86;3:f>;6<??1>lj4}r3072<72;q6=>99:3cg?875<k0:5l5rs001g?6=:r7:>9l52`f894g4?3;2n6s|173f>5<5s4;8;:4>9`9>531c2;ko7p}>41594?4|589<;7?6b:?2030=:hn0q~?<3983>7}:9:=<6?ok;<31g<<61k1v\7fih>:181\7f873k=0:5l52e0296db<uz;==k4?:3y>51e3283i70?97d81ea=z{8>j97>52z?20f2=:hn01<<l9;3:e>{t9;kj6=4={<31g<<5im16=l=8:0;`?xu6<921<7<t=00;f?7>i27:8;952`f8yv75mh0;6?u213:a>4?e34;8<44=ae9~w441k3:1>v3>29`96db<588?o7?6b:\7fp53472909w0?<7982=d=:9?=m6?ok;|q205?=838p1<=88;3:f>;6<?21>lj4}r307d<72;q6=>97:3cg?875<j0:5l5rs001`?6=:r7:>9m52`f894g403;2n6s|1702>5<5s4;8;l4>9`9>53>72;ko7p}>41c94?4|589<m7?6b:?203?=:hn0q~?<3c83>7}:9:=j6?ok;<31gd<61k1v\7fih=:181\7f873k<0:5l52e0396db<uz;=>?4?:3y>51e2283i70?98081ea=z{8>j:7>52z?20f3=:hn01<<la;3:e>{t9;ki6=4={<31gd<5im16=l=7:0;`?xu6<9h1<7<t=00;g?7>i27:8;o52`f8yv75mk0;6?u213:`>4?e34;8<l4=ae9~w441l3:1>v3>29a96db<588?h7?6b:\7fp53442909w0?<7c82=d=:9?296?ok;|q205e=838p1<=8b;3:f>;6<?h1>lj4}r307f<72;q6=>9m:3cg?875<m0:5l5rs001a?6=:r7:>9j52`f894g413;2n6s|1707>5<5s4;8;n4>9`9>53>42;ko7p}>41g94?4|589<o7?6b:?203e=:hn0q~?<3e83>7}:9:=h6?ok;<31gg<61k1v\7fih<:181\7f873k?0:5l52e0096db<uz;=>;4?:3y>51e1283i70?98581ea=z{8>j;7>52z?20f0=:hn01<<lb;3:e>{t9;kh6=4={<31gg<5im16=l=6:0;`?xu6<9l1<7<t=00;`?7>i27:8;k52`f8yv75mj0;6?u213:g>4?e34;8<o4=ae9~w441m3:1>v3>29f96db<588?i7?6b:\7fp53402909w0?<7e82=d=:9?2=6?ok;|q2046=838p1<=8d;3:f>;6<?l1>lj4}r307`<72;q6=>9k:3cg?875<l0:5l5rs001b?6=:r7:>9k52`f894g4i3;2n6s|170;>5<5s4;8;h4>9`9>53>02;ko7p}>40394?4|589<i7?6b:?2026=:hn0q~?<3g83>7}:9:=n6?ok;<31gf<61k1v\7fih;:181\7f873k>0:5l52e0196db<uz;=>44?:3y>51e0283i70?98981ea=z{8>j47>52z?20f1=:hn01<<lc;3:e>{t9;ko6=4={<31gf<5im16=l=n:0;`?xu6<881<7<t=00;a?7>i27:8:?52`f8yv75mm0;6?u213:f>4?e34;8<n4=ae9~w441n3:1>v3>29g96db<588?j7?6b:\7fp534f2909w0?<7g82=d=:9?226?ok;|q2045=838p1<=8f;3:f>;6<>81>lj4}r3005<72;q6=>9i:3cg?875<o0:5l5rs0004?6=:r7:>9h52`f894g4j3;2n6s|170a>5<5s4;84=4>9`9>53>f2;ko7p}>40694?4|5893<7?6b:?2025=:hn0q~?<4083>7}:9:2;6?ok;<31ga<61k1v\7fih::181\7f873k10:5l52e0696db<uz;=>n4?:3y>51e?283i70?98c81ea=z{8>j57>52z?20f>=:hn01<<ld;3:e>{t9;kn6=4={<31ga<5im16=l=m:0;`?xu61921<77t=01`f?7>i27:?nl518`8943cl3;2m63>5ef95<d<58?oi7?6a:?21ac=90h01i=k:0;b?8b4l3;2n63=18:9563<uz;j994?:022\7f874kk088=5214fg>62734;>hh4<419>50ba2:>;70??1b8005=:9<o;6>:?;<335a<4<916==?j:263?8779o088=52104e>62734;8on4<419>50c62:>;70?:e28005=:9<o?6>:?;<3365<4<916=8k::263?877:;088=521100>62734;;>94<419>54172:>;70?<cd8005=:9<o=6>:?;<36a2<4<916=8k7:263?877:<088=5214g:>62734;;>;4<419>55402:>;70??298005=:98=96>:?;<30`5<4<916=8ki:263?872n9088=5214d2>62734;;>h4<419>50`52:>;70??2g8005=:999;6>:?;<3374<4<916=<9;:263?874l8088=5214d0>62734;>j94<419>50`22:>;70??338005=:9<l=6>:?;<3376<4<916===;:263?877;<088=521056>62734;8m<4<419>51`>2:>;70?:788005=:9<=j6>:?;<36e5<4<916=<:::263?872ik088=5212a2>62734;>n;4<419>50e62:>;70?:cb8005=:99:;6>:?;<3220<4<916==>m:263?872l>088=5212a1>62734;>i?4<419>50cc2:>;70??178005=:998:6>:?;<363g<4<916==<l:263?876>?088=5214:5>62734;8oi4<419>50?62:>;70?:988005=:9<3j6>:?;<3234<4<916=87m:263?874l1088=5214;`>62734;>5i4<419>50?b2:>;70?>7b8005=:9<3m6>:?;<30`d<4<916=8o>:263?872i;088=5214c0>62734;:;h4<419>56be2:>;70?:a58005=:9<k>6>:?;<36e3<4<916=8o8:263?876?o088=5214c;>62734;8hn4<419>50g>2:>;70?:a`8005=:9<kh6>:?;<32<5<4<916=8ok:263?874lm088=5214cf>62734;>mk4<419>50d72:>;70?>808005=:9<h:6>:?;<30``<4<916=8l=:263?872j:088=5214`7>62734;:4?4<419>50d22:>;70?<dg8005=:9<h<6>:?;<36f=<4<916=8l6:263?8`a?39?<63if98005=:98286>:?;<30g6<4<916=8ln:263?872jk088=52fg;9716<58?io7=;0:?21gb=;=:01khn:263?8`aj39?<63>1759716<5olh6>:?;<36f`<4<916=>m;:263?872jo088=52fgf9716<58?h<7=;0:?21f4=;=:01khj:263?8`an39?<63>17:9716<58:;=7=;0:?27f3=;=:01<;l3;174>;6=j>1?9>4=07`1?53827:<=<535289467;39?<63>5b49716<58:;87=;0:?253?=;=:01<>?5;174>;6=j=1?9>4=01`2?53827:9n653528943d139?<63>0149716<58?hm7=;0:?2451=;=:01<>?8;174>;69?k1?9>4=07`f?53827:<=753528945d?39?<63>5bf9716<58?hi7=;0:?245g=;=:01<;lf;174>;689i1?9>4=023`?53827:=;l53528943c839?<63>01g9716<589h47=;0:?21a7=;=:01<;k2;174>;689l1?9>4=07g7?53827:<<>535289466939?<63>0009716<58;=o7=;0:?21a2=;=:01<=l9;174>;6=m?1?9>4=0227?53827:9i853528943c039?<63>0069716<58::97=;0:?253b=;=:01<>>7;174>;6;jk1?9>4=07g=?53827:9io53528943cj39?<63>00:9716<58::57=;0:?21ae=;=:01<>>a;174>;69?o1?9>4=022f?53827:9ho53528945dn39?<63>5d`9716<58?no7=;0:?247?=;=:01<>=a;174>;6=lo1?9>4=021f?53827:=:=535289465l39?<63>5g59716<589o>7=;0:?212e=;=:01<;8d;174>;68:<1?9>4=074a?53827:=:8535289430n39?<63>3e19716<58?3<7=;0:?21=7=;=:01<;72;174>;69>=1?9>4=07;7?53827:?i:53528943?<39?<63>5979716<58?3;7=;0:?252>=;=:01<=k5;174>;6=121?9>4=07;=?53827:95o53528943?j39?<63>16;9716<589o:7=;0:?21=e=;=:01<;7d;174>;6=1o1?9>4=07;b?53827:=:o53528945c?39?<63>5829716<58?2>7=;0:?21<5=;=:01<;64;174>;69>h1?9>4=07:1?53827:?i753528943>>39?<63>5859716<58?247=;0:?252b=;=:01<o:4;117>{t9:kh6=4={<30gg<5im16=>>k:0;a?xu6=<l1<7<t=07g`?4fl27:?=j518c8yv7f:m0;6<>>{<304a<4<916=99;:263?873?<088=5217:`>62734;?;;4<419>53>c2:>;70?98d8005=:m8?1?9>4=013a?53827:8:9535289420139?<63>69d9716<58><m7=;0:?22<7=;=:01<862;174>;b9?088=521233>62734;?;o4<419>511d2:>;70?9928005=:9==o6>:?;<35=1<4<916=;7::263?8c6039?<63>3009716<58>3=7=;0:?20=4=;=:01<869;174>;6<1>1?9>4=04:e?53827::4m535289`7f2:>;70?<128005=:9=2>6>:?;<37<3<4<916=;7k:263?8730>088=5217;f>62734;=5k4<419>a4d=;=:01<o:5;117>;6:l91?9>4=01f4?53827:89>53528943a039?<63>4539716<58<>47=;0:?220?=;=:01ikm:263?8748:088=52156`>62734;?9:4<419>53032:>;70?;638005=:9?<m6>:?;<353d<4<916i=l535289457<39?<63>47f9716<58><47=;0:?22=3=;=:01<:73;174>;6>0:1?9>4=04:f?53827n<n4<419>566a2:>;70?;8d8005=:9=326>:?;<35e3<4<916=9:=:263?871j8088=52177b>62734o:;7=;0:?274g=;=:01<:;3;174>;6<=>1?9>4=046f?53827:89;535289402k39?<63>64f9716<5l896>:?;<305f<4<916=9:9:263?873<>088=52177f>62734;?854<419>533a2:>;70?9618005=:m;>1?9>4=012`?53827:897535289423i39?<63>6739716<58>?n7=;0:?2234=;=:01<893;174>;b:<088=52123f>62734;?8i4<419>512b2:>;70?9648005=:9=>m6>:?;<3523<4<916=;88:263?8c5>39?<63>30d9716<58>><7=;0:?2007=;=:01<898;174>;6<<81?9>4=045=?53827::;o535289`402:>;70?<218005=:9=?86>:?;<3711<4<916=;8m:263?873=<088=52174`>62734;=:i4<419>a7>=;=:01<==1;174>;6<<<1?9>4=066<?53827::;k535289422139?<63>6629716<58<<=7=;0:?f6<<4<916=>>::263?873=h088=52157a>62734;=;?4<419>513d2:>;70?9728005=:9?=?6>:?;<g3`?53827:?=8535289422l39?<63>44g9716<58<<97=;0:?200`=;=:01<886;174>;6>>=1?9>4=d2f>62734;8<:4<419>51072:>;70?;608005=:9?=36>:?;<3726<4<916=;96:263?871?k088=52e1d9716<589;47=;0:?2032=;=:01<:95;174>;6>>i1?9>4=0652?53827:::j535289400m39?<63j118005=:9::26>:?;<3722<4<916=987:263?871?o088=52154:>62734;=4=4<419>53>62:>;70k>1;174>;6;9k1?9>4=065e?53827:8;l53528940?:39?<63>47a9716<58<3?7=;0:?22=2=;=:01h?=:263?8748k088=52154f>62734;?:k4<419>53>12:>;70?;718005=:9?2<6>:?;<35<=<4<916i<=535289457k39?<63>4639716<58><>7=;0:?22=?=;=:01<:83;174>;6>1k1?9>4=04;f?53827n=94<419>56762:>;70?;7d8005=:9==m6>:?;<35=3<4<916=96?:263?8711>088=5217;;>62734o:57=;0:?2742=;=:01<:78;174>;6<131?9>4=04b4?53827:85o53528940f939?<63>6`09716<5l;h6>:?;<3050<4<916=96m:263?8730j088=5217c0>62734;?4i4<419>53g32:>;70?9a48005=:m8n1?9>4=0122?53827:85h53528942>839?<63>6`59716<58>2=7=;0:?22d>=;=:01<8n9;174>;b9l088=521234>62734;?5?4<419>51?42:>;70?9a`8005=:9=3?6>:?;<35eg<4<916=;ol:263?8c6n39?<63>30:9716<58>297=;0:?20<0=;=:01<8nd;174>;6<0=1?9>4=04ba?53827::lh535289`472:>;70?<188005=:9=336>:?;<37=d<4<916=;l?:263?8731k088=5217`1>62734;=n>4<419>a77=;=:01<=>b;174>;6<0i1?9>4=06:`?53827::o:53528942>m39?<63>6c79716<58<i:7=;0:?f66<4<91v\7f<<je;296~;6;9n1>lj4=00;b?7>j2wx=88?:181\7f872ll09mi521557>4?e3ty:m?m50;335~;c;m088=52d2g9716<5m9m6>:?;<f74?53827o8<4<419>`14=;=:01i:<:263?8b3=39?<63k478005=:l==1?9>4=e6;>62734n?57=;0:?g0d<4<916h9l535289a2d2:>;70j;d;174>;c<l088=52d429716<5m?<6>:?;<f6<?53827o944<419>`0d=;=:01i;l:263?8b2l39?<63k5d8005=:l<l1?9>4=e43>62734n==7=;0:?g27<4<916h;=5352894g2;399?63l6b8005=:l;i1?9>4=g1;>62734n2?7=;0:?g6a<4<916jo6535289a?b2:>;70hm9;174>;ci0088=52fed9716<5mh?6>:?;<fab?53827mil4<419>`a3=;=:01imn:263?8`a=39?<63ke18005=:l;o1?9>4=e1:>62734n?87=;0:?g0c<4<916h8o535289a022:>;70j80;174>;ajh088=52d6`9716<5m2=6>:?;<d`1?53827o5<4<419>`<2=;=:01i7::263?8`c839?<63k978005=:nm21?9>4=gf:>62734n2;7=;0:?g==<4<916jio535289a?>2:>;70j6a;174>;alk088=52d8`9716<5onh6>:?;<f:g?53827mhi4<419>`<b=;=:01i7i:263?8`cm39?<63ka18005=:lh;1?9>4=gg3>62734nj>7=;0:?ge6<4<916jh?535289cc52:>;70jn4;174>;ci<088=52fd19716<5mk<6>:?;<fb2?53827mi94<419>`d>=;=:01kk::263?8bfi39?<63ie78005=:lhh1?9>4=ec`>62734njh7=;0:?ea2<4<916hlk535289cc?2:>;70hj9;174>;cio088=52dc29716<5ooi6>:?;<fa5?53827on?4<419>b`e=;=:01il<:263?8be=39?<63iee8005=:nlo1?9>4=e`5>62734ni;7=;0:?eac<4<916ho6535289ad>2:>;70jma;174>;an9088=52dc`9716<5mhh6>:?;<de5?53827mj?4<419>`gb=;=:01kh<:263?8bem39?<63kc18005=:no>1?9>4=ea2>62734nh>7=;0:?eb3<4<916hn=535289ae32:>;70jl5;174>;ck?088=52db:9716<5mi<6>:?;<f`=?53827ooo4<419>`fe=;=:01imk:263?8bdm39?<63kcg8005=:lm:1?9>4=ef2>62734no>7=;0:?g`6<4<916hi8535289ab32:>;70jk7;174>;cl1088=52de;9716<5mnj6>:?;<fgf?53827ohn4<419>`ab=;=:01ijj:263?8bcn39?<63ke08005=:ll81?9>4=eg0>62734nn87=;0:?ga0<4<916hh8535289ac02:>;70jj8;174>;cm0088=52ddc9716<5m8m6>:?;<f04?53827o?<4<419>`64=;=:01i=<:263?8b4<39?<63k348005=:l:<1?9>4=e14>62734n8m7=;0:?g7=<4<916h>l535289a5d2:>;70j:1;174>;c=;088=52d419716<5m??6>:?;<f61?53827o9;4<419>`32=;=:01i89:263?8b1?39?<63k698005=:nkh1?9>4=g``>62734n=57=;0:?g2d<4<916joj535289a0e2:>;70j9c;174>;ajl088=52d7f9716<5m<n6>:?;<f5b?53827mnk4<419>`27=;=:01km?:263?8`d939?<63k738005=:l>91?9>4=ga1>62734n<87=;0:?g30<4<916jn=535289a112:>;70hl4;174>;c?>088=52fb49716<5m=36>:?;<f4=?53827mo:4<419>`2g=;=:01i9l:263?8`d039?<63k7e8005=:nj31?9>4=e5f>62734lhm7=;0:?g3c<4<916h5>535289cee2:>;70j72;174>;c08088=52fba9716<5m286>:?;<d``?53827o494<419>bfc=;=:01i6::263?8b??39?<63icg8005=:l131?9>4=e:;>62734lo=7=;0:?g<d<4<916ji<535289a>e2:>;70hk3;174>;c0j088=52d9f9716<5m2n6>:?;<dg0?53827o4k4<419>ba3=;=:01i7?:263?8`c>39?<63k938005=:nm=1?9>4}ra4a?6=:r7o?i4=ae9>5113283j7p}>40794?4|58><87<nd:?26=`=90k0q~?=7183>7}:9;2m6?ok;<3115<61k1v\7f<7?9;29=~;6=ml1=4o4=07gb?7>j27o?h4>9`9>`6c=90h01i=i:0;b?8b4n3;2n63>00a95<g<58::o7?6b:?15<2=9:?0q~?:6083>7}:9<nm6?ok;<3730<61k1v\7fn9i:181\7f8b4m38jh63>46795<g<uz;?=;4?:3y>51122;ko70?<8082=g=z{j2;6=4={<f0b?4fl27::5m518`8yv`>m3:1>v3>00a96db<58<3o7?6a:\7fp534c2909w0?98b81ea=:9:2:6<7n;|q2714=838p1<=71;0b`>;6:<:1=4o4}r3174<72;q6=?;?:3cg?87f;j0:5o5rs0;3f?6=1r7:9h>518c8943b83;2n63k4182=d=:l=:1=4l4=e62>4?f34n?=7?6b:?244b=90k01<>>d;3:f>;59h:1=>;4}r3627<72;q6=8k?:3cg?873??0:5o5rsb:2>5<5s4n?<7<nd:?2020=90k0q~?;1683>7}:9===6?ok;<30<7<61k1v\7fn6=:181\7f8b3938jh63>69f95<d<uzl2j7>52z?244b=:hn01<87d;3:e>{t9?8n6=4={<35<a<5im16=>6=:0;b?xu6;=91<7<t=01;6?4fl27:>nk518`8yv7>8m0;64u2d5095<g<5m>96<7m;<335`<61h16==?j:0;a?8779o0:5l52113e>4?e34;::k4>9`9>540a283i70<>9b8270=z{j286=4={<f76?4fl27::5k518`8yv`f83:1>v3>00g96db<58<3i7?6a:\7fp534a2909w0?98d81ea=:9=i26<7m;|qee4<72;q6==?i:3cg?8c6=3;2n6s|1073>5<5s4;::k4=ae9>a43=90k0q~ji6;296~;b9<09mi5215a:>4?f3ty:8lo50;0x942d138jh63>2bg95<g<uz;9mk4?:3y>57eb2;ko70?n3b82=f=z{83;j7>59z?27fe=90k01<=lc;3:f>;6=l;1=4o4=07f5?7>j27:9h=518c8943b;3;2n63k4282=d=:l=91=4l4=33b<?74=2wx=>oj:181\7f874kj09mi52122f>4?e3ty:9;:50;0x943b938jh63>31g95<g<uz;9j=4?:3y>566b2;ko70?=9182=g=z{8?=97>52z?21`5=:hn01<:87;3:f>{tk1?1<7<t=e60>7gc34;?;:4>9`9~w42613:1>v3>46596db<5882<7?6a:\7fp57152909w0?=9181ea=:9;?:6<7m;|q2=47=833p1<;j4;3:e>;6=l>1=4l4=e66>4?f34n?97?6b:?g03<61h16h98518`8946583;2m63>03295<d<5;;j87?<5:\7fp50012909w0?:e581ea=:9==26<7m;|q`<3<72;q6h9;52`f8942013;2m6s|153b>5<5s4;?;44=ae9>56>4283i7p}l8683>7}:l=<1>lj4=04;b?7>j2wxjl=50;0x9465838jh63>69d95<g<uz;=?<4?:3y>53>a2;ko70?<8282=d=z{89?97>52z?27=5=:hn01<<:1;3:e>{t9;986=4={<3114<5im16=l=k:0;a?xu61881<77t=07f1?7>i27:9h;518`89a20283j70j;7;3:f>;c<10:5l52d5:95<d<58:9>7?6a:?2474=90h01??m0;301>{t9<<<6=4={<36a0<5im16=99n:0;a?xud010;6?u2d5596db<58><m7?6a:\7fp517e2909w0?;7`81ea=:9:2>6<7m;|q`<<<72;q6h9652`f8940>93;2n6s|f`694?4|58:9>7<nd:?22<7=90k0q~?93383>7}:9?3:6?ok;<30<0<61h1v\7f<=;6;296~;6;1?1>lj4=00`b?7>j2wx=4?;:18:\7f8b313;2m63k4882=g=:99886<7n;<3366<61k16==<;:0;b?877:=0:5o521053>4?f34;:;=4>9c9>64gd289>7p}l8`83>7}:l=31>lj4=04:6?7>j2wxjl;50;0x9465;38jh63>68095<g<uz;=?>4?:3y>53?52;ko70?;c`82=g=z{ok=6=4={<3361<5im16i<8518`8yv76=;0;6?u21053>7gc34o::7?6a:\7fp`c>=838p1h?9:3cg?873kh0:5l5rs06bg?6=:r7:8no52`f8944dn3;2m6s|13`2>5<5s4;9ok4=ae9>5d5c283h7p}>90494??|589hi7?6a:?27fc=90h01<;j6;3:e>;6=l<1=4l4=07f3?7>i27:9h9518`89a2f283j70j;a;3:f>;59kk1=>;4}r30ec<72;q6=>mj:3cg?874990:5o5rs075<?6=:r7:9h852`f8945683;2m6s|13d2>5<5s4;8==4=ae9>57?5283i7p}>57;94?4|58?n;7<nd:?202d=90h0q~m7b;296~;c<h09mi52155a>4?f3ty:8<m50;0x9420j38jh63>28095<g<uz;9;>4?:3y>57?52;ko70?=5282=g=z{83:47>59z?21`>=90k01<;j8;3:f>;c<k0:5l52d5`95<d<5m>h6<7n;<f7g?7>j27:<?;518c89465=3;2n63=1c49563<uz;>:l4?:3y>50c?2;ko70?;7b82=g=z{j2h6=4={<f7f?4fl27:8:m518c8yv739m0;6?u2155`>7gc34;84;4>9c9~wf>c2909w0j;c;0b`>;6>091=4l4}rdb3?6=:r7:<?;52`f8940>;3;2m6s|1717>5<5s4;=5>4=ae9>56>1283j7p}>35594?4|5893:7<nd:?2605=90k0q~?=3583>7}:9;?86?ok;<3b7c<61k1v\7f<7>a;29=~;6=l31=4o4=07f=?7>j27o8i4>9`9>`1b=90h01i:j:0;b?8b3m3;2n63>03495<g<58:9:7?6b:?15f4=9:?0q~?:6c83>7}:9<o26?ok;<373a<61k1v\7fn6j:181\7f8b3l38jh63>46f95<g<uz;?=h4?:3y>511c2;ko70?<8682=g=z{j3;6=4={<f7a?4fl27::4:518`8yv`f03:1>v3>03496db<58<287?6a:\7fp53522909w0?99581ea=:9:2<6<7n;|q271>=838p1<=77;0b`>;6:m;1=4l4}r3:5g<720q6h8>518c89a37283i70??2682=d=:998<6<7m;<336=<61h16==<7:0;a?876?;0:5l521051>4?e348:nh4>349~wf?62909w0j:0;0b`>;6>0?1=4l4}rdb=?6=:r7:<?952`f8940>=3;2m6s|1715>5<5s4;=584=ae9>51ed283i7p}ia`83>7}:99836?ok;<g2<?7>j2wx=<;<:181\7f876?;09mi52e0:95<g<uznm57>52z?f5=<5im16=9ml:0;b?xu6<hn1<7<t=06`g?4fl27:>i?518c8yv75j;0;6?u213f2>7gc34;j?k4>9b9~w426n3:1>v3>28195<g<58><i7<nd:\7fp57`52909w0?=9282=g=:9:;:6?ok;|q2622=838p1<<63;0b`>;6:<>1=4l4}r3572<72;q6=>67:0;b?8711?09mi5rs0614?6=:r7:?56518`89420n38jh6s|126:>5<5s4;8454=ae9>5733283j7p}>22794?4|588>87<nd:?2e16=90h0q~?93983>7}:9:226<7n;<35=2<5im1v\7f<:=1;296~;6;131=4l4=06;4?4fl2wx=>:n:181\7f8740009mi5213f1>4?e3tyojl4?:3y>51ec283j70k>9;0b`>{t9?926=4={<37ga<61k16=;77:3cg?xu6<ho1<7<t=06``?4fl27:>i<518c8yv75j:0;6?u213f1>7gc34;j8=4>9b9~w4?393:15v3>3e295<g<589o<7?6b:?21``=90k01<;jf;3:f>;6=o:1=4o4=07e4?7>j27o9:4>9`9>`01=90h01??la;301>{t9:h:6=4={<30`5<5im16=>?=:0;a?xu6=>;1<7<t=07fb?4fl27:?<<518c8yv75n:0;6?u21231>7gc34;9594>9c9~w430:3:1>v3>5g296db<58>3=7?6b:\7fpg<>=838p1i;8:3cg?873080:5l5rs0616?6=:r7:85?52`f8944><3;2m6s|1356>5<5s4;9594=ae9>5732283i7p}>95194??|58?m=7?6a:?21c7=90h01i;7:0;b?8b203;2n63k5882=d=:l<31=4l4=021a?7>i27:<?k518`8977d>3;896s|1450>5<5s4;>j<4=ae9>51>5283i7p}l9883>7}:l<21>lj4=06;6?7>i2wx=9<;:181\7f8730;09mi5212:b>4?e3tyh5o4?:3y>`0?=:hn01<869;3:f>{tnk:1<7<t=021a?4fl27::47518c8yv71;h0;6?u217;:>7gc34;84l4>9`9~w453j3:1>v3>39c96db<588>97?6a:\7fp57512909w0?=5481ea=:9h>:6<7m;|q2=13=833p1<;i2;3:e>;6=o81=4l4=e7a>4?f34n>n7?6b:?g1f<61h16h8m518`89465n3;2m63>03d95<d<5;;o>7?<5:\7fp50132909w0?:f381ea=:9=2?6<7m;|q`=f<72;q6h8l52`f8942?<3;2m6s|1506>5<5s4;?494=ae9>56>e283i7p}l9e83>7}:l<i1>lj4=04:e?7>j2wxjo?50;0x9465n38jh63>68c95<g<uz;=?n4?:3y>53?f2;ko70?<8c82=d=z{89?o7>52z?27=d=:hn01<<k3;3:f>{t90><6=46{<f6`?7>i27o9i4>9c9>5557283j70??3182=g=:999:6<7n;<3374<61k16=<9;:0;b?876?=0:5o5220af>4523tyh5h4?:3y>`0b=:hn01<86c;3:f>{tnk81<7<t=0204?4fl27::4m518c8yv71;m0;6?u217;`>7gc34;?oh4>9c9~wcd42909w0??3081ea=:m8k1=4l4}r3210<72;q6=<9;:3cg?8c6i3;2m6s|dg`94?4|5l;j6?ok;<37g`<61h1v\7f<:nf;296~;6<jo1>lj4=00g7?7>i2wx=?l;:181\7f875l:09mi521`62>4?d3ty:59750;;x945c93;2m63>3e395<d<58?m?7?6a:?21c5=90h01<;i4;3:e>;6=o>1=4l4=e7f>4?f34n>i7?6b:?15ag=9:?0q~?<b383>7}:9:n:6?ok;<3056<61k1v\7f<;85;296~;6=o91>lj4=0127?7>i2wx=?h;:181\7f8749:09mi5213;6>4?e3ty:9:850;0x943a<38jh63>49795<d<uzi2j7>52z?g1`<5im16=96::0;b?xu6<;<1<7<t=06;1?4fl27:>4;518c8yv75??0;6?u213;6>7gc34;99;4>9c9~w4?3i3:15v3>5g795<g<58?m97?6b:?g1c<61h16h8h518`89a07283j70j90;3:f>;68:81=4o4=0206?7>j279=i851278yv72?>0;6?u214d6>7gc34;?4;4>9c9~wfg72909w0j:f;0b`>;6<1<1=4o4}r3762<72;q6=969:3cg?8740j0:5o5rsbc2>5<5s4n=<7<nd:?22<b=90h0q~hm4;296~;68:81>lj4=04:`?7>i2wx=;=j:181\7f8711m09mi5212:`>4?f3ty:?9j50;0x945?k38jh63>24495<g<uz;9?:4?:3y>57312;ko70?n4382=g=z{83?o7>59z?21c0=90k01<;i6;3:f>;c>80:5l52d7395<d<5m<96<7n;<f56?7>j27:<>=518c89464;3;2n63=1d19563<uz;>;54?:3y>50`12;ko70?;8682=g=z{jk96=4={<f55?4fl27:859518c8yv73:10;6?u215:4>7gc34;84i4>9c9~wfg42909w0j92;0b`>;6>0o1=4l4}rda1?6=:r7:<>=52`f8940>m3;2m6s|171e>5<5s4;=5h4=ae9>56>c283j7p}>35g94?4|5893h7<nd:?26a2=90h0q~?64d83><}:l?91=4o4=e40>4?e34;;?94>9`9>5553283i70??3482=d=:999>6<7m;<3230<61h16=<9::0;a?846lo0:?85rsbc7>5<5s4n=?7<nd:?22<`=90h0q~hm6;296~;68:>1>lj4=04:b?7>i2wx=;:?:181\7f8711o09mi5215ae>4?e3tymn:4?:3y>55522;ko70k>b;3:f>{t98?=6=4={<3230<5im16i<l518c8yvbak3:1>v3j1c81ea=:9=im6<7n;|q20g6=838p1<:lf;0b`>;6:m>1=4o4}r31f0<72;q6=?j;:3cg?87f<;0:5n5rs061=?6=:r7:>48518c8942?038jh6s|13d6>5<5s4;95;4>9c9>56732;ko7p}>26594?4|5882:7<nd:?2601=90h0q~?94083>7}:9:2n6<7n;<35e5<5im1v\7f<:=a;296~;6;1o1=4l4=06;=?4fl2wx=>;?:181\7f8740l09mi521374>4?f3ty:>>650;0x9442?38jh63>a5195<d<uz;=8?4?:3y>56?7283j70?9a081ea=z{8>9n7>52z?27<6=90h01<:7a;0b`>{t9:?:6=4={<30=5<5im16=?j::0;a?xucnm0;6?u215f3>4?f34o:o7<nd:\7fp53242909w0?;d182=g=:9?k96?ok;|q20g7=838p1<:k0;0b`>;6:m?1=4o4}r31f3<72;q6=?j::3cg?87f<:0:5n5rs061g?6=:r7:>49518c8942?j38jh6s|13d5>5<5s4;95:4>9c9>56722;ko7p}>26:94?4|5882;7<nd:?260>=90h0q~?94583>7}:9:3:6<7n;<35e6<5im1v\7f<:=d;296~;6;0;1=4l4=06;g?4fl2wx=>;=:181\7f8741809mi52137;>4?f3ty:>>750;0x9442038jh63>a5695<d<uz;=884?:3y>56?5283j70?9a581ea=z{8>9j7>52z?27<4=90h01<:7d;0b`>{t9:?86=4={<30=7<5im16=?j9:0;a?xucnl0;6?u215f2>4?f34o:h7<nd:\7fp53202909w0?;d082=g=:9?k>6?ok;|q20g4=838p1<:k1;0b`>;6:m<1=4o4}r31f2<72;q6=?j9:3cg?87f<=0:5n5rs0604?6=:r7:>46518c8942?n38jh6s|13d4>5<5s4;9554>9c9>56712;ko7p}>26;94?4|588247<nd:?260?=90h0q~?94983>7}:9:386<7n;<35e2<5im1v\7f<:<1;296~;6;091=4l4=06:4?4fl2wx=>;;:181\7f8741:09mi52137:>4?f3ty:>>o50;0x9442138jh63>a5795<d<uz;=844?:3y>56?3283j70?9a981ea=z{8>8>7>52z?27<2=90h01<:61;0b`>{t9:?>6=4={<30=1<5im16=?j8:0;a?xucno0;6?u215f1>4?f34o:i7<nd:\7fp532f2909w0?;d382=g=:9?k26?ok;|q20g5=838p1<:k2;0b`>;6:m=1=4o4}r31f=<72;q6=?j8:3cg?87f<<0:5n5rs0607?6=:r7:>47518c8942>:38jh6s|13d;>5<5s4;9544>9c9>56702;ko7p}>26c94?4|588257<nd:?260g=90h0q~?94c83>7}:9:3>6<7n;<35ed<5im1v\7f<:<4;296~;6;0?1=4l4=06:7?4fl2wx=>;9:181\7f8741<09mi52137b>4?f3ty:>>l50;0x9442i38jh63>a5495<d<uz;=8n4?:3y>56?1283j70?9ac81ea=z{8>897>52z?27<0=90h01<:64;0b`>{t9:?<6=4={<30=3<5im16=?j7:0;a?xub890;6?u215f0>4?f34o:j7<nd:\7fp532c2909w0?;d282=g=:9?kh6?ok;|q20g2=838p1<:k3;0b`>;6:m21=4o4}r31f<<72;q6=?j7:3cg?87f<?0:5n5rs0602?6=:r7:>4o518c8942>=38jh6s|13d:>5<5s4;95l4>9c9>567?2;ko7p}>26`94?4|5882m7<nd:?260d=90h0q~?94d83>7}:9:3<6<7n;<35ea<5im1v\7f<:<7;296~;6;0=1=4l4=06:2?4fl2wx=>;7:181\7f8741>09mi52137a>4?f3ty:>>m50;0x9442j38jh63>a5595<d<uz;=8k4?:3y>56??283j70?9ad81ea=z{8>847>52z?27<>=90h01<:67;0b`>{t9:?26=4={<30==<5im16=?j6:0;a?xub880;6?u215f7>4?f34o9<7<nd:\7fp53372909w0?;d582=g=:9?km6?ok;|q20g3=838p1<:k4;0b`>;6:m31=4o4}r31fd<72;q6=?j6:3cg?87f<>0:5n5rs060e?6=:r7:>4l518c8942>038jh6s|13da>5<5s4;95o4>9c9>567>2;ko7p}>26f94?4|5882n7<nd:?260e=90h0q~?95383>7}:9:326<7n;<35f5<5im1v\7f<:<b;296~;6;031=4l4=06:e?4fl2wx=>;m:181\7f8741009mi52137`>4?f3ty:>>k50;0x9442k38jh63>a5:95<d<uz;=9>4?:3y>56?e283j70?9b381ea=z{8>8o7>52z?27<d=90h01<:6b;0b`>{t9:?h6=4={<30=g<5im16=?jn:0;a?xub8:0;6?u215f6>4?f34o9=7<nd:\7fp53332909w0?;d482=g=:9?h86?ok;|q20g1=838p1<:k5;0b`>;6:mk1=4o4}r31ff<72;q6=?jn:3cg?87f<10:5n5rs060`?6=:r7:>4j518c8942>k38jh6s|13d`>5<5s4;95i4>9c9>567e2;ko7p}>26g94?4|5882h7<nd:?260c=90h0q~?95483>7}:9:3h6<7n;<35f1<5im1v\7f<:<e;296~;6;0i1=4l4=06:`?4fl2wx=>;k:181\7f8741j09mi52137f>4?f3ty:>>h50;0x9442m38jh63>a5c95<d<uz;=9;4?:3y>56?c283j70?9b481ea=z{8>8j7>52z?27<b=90h01<:6e;0b`>{t9:?n6=4={<30=a<5im16=?jl:0;a?xub8=0;6?u215f4>4?f34o9?7<nd:\7fp53302909w0?;d682=g=:9?h=6?ok;|q20g>=838p1<:k7;0b`>;6:mi1=4o4}r31fa<72;q6=?jl:3cg?87f<h0:5n5rs300`?6=<0q6=l;7:66894g2?3=?70?n57840>;6i<?1;9521`70>22<58k>=79;;<017`<5im16n;:518f89g04283o70l:c;3:`>;e=80:5i52b2295<b<5k836<7k;<`13?7>l27i=94>9e9>f34=90n01o8>:0;g?8d3>3;2h63m3c82=a=:j;k1=4j4=c0:>4?c34h9:7?6d:?a5a<61m16n>o518f89g5>283o70l<6;3:`>;e;<0:5i52b2095<b<5k9:6<7k;<`1g?7>l27i>o4>9e9>f6b=90n01o=l:0;g?8d403;2h63m3682=a=:j:>1=4j4=c10>4?c34h9j7?6d:?a6a<61m16n9m518f89g2e283o70l;8;3:`>;e<>0:5i52b5195<b<5k>96<7k;<`0b?7>l27i?h4>9e9>f1c=90n01o:k:0;g?8d3i3;2h63m4882=a=:j=?1=4j4=c67>4?c34h?=7?6d:?a05<61m16n8k518f89g3c283o70l:9;3:`>;e=10:5i52b4795<b<5k??6<7k;<`64?7>l27i8k4>9e9>f36=90n01o;i:0;g?8d2j3;2h63m5`82=a=:j<=1=4j4=c75>4?c34h>?7?6d:?a17<61m16=l;=:66894g2<3=?7p}>a2294?46s4;j954<229>5d37283j70?n4g82=d=:9h>n6<7n;<3b0a<61h16=l:l:0;b?87f<k0:5l521`6b>4?f34;j854>9`9>5d2>283j70?n4682=d=:9h>=6<7n;<3b00<61h16=l:;:0;b?87f<:0:5l521`61>4?f34;j8<4>9`9>5d27283j70?n3g82=d=:9h9o6<7n;<3b7`<61h16=l=l:0;b?87f;k0:5l521`1b>4?f34;j?44>9`9>5d5?283j70?n3682=d=:9h9=6<7n;<3b70<61h16=l=;:0;b?87f;;0:5l521`10>4?f34;j?<4>9`9~w4g5j3:1=o?t=0c65?55;27ii;4>9`9>a7g=90k01ok::0;b?8c>83;2m63j2c82=d=:k831=4o4=020<?7>i27:<>9518c89f43283j70kk7;3:e>;bn?0:5l52f1395<g<5j8m6<7n;<d23?7>i27m<n4>9`9>b74=90k01k<k:0;b?8c5k3;2m63j3682=d=:k:k1=4o4=b66>4?f34i><7?6a:?f07<61h16==:;:0;b?877;00:5l52c4`95<g<5l>o6<7n;<g6<?7>i27:<9h518c89`04283j70m96;3:e>;b?00:5l52e7g95<g<5l2?6<7n;<g;b?7>i27ii:4>9`9>f`c=90k01ok7:0;b?8c>93;2m63j9382=d=:m091=4o4=d;e>4?f34o2i7?6a:?ab1<61h16il>518c89`d1283j70lif;3:e>;bik0:5l52eb395<g<5lih6<7n;<a3e?7>i27ni>4>9`9>g43=90k01hj7:0;b?8e6>3;2m63jed82=d=:mo:1=4o4=dge>4?f34i:;7?6a:?fb4<61h16ik=518c89f7?283j70ki2;3:e>;bn=0:5l52eg795<g<5j;j6<7n;<ge=?7>i27h=o4>9`9>ac1=90k01n?l:0;b?8ca03;2m63jfc82=d=:k8n1=4o4=ddb>4?f34omo7?6a:?fba<61h16ikk518c89f7b283j70kif;3:e>;a890:5l52c0d95<g<5j8;6<7n;<a15?7>i27m<?4>9`9>b52=90k01k>::0;b?8`7;3;2m63l2382=d=:n9<1=4o4=g24>4?f34i9?7?6a:?e4<<61h16j=6518c89c6f283j70h?b;3:e>;d:?0:5l52c3795<g<5j8<6<7n;<d3b?7>i27m<i4>9`9>b5c=90k01k??:0;b?8e503;2m63i1382=d=:n8;1=4o4=b0:>4?f34l:?7?6a:?e51<61h16j<;518c89c71283j70m=b;3:e>;d:h0:5l52f0:95<g<5o;26<7n;<d2e?7>i27h>n4>9`9>g7b=90k01k?l:0;b?8`6j3;2m63i1e82=d=:k;o1=4o4=g3f>4?f34l:j7?6a:?e65<61h16j??518c89f56283j70m<0;3:e>;d;;0:5l52f3195<g<5o8>6<7n;<d10?7>i27m>:4>9`9>g65=90k01k<9:0;b?8`503;2m63i2`82=d=:k:>1=4o4=g0:>4?f34l9n7?6a:?e6f<61h16o>8518c89c4b283j70m<5;3:e>;a:o0:5l52c2595<g<5j936<7n;<d04?7>i27m?<4>9`9>b65=90k01k==:0;b?8`4<3;2m63i3482=d=:k:31=4o4=g15>4?f34l8;7?6a:?`7g<61h16i?j518c89f5d283j70k=e;3:e>;d;m0:5l52c2g95<g<5l8m6<7n;<337d<61h16i>?518c89464j3;2m63j3182=d=:999o6<7n;<337f<61h16i><518c89`53283j70k<5;3:e>;d;o0:5l52e2195<g<58:?<7?6a:?246c=90k01<><f;3:e>;b;?0:5l521161>4?f34;;8<4>9`9>5524283j70m;0;3:e>;d<;0:5l52c5395<g<5l936<7n;<g0=?7>i27n?l4>9`9>g15=90k01<>;5;3:e>;b;k0:5l52e2f95<g<5l9h6<7n;<3303<61h16==:8:0;b?877<10:5l52c5695<g<58:?57?6a:?f05<61h16i>k518c89`5a283j70??4c82=d=:99>j6<7n;<330f<61h16i9?518c89463l3;2m63>05g95<g<5j>=6<7n;<g70?7>i27h8:4>9`9>a15=90k01n:7:0;b?8c3=3;2m63l4882=d=:99?;6<7n;<g72?7>i27n8:4>9`9>5536283j70k;8;3:e>;d<h0:5l52e5;95<g<5l>j6<7n;<g7f?7>i27n8n4>9`9>g1e=90k01n:m:0;b?8e3l3;2m63j4d82=d=:m<:1=4o4=d6e>4?f34o>>7?6a:?`0`<61h16i8?518c89`34283j70m;f;3:e>;b==0:5l52e4495<g<5l?>6<7n;<g63?7>i27h9<4>9`9>a0?=90k01n;=:0;b?8c2i3;2m63j5c82=d=:k<91=4o4=d7`>4?f34i>87?6a:?f1a<61h16i8k518c89f32283j70k:f;3:e>;b>90:5l52e7395<g<5l<96<7n;<a63?7>i27h954>9`9>g00=90k01h89:0;b?8c1<3;2m63j6482=d=:m?21=4o4=d4:>4?f34i>57?6a:?f22<61h16o8o518c89`0f283j70k9b;3:e>;b>j0:5l52e7f95<g<5j?o6<7n;<g45?7>i27h9n4>9`9>a3`=90k01n;j:0;b?8c083;2m63j7282=d=:k<l1=4o4=d51>4?f34o<87?6a:?f33<61h16i:;518c89f07283j70k87;3:e>;b?10:5l52c7095<g<5j<:6<7n;<a57?7>i27n;l4>9`9>g32=90k01h9m:0;b?8c0k3;2m63j7e82=d=:m>o1=4o4=d5e>4?f34o3=7?6a:?`20<61h16i5>518c89`>5283j70k73;3:e>;d>>0:5l52c7:95<g<5j<26<7n;<g;1?7>i27n444>9`9>a=0=90k01h68:0;b?8e1i3;2m63j8982=d=:m1k1=4o4=d:a>4?f34i=n7?6a:?f<f<61h16i5j518c89`>b283j70lja;3:e>;b1=0:5l52bd;95<g<5l3>6<7n;<`ff?7>i27n5;4>9`9>f`e=90k01h78:0;b?8c>03;2m63j9882=d=:jln1=4o4=d;b>4?f34o2n7?6a:?f=f<61h16i4j518c89`g6283j70kn2;3:e>;emo0:5l52bg295<g<5kl:6<7n;<gb7?7>i27ij?4>9`9>ad2=90k01ho::0;b?8cf>3;2m63mf282=d=:mh21=4o4=dc4>4?f34oj57?6a:?fed<61h16nk;518c89g`0283j70li6;3:e>;bij0:5l52e`f95<g<5lkn6<7n;<ga4?7>i27nmk4>9`9>fc>=90k01hl>:0;b?8ce:3;2m63mf882=d=:mk91=4o4=d`7>4?f34oi97?6a:?abd<61h16nkl518c89g`d283j70km7;3:e>;bj10:5l52ecc95<g<5lh26<7n;<`e`?7>i27nno4>9`9>age=90k01ohj:0;b?8cen3;2m63jbe82=d=:mko1=4o4=da3>4?f34i;<7?6a:?`44<61h16o=<518c89`e5283j70kl4;3:e>;d8:0:5l52eb195<g<5li>6<7n;<g`2?7>i27no:4>9`9>g52=90k01hm6:0;b?8cd03;2m63jc`82=d=:mjh1=4o4=b26>4?f34i;:7?6a:?fga<61h16ink518c89`ea283j70m?7;3:e>;bl90:5l52c1:95<g<5ln:6<7n;<gg6?7>i27h<44>9`9>aa2=90k01hj<:0;b?8cc=3;2m63jd782=d=:k9i1=4o4=b2a>4?f34i;h7?6a:?f`g<61h16ii7518c89`bf283j70m?e;3:e>;blm0:5l52eea95<g<5lnn6<7n;<a3b?7>i27nhk4>9`9>a`6=90k01hk>:0;b?8cb:3;2m63l1082=d=:k8:1=4o4=dg7>4?f34on97?6a:?fa3<61h16o<<518c89f74283j70kj8;3:e>;bm>0:5l52ed;95<g<5j;?6<7n;<gfe?7>i27nio4>9`9>a`e=90k01hkk:0;b?xu50o0;69u289a96db<512i6<7k;<;1a?7>l2734h4>9e9~w=16290?w067b;3:e>;?010:5l5289c95<e<51=;6?ok;|q1=4<72=q6;ol52`f892df283o706:d;3:`>;0jm0:5i5rs6c3>5<3s4=im7?6a:?4f2<61h16;o7518a892?a2;ko7p}62883>0}:1;o1=4o4=80`>4?f3439m7?6a:?:62<61j165?852`f8yv>203:19v375e82=d=:0<h1=4o4=97:>4?f342>:7?6c:?;10<5im1v\7f?7<:180\7f8?5l38jh6362b82=a=:0081=4j4}r0:0?6=;r739n4=ae9><0d=90n01:m>:0;g?xu51<0;6?u293`96db<508j6<7k;|q1=2<72;q648o52`f89=3>283o7p}61`83>1}:1;>1>lj4=804>4?f343:j7?6b:?:5=<61h1v\7f4?6:180\7f8?5=38jh6362682=g=:1821=4l4}r:7=?6=<r739>4=ae9><00=90k015:j:0;a?8>3?3;2m6s|85:94?5|51??6?ok;<:62?7>j2738:4>9c9~w<452909w07>e;0b`>;>9o0:5l5rs803>5<5s43:o7<nd:?:5c<61j1v\7f4<>:181\7f8?6l38jh6361g82=a=z{1?:6=4={<:7`?4fl2738h4>9`9~w=2a2909w06;b;0b`>;?<l0:5n5rs973>5<5s42?o7<nd:?;0`<61m1v\7f?8;:181\7f8?5>3;2h6362982ac=z{;<>6=4={<:61?7>l2739:4>eg9~w7012909w0664;0b`>;?1:0:5i5rs9;3>5<3s422?7?6a:?;<c<5im1645k518c89=?5283j7p}=6983>7}:?j91>lj4=6a1>4?c3ty<nk4?:5y>3f4=90k01:lj:3cg?81el3;2m638c082=d=z{0;=6=4={<;3g?4fl272=<4>9`9~w<722909w07?d;0b`>;>980:5o5rs966>5<5s428n7<nd:?;05<61h1v\7f5:;:181\7f8>4k38jh6374182=g=z{0;86=4={<;3a?4fl272<k4>9e9~w<752908w07>0;0b`>;>910:5i5290395<b<uz2?>7>52z?;7a<5im164>k518f8yv>393:1?v373g81ea=:0==1=4j4=963>4?c3ty9;o4?:3y>f45=90n01lm::0ge?xuei=0;69u2b0196db<5;88o7?75:?164`=91?01??:6;3;1>{tij<1<76t=c31>4?c34h;n7?6d:?bb`<61m16mi9518f89ded283o70olb;3:`>;fk=0:5i52ab796db<uzhj?7>54z?a57<5im16>?=>:0:6?8459l0:48522076>4>23ty9;l4?:3y>f47=90n01lm7:0ge?xuei;0;69u2b0396db<5;89:7?75:?164b=91?01??:4;3;1>{tij31<76t=c33>4?c34km=7?6d:?ba7<61m16mnk518f89dec283o70ola;3:`>;fk>0:5i52ab:96db<uzhj=7>54z?a55<5im16>??m:0:6?8459j0:48522070>4>23ty9;94?:3y>f5`=90n01o>?:0ge?xuei90;69u2b1d96db<5;8:87?75:?151d=91?01??62;3;1>{tj9;1<76t=c2f>4?c34h;m7?6d:?a4<<61m16n=8518f89g62283o70l?2;3:`>;fno0:5i52b1296db<uzh2j7>54z?a4`<5im16>??<:0:6?846<h0:485220;2>4>23ty9;84?:3y>f5b=90n01lhm:0ge?xue1l0;69u2b1f96db<5;8:>7?75:?151?=91?01??7f;3;1>{tioi1<76t=c2`>4?c34h;47?6d:?a42<61m16n=:518f89g64283o70oid;3:`>;fnh0:5i52ag`96db<uzh2h7>54z?a4f<5im16>??>:0:6?846<10:485220:f>4>23tyi5n4?:5y>f5d=:hn01?<>0;3;1>;5:8k1=5;4=3366?7?=2wxn4l50;6x9g6f2;ko70<=0g82<0=::8><6<6:;<02<a<60<1v\7fo7n:187\7f8d7138jh63=21g95=3<5;;?:7?75:?15=e=91?0q~l69;290~;e8109mi52232g>4>2348:884>849>64>e282>7p}m9983>1}:j9=1>lj4=303g?7?=279=9:51978977?i3;396s|b8594?2|5k:=6?ok;<014g<60<16><:=:0:6?846000:485rsc;5>5<3s4h;97<nd:?165g=91?01??;1;3;1>;59121=5;4}r`:1?6=<r7i<94=ae9>676>282>70<>4182<0=::82<6<6:;|qa=1<72=q6n==52`f8974703;3963=12d95=3<5;;3:7?75:\7fpf<5=83>p1o>=:3cg?8458>0:4852201f>4>2348:494>849~wg?5290?w0oif;0b`>;5:9<1=5;4=330`?7?=279=5=51978yvd>93:18v3nfd81ea=::;:>6<6:;<015<<60<16><;>:0:6?xue190;69u2agf96db<5;8;87?75:?156e=91?01??72;3;1>{tj1l1<7:t=`db>7gc3489<>4>849>645e282>70<>8082<0=z{;==6=4={<ce=?7>l27jil4>eg9~wg>b290?w0oi9;0b`>;5:981=5;4=330e?7?=279=5>51978yvgbj3:14v3nf982=a=:io?1=4j4=`d7>4?c34km<7?6d:?bac<61m16mhm518f89dc>283o70oja;0b`>{tj1n1<7:t=`d;>7gc3489<<4>849>645>282>70<>7g82<0=z{ho<6=47{<ce3?7>l27jj>4>9e9>ec4=90n01lkj:0;g?8gbl3;2h63ne982=a=:il?1=4j4=`g5>7gc3tyi4n4?:5y>ec1=:hn01?<?0;3;1>;59:=1=5;4=334a?7?=2wx>:950;0x9d`1283o70oj6;3fb>{tj1h1<7:t=`d5>7gc348:jk4>849>6451282>70<>7e82<0=z{k2j6=4;{<ce1?4fl279=kk519789774=3;3963=16a95=3<uzh357>54z?bb1<5im16><hk:0:6?846;=0:4852205a>4>23tyi454?:5y>ec5=:hn01??ic;3;1>;59:91=5;4=334=?7?=2wxn5950;6x9d`52;ko70<>fc82<0=::8996<6:;<023=<60<1v\7fo69:187\7f8ga938jh63=1gc95=3<5;8:47?75:?1506=91?0q~l75;290~;fn909mi5220d:>4>2348:?<4>849>6410282>7p}m8583>1}:ill1>lj4=33e<?7?=279=>>519789770>3;396s|b9194?2|5hon6?ok;<02b2<60<16><<i:0:6?846?<0:485rsc:1>5<3s4knh7<nd:?15c0=91?01??=e;3;1>;59>>1=5;4}r`;5?6=<r7jin4=ae9>64`2282>70<=3c82<0=::8=86<6:;|qa<5<72=q6mh752`f8977a<3;3963=22c95=3<5;;<>7?75:\7fpf2`=83>p1lk7:3cg?846n:0:4852231:>4>2348:;<4>849~wg1b290?w0oj5;0b`>;59o81=5;4=300<?7?=279=:>51978yv4003:1>v3ne582=a=:im>1=hh4}r`4`?6=<r7ji94=ae9>64`6282>70<=3682<0=::8<n6<6:;|qb`0<721q6mh=518f89dba283o70oke;3:`>;flk0:5i52aec95<b<5hn=6<7k;<cg7?7>l27jh94=ae9~wg1d290?w0oj3;0b`>;59o:1=5;4=3002?7?=279=;j51978yvd0j3:18v3ne381ea=::8om6<6:;<0152<60<16><:i:0:6?xu5?00;6?u2ad395<b<5hn;6<ki;|qa3d<72=q6mh?52`f8977bm3;3963=22795=3<5;;=o7?75:\7fpea7=832p1lk?:0;g?8gcl3;2h63ndb82=a=:im31=4j4=`f;>4?c34ko>7?6d:?bgc<61m16mi>52`f8yvd013:18v3ne181ea=::8oo6<6:;<0171<60<16><8m:0:6?xue?10;69u2aed96db<5;;no7?75:?1665=91?01??9a;3;1>{tj>=1<7:t=`ff>7gc348:io4>849>6755282>70<>6882<0=z{k==6=4;{<cg`?4fl279=ho51978974483;3963=17:95=3<uzh<97>54z?b`f<5im16><k6:0:6?845:o0:48522044>4>23tyi;94?:5y>ead=:hn01??j8;3;1>;5:;o1=5;4=3352?7?=2wxn:=50;6x9dbf2;ko70<>e682<0=::;8o6<6:;<0220<60<1v\7fo9=:187\7f8gc138jh63=1d495=3<5;89o7?75:?1535=91?0q~l81;290~;fl109mi5220fg>4>23489>o4>849>6405282>7p}m7183>1}:im=1>lj4=33a0?7?=279><8519789773l3;396s|b7d94?2|5hn=6?ok;<02f6<60<16>?<n:0:6?846>80:485rsc4f>5<3s4ko?7<nd:?15<6=91?01?<=9;3;1>;59?:1=5;4}r`5`?6=<r7jh?4=ae9>64>2282>70<=2982<0=::8?m6<6:;|qa2f<72=q6mnh52`f89770i3;3963=23595=3<5;;>i7?75:\7fpf3d=83>p1lmj:3cg?846>o0:48522306>4>2348:9i4>849~wg0f290?w0old;0b`>;59?>1=5;4=3010?7?=279=8m51978yvd113:18v3ncb81ea=::8?26<6:;<0166<60<16><;m:0:6?xue>10;69u2ab`96db<5;;?i7?75:?1674=91?01??:a;3;1>{tj?=1<7:t=`ab>7gc348:8>4>849>6746282>70<>5982<0=z{k<=6=4;{<c`3?4fl279=>651978974583;3963=14595=3<uzh=97>54z?bg1<5im16><<k:0:6?8459<0:4852206`>4>23tyjnn4?:3y>675b283h70omf;117>{t:>i1<7<t=c47>4?d34h:97?jf:\7fpf`2=83>p1o8;:3cg?845;j0:4;52233e>4>1348:9;4>879~w71c2909w0l93;3:g>;e9?0:ik5rscg0>5<3s4h=?7<nd:?1667=91<01?<>e;3;2>;59<?1=584}r04a?6=:r7i9n4>9b9>f41=9ll0q~lkc;290~;e=j09mi522333>4>13489=l4>879>6435282=7p}=7g83>7}:j<;1=4m4=c3;>4ca3tyih<4?:5y>f07=:hn01?<?5;3;2>;5:831=584=3365?7?>2wx>5>50;0x9g57283h70l>9;3fb>{tjk:1<7:t=c13>7gc348:n94>879>6771282=70<>4e82<3=z{;2:6=4={<`1<?7>k27i=l4>eg9~wgg>290?w0l=8;0b`>;59<31=584=3017?7?>279=8l51948yv4?:3:1>v3m2682=f=:j8h1=hh4}r`b<?6=<r7i>:4=ae9>642b282=70<=2382<3=::8?j6<69;|q1<6<72;q6n<:518a89g7d28om7p}ma483>1}:j8>1>lj4=331`?7?>279><;519489773k3;3:6s|29694?4|5k<96<7l;<`2a?7bn2wxnh<50;6x9g052;ko70<=2782<3=::;;o6<69;<0211<60?1v\7f?6::181\7f8d193;2o63m1g82ac=z{ko:6=4;{<`55?4fl279><l519489746k3;3:63=14195=0<uz83:7>52z?a03<61j16n?>51dd8yvdd>3:18v3m4781ea=::8lj6<69;<015=<60?16><;?:0:5?xu50>0;6?u2b2`95<e<5k8:6<ki;|qafg<72=q6n>l52`f8977bn3;3:63=20595=0<5;;?j7?76:\7fp6=>=838p1o<n:0;`?8d5:3;nj6s|b``94?2|5k8j6?ok;<022c<60?16>?<::0:5?846=m0:4;5rs3::>5<5s4h957?6c:?a66<6mo1v\7foon:187\7f8d5138jh63=17695=0<5;8987?76:?150e=91<0q~<7a;296~;e:?0:5n52b3695``<uzhj;7>54z?a63<5im16><:<:0:5?845:80:4;52207;>4>13ty94o4?:3y>f4b=90i01o<::0ge?xuei?0;69u2b0f96db<5;;847?76:?1676=91<01??:7;3;2>{t:0n1<7:t=c1b>4?d34h?o7?6c:?a1`<61j16n<o52`f8yvdei3:18v3m3`81ea=::8on6<69;<0170<60?16><8l:0:5?xu51l0;69u2b2;95<e<5k>i6<7l;<`6`?7>k27i=o4=ae9~wgd>290?w0l<9;0b`>;59ln1=584=3000?7?>279=;l51948yv4>03:18v3m3782=f=:j=21=4m4=c7:>4?d34h:97<nd:\7fpfg0=83>p1o=9:3cg?846mh0:4;522313>4>1348::54>879~w7?>290?w0l<5;3:g>;e<>0:5n52b4:95<e<5k;=6?ok;|qaf0<72=q6n>;52`f8977b13;3:63=23d95=0<5;;=;7?76:\7fp6<g=83>p1o==:0;`?8d3;3;2o63m5482=f=:j8=1>lj4}r`a6?6=<r7i??4=ae9>64c1282=70<=2b82<3=::8<86<69;|q1=g<72=q6n>?518a89g25283h70l:4;3:g>;e9109mi5rsc`2>5<3s4h8=7<nd:?15ab=91<01?<=b;3;2>;59?81=584}r0:g?6=<r7i>n4>9b9>f6`=90i01o;?:0;`?8d6138jh6s|b`f94?2|5k8h6?ok;<02<0<60?16>?<7:0:5?846=o0:4;5rs3;e>5<3s4h9n7?6c:?a7`<61j16n9h518a89g7d2;ko7p}mab83>1}:j;h1>lj4=334e?7?>279>?9519489772m3;3:6s|29a94?4|5k9o6<7l;<`1a?7bn2wxnoj50;6x9g5c2;ko70<>f082<3=::;9<6<69;<022`<60?1v\7f?o::187\7f8d4k3;2o63m4e82=f=:j<l1=4m4=c00>7gc3tyinn4?:5y>f6e=:hn01??i0;3;2>;5::<1=584=335`?7?>2wx>l850;6x9g5?283h70l;a;3:g>;e=k0:5n52b3696db<uzhi47>54z?a7=<5im16><kl:0:5?845;:0:4;52204b>4>13ty9m:4?:5y>f61=90i01o:6:0;`?8d2i3;2o63m2481ea=z{kh<6=4;{<`03?4fl279=hl519489744:3;3:63=17;95=0<uz8j<7>54z?a71<61j16n9;518a89g30283h70l>e;0b`>{tjk>1<7:t=c17>7gc348:i54>879>674b282=70<>6782<3=z{;k:6=4;{<`07?7>k27i894>9b9>f00=90i01o?i:3cg?xuej:0;69u2b2196db<5;;n;7?76:?167b=91<01??95;3;2>{t:h81<7:t=c0e>4?d34h?=7?6c:?a16<61j16n?>52`f8yvdfn3:18v3m2g81ea=::8h86<69;<016d<60?16><8>:0:5?xu5i:0;69u2b3f95<e<5k>;6<7l;<`66?7>k27i><4=ae9~wggb290?w0l=d;0b`>;590:1=584=301=?7?>279=;>51948yvddk3:18v3m4b81ea=::;:;6<69;<0272<60?16><9j:0:5?xuekk0;69u2b5`96db<5;;mj7?76:?1560=91<01??8d;3;2>{tjj21<7:t=c6;>7gc348:jn4>879>6454282=70<>7882<3=z{ki<6=4;{<`73?4fl279=kl519489774:3;3:63=16:95=0<uzhh?7>54z?a06<5im16><h8:0:5?846:o0:4;522056>4>13tyio?4?:5y>f14=:hn01??i6;3;2>;59;o1=584=3340?7?>2wxnoh50;6x9g5a2;ko70<>f282<3=::;926<69;<0234<60?1v\7folj:187\7f8d4m38jh63=1g095=0<5;8847?76:?1526=91<0q~<n4;296~;e<l0:5n52b3096db<uzhhi7>54z?a0`<5im16>?>=:0:5?846;h0:4;5220:3>4>13tyioi4?:5y>f1b=:hn01?<?1;3;2>;59:31=584=334b?7?>2wxnno50;6x9g2f2;ko70<>fd82<3=::89>6<69;<023f<60?1v\7fom6:187\7f8d3138jh63=1gf95=0<5;;887?76:?152d=91<0q~ll5;290~;e<<09mi5220d:>4>1348:?<4>879>6410282=7p}mc583>1}:j=>1>lj4=33e<?7?>279=>>519489770>3;3:6s|bb394?2|5k>:6?ok;<02b0<60?16>?=m:0:5?846?:0:4;5rsca3>5<3s4h?<7<nd:?15c2=91<01?<<a;3;2>;59>81=584}r`ga?6=<r7i9h4=ae9>6775282=70<>4882<3=::82m6<69;|qa`a<72=q6n8j52`f8974693;3:63=15:95=0<5;;3i7?76:\7fpfa?=83>p1o;6:3cg?8458m0:4;522066>4>1348:4o4>879~wgb?290?w0l:8;0b`>;5:9i1=584=3370?7?>279=5o51948yvdc=3:18v3m5481ea=::;:26<69;<0205<60?16><68:0:5?xuel=0;69u2b4696db<5;8;47?76:?156`=91<01??76;3;2>{tjm:1<7:t=c73>7gc3489<94>879>645d282=70<>8382<3=z{kim6=4;{<`7b?4fl279>==519489774j3;3:63=19395=0<uz8j47>52z?a25<61j16n?k52`f8yvdb83:18v3m6181ea=::;;?6<69;<020g<60?16><7=:0:5?xuelo0;69u2b4d96db<5;8:?7?76:?151g=91<01??61;3;2>{tjmh1<7:t=c7a>7gc3489<k4>879>6420282=70<>8e82<3=z{knj6=4;{<`6e?4fl279>=k519489773>3;3:63=19a95=0<uzho;7>54z?a12<5im16>?>m:0:5?846<;0:4;5220::>4>13tyih;4?:5y>f00=:hn01?<?a;3;2>;59=;1=584=33;<?7?>2wxni=50;6x9g342;ko70<=0682<3=::89n6<69;<02<1<60?1v\7foj=:187\7f8d2:38jh63=21495=0<5;;8h7?76:?15=5=91<0q~<82;296~;>910:5n5291d95``<uz8<?7>52z?;02<61j164>k51dd8yv?6<3:1>v361082=f=:19l1>lj4}r:77?6=:r738=4>9b9><6c=:hn0q~omd;291~;59;h1=4l4=331<?7>j279=?;518`89775:3;2n63nc18066=z{;?n6=4={<026g<61m16><<l:0ge?xu59;k1<7lt=331f?4fl279=l>51948977>n3;3:63=1c295=0<5;;jj7?76:?15f4=91<01??l1;3;2>;59m81=584=33g5?7?>279=h=51948977b:3;3:6s|24d94?4|5;;947?6d:?157?=9ll0q~<>2683>g}::8836?ok;<02=f<60?16><7m:0:5?846ij0:4;5220ca>4>1348:nh4>879>64dc282=70<>cd82<3=::8io6<69;<02`c<60?16><jj:0:5?xu5>90;6?u22006>4?c348:>;4>eg9~w775<3:1nv3=13796db<5;;247?76:?15<1=91<01??n8;3;2>;59h=1=584=33ae?7?>279=o751948977di3;3:63=1b;95=0<5;;om7?76:?15a?=91<0q~<91;296~;59;81=4j4=3317?7bn2wx><<>:18a\7f846:;09mi5220;7>4>1348:5>4>879>64g3282=70<>a282<3=::8h=6<69;<02f0<60?16><m9:0:5?846k<0:4;5220f5>4>1348:h84>879~w4g2:3:1=o?t=06ga?7>i27:<h:518c8946>l3;2m63>19695<g<58;:57?6a:?2555=90k01<??e;3:e>;691?1=4o4=0310?7>i27:=nk518c89475n3;2m63>1c:95<g<588;n7?6a:?256g=90k01<?l3;3:e>;6<ml1=4o4=026e?7>i27:=i7518c89461=3;2m63>06295<g<58:<n7?6a:?25`2=90k01<>61;3:e>;69ll1=4o4=02;2?7>i27:<4m518c89447k3;2m63>1gc95<g<588;97?6a:?20`?=90k01<>6e;3:e>;680l1=4o4=03;2?7>i27:<l>518c8946fj3;2m63>0c:95<g<58:jo7?6a:?24db=90k01<?77;3:e>;68jo1=4o4=03:a?7>i27:<n=518c8947?l3;2m63>20495<g<58:o57?6a:?25<5=90k01<:i0;3:e>;68l?1=4o4=02e4?7>i27:<kl518c8947f13;2m63>0ga95<g<58;i87?6a:?24cb=90k01<>ie;3:e>;68ol1=4o4=0335?7>i27:==>518c89477:3;2m63>1c795<g<58;i;7?6a:?264b=90k01<?m6;3:e>;6<o91=4o4=0330?7>i27:==;518c8947e13;2m63>11495<g<58;;;7?6a:?25gg=90k01<??8;3:e>;69931=4o4=033e?7>i27:==l518c89477k3;2m63>23295<g<58;;h7?6a:?25gd=90k01<?mc;3:e>;69kn1=4o4=06e0?7>i27:==h518c8947683;2m63>10095<g<58;:=7?6a:?25gc=90k01<?>3;3:e>;698?1=4o4=0320?7>i27:=oh518c89476?3;2m63>1b295<g<58;::7?6a:?25f4=90k01<?l1;3:e>;69821=4o4=06e1?7>i27:>??518c89476i3;2m63>10`95<g<58;:o7?6a:?254b=90k01<?>e;3:e>;69j>1=4o4=032b?7>i27:=n;518c8947583;2m63>13095<g<58;h:7?6a:?2577=90k01<?l7;3:e>;69;91=4o4=0016?7>i27:8k8518c8947d03;2m63>13795<g<58;947?6a:?2570=90k01<?=7;3:e>;69j31=4o4=031e?7>i27:=?7518c8947di3;2m63>13`95<g<58;9o7?6a:?25fe=90k01<?=d;3:e>;69jh1=4o4=0017?7>i27:=?k518c8947dl3;2m63>4g595<g<58;8<7?6a:?25f`=90k01<?<1;3:e>;69:81=4o4=0307?7>i27:=>:518c89474>3;2m63>12795<g<58;o<7?6a:?2561=90k01<?<8;3:e>;69:31=4o4=03g5?7>i27:=i=518c8942a03;2m63>1e095<g<588987?6a:?256d=90k01<?<c;3:e>;69:n1=4o4=030a?7>i27:=i:518c8947c=3;2m63>12d95<g<58;??7?6a:?2516=90k01<?;1;3:e>;69=81=4o4=0011?7>i27:=9:518c8947c>3;2m63>1e595<g<58;o47?6a:?20`6=90k01<?ka;3:e>;69mh1=4o4=03ga?7>i27:=im518c8947cl3;2m63>4d395<g<588;h7?6a:?25a`=90k01<?j0;3:e>;69l;1=4o4=03f7?7>i27:>=k518c8947b:3;2m63>4d095<g<58:>>7?6a:?25`3=90k01<>:3;3:e>;68<>1=4o4=0262?7>i27:=h8518c89462=3;2m63>04:95<g<58:>;7?6a:?240?=90k01<?j7;3:e>;69l31=4o4=003b?7>i27:=h6518c8942b;3;2m63>04`95<g<58:>o7?6a:?240c=90k01<>:d;3:e>;69lk1=4o4=026b?7>i27:<;>518c8946193;2m63>1d`95<g<58:=?7?6a:?25`e=90k01<>92;3:e>;68?>1=4o4=0024?7>i27:=hj518c8947bm3;2m63>4d695<g<58:=:7?6a:?2431=90k01<>98;3:e>;68?31=4o4=025e?7>i27:=k>518c89461k3;2m63>07`95<g<58;m=7?6a:?243c=90k01<?i2;3:e>;68?n1=4o4=03e7?7>i27:<;h518c8947a<3;2m63>20395<g<58>n97?6a:?2427=90k01<>84;3:e>;68>81=4o4=0247?7>i27:=k;518c89460=3;2m63>06595<g<58:<:7?6a:?25c1=90k01<?i6;3:e>;68>k1=4o4=024<?7>i27:<:7518c89446:3;2m63>1g:95<g<58>n:7?6a:?25c?=90k01<>8c;3:e>;68>l1=4o4=024`?7>i27:=kl518c89460m3;2m63>09295<g<58:3=7?6a:?25ce=90k01<>72;3:e>;681>1=4o4=02;7?7>i27:<5;518c8947al3;2m63>1gg95<g<58;mj7?6a:?2645=90k01<:j7;3:e>;681=1=4o4=0034?7>i27:<56518c8946?13;2m63>09c95<g<58:3n7?6a:?2657=90k01<>7c;3:e>;681n1=4o4=02;a?7>i27:>=<518c8946>83;2m63>09d95<g<588;?7?6a:?2652=90k01<<>4;3:e>;6<l21=4o4=02:6?7>i27:>=8518c8946>;3;2m63>08695<g<58:297?6a:?24<0=90k01<>68;3:e>;68031=4o4=02:3?7>i27:>=9518c8944703;2m63>08c95<g<588;57?6a:?265g=90k01<>6b;3:e>;6:8?1=4o4=06fe?7>i27:<l?518c8946f:3;2m63>0`195<g<58;347?6a:?24d2=90k01<>n6;3:e>;68h?1=4o4=02b3?7>i27:=57518c8946f03;2m63>19`95<g<58:j57?6a:?25=g=90k01<<>7;3:e>;68hk1=4o4=03;g?7>i27:8hl518c8946fn3;2m63>0`g95<g<58:i=7?6a:?24g6=90k01<?7e;3:e>;68k81=4o4=02a7?7>i27:<o:518c8947?n3;2m63>0c495<g<58;2<7?6a:?24g3=90k01<>m7;3:e>;6:821=4o4=03:5?7>i27:=4<518c8942bk3;2m63>0c;95<g<58:im7?6a:?25<2=90k01<>mb;3:e>;68ki1=4o4=02a`?7>i27:=4;518c8946em3;2m63>0cd95<g<58:h<7?6a:?25<0=90k01<<>9;3:e>;68j;1=4o4=02`6?7>i27:=49518c8947>03;2m63>4df95<g<58:h87?6a:?24f3=90k01<>l6;3:e>;68j=1=4o4=03:=?7>i27:<n7518c8946d03;2m63>18c95<g<58:hm7?6a:?24fb=90k01<>lb;3:e>;68ji1=4o4=03:f?7>i27:><o518c8942bm3;2m63>18a95<g<58;2h7?6a:?24f`=90k01<>k0;3:e>;68m81=4o4=02g5?7>i27:=4h518c8946c;3;2m63>0e795<g<58:o87?6a:?25d6=90k01<>k7;3:e>;69h;1=4o4=02g2?7>i27:=l<518c8946c03;2m63>20`95<g<58>nj7?6a:?25d5=90k01<>ka;3:e>;68mh1=4o4=02g`?7>i27:<im518c8947f<3;2m63>0eg95<g<58:n<7?6a:?24a`=90k01<?n5;3:e>;68l81=4o4=03b2?7>i27:<h?518c8947f03;2m63>1`595<g<58:n?7?6a:?20c7=90k01<<>c;3:e>;68l<1=4o4=02f3?7>i27:<h6518c8946b13;2m63>1`c95<g<58:nm7?6a:?24`e=90k01<>jb;3:e>;69hh1=4o4=02fa?7>i27:=lm518c8946bl3;2m63>1`f95<g<58:nj7?6a:?264c=90k01<:i2;3:e>;69ho1=4o4=02e5?7>i27:<k:518c8946a:3;2m63>0g195<g<58;jj7?6a:?24c0=90k01<>i5;3:e>;69k:1=4o4=02e3?7>i27:<k6518c8947e:3;2m63>0g;95<g<58;i=7?6a:?264`=90k01<>ia;3:e>;69k91=4o4=0c66?55;2wx=h:6:181\7f873ll0:5o5220d3>4523ty:i8l50;0x942cm3;2o63=1g39563<uz;<?k4?:3y>51bb2;ko70?<a082=g=z{8o997>52z?aa3<61k16><km:016?xu6m;o1<7<t=cg5>4?d348:in4>349~w4>6l3:1>v3me781ea=:9=l26<7m;|q2a45=838p1h<n:0;a?846m00:?85rs0g2g?6=:r7n>l4>9b9>64cf289>7p}>89a94?4|5l8j6?ok;<37b<<61h1v\7f<k<7;296~;em<0:5o5220gg>4523ty:i9>50;0x9gc2283h70<>ed8270=z{8=ji7>52z?aa0<5im16=>o>:0;b?xu6l>;1<7<t=d;3>4?e348:5=4>349~w4bc<3:1>v3j9182=f=::8h86<=:;|q2=1`=838p1h7?:3cg?8e1k3;2n6s|1eg5>5<5s4o9n7?6b:?15ab=9:?0q~?kf983>7}:m;h1=4m4=33f2?74=2wx=4?l:181\7f8c5j38jh63>56;95<g<uz;n<<4?:3y>g4?=90h01??j7;301>{t9l:j6=4={<a2=?7>k279=h651278yv7?nk0;6?u2c0;96db<58?<57?6b:\7fp65262909w0??3982=g=::;9:6<=:;|q14d2=838p1<><8;3:g>;5::i1=>;4}r35b7<72;q6===7:3cg?8b5k3;2m6s|1bca>5<5s4;;?:4>9c9>641f289>7p}>d1g94?4|58:8;7?6c:?15=3=9:?0q~?6bb83>7}:999<6?ok;<a5g?7>i2wx=ok::181\7f8e5<3;2n63=1769563<uz;h854?:3y>g72=90i01??9f;301>{t9?h<6=4={<a10?4fl27:9:o518`8yv7e9o0;6?u2ee595<d<5;;?i7?<5:\7fp5g>52909w0kk7;3:g>;59<31=>;4}r35`a<72;q6ii952`f89430i3;2m6s|2024>5<5s4om:7?6b:?156>=9:?0q~?nbb83>7}:mo<1=4m4=3377?74=2wx=;k8:181\7f8ca>38jh63k2b82=g=z{8nnj7>52z?24`2=90h01??jf;301>{t9l?96=4={<33a1<61j16><hn:016?xu6?891<7<t=02f0?4fl27m?54>9c9~w4ce=3:1>v3>08f95<d<5;8;97?<5:\7fp5c7?2909w0??9e82=f=::;;;6<=:;|q235>=838p1<>6d;0b`>;c:m0:5l5rs0d4f?6=:r7m<<4>9c9>677e289>7p}>feg94?4|5o::6<7l;<0163<6;<1v\7f<8id;296~;a8809mi52d3f95<d<uz;m4i4?:3y>g7`=90h01?<>3;301>{t9o3=6=4={<a1b?7>k279><:51278yv70:00;6?u2c3d96db<58?j<7?6b:\7fp64772909w0?>8582=g=::88o6<=:;|q2e0?=838p1<?74;3:g>;59k>1=>;4}r345`<72;q6=<6;:3cg?8`403;2m6s|1g43>5<5s4l:;7?6b:?165c=9:?0q~?i6883>7}:n8=1=4m4=303b?74=2wx=::?:181\7f8`6?38jh63k9282=g=z{8l;j7>52z?254?=90h01?<?6;301>{t9o8:6=4={<325<<61j16>?>8:016?xu6??i1<7<t=032=?4fl27mn54>9c9~w4`0:3:1>v3i0b82=g=::;;:6<=:;|q2b=2=838p1k>l:0;`?8459;0:?85rs0500?6=:r7m<n4=ae9>50g7283j7p}>f5g94?4|58;;?7?6b:?165e=9:?0q~?i5683>7}:98:86<7l;<014a<6;<1v\7f<9;b;296~;69991>lj4=e;0>4?f3ty:j>m50;0x9c45283i70<=0`8270=z{8l?97>52z?e67<61j16>?>m:016?xu6?<<1<7<t=g01>7gc34n2i7?6b:\7fp5c4f2909w0?>0d82=g=::;:36<=:;|q2b65=838p1<??e;3:g>;5:931=>;4}r3424<72;q6=<>j:3cg?8b>m3;2m6s|1dga>5<5s4l9h7?6b:?1657=9:?0q~?jf583>7}:n;n1=4m4=3036?74=2wx=:6=:181\7f8`5l38jh63ka882=g=z{8omh7>52z?25=3=90h01?<?3;301>{t9o:=6=4={<32<0<61j16>?>;:016?xu6?>=1<7<t=03;1?4fl27mn54>9`9~w4cc13:1>v3>13695<d<5;;mj7?<5:\7fp5`c52909w0?>2582=f=::;:;6<=:;|q23=b=838p1<?=4;0b`>;ci00:5l5rs0g42?6=:r7:=nk518`8977a<3;896s|1d5e>5<5s4;:oh4>9b9>64`2289>7p}>7b794?4|58;hi7<nd:?2513=90h0q~?jc683>7}:988m6<7m;<02ba<6;<1v\7f<kk0;296~;69;l1=4m4=33ea?74=2wx=:77:181\7f876:o09mi52fc;95<d<uz;nmn4?:3y>54d?283i70<>fc8270=z{8oii7>52z?25g>=90i01??ic;301>{t9>k86=4={<32f=<5im16jo7518c8yv7b>=0;6?u2132a>4?e348:j?4>349~w4c1l3:1>v3>21`95<e<5;;m?7?<5:\7fp52b72909w0?=0c81ea=:98>>6<7n;|q2a<g=838p1<?<a;3:f>;59o21=>;4}r3fe6<72;q6=<=n:0;`?846n00:?85rs05bb?6=:r7:=>o52`f89cba283i7p}>e9:94?4|58;h?7?6b:?15c0=9:?0q~?j9083>7}:98i86<7l;<02b2<6;<1v\7f<9ma;296~;69j91>lj4=gfe>4?f3ty9<n750;0x942cn3;2n63=2249516<uz8;h?4?:3y>51ba283h70<=368205=z{8=on7>52z?20a`=:hn01<=l1;3:f>{t:92j6=4={<g1g?7>j279>?h51528yv471:0;6?u2e3a95<e<5;88<7?;0:\7fp52`d2909w0k=c;0b`>;6=hh1=4o4}r0323<72;q6i>9518`89745j3;?<6s|214e>5<5s4o8;7?6c:?167e=9=:0q~?71383>7}:m:=1>lj4=07a2?7>i2wx>=l8:181\7f8e4i3;2n63=2269516<uz8;o=4?:3y>g6g=90i01?<<5;374>{t9>o=6=4={<a0e?4fl27:?n?518c8yv471j0;6?u2c5795<d<5;88>7?;0:\7fp65gb2909w0m;5;3:g>;5::91=9>4}r34b4<72;q6o9;52`f8943fj3;2n6s|215;>5<5s4i><7?6b:?167b=9=:0q~<?8083>7}:k<:1=4m4=301a?7382wx=5>8:181\7f8e2838jh63>5c495<d<uz8;994?:3y>a14=90h01?<=9;374>{t:9?o6=4={<g76?7>k279>?o51528yv7?9l0;6?u2e5096db<5mh?6<7m;|q2bc7=838p1<>;4;3:f>;5:8o1=9>4}r3ebd<72;q6==:;:0;`?8459o0:8=5rs0:61?6=:r7:<9:52`f89ada283j7p}=02;94?4|58:857?6b:?1671=9=:0q~<?4c83>7}:99926<7l;<016=<6<91v\7f<6=9;296~;68:31>lj4=e`7>4?f3ty9<?950;0x9f3e283i70<=258205=z{;:8<7>52z?`1g<61j16>?<::063?xu60:>1<7<t=b7a>7gc34;>o<4>9c9~w766=3:1>v3j4e82=g=::;896<:?;|q144c=838p1h:k:0;`?845::0:8=5rs0:0b?6=:r7n8i4=ae9>50e6283j7p}=01194?4|5l?36<7m;<0165<6<91v\7f?>?c;296~;b=10:5n522302>4273ty:49o50;0x9`3?2;ko70jmf;3:f>{t9oh96=4={<331d<61k16>??8:063?xu6nkh1<7<t=026e?7>k279><651528yv7???0;6?u2117b>7gc34lnm7?6b:\7fp5ce32909w0??4g82=g=::;;26<:?;|q2bfb=838p1<>;f;3:g>;5:8k1=9>4}r3;2g<72;q6==:i:3cg?8bdi3;2m6s|1gf5>5<5s4o=?7?6b:?164e=9=:0q~?ie983>7}:m?91=4m4=302`?7382wx=58?:181\7f8c1;38jh63kc`82=g=z{8h>:7>52z?`23<61k16><:n:063?xu6j<l1<7<t=b45>4?d348:8o4>419~w4>?l3:1>v3l6781ea=:9<ih6<7m;|q2bd6=838p1<?k9;3:f>;5:8?1=9>4}r3ee<<72;q6=<j6:0;`?8459?0:8=5rs0:;5?6=:r7:=i752`f89ccf283j7p}>b2094?4|5l=26<7m;<0203<6<91v\7f<l<b;296~;b?00:5n522064>4273ty:4l=50;0x9`1>2;ko70jk5;3:f>{t9k;<6=4={<3320<61k16><:;:063?xu6j;31<7<t=0251?7>k279=9;51528yv7?il0;6?u21146>7gc34no97?6a:\7fp5g232909w0k9e;3:f>;59=21=9>4}r3a0a<72;q6i;k518a8977313;?<6s|19;;>5<5s4o=i7<nd:?21fe=90k0q~?m0483>7}:m1>1=4l4=3375?7382wx=o>j:181\7f8c?<3;2o63=1509516<uz;3n44?:3y>a=2=:hn01ik?:0;a?xu6io91<7<t=0244?7>j279=>h51528yv7fnj0;6?u21153>4?d348:8=4>419~w4>d<3:1>v3>06296db<5mo;6<7n;|q2e`7=838p1<>8b;3:f>;59:n1=9>4}r3bad<72;q6==9m:0;`?846;l0:8=5rs0:`b?6=:r7:<:l52`f89c`2283i7p}>ac694?4|5l2m6<7m;<027<<6<91v\7f<ol6;296~;b0o0:5n52201b>4273ty:4h;50;0x9`>a2;ko70j=e;3:f>{t9him6=4={<32a1<61k16><=m:063?xu6im21<7<t=03f0?7>k279=>m51528yv7?lh0;6?u210g7>7gc34lm97?6a:\7fp5d?72909w0??9082=g=::89?6<:?;|q2e<?=838p1<>61;3:g>;59:?1=9>4}r3;bf<72;q6==7>:3cg?877890:5o5rs0c4a?6=:r7:=hh518`89774:3;?<6s|1`:4>5<5s4;:ik4>9b9>645428>;7p}>91594?4|58;nj7<nd:?2456=90k0q~?na383>7}:992=6<7m;<0273<6<91v\7f<onb;296~;681<1=4m4=3303?7382wx=5h?:181\7f8770?09mi52d3g95<g<uz;j:n4?:3y>55?d283i70<>318205=z{8k<97>52z?24<e=90i01??<1;374>{t90:j6=4={<33=f<5im16==>m:0;a?xu58mh1<7<t=003g?7>j279>>651528yv47m=0;6?u2132`>4?d3489?44>419~w4?683:1>v3>21a96db<58;=97?6a:\7fp65`a2909w0?>f`82=g=::88n6<:?;|q2e35=838p1<?ia;3:g>;59;l1=9>4}r3:4f<72;q6=<hn:3cg?8778k0:5l5rs32f`?6=:r7:>=;518`89744i3;?<6s|21d5>5<5s4;9<84>9b9>675e28>;7p}>91g94?4|588;97<nd:?2533=90h0q~<?b983>7}:jl=1=4l4=3000?73j2wx>=m>:181\7f8db?3;2o63=227951d<uz;2=84?:3y>f`1=:hn01<=l2;3:e>{t:9ij6=4={<37a<<61k16>?=9:06a?xu58m91<7<t=06f=?7>k279>>9515`8yv7>9:0;6?u215g:>7gc34;8o?4>9c9~w76013:1>v3med82=g=::;8o6<:m;|q14=4=838p1okj:0;`?845:l0:8o5rs0;2`?6=:r7iih4=ae9>50c5283i7p}=08f94?4|5ko36<7m;<0177<6<k1v\7f?>nf;296~;em10:5n522310>42e3ty:5<950;0x9gc?2;ko70?:d682=g=z{;:3n7>52z?f=4<61k16>?<i:06a?xu580>1<7<t=d;2>4?d3489?=4>4c9~w4?613:1>v3j9081ea=:9<n<6<7n;|q146g=838p1<>6e;3:f>;5:;=1=9l4}r030f<72;q6==7j:0;`?845:10:8o5rs0;0<?6=:r7:<4k52`f89a5>283j7p}=07594?4|5l396<7m;<016g<6<k1v\7f?>80;296~;b1;0:5n52230`>42e3ty:5?<50;0x9`?52;ko70?:e382=d=z{;:>97>52z?f=6<61k16>?<6:06a?xu58<o1<7<t=d;0>4?d3489>l4>4c9~w4?5l3:1>v3j9281ea=:l:31=4l4}r0341<72;q6i4h518`8974583;?n6s|212g>5<5s4o2j7?6c:?1677=9=h0q~?64783>7}:m0l1>lj4=e67>4?e3ty9<<850;0x9`?b283i70<=23820g=z{;::j7>52z?f=`<61j16>?<<:06a?xu61=>1<7<t=d;f>7gc34;>ii4>9`9~w76503:1>v3mf582=g=::;8?6<:m;|q1467=838p1oh;:0;`?845:<0:8o5rs0;76?6=:r7ij94=ae9>50cc283i7p}>fg094?4|58:2j7?6b:?164c=9=h0q~?ifc83>7}:993m6<7l;<015c<6<k1v\7f<7;8;296~;680l1>lj4=e67>4?f3ty:ji950;0x9`g7283i70<=1b820g=z{8ln57>52z?fe5<61j16>??k:06a?xu61=h1<7<t=dc3>7gc34n?j7?6b:\7fp5cg62909w0?>8782=g=::;;>6<:m;|q2bdg=838p1<?76;3:g>;5:8<1=9l4}r3:1<<72;q6=<69:3cg?8779?0:5l5rs0d`1?6=:r7:<l>518`8974613;?n6s|1gaf>5<5s4;;m=4>9b9>677f28>i7p}>95f94?4|58:j<7<nd:?g0c<61h1v\7f<hm3;296~;68hh1=4l4=3023?73j2wx=kll:181\7f877ik0:5n52233;>42e3ty:58>50;0x946fj38jh63>00495<d<uz;i?>4?:3y>ag0=90h01??;6;37f>{t9k9h6=4={<ga2?7>k279=99515`8yv7>?h0;6?u2ec496db<5m?j6<7m;|q2f01=838p1ohi:0;a?846<h0:8o5rs0`54?6=:r7ijk4>9b9>642e28>i7p}>97694?4|5klm6?ok;<363g<61k1v\7f<oj2;296~;68k21=4l4=330`?73j2wx=lkm:181\7f877j10:5n52201f>42e3ty:5l850;0x946e038jh63>03395<d<uz;i884?:3y>add=90h01??;8;37f>{t9k>n6=4={<gbf?7>k279=97515`8yv7>>o0;6?u2e``96db<58?<n7?6a:\7fp5g7?2909w0??ab82=g=::8>?6<:m;|q2f7g=838p1<>nc;3:g>;59=?1=9l4}r3:<0<72;q6==ol:3cg?8b2i3;2m6s|1c25>5<5s4oh=7?6b:?1517=9=h0q~?m0g83>7}:mj;1=4m4=3376?73j2wx=47?:181\7f8cd938jh63k6482=g=z{8km87>52z?24db=90h01??<f;37f>{t9hlo6=4={<33ea<61j16><:?:06a?xu610h1<7<t=02b`?4fl27o:84>9`9~w4ge=3:1>v3jcb82=g=::8926<:m;|q2ef1=838p1hml:0;`?846;h0:8o5rs0;a`?6=:r7non4=ae9>`26=90h0q~?nd183>7}:982<6<7m;<027g<6<k1v\7f<ok9;296~;691=1=4m4=330g?73j2wx=4l>:181\7f8760>09mi521102>4?f3ty:m4?50;0x946dm3;2n63=126951d<uz;j5l4?:3y>55eb283h70<>34820g=z{83o?7>52z?24fc=:hn01<>=c;3:f>{t:9on6=4={<32=`<61k16>?=n:06a?xu58o=1<7<t=03:a?7>k279>>l515`8yv7>no0;6?u210;f>7gc34;::;4>9c9~w4gf;3:1>v3>0b195<d<5;;8:7?;b:\7fp5dgd2909w0??c282=f=::89<6<:m;|q2=f>=838p1<>l3;0b`>;c?90:5l5rs0c4b?6=:r7:=5j518`89774:3;?n6s|1`:;>5<5s4;:4i4>9b9>645428>i7p}>9eg94?4|58;3h7<nd:?247e=90k0q~<?db83>7}:9;;=6<7m;<017=<6<k1v\7f?>j5;296~;6:8<1=4m4=300=?73j2wx=l>n:181\7f8759?09mi521045>4?f3ty:m;j50;0x946c13;2n63=122951d<uz;j;;4?:3y>55b>283h70<>30820g=z{83n57>52z?24a?=:hn01kln:0;a?xu599:1<7<t=03:7?7>j279=?k515`8yv7f>=0;6?u210;0>4?d348:>k4>4c9~w4?a<3:1>v3>18196db<5ohj6<7n;|q14g?=838p1n>n:0;a?845;=0:8k5rs32`6?6=:r7h<l4>9b9>675228>m7p}>a3294?4|5j:j6?ok;<30ga<61h1v\7f?>lb;296~;6<o:1=4l4=3002?73n2wx>=j;:181\7f873n90:5n522314>42a3ty:m<;50;0x942a838jh63>3bf95<d<uz8;:54?:3y>a`5=90h01?<=b;37b>{t:9=:6=4={<gf7?7>k279>?m515d8yv71l<0;6?u2ed196db<58?2=7?6a:\7fp65?b2909w0m>5;3:f>;5::81=9h4}r03f5<72;q6o<;518a89744;3;?j6s|17`;>5<5s4i:97<nd:?21=0=90h0q~<?8b83>7}:mm21=4l4=301b?73n2wx>=7::181\7f8cc03;2o63=222951`<uz;=o>4?:3y>aa>=:hn01<;76;3:e>{t:99i6=4={<33a0<61k16>?<8:06e?xu58=n1<7<t=02f1?7>k279>?6515d8yv71l>0;6?u211g6>7gc34n<n7?6a:\7fp651f2909w0m>6;3:f>;5:;n1=9h4}r03<6<72;q6o<8518a89745m3;?j6s|17af>5<5s4i::7<nd:?21<7=90h0q~<?5783>7}:mlo1=4l4=301=?73n2wx>=;i:181\7f8cbm3;2o63=23c951`<uz;=h;4?:3y>a`c=:hn01i9m:0;a?xu589?1<7<t=dd3>4?e3489>=4>4g9~w767m3:1>v3jf182=f=::;8:6<:i;|q22ag=838p1hh?:3cg?8b?>3;2n6s|2134>5<5s4onj7?6b:?1674=9=l0q~<?2183>7}:mll1=4m4=3017?73n2wx=;j6:181\7f8cbn38jh63>58;95<g<uz8;>44?:3y>g41=90h01?<=4;37b>{t:9996=4={<a23?7>k279>?;515d8yv71l10;6?u2c0596db<58?257?6b:\7fp5c`42909w0??f182=g=::;;n6<:i;|q2bce=838p1<>i0;3:g>;5:8l1=9h4}r35`g<72;q6==h?:3cg?8b?>3;2m6s|1ga5>5<5s4;;jo4>9c9>677>28>m7p}>fbd94?4|58:mn7?6c:?164g=9=l0q~?9dd83>7}:99li6?ok;<f:5?7>i2wx=ko=:181\7f876i00:5o522336>42a3ty:jll50;0x947f13;2o63=204951`<uz;=i=4?:3y>54g>2;ko70hl5;3:e>{t9on36=4={<ge5?7>j279><m515d8yv7amh0;6?u2eg395<e<5;8:h7?;f:\7fp53bd2909w0ki1;0b`>;c180:5o5rs0da0?6=:r7:<km518`89746?3;?j6s|1g`g>5<5s4;;jn4>9b9>677?28>m7p}>6ed94?4|58:mo7<nd:?eg0<61k1v\7f<l<4;296~;bn:0:5o522065>42a3ty:n>j50;0x9``4283h70<>46820c=z{8<n?7>52z?fb6<5im16h4:518`8yv7e=10;6?u2c0:95<d<5;;?m7?;f:\7fp5g062909w0m>8;3:g>;59=h1=9h4}r35a4<72;q6o<652`f8943>i3;2n6s|1c65>5<5s4om>7?6b:?151>=9=l0q~?m4g83>7}:mo81=4m4=337=?73n2wx=;k=:181\7f8ca:38jh63>58c95<g<uz;jh<4?:3y>54d3283i70<>3c820c=z{8kom7>52z?25g2=90i01??<c;37b>{t9?o26=4={<32f1<5im16ji>518c8yv7e8>0;6?u2eg695<d<5;;?=7?;f:\7fp5g772909w0ki4;3:g>;59=81=9h4}r35a0<72;q6ik:52`f89a?2283i7p}>b0;94?4|58:mh7?6b:?1512=9=l0q~?m2c83>7}:99lo6<7l;<0200<6<o1v\7f<8j4;296~;68on1>lj4=e;7>4?f3ty:mk;50;0x946am3;2n63=12d951`<uz;jjh4?:3y>55`b283h70<>41820c=z{8<n:7>52z?24cc=:hn01i7::0;b?xu6il91<7<t=02eb?7>j279=>j515d8yv7fmj0;6?u211de>4?d348:?h4>4g9~w40b03:1>v3>0gd96db<5on;6<7m;|q2e<4=838p1<??1;3:f>;59:>1=9h4}r3b=g<72;q6=<>>:0;`?846;<0:8k5rs04fg?6=:r7:==?52`f89cb?283i7p}>ac494?4|5ll>6<7m;<027<<6<o1v\7f<ol8;296~;bn<0:5n52201b>42a3ty::ho50;0x9``22;ko70j66;3:f>{t9hk?6=4={<3245<61k16><=9:06e?xu6ihn1<7<t=0334?7>k279=>9515d8yv71mk0;6?u21023>7gc34n2:7?6a:\7fp5d0b2909w0?>0382=g=::89;6<:i;|q2e21=838p1<??2;3:g>;59:;1=9h4}r35a`<72;q6=<>=:3cg?8`c13;2n6s|1`:3>5<5s4;:n84>9c9>645528>m7p}>a9;94?4|58;i97?6c:?1565=9=l0q~?9ee83>7}:98h>6?ok;<dg<?7>i2wx>=ki:181\7f876j>0:5o52231b>42a3ty9<k650;0x947e?3;2o63=22`951`<uz;=j=4?:3y>54d02;ko70?>7082=g=z{;:oh7>52z?264b=90h01?<<8;37b>{t:9o=6=4={<315a<61j16>?=6:06e?xu6>o;1<7<t=002`?4fl27:=:?518c8yv46880;6?u210`5>4?e348:>h4>4g9~w4g1=3:1>v3>1c495<e<5;;9j7?;f:\7fp53ca2909w0?>b781ea=:nm31=4o4}r03gf<72;q6=9h<:0;a?845;?0:9=5rs32g1?6=:r7:8k=518a89744?3;><6s|17d0>5<5s4;?j>4=ae9>56b?283i7p}=0cc94?4|5j;j6<7m;<0171<6=91v\7f?>l3;296~;d9h0:5n522316>4373ty::k:50;0x9f7f2;ko70?<d982=d=z{;:>;7>52z?fb<<61k16>?<6:073?xu58?:1<7<t=dd:>4?d3489>l4>519~w40a13:1>v3jf881ea=:l0=1=4l4}r03=c<72;q6o<l518`89744:3;><6s|21`2>5<5s4i:n7?6c:?1665=9<:0q~?9f483>7}:k8h1>lj4=07:f?7>j2wx>=6k:181\7f8ca?3;2n63=23d9506<uz8;5;4?:3y>ac1=90i01?<<0;364>{t9?l=6=4={<ge3?4fl27:94l518c8yv47;j0;6?u21027>4?e3489>:4>519~w763m3:1>v3>11695<e<5;8947?:0:\7fp53`f2909w0?>0581ea=:l0=1=4o4}r033g<72;q6o<m518`89745l3;><6s|21:7>5<5s4i:o7?6c:?167c=9<:0q~?9f683>7}:k8i1>lj4=07:g?7>j2wx>=86:181\7f8ca03;2n63=23`9506<uz8;;?4?:3y>ac>=90i01?<=c;364>{t9?l36=4={<ge<?4fl27:94m518c8yv478?0;6?u2eg`95<d<5;89<7?:0:\7fp656a2909w0kib;3:g>;5:;;1=8>4}r35b`<72;q6ikl52`f89a??283i7p}=03c94?4|5j;o6<7m;<0161<6=91v\7f?><3;296~;d9m0:5n522306>4373ty::kl50;0x9f7c2;ko70?:9e82=g=z{;::47>52z?fbd<61k16>?<=:073?xu58;;1<7<t=ddb>4?d3489>>4>519~w40ak3:1>v3jf`81ea=:9<3o6<7n;|q2bc2=838p1<??5;3:f>;5:8o1=8>4}r3eba<72;q6=<>::0;`?8459o0:9=5rs04eb?6=:r7:==;52`f89a??283j7p}>fe;94?4|5llh6<7m;<015f<6=91v\7f<hjb;296~;bnj0:5n52233g>4373ty:;=>50;0x9``d2;ko70j69;3:f>{t9ok86=4={<32f<<61k16>??::073?xu6nhi1<7<t=03a=?7>k279><851428yv708:0;6?u210`:>7gc34lom7?6a:\7fp5ce02909w0?>0782=g=::;;26<;?;|q2ba6=838p1<??6;3:g>;5:8k1=8>4}r3444<72;q6=<>9:3cg?8b>13;2m6s|1g`6>5<5s4;:<:4>9c9>677028?;7p}>fcg94?4|58;;;7?6c:?164>=9<:0q~?80383>7}:98:<6?ok;<dge?7>j2wx=o:8:181\7f8cal3;2n63=15:9506<uz;i9=4?:3y>acb=90i01??;9;364>{t9>:>6=4={<ge`?4fl27:94k518c8yv7e;<0;6?u2egg95<d<5;;?:7?:0:\7fp5g5b2909w0kie;3:g>;59==1=8>4}r3443<72;q6ikk52`f89a?f283i7p}>b4;94?4|5j;n6<7m;<020d<6=91v\7f<l92;296~;d9l0:5n52206a>4373ty:;=:50;0x9f7b2;ko70?:9d82=g=z{8ko>7>52z?25gg=90h01??<b;364>{t9hni6=4={<32fd<61j16><=l:073?xu6?9i1<7<t=03ae?4fl27mho4>9`9~w4d703:1>v3jfg82=g=::8>:6<;?;|q2f47=838p1hhi:0;`?846<;0:9=5rs053=?6=:r7njk4=ae9>`<d=90h0q~?m1`83>7}:98:36<7m;<0201<6=91v\7f<l=c;296~;69921=4m4=3371?7282wx=:>8:181\7f8768109mi52d8c95<g<uz;jj;4?:3y>546>283i70<>3g8215=z{8kmj7>52z?255?=90i01??;0;364>{t9>:j6=4={<324<<5im16h4l518c8yv7fm=0;6?u2102b>4?e348:?i4>519~w4gbl3:1>v3>11c95<e<5;;8i7?:0:\7fp526e2909w0?>0`81ea=:nmh1=4l4}r3be0<72;q6=<>m:0;a?846;?0:9=5rs0cba?6=:r7:==l518a89774?3;><6s|162f>5<5s4;:<o4=ae9>`<e=90k0q~?n9283>7}:98:h6<7m;<0271<6=91v\7f<o6c;296~;699i1=4m4=3301?7282wx=:>i:181\7f8768j09mi52fea95<d<uz;jn:4?:3y>b56=90h01??<9;364>{t9hi26=4={<d34?7>k279=>o51428yv708m0;6?u2f1296db<5m3h6<7m;|q14ac=838p1<<=0;3:f>;5::21=8>4}r03a2<72;q6=?<?:0;`?845;00:9=5rs0521?6=:r7:>?>52`f89470k3;2m6s|1`4e>5<5s4;:<i4>9c9>645728?;7p}>a6:94?4|58;;h7?6c:?1567=9<:0q~?81083>7}:98:o6?ok;<dg`?7>j2wx=l6>:181\7f876jk0:5o522011>4373ty:m5o50;0x947ej3;2o63=1219506<uz;<==4?:3y>54de2;ko70hkc;3:e>{t:9hi6=4={<a2b?7>j279>>:51438yv47k=0;6?u2c0d95<e<5;8897?:1:\7fp52702909w0m>f;0b`>;6;mk1=4o4}r0247<72;q6=<ll:0;a?846:l0:9=5rs0c52?6=:r7:=om518a89775n3;><6s|1631>5<5s4;:nn4=ae9>bab=90k0q~<?f183>7}:98ho6<7m;<017d<6=91v\7f?>i9;296~;69kn1=4m4=300f?7282wx=:?;:181\7f876jm09mi52105`>4?e3ty9<nj50;0x942a<3;2n63=2249507<uz8;h;4?:3y>51`3283h70<=368214=z{8=::7>52z?20c2=:hn01<=ka;3:f>{t:9k;6=4={<a14?7>j279>><51438yv47j;0;6?u2c3295<e<5;88?7?:1:\7fp527?2909w0m=0;0b`>;6=0l1=4l4}r033f<72;q6o??518`89745l3;>=6s|21:6>5<5s4i9=7?6c:?167c=9<;0q~?81`83>7}:k;;1>lj4=07b5?7>j2wx>=6j:181\7f8`7:3;2n63=23d9507<uz8;5:4?:3y>b54=90i01?<<0;365>{t9>;26=4={<d36?4fl27:94h518c8yv47=10;6?u2f1695<d<5;8957?:1:\7fp65062909w0h?4;3:g>;5:;k1=8?4}r345f<72;q6j=:52`f89a?c283i7p}=00;94?4|5o:>6<7m;<0167<6=81v\7f?>=2;296~;a8<0:5n522300>4363ty:;?>50;0x9c622;ko70?:a382=d=z{;:=m7>52z?e46<61k16>?<m:072?xu58>91<7<t=g20>4?d3489>n4>509~w416j3:1>v3i0281ea=:9<k:6<7n;|q146b=838p1<??f;3:f>;5:;=1=8?4}r030c<72;q6=<>i:0;`?845:10:9<5rs052`?6=:r7:==h52`f89a?c283j7p}>fg794?4|58;:<7?6b:?164c=9<;0q~?ifd83>7}:98;;6<7l;<015c<6=81v\7f<9=2;296~;698:1>lj4=e;e>4?f3ty9<?l50;0x9f45283i70<=258214=z{;:887>52z?`67<61j16>?<::072?xu6?8l1<7<t=b01>7gc34;>m?4>9c9~w767?3:1>v3i0782=g=::;8;6<;>;|q1446=838p1k>9:0;`?845:80:9<5rs0515?6=:r7m<;4=ae9>`<`=90h0q~?ib783>7}:98;96<7m;<0152<6=81v\7f<hmf;296~;69881=4m4=302<?7292wx=:<::181\7f8769;09mi52feg95<d<uz;mhl4?:3y>b51=90h01?<>c;365>{t9ooh6=4={<d33?7>k279><j51438yv70::0;6?u2f1596db<5mk;6<7m;|q2f0g=838p1n<<:0;a?846<h0:9<5rs0`57?6=:r7h>>4>9b9>642e28?:7p}>73594?4|5j886?ok;<36e6<61k1v\7f<hl8;296~;698;1=4l4=302=?7292wx=kj>:181\7f876980:5n52233b>4363ty:;?:50;0x9476938jh63ka182=d=z{8lj87>52z?25gc=90h01?<>5;365>{t9oko6=4={<32f`<61j16>??9:072?xu6?;<1<7<t=03aa?4fl27mhh4>9`9~w4d4>3:1>v3i0882=g=::8>=6<;>;|q2f6`=838p1k>6:0;`?846<>0:9<5rs051e?6=:r7m<44=ae9>`d7=90h0q~?m1c83>7}:98;86<7m;<0201<6=81v\7f<l=d;296~;69891=4m4=3371?7292wx=:<m:181\7f8769:09mi52d`395<g<uz;i854?:3y>b5>=90h01??;8;365>{t9k?:6=4={<d3<?7>k279=9751438yv70:10;6?u2f1:96db<58?j?7?6a:\7fp5dc22909w0?>1482=g=::89o6<;>;|q2e`c=838p1<?>5;3:g>;59:o1=8?4}r346`<72;q6=<?::3cg?8`b83;2n6s|1`d4>5<5s4;:=94>9c9>645a28?:7p}>b1294?4|58;:87?6c:?1516=9<;0q~?82e83>7}:98;?6?ok;<fb6?7>i2wx=o>6:181\7f8`7i3;2n63=1539507<uz;i=?4?:3y>b5g=90i01??;2;365>{t9>8h6=4={<d3e?4fl27om?4>9c9~w4gc;3:1>v3>1cd95<d<5;;8n7?:1:\7fp5dbd2909w0?>bg82=f=::89h6<;>;|q237`=838p1<?mf;0b`>;am90:5l5rs0ca<?6=:r7m<o4>9c9>645>28?:7p}>abc94?4|5o:i6<7l;<027d<6=81v\7f<9<0;296~;a8k09mi52d`195<d<uz;j594?:3y>5470283i70<>358214=z{8k2h7>52z?2541=90i01??<5;365>{t9>996=4={<3252<5im16jh?518`8yv7f0;0;6?u210a3>4?e348:??4>509~w4g?j3:1>v3>1b295<e<5;;8?7?:1:\7fp52542909w0?>c181ea=:nl;1=4o4}r3be3<72;q6=<?9:0;a?846;?0:9<5rs0cbb?6=:r7:=<8518a89774?3;>=6s|1612>5<5s4;:=;4=ae9>`d5=90k0q~<?f083>7}:98i96<7m;<017d<6=81v\7f?>ia;296~;69j81=4m4=300f?7292wx=:=8:181\7f876k;09mi52105f>4?e3ty9===50;0x947d93;2n63=13g9507<uz;j::4?:3y>54e6283h70<>2g8214=z{8=8:7>52z?25f7=:hn01kk=:0;b?xu6i>:1<7<t=032<?7>j279=>>51438yv7f?00;6?u2103;>4?d348:?<4>509~w414=3:1>v3>10:96db<5oo96<7m;|q14fc=838p1<:i5;3:f>;5::<1=8<4}r03`2<72;q6=9h::0;`?845;>0:9?5rs050=?6=:r7:8k;52`f8945cj3;2n6s|21fe>5<5s4;9><4>9c9>675?28?:7p}=0d:94?4|5889=7?6c:?166?=9<;0q~?83983>7}:9;8:6?ok;<323`<61h1v\7f?>n1;296~;d:?0:5o522311>4353ty9<o=50;0x9f41283h70<=328217=z{8=8n7>52z?`63<5im16=8o;:0;a?xu58ki1<7<t=b06>4?e3489?94>539~w76d=3:1>v3l2482=f=::;9>6<;=;|q236g=838p1n<::3cg?874lk0:5l5rs324`?6=:r7h>:4>9c9>674c28?97p}=09494?4|5j8<6<7l;<016`<6=;1v\7f<9<d;296~;d:>09mi5214c6>4?e3ty9<8750;0x9c6a283i70<=288217=z{;:=>7>52z?e4c<61j16>?<n:071?xu6?=;1<7<t=g2e>7gc34nj87?6b:\7fp65>a2909w0h?d;3:f>;5:;l1=8<4}r03==<72;q6j=j518a8974483;>>6s|161`>5<5s4l;h7<nd:?21d2=90k0q~<?6c83>7}:n9o1=4l4=301f?72:2wx>=9;:181\7f8`7m3;2o63=23a9504<uz;<?h4?:3y>b5c=:hn01<;n5;3:e>{t:9;j6=4={<d24?7>j279>?<51408yv47::0;6?u2f0295<e<5;89?7?:2:\7fp52232909w0h>0;0b`>;6=h<1=4o4}r037`<72;q6=<?n:0;a?845:>0:9?5rs3264?6=:r7:=<o518a8974503;>>6s|1661>5<5s4;:=l4=ae9>`d2=90k0q~<?2b83>7}:k;21=4l4=3010?72:2wx>==::181\7f8e503;2o63=2379504<uz;<8>4?:3y>g7>=:hn01<;n6;3:f>{t9oni6=4={<d26?7>j279><m51408yv7amm0;6?u2f0095<e<5;8:h7?:2:\7fp52202909w0h>2;0b`>;ci?0:5o5rs323<?6=:r7m=<4>9c9>674728?97p}=00394?4|5o;:6<7l;<0164<6=;1v\7f<9;5;296~;a9809mi52d`795<d<uz;mj;4?:3y>547e283i70<=1d8217=z{8lmj7>52z?254d=90i01?<>f;366>{t9>>=6=4={<325g<5im16hl;518c8yv7ak00;6?u2103`>4?e3489=44>539~w4`c:3:1>v3>10a95<e<5;8:m7?:2:\7fp522?2909w0?>1b81ea=:lh<1=4o4}r3ef2<72;q6=<?k:0;a?8459>0:9?5rs0d`4?6=:r7:=<j518a8974603;>>6s|166:>5<5s4;:=i4=ae9>b`5=90h0q~?m1b83>7}:98;n6<7m;<0201<6=;1v\7f<l=e;296~;698o1=4m4=3371?72:2wx=::i:181\7f8769l09mi52d`595<g<uz;mm84?:3y>54e3283i70<=148217=z{8lji7>52z?25f2=90i01?<>6;366>{t9>>j6=4={<32g1<5im16jh=518c8yv7e=k0;6?u2c3;95<d<5;;?m7?:2:\7fp5g032909w0m=9;3:g>;59=h1=8<4}r340f<72;q6o?752`f8943f?3;2n6s|1c6:>5<5s4l:?7?6b:?151>=9<80q~?m5383>7}:n891=4m4=337=?72:2wx=::k:181\7f8`6;38jh63>5`595<g<uz;i?:4?:3y>b42=90h01??;6;366>{t9k>;6=4={<d20?7>k279=9951408yv70<l0;6?u2f0696db<5mk<6<7m;|q2ec>=838p1<?>f;3:f>;59:l1=8<4}r3a44<72;q6=<?i:0;`?846<90:9?5rs0565?6=:r7:=<h52`f89ag?283j7p}>b1c94?4|5o;>6<7m;<0204<6=;1v\7f<l>3;296~;a9<0:5n522061>4353ty:;8>50;0x9c722;ko70jn8;3:f>{t9hn?6=4={<32g0<61k16><=m:071?xu6imn1<7<t=03`1?7>k279=>m51408yv70=:0;6?u210a6>7gc34ln87?6a:\7fp5dc12909w0?>2182=g=::89o6<;=;|q2e``=838p1<?=0;3:g>;59:o1=8<4}r3417<72;q6=<<?:3cg?8`b<3;2n6s|1``:>5<5s4l::7?6b:?156?=9<80q~?ncc83>7}:n8<1=4m4=330e?72:2wx=:;;:181\7f8`6>38jh63ka`82=g=z{8k297>52z?2574=90h01??<4;366>{t9h3n6=4={<3267<61j16><=::071?xu6?<=1<7<t=0316?4fl27mi84>9c9~w4g?;3:1>v3>1b495<d<5;;8>7?:2:\7fp5d>d2909w0?>c782=f=::8986<;=;|q230>=838p1<?l6;0b`>;am<0:5l5rs0cb3?6=:r7:=??518`89774>3;>>6s|1``3>5<5s4;:><4>9b9>645028?97p}>74794?4|58;9=7<nd:?ged<61h1v\7f???4;296~;69j=1=4l4=331a?72:2wx=l87:181\7f876k>0:5n52200e>4353ty:;8o50;0x947d?38jh63ie782=d=z{8k<=7>52z?2575=90h01??<0;366>{t9h=j6=4={<3266<61j16><=>:071?xu6?<31<7<t=0317?4fl27mi;4>9c9~w76b83:1>v3>23095<d<5;8847?:2:\7fp65c>2909w0?=2382=f=::;926<;=;|q230e=838p1<<=2;0b`>;69>l1=4o4}r03gc<72;q6=9h9:0;a?845;?0:9>5rs32g<?6=:r7:8k8518a89744?3;>?6s|167g>5<5s4;?j;4=ae9>56bd283i7p}=0g094?4|58;h47?6b:?166g=9<80q~<?fc83>7}:98i36<7l;<017g<6=;1v\7f<9:b;296~;69j21>lj4=034b?7>j2wx>=o=:181\7f8e5j3;2n63=2209505<uz8;n94?:3y>g7d=90i01?<<3;367>{t9>?m6=4={<a1f?4fl27:9l6518`8yv47jm0;6?u2c3c95<d<5;8887?:3:\7fp65e12909w0m=a;3:g>;5::?1=8=4}r341`<72;q6o?o52`f8945ck3;2m6s|21;3>5<5s4l:47?6b:?167`=9<90q~<?9883>7}:n821=4m4=3004?72;2wx=:8?:181\7f8`6038jh63>5`:95<g<uz8;:n4?:3y>b4?=90h01?<=b;367>{t:9=>6=4={<d2=?7>k279>?m51418yv70>:0;6?u2f0;96db<58?j57?6a:\7fp653f2909w0h>a;3:f>;5:;31=8=4}r0326<72;q6j<o518a89745i3;>?6s|1647>5<5s4l:m7<nd:?geg<61k1v\7f?>8e;296~;d:j0:5o52230g>4343ty9<5950;0x9f4d283h70<=2d8216=z{8==>7>52z?`6f<5im16=8o6:0;a?xu58;n1<7<t=b0g>4?e3489>94>529~w764>3:1>v3l2e82=f=::;8>6<;<;|q2330=838p1n<k:3cg?872ih0:5o5rs320b?6=:r7:=?;518`89745?3;>?6s|2172>5<5s4;:>84>9b9>674?28?87p}>77794?4|58;997<nd:?geg<61h1v\7f?>?9;296~;a9j0:5o522303>4343ty9<<<50;0x9c7d283h70<=208216=z{8==47>52z?e5f<5im16hlm518`8yv7aj10;6?u2100;>4?e3489=:4>529~w4`d93:1>v3>13:95<e<5;8:47?:3:\7fp520c2909w0?>2981ea=:nl=1=4l4}r035g<72;q6j<l518`89745:3;>?6s|2107>5<5s4l:n7?6c:?1675=9<90q~?86683>7}:n8h1>lj4=07be?7>i2wx=kh8:181\7f876:?0:5o52233f>4343ty9<=>50;0x9475>3;2o63=20d9505<uz;<:44?:3y>54412;ko70jnc;3:e>{t9onh6=4={<d2`?7>j279><m51418yv7aml0;6?u2f0f95<e<5;8:h7?:3:\7fp520f2909w0h>d;0b`>;cim0:5o5rs0d`e?6=:r7:=?9518`8974613;>?6s|1gf0>5<5s4;:>:4>9b9>677f28?87p}>77`94?4|58;9;7<nd:?gea<61h1v\7f<l:c;296~;d:l0:5o52206b>4343ty:n;;50;0x9f4b283h70<>4c8216=z{8==j7>52z?`6`<5im16=8ol:0;a?xu6nh<1<7<t=03`=?7>j279><;51418yv7aio0;6?u210a:>4?d3489=;4>529~w411m3:1>v3>1b;96db<5oo<6<7n;|q2f1g=838p1k?j:0;a?846<10:9>5rs0`67?6=:r7m=h4>9b9>642>28?87p}>76294?4|5o;n6?ok;<36ef<61h1v\7f<oi9;296~;69;k1=4l4=330b?72;2wx=o>=:181\7f876:h0:5n522063>4343ty:;::50;0x9475i38jh63kag82=d=z{8h847>52z?e5c<61k16><:9:070?xu6j=;1<7<t=g3e>4?d348:8:4>529~w41093:1>v3i1g81ea=:lho1=4l4}r3a5a<72;q6=<<6:0;a?846<=0:9>5rs0`1b?6=:r7:=?7518a89773=3;>?6s|1651>5<5s4;:>44=ae9>`dc=90k0q~?nd483>7}:98ij6<7m;<027g<6=:1v\7f<oke;296~;69jk1=4m4=330g?72;2wx=:99:181\7f876kh09mi52fd:95<g<uz;i<o4?:3y>b76=90h01??;1;367>{t9k;?6=4={<d14?7>k279=9<51418yv70?:0;6?u2f3296db<5mkm6<7m;|q2e`1=838p1<?=b;3:f>;59:n1=8=4}r3bb5<72;q6=<<m:0;`?846;l0:9>5rs0541?6=:r7:=?l52`f89cc?283i7p}>a`:94?4|58;9o7?6b:?1560=9<90q~?nb083>7}:988h6<7l;<0272<6=:1v\7f<989;296~;69;i1>lj4=e`3>4?f3ty:moo50;0x9c46283i70<>388216=z{8kho7>52z?e64<61j16><=n:070?xu6?>21<7<t=g02>7gc34ni<7?6b:\7fp64622909w0?>cb82=g=::88n6<;<;|q2e3?=838p1<?lc;3:g>;59;l1=8=4}r343a<72;q6=<ml:3cg?8`bj3;2m6s|1`;5>5<5s4;:>i4>9c9>645328?87p}>a8d94?4|58;9h7?6c:?1563=9<90q~?87`83>7}:988o6?ok;<df=?7>j2wx=l6;:181\7f876kk0:5o522011>4343ty:m5j50;0x947dj3;2o63=1219505<uz;<;o4?:3y>54ee2;ko70hj9;3:e>{t:9o:6=4={<3166<61k16>?=7:070?xu58lk1<7<t=0017?7>k279>>751418yv70?o0;6?u21300>7gc34;:4=4>9`9~w4g0:3:1>v3>13g95<d<5;;8<7?:3:\7fp5d1e2909w0?>2d82=f=::89:6<;<;|q232e=838p1<?=e;0b`>;amk0:5o5rs32e7?6=:r7:=nj518`89744i3;>?6s|21d`>5<5s4;:oi4>9b9>675e28?87p}>76g94?4|58;hh7<nd:?25=6=90h0q~<?a283>7}:k:;1=4l4=3006?72k2wx>=l::181\7f8e493;2o63=221950e<uz;<4>4?:3y>g67=:hn01<;nd;3:f>{t:9n;6=4={<37b2<61k16>?=9:07`?xu58m31<7<t=06e3?7>k279>>9514a8yv70090;6?u215d4>7gc34;8hi4>9c9~w76em3:1>v3l3182=g=::;9?6<;l;|q14f1=838p1n=?:0;`?845;<0:9n5rs05;5?6=:r7h?=4=ae9>56bc283j7p}=06d94?4|5j996<7m;<016a<6=j1v\7f?>78;296~;d;;0:5n52230f>43d3ty:;5;50;0x9f552;ko70?:ad82=g=z{;:2=7>52z?e66<61k16>?<i:07`?xu580k1<7<t=g00>4?d3489?=4>5b9~w41?<3:1>v3i2281ea=:9<ko6<7n;|q140d=838p1k<::0;a?845:00:9n5rs3250?6=:r7m>84>9b9>674f28?h7p}>79594?4|5o8>6?ok;<fa5?7>j2wx>=:?:181\7f876;90:5o522304>43d3ty9<8<50;0x947483;2o63=23:950e<uz;<454?:3y>54572;ko70jm1;3:e>{t:9<o6=4={<d10?7>j279>?l514a8yv47??0;6?u2f3695<e<5;89o7?:c:\7fp52>12909w0h=4;0b`>;6=ho1=4o4}r034d<72;q6j?9518`8974583;>o6s|2130>5<5s4l9;7?6c:?1677=9<i0q~?88c83>7}:n;=1>lj4=e`1>4?e3ty9<?k50;0x9f54283i70<=25821f=z{;:8;7>52z?`76<61j16>?<::07`?xu6?131<7<t=b10>7gc34;>mk4>9c9~w766k3:1>v3i2782=g=::;896<;l;|q1473=838p1k<9:0;`?845::0:9n5rs05;e?6=:r7m>;4=ae9>50ga283j7p}>f`594?4|58;hj7?6b:?1643=9<i0q~?ib183>7}:98im6<7l;<0153<6=j1v\7f<961;296~;69jl1>lj4=gg`>4?f3ty:jij50;0x9c4?283i70<=1b821f=z{8lnj7>52z?e6=<61j16>??k:07`?xu6?1o1<7<t=g0;>7gc34ni?7?6b:\7fp5c`?2909w0?>3082=g=::;;n6<;l;|q1457=838p1<?<1;3:g>;5:8l1=8m4}r34<f<72;q6=<=>:3cg?8be:3;2m6s|1gaa>5<5s4;:??4>9c9>677>28?h7p}>fe694?4|58;8>7?6c:?164g=9<i0q~?88g83>7}:98996?ok;<fa7?7>i2wx=kl6:181\7f876;:0:5o522334>43d3ty:jn<50;0x9474;3;2o63=20:950e<uz;<5=4?:3y>54542;ko70hjc;3:f>{t9k926=4={<d1e?7>j279=98514a8yv7e<;0;6?u2f3c95<e<5;;?;7?:c:\7fp52?32909w0h=a;0b`>;cj<0:5o5rs0`6`?6=:r7h?94>9c9>642f28?h7p}>b7494?4|5j9?6<7l;<020g<6=j1v\7f<962;296~;d;=09mi5214`3>4?e3ty:n9l50;0x9c4>283i70<>49821f=z{8h>87>52z?e6<<61j16><:6:07`?xu6?091<7<t=g0:>7gc34;>n=4>9`9~w4d7k3:1>v3i2c82=g=::8>:6<;l;|q2f43=838p1k<m:0;`?846<;0:9n5rs05:2?6=:r7m>o4=ae9>`g0=90h0q~?m1d83>7}:989?6<7m;<0201<6=j1v\7f<l<0;296~;69:>1=4m4=3371?72k2wx=:7::181\7f876;=09mi52dc795<g<uz;ji54?:3y>5451283i70<>3e821f=z{8km=7>52z?2560=90i01??<e;36g>{t9>326=4={<3273<5im16jhj518`8yv7fjk0;6?u2f3a95<d<5;;857?:c:\7fp5dec2909w0h=c;3:g>;59:k1=8m4}r34=g<72;q6j?m52`f89ad0283i7p}>agc94?4|58;897?6b:?156`=9<i0q~?m0283>7}:989>6<7l;<0205<6=j1v\7f<967;296~;69:?1>lj4=e`5>4?f3ty:mi850;0x947c83;2n63=12`950e<uz;jhk4?:3y>54b7283h70<>3b821f=z{8=2m7>52z?25a6=:hn01kkk:0;b?xu6ih31<7<t=0303?7>j279=>8514a8yv7fj;0;6?u21014>4?d348:?:4>5b9~w41>k3:1>v3>12596db<5mh<6<7n;|q2e<1=838p1<?<8;3:f>;59:>1=8m4}r3be5<72;q6=<=7:0;`?846;<0:9n5rs05:`?6=:r7:=>652`f89ccb283i7p}>a6194?4|58;857?6b:?1566=9<i0q~?n7b83>7}:98926<7l;<0274<6=j1v\7f<96f;296~;69:31>lj4=gge>4?e3ty:m5;50;0x947c93;2n63=120950e<uz;j4h4?:3y>54b6283h70<>32821f=z{8=2i7>52z?25a7=:hn01kkj:0;b?xu58o>1<7<t=03g7?7>j279>>o514a8yv47nm0;6?u210f0>4?d3489?o4>5b9~w41f93:1>v3>1e196db<58;3=7?6b:\7fp5f?72909w0?;f982=g=::8<o6<=:;|q2g<?=838p1<:i8;3:g>;59?o1=>;4}r34e1<72;q6=9h7:3cg?874ll0:5o5rs3332?6=:r7:=i<518`89775m3;>o6s|1`4b>5<5s4;:h?4>9b9>644a28?h7p}>7`294?4|58;o>7<nd:?eac<61h1v\7f?>j2;296~;6:;>1=4l4=300<?72k2wx>=km:181\7f875:=0:5n52231:>43d3ty:;l<50;0x9445<38jh63>19395<g<uz;h:n4?:3y>g60=90h01??99;301>{t9j=>6=4={<a02?7>k279=;o51278yv70i?0;6?u2c2496db<58?i=7?6b:\7fp5f3f2909w0h=e;3:f>;59?=1=>;4}r3`26<72;q6j?k518a8977103;896s|16c4>5<5s4l9i7<nd:?21g7=90k0q~?l7d83>7}:k:?1=4l4=335f?74=2wx=n68:181\7f8e4=3;2o63=17a9563<uz;<m84?:3y>g63=:hn01<=ke;3:e>{t9j8o6=4={<d1b?7>j279=;<51278yv7d;?0;6?u2f3d95<e<5;;=?7?<5:\7fp52g>2909w0h=f;0b`>;6=k81=4o4}r3`7c<72;q6o>9518`89771=3;896s|1b72>5<5s4i8;7?6c:?1530=9:?0q~?8a983>7}:k:=1>lj4=07a6?7>j2wx=oh8:181\7f8e403;2n63=14a9563<uz;h<=4?:3y>g6>=90i01??:d;301>{t9>kh6=4={<a0<?4fl27:9o=518`8yv7d9k0;6?u2f2295<d<5;;=<7?<5:\7fp5f432909w0h<0;3:g>;59?;1=>;4}r34ed<72;q6j>>52`f89ad?283i7p}>c1;94?4|58;8n7?6b:?150c=9:?0q~?l1383>7}:989i6<7l;<021c<6;<1v\7f<9nb;296~;69:h1>lj4=e`;>4?f3ty:no650;0x9474k3;2n63=1479563<uz;io<4?:3y>545d283h70<>578270=z{8=i=7>52z?256e=:hn01il6:0;b?xu6jmi1<7<t=g12>4?e348:9l4>349~w4dbm3:1>v3i3082=f=::8?i6<=:;|q23db=838p1k=>:3cg?872j:0:5l5rs0`b2?6=:r7m?>4>9c9>6434289>7p}>b`d94?4|5o986<7l;<0211<6;<1v\7f<9m2;296~;a;:09mi52dcc95<d<uz;iol4?:3y>b64=90h01??:7;301>{t9kn86=4={<d06?7>k279=8651278yv70j90;6?u2f2096db<5mh26<7m;|q2f<2=838p1<?<d;3:f>;59<;1=>;4}r3a=a<72;q6=<=k:0;`?846=;0:?85rs05a7?6=:r7:=>j52`f89adf283j7p}>b6;94?4|58;8i7?6b:?151`=9:?0q~?m8c83>7}:989n6<7l;<0215<6;<1v\7f<9m4;296~;69:o1>lj4=gd3>4?e3ty:n;950;0x947c<3;2n63=15a9563<uz;i;=4?:3y>54b3283h70<>4e8270=z{8=i97>52z?25a2=:hn01kh?:0;b?xu6lk31<7<t=g17>4?e348:4h4>349~w4bd:3:1>v3i3582=f=::82m6<=:;|q23g1=838p1k=;:3cg?872j=0:5l5rs0fb3?6=:r7m?84>9c9>64>d289>7p}>dc294?4|5o9>6<7l;<02<a<6;<1v\7f<9m8;296~;a;<09mi52dc`95<d<uz;ooo4?:3y>g6?=90h01??61;301>{t9mno6=4={<a0=?7>k279=4<51278yv70j?0;6?u2c2;96db<58?i87?6b:\7fp5a232909w0?>d482=g=::82:6<=:;|q2`1b=838p1<?k5;3:g>;59181=>;4}r34f`<72;q6=<j::3cg?8`a93;2m6s|1e:0>5<5s4l8:7?6b:?15=>=9:?0q~?k8b83>7}:n:<1=4m4=33;=?74=2wx=:lm:181\7f8`4>38jh63kbb82=g=z{8n297>52z?256`=90h01??7a;301>{t9m3n6=4={<327c<61j16><6m:016?xu6?k31<7<t=030b?4fl27ono4>9`9~w4b7=3:1>v3>15195<d<5;;<n7?<5:\7fp5a702909w0?>4282=f=::8=h6<=:;|q23f7=838p1<?;3;0b`>;an;0:5o5rs0f5<?6=:r7:=9>518`8977?>3;896s|1e5b>5<5s4;:8=4>9b9>64>0289>7p}>7ca94?4|58;?<7<nd:?gff<61h1v\7f<j:6;296~;69=;1=4l4=33;7?74=2wx=i;i:181\7f876<80:5n5220:7>4523ty:;oj50;0x9473938jh63if082=g=z{8n8>7>52z?e72<61k16><9i:016?xu6l:h1<7<t=g14>4?d348:4=4>349~w41en3:1>v3i3681ea=:lkn1=4l4}r3g65<72;q6=<:=:0;a?846?m0:?85rs0f1=?6=:r7:=9<518a89770m3;896s|16a3>5<5s4;:8?4=ae9>`gb=90k0q~?la383>7}:9;8>6<7m;<0235<6;<1v\7f<mm4;296~;6:;?1=4m4=3345?74=2wx=:m8:181\7f875:<09mi5210:1>4?f3ty:oh?50;0x9473<3;2n63=1649563<uz;hil4?:3y>5423283h70<>768270=z{8=h?7>52z?2512=:hn01kh<:0;a?xu6ko91<7<t=03g2?7>j279=:651278yv7dnj0;6?u210f5>4?d348:;44>349~w41d:3:1>v3>1e496db<5ol96<7n;|q2g2`=838p1n=m:0;a?846>k0:8=5rs0a;<?6=:r7h?o4>9b9>640d28>;7p}>7b;94?4|5j9i6?ok;<30`c<61h1v\7f<mlf;296~;69m=1=4l4=3340?74=2wx=nj7:181\7f876l>0:5n522056>4523ty:;n:50;0x947c?38jh63if282=d=z{8iih7>52z?25a>=90h01??82;301>{t9ji=6=4={<32`=<61j16><9<:016?xu6?j<1<7<t=03g<?4fl27:=5<518`8yv7d=k0;6?u2e3f95<d<5;;=;7?;0:\7fp5f032909w0k=d;3:g>;59?21=9>4}r34gg<72;q6i?j52`f8943e=3;2m6s|1b;2>5<5s4;?i=4>9c9>640c28>;7p}>c8c94?4|58>n<7?6c:?153c=9=:0q~?8c983>7}:9=o;6?ok;<30`c<61k1v\7f<m9d;296~;d;j0:5o52204:>4273ty:o:850;0x9f5d283h70<>6`8205=z{8=hm7>52z?`7f<5im16=8l::0;a?xu6k;o1<7<t=d0f>4?e348::?4>419~w4e4?3:1>v3j2d82=f=::8<86<:?;|q23fb=838p1h<j:3cg?872j>0:5l5rs0a74?6=:r7h?i4>9c9>640228>;7p}>c4094?4|5j9o6<7l;<0223<6<91v\7f<9lc;296~;d;m09mi5214`4>4?e3ty:nk650;0x9f5b283i70<>5b8205=z{8i;=7>52z?`7`<61j16><;k:063?xu6?m;1<7<t=b1f>7gc34;>n54>9c9~w4e6k3:1>v3j2g82=g=::8<;6<:?;|q2g73=838p1h<i:0;`?846>80:8=5rs05`a?6=:r7n>k4=ae9>`gc=90h0q~?l0`83>7}:999j6<7m;<021`<6<91v\7f<m>3;296~;68:k1=4m4=336b?7382wx=:mi:181\7f877;h09mi52dcg95<g<uz;ioo4?:3y>a67=90h01??:7;374>{t9kn?6=4={<g05?7>k279=8651528yv70l:0;6?u2e2396db<5mi;6<7m;|q2fg?=838p1<><b;3:f>;59<?1=9>4}r3ag7<72;q6===m:0;`?846=?0:8=5rs05g0?6=:r7:<>l52`f89ae7283j7p}>bef94?4|5l9;6<7m;<021d<6<91v\7f<ljf;296~;b;90:5n52207a>4273ty:;i<50;0x9`572;ko70?:b982=d=z{8h<m7>52z?246b=90h01??;f;374>{t9k2h6=4={<337a<61j16><;?:063?xu6?m=1<7<t=020`?4fl27mj94>9c9~w4d>=3:1>v3>02a95<d<5;;>=7?;0:\7fp5g?b2909w0??3b82=f=::8?96<:?;|q23a0=838p1<><c;0b`>;ck80:5l5rs0`b3?6=:r7n??4>9c9>643428>;7p}>bc294?4|5l996<7l;<0211<6<91v\7f<9k5;296~;b;;09mi52db395<d<uz;i:54?:3y>54bf283i70<>4b8205=z{8h<=7>52z?25ag=90i01??;d;374>{t9>n36=4={<32`d<5im16jk:518c8yv7ci10;6?u2e2695<d<5;;3o7?;0:\7fp5ad62909w0k<4;3:g>;591n1=9>4}r34`f<72;q6i>:52`f89ae5283i7p}>d9694?4|5l9>6<7m;<02<=<6<91v\7f<j7d;296~;b;<0:5n5220::>4273ty:;ik50;0x9`522;ko70jl3;3:f>{t9mih6=4={<a0b?7>j279=4?51528yv7cll0;6?u2c2d95<e<5;;2>7?;0:\7fp52b>2909w0m<f;0b`>;6=k31=4l4}r3gfd<72;q6i>=518`8977?m3;?<6s|1ea0>5<5s4o8?7?6c:?15=`=9=:0q~?8d`83>7}:m:91>lj4=07a=?7>i2wx=i;8:181\7f877<90:5o5220:0>4273ty:h;>50;0x946383;2o63=1969516<uz;<i=4?:3y>55272;ko70hi6;3:f>{t9m3=6=4={<337`<61k16><6n:063?xu6l0l1<7<t=020a?7>k279=5l51528yv70lm0;6?u2111f>7gc34nh>7?6a:\7fp5a0>2909w0??3g82=g=::82=6<:?;|q2`2d=838p1<><f;3:g>;591=1=9>4}r34`c<72;q6===i:3cg?8bd;3;2m6s|1e10>5<5s4o8:7?6b:?152`=9=:0q~?k3b83>7}:m:<1=4m4=33;4?7382wx=:k=:181\7f8c4>38jh63kc582=g=z{8n?97>52z?25ad=90h01??71;374>{t9m>n6=4={<32`g<61j16><6=:063?xu6?l;1<7<t=03gf?4fl27mj;4>9`9~w4b7>3:1>v3>05095<d<5;;<n7?;0:\7fp5a7?2909w0??4382=f=::8=h6<:?;|q23`2=838p1<>;2;0b`>;an>0:5o5rs0f15?6=:r7:<9?518`89770l3;?<6s|1e0b>5<5s4;;8<4>9b9>641b28>;7p}>7d194?4|58:?=7<nd:?gg1<61h1v\7f<mj2;296~;68=91=4l4=3342?7382wx=nkm:181\7f877<:0:5n522054>4273ty:;h950;0x9463;38jh63if982=g=z{8iii7>52z?25ac=90h01??82;374>{t9ji<6=4={<32``<61j16><9<:063?xu6?l31<7<t=03ga?4fl27:=5=518`8yv7dn=0;6?u210f`>4?e348:;54>419~w4eal3:1>v3>1ea95<e<5;;<57?;0:\7fp52c22909w0?>db81ea=:no=1=4o4}r3``5<72;q6=<jk:0;a?846?=0:8=5rs0ag=?6=:r7:=ij518a89770=3;?<6s|16g;>5<5s4;:hi4=ae9>bc>=90k0q~?j4`83>7}:9=o:6<7m;<02b5<6<91v\7f<k:c;296~;6<l;1=4m4=33e5?7382wx=:km:181\7f873m809mi5212a0>4?e3ty:ol=50;0x9447l3;2n63=1629516<uz;hn84?:3y>576c283h70<>708205=z{8=nm7>52z?265b=:hn01<?73;3:e>{t9l936=4={<a74?7>j279=hj51528yv7b<80;6?u2c5295<e<5;;ni7?;0:\7fp52cd2909w0m;0;0b`>;6;j91=4o4}r3f47<72;q6o9<518`8977b?3;?<6s|1d2a>5<5s4i?>7?6c:?15`>=9=:0q~?8eg83>7}:k=81>lj4=07af?7>j2wx=h<9:181\7f8e393;2n63=1d`9516<uz;n>k4?:3y>g17=90i01??jc;374>{t9>oo6=4={<a75?4fl27:9oo518`8yv7b9=0;6?u2e2:95<d<5;;n57?;0:\7fp5`7c2909w0k<8;3:g>;59lk1=9>4}r34a`<72;q6i>652`f8943ei3;2m6s|1eg4>5<5s4o857?6b:?15ab=9=:0q~?kf883>7}:m:31=4m4=33f2?7382wx=:h?:181\7f8c4138jh63>5c`95<g<uz;o;?4?:3y>a6g=90h01??60;374>{t9mn>6=4={<g0e?7>k279=o=51528yv70n;0;6?u2e2c96db<5mi>6<7m;|q2f`0=838p1n:<:0;a?846>=0:8=5rs0a7=?6=:r7h8>4>9b9>640a28>;7p}>7g694?4|5j>86?ok;<36ff<61k1v\7f<mnc;296~;68=?1=4l4=334e?7382wx=i>i:181\7f877<<0:5n5220:6>4273ty:;k=50;0x9463=38jh63kc482=d=z{8h9<7>52z?f7g<61k16><:j:063?xu6j191<7<t=d1a>4?d348:944>419~w41a=3:1>v3j3c81ea=:9<hh6<7n;|q2b2e=838p1h=k:0;a?8459k0:8=5rs0dgb?6=:r7n?i4>9b9>674128>;7p}>7g:94?4|5l9o6?ok;<f`3?7>j2wx><>7:181\7f8c4k3;2n63=12:9516<uz;jni4?:3y>a6e=90i01??;3;374>{t9>l=6=4={<g0g?4fl27oo;4>9c9~w763:3:1>v3>05495<d<5;88=7?;0:\7fp65g22909w0??4782=f=::;9h6<:?;|q23c1=838p1<>;6;0b`>;ck?0:5l5rs3325?6=:r7:=ih518`89775l3;?<6s|1`7b>5<5s4;:hk4>9b9>64d328>;7p}>7g`94?4|58;oj7<nd:?eb<<61h1v\7f<km6;296~;68==1=4l4=3031?7382wx=k?6:181\7f877<>0:5n522333>4273ty:;k750;0x9463?38jh63kc682=d=z{8nm<7>52z?241>=90h01??jf;374>{t9l?86=4={<330=<61j16><hn:063?xu6?ok1<7<t=027<?4fl27mj44>9c9~w4`?m3:1>v3l4582=g=::;;86<:?;|q2b<1=838p1n:;:0;`?8459=0:8=5rs05e`?6=:r7h894=ae9>50dc283i7p}>f5d94?4|58:?57?6b:?165e=9=:0q~?i5983>7}:99>26<7l;<014a<6<91v\7f<6?0;296~;68=31>lj4=ea;>4?f3ty:j>j50;0x9`27283i70<=0`8205=z{8l?:7>52z?f05<61j16>?>m:063?xu609;1<7<t=d63>7gc34nh57?6b:\7fp5c142909w0k<e;3:f>;5:8;1=9>4}r3e<0<72;q6i>k518a89746:3;?<6s|16df>5<5s4o8i7<nd:?21gb=90k0q~?i6083>7}:m:l1=4l4=303a?7382wx=k8n:181\7f8c4n3;2o63=21d9516<uz;<jk4?:3y>a6`=:hn01im7:0;a?xu6n8:1<7<t=027f?7>j279>=851528yv7a:;0;6?u2116a>4?d3489<:4>419~w4>7;3:1>v3>05`96db<5olj6<7m;|q2acc=838p1<?j0;3:f>;5:991=9>4}r3e42<72;q6=<k?:0;`?8458=0:8=5rs0:30?6=:r7:=h>52`f89c`f283j7p}>f3`94?4|58:?m7?6b:?165>=9=:0q~?i3583>7}:99>j6<7l;<014<<6<91v\7f<6?2;296~;68=k1>lj4=ea:>4?f3ty:iio50;0x9463k3;2n63=1gd9516<uz;ni>4?:3y>552d283h70<=018205=z{82;:7>52z?241e=:hn01imm:0;b?xu6mli1<7<t=d62>4?e3489<<4>419~w4ca=3:1>v3j4082=f=::;:96<:?;|q2<53=838p1h:>:3cg?8bdj3;2n6s|1dcg>5<5s4;:i<4>9c9>64`e28>;7p}>ecd94?4|58;n=7?6c:?15ce=9=:0q~?70883>7}:98o:6?ok;<def?7>i2wx=hm7:181\7f877<m0:5o5220dg>4273ty:ii?50;0x9463l3;2o63=1gg9516<uz;3<54?:3y>552c2;ko70hib;3:f>{t9l3i6=4={<330`<61k16><h7:063?xu6mh>1<7<t=027a?7>k279=k751528yv7?8h0;6?u2116f>7gc34lmo7?6b:\7fp5`102909w0?>e282=g=::8l?6<:?;|q2a=6=838p1<?j3;3:g>;59o?1=9>4}r3;4f<72;q6=<k<:3cg?876>>0:5o5rs0g51?6=:r7:>=k518`8977a:3;?<6s|1d4f>5<5s4;9<h4>9b9>64`428>;7p}>81f94?4|588;i7<nd:?2531=90k0q~?j8883>7}:98o96<7m;<02b3<6<91v\7f<k62;296~;69l81=4m4=33e3?7382wx=5>m:181\7f876m;09mi52fga95<g<uz;h4=4?:3y>g10=90h01??9b;37f>{t9j226=4={<a72?7>k279=;m515`8yv7?8o0;6?u2c5496db<589h87?6a:\7fp5f?52909w0?;e382=g=::8<o6<:m;|q2g<d=838p1<:j2;3:g>;59?o1=9l4}r3;4`<72;q6=9k=:3cg?874k=0:5o5rs0a1b?6=:r7n894>9c9>640528>i7p}>c2:94?4|5l>?6<7l;<0226<6<k1v\7f<6>4;296~;b<=09mi5214`e>4?f3ty:o;k50;0x9f20283i70<>68820g=z{8i<;7>52z?`02<61j16><8n:06a?xu608:1<7<t=b64>7gc34;>nh4>9c9~w4e2k3:1>v3j4282=g=::8<<6<:m;|q2g33=838p1h:<:0;`?846>10:8o5rs0:25?6=:r7n8>4=ae9>50db283j7p}>c5394?4|5j>36<7m;<0220<6<k1v\7f<m:3;296~;d<10:5n522045>42e3ty:4<=50;0x9f2?2;ko70?:bg82=g=z{8i:h7>52z?f00<61k16><8?:06a?xu6k;<1<7<t=d66>4?d348::<4>4c9~w4>6=3:1>v3j4481ea=:lji1=4l4}r3ab<<72;q6o97518`89772k3;?n6s|1b21>5<5s4i?57?6c:?150b=9=h0q~?71683>7}:k=31>lj4=07`4?7>j2wx=n>m:181\7f877=90:5o52207f>42e3ty:o<:50;0x946283;2o63=14d951d<uz;3=;4?:3y>55372;ko70jlc;3:e>{t9knn6=4={<g72?7>j279=8o515`8yv7en90;6?u2e5495<e<5;;>n7?;b:\7fp5=7?2909w0k;6;0b`>;6=j:1=4o4}r3a=3<72;q6==;=:0;a?846=80:8o5rs0`:b?6=:r7:<8<518a89772:3;?n6s|193`>5<5s4;;9?4=ae9>`fc=90k0q~?mcb83>7}:m==1=4l4=3363?73j2wx=oj::181\7f8c3?3;2o63=14:951d<uz;3=44?:3y>a11=:hn01imk:0;a?xu6jkk1<7<t=0265?7>j279=8;515`8yv7ek:0;6?u21172>4?d348:9;4>4c9~w4>6i3:1>v3>04396db<5mio6<7n;|q2f3?=838p1<?j5;3:f>;59=i1=9l4}r3a37<72;q6=<k::0;`?846<m0:8o5rs0:14?6=:r7:=h;52`f89c`c283j7p}>b`:94?4|5l>36<7m;<0216<6<k1v\7f<lm1;296~;b<10:5n522077>42e3ty:4<l50;0x9`2?2;ko70jle;3:f>{t9k=i6=4={<3316<61k16><:i:06a?xu6j1n1<7<t=0267?7>k279=8>515`8yv7?9o0;6?u21170>7gc34lmh7?6b:\7fp5aec2909w0m;a;3:f>;590;1=9l4}r3g`c<72;q6o9o518a8977>:3;?n6s|1902>5<5s4i?m7<nd:?21f4=90h0q~?kbc83>7}:m=31=4l4=33;a?73j2wx=im;:181\7f8c313;2o63=19d951d<uz;3>?4?:3y>a1?=:hn01<;l2;3:e>{t9m3<6=4={<3311<61k16><6n:06a?xu6lh:1<7<t=0260?7>k279=5l515`8yv7?:=0;6?u21177>7gc34nhj7?6a:\7fp5ag>2909w0k;a;3:f>;591i1=9l4}r3gf7<72;q6i9o518a8977?l3;?n6s|1900>5<5s4o?m7<nd:?ggc<61k1v\7f<j75;296~;b<k0:5o5220:;>42e3ty:h5k50;0x9`2e283h70<>88820g=z{82997>52z?f0g<5im16hi>518`8yv7c=10;6?u21175>4?e348:4>4>4c9~w4b193:1>v3>04495<e<5;;387?;b:\7fp5=402909w0??5781ea=:noo1=4l4}r3g03<72;q6=<k9:0;a?846080:8o5rs0f7b?6=:r7:=h8518a8977?:3;?n6s|190;>5<5s4;:i;4=ae9>bcc=90k0q~?k6`83>7}:99?>6<7m;<02<3<6<k1v\7f<j8c;296~;68<?1=4m4=33;3?73j2wx=5<9:181\7f877=<09mi52de295<g<uz;o<:4?:3y>553?283i70<>7c820g=z{8n:57>52z?240>=90i01??8c;37f>{t918h6=4={<331=<5im16jkh518`8yv7c;=0;6?u2e5a95<d<5;;<j7?;b:\7fp5a5c2909w0k;c;3:g>;591:1=9l4}r3;6d<72;q6i9m52`f89ab6283i7p}>d3094?4|58:>;7?6b:?152b=9=h0q~?k2c83>7}:99?<6<7l;<023`<6<k1v\7f<6=b;296~;68<=1>lj4=ef2>4?f3ty:oh=50;0x946213;2n63=164951d<uz;hin4?:3y>553>283h70<>76820g=z{829i7>52z?240?=:hn01<>?1;3:f>{t9jl>6=4={<32a2<61k16><97:06a?xu6koo1<7<t=03f3?7>k279=:7515`8yv7?:m0;6?u210g4>7gc34lmj7?6a:\7fp5fda2909w0?>e882=g=::8=96<:m;|q2gf>=838p1<?j9;3:g>;59>91=9l4}r3;75<72;q6=<k6:3cg?876>10:5o5rs0ab0?6=:r7:>=h518`8977083;?n6s|1b`5>5<5s4;9<k4>9b9>641628>i7p}>82394?4|588;j7<nd:?253>=90k0q~?ld083>7}:98o36<7m;<0231<6<k1v\7f<mka;296~;69l21=4m4=3341?73j2wx=5<i:181\7f876m109mi521122>4?f3ty:o;h50;0x9f2d283i70<>68820c=z{8i<47>52z?`0f<61j16><8n:06e?xu60:?1<7<t=b6`>7gc34;>o>4>9c9~w4e>;3:1>v3>4d195<d<5;;=h7?;f:\7fp5f?d2909w0?;e282=f=::8<n6<:i;|q2<64=838p1<:j3;0b`>;6;j?1=4l4}r3`<4<72;q6o9l518`89771j3;?j6s|1b:b>5<5s4i?n7?6c:?153e=9=l0q~?73283>7}:k=h1>lj4=01`1?7>i2wx=n:=:181\7f8e3l3;2n63=177951`<uz;h994?:3y>g1b=90i01??96;37b>{t919<6=4={<a7`?4fl27:9n:518`8yv7d=m0;6?u2e5g95<d<5;;=;7?;f:\7fp5f012909w0k;e;3:g>;59?21=9h4}r3;73<72;q6i9k52`f8943d;3;2m6s|1b3f>5<5s4o><7?6b:?1536=9=l0q~?l2683>7}:m<:1=4m4=3355?73n2wx=5=6:181\7f8c2838jh63kd382=g=z{8i8<7>52z?f0c<61k16><8=:06e?xu6k:31<7<t=d6e>4?d348::>4>4g9~w4>403:1>v3j4g81ea=:9<i?6<7n;|q2g5e=838p1<>:b;3:f>;59<o1=9h4}r3`50<72;q6==;m:0;`?846=o0:8k5rs0:0e?6=:r7:<8l52`f89ab5283j7p}>bbf94?4|5l?96<7m;<0212<6<o1v\7f<lk6;296~;b=;0:5n52207;>42a3ty:4>j50;0x9`352;ko70jk3;3:f>{t9klj6=4={<a7a?7>j279=8m515d8yv7d8:0;6?u2c5g95<e<5;;>h7?;f:\7fp5=5e2909w0m;e;0b`>;6=j?1=4l4}r3a`c<72;q6i8?518`89772i3;?j6s|1cd2>5<5s4o>=7?6c:?150d=9=l0q~?73b83>7}:m<;1>lj4=07`1?7>i2wx=oo6:181\7f8c2;3;2n63=141951`<uz;in?4?:3y>a05=90i01??:4;37b>{t91>;6=4={<g67?4fl27oh94>9c9~w4dej3:1>v3>04a95<d<5;;>97?;f:\7fp5ge32909w0??5b82=f=::8?=6<:i;|q2<6c=838p1<>:c;0b`>;cl:0:5l5rs0`4g?6=:r7:<8k518`89773n3;?j6s|1c:f>5<5s4;;9h4>9b9>643728>m7p}>85094?4|58:>i7<nd:?2454=90h0q~?kcd83>7}:k=l1=4l4=33:5?73n2wx=ik?:181\7f8e3n3;2o63=180951`<uz;3894?:3y>g1`=:hn01<;l6;3:f>{t9k3<6=4={<331a<61k16><;>:06e?xu6jh:1<7<t=026`?7>k279=8<515d8yv7?<80;6?u2117g>7gc34no87?6a:\7fp5g0f2909w0?>e`82=g=::8>h6<:i;|q2f25=838p1<?ja;3:g>;59=n1=9h4}r3;06<72;q6=<kn:3cg?8778;0:5l5rs0f:<?6=:r7:<8h518`8977?i3;?j6s|1ec2>5<5s4;;9k4>9b9>64>e28>m7p}>85594?4|58:>j7<nd:?g`3<61h1v\7f<jmc;296~;b==0:5o5220:f>42a3ty:hn;50;0x9`33283h70<>8g820c=z{82?97>52z?f11<5im16=8m9:0;b?xu6l1<1<7<t=d75>4?e348:454>4g9~w4b?n3:1>v3j5782=f=::8226<:i;|q2<1>=838p1h;9:3cg?8bc?3;2n6s|1ecb>5<5s4o>97?6b:?15=e=9=l0q~?kb283>7}:m<?1=4m4=33;`?73n2wx=5:9:181\7f8c2=38jh63kd782=g=z{8n=n7>52z?2436=90h01??76;37b>{t9m=o6=4={<3325<61j16><68:06e?xu60=31<7<t=0254?4fl27oh:4>9`9~w4b4=3:1>v3j5682=g=::8=m6<:i;|q2`6c=838p1h;8:0;`?846090:8k5rs0:7`?6=:r7n9:4=ae9>`a>=90h0q~?k5883>7}:99<:6<7m;<02<6<6<o1v\7f<j92;296~;68?;1=4m4=33;0?73n2wx=5:m:181\7f877>809mi521120>4?e3ty:h9950;0x947bj3;2n63=193951`<uz;o9=4?:3y>54ce283h70<>83820c=z{82?o7>52z?25`d=:hn01<>?3;3:e>{t9m:36=4={<3326<61k16><9m:06e?xu6l8k1<7<t=0257?7>k279=:m515d8yv7?<o0;6?u21140>7gc34;;<94>9c9~w4ea>3:1>v3>1da95<d<5;;<47?;f:\7fp5f`a2909w0?>eb82=f=::8=26<:i;|q2<06=838p1<?jc;0b`>;689>1=4o4}r3g66<72;q6==8=:0;a?846?m0:8k5rs0f1g?6=:r7:<;<518a89770m3;?j6s|196f>5<5s4;;:?4=ae9>`a>=90k0q~?le583>7}:99<?6<7m;<0233<6<o1v\7f<mjd;296~;68?>1=4m4=3343?73n2wx=5;>:181\7f877>=09mi521126>4?e3ty:ol;50;0x944683;2n63=162951`<uz;hn:4?:3y>5777283h70<>70820c=z{82>87>52z?2646=:hn01<?99;3:e>{t9j296=4={<a65?7>j279=;l51428yv7d0k0;6?u2c4395<e<5;;=o7?:0:\7fp5=302909w0m:1;0b`>;6;j<1=4o4}r3``7<72;q6=<kk:0;a?846?=0:8k5rs0agf?6=:r7:=hj518a89770=3;?j6s|1971>5<5s4;:ii4=ae9>5562283j7p}>cb294?4|58;ni7?6b:?1524=9=l0q~?lc883>7}:98on6<7l;<0236<6<o1v\7f<6:3;296~;69lo1>lj4=035=?7>j2wx=n;j:181\7f8c213;2n63=1759506<uz;h::4?:3y>a0?=90i01??98;364>{t91?26=4={<g6=?4fl27:9n9518c8yv7d1=0;6?u215g7>4?e348::i4>519~w4e>l3:1>v3>4d695<e<5;;=i7?:0:\7fp5=312909w0?;e581ea=:9:i=6<7m;|q2g26=838p1n;=:0;a?846>00:9=5rs0a4=?6=:r7h9?4>9b9>640f28?;7p}>84:94?4|5j?96?ok;<36g2<61k1v\7f<m<1;296~;b=h0:5o522041>4373ty:o>o50;0x9`3f283h70<>628215=z{82>n7>52z?f1d<5im16=8m7:0;b?xu6k8l1<7<t=d7a>4?e348::=4>519~w4e503:1>v3j5c82=f=::8<:6<;?;|q2<0e=838p1h;m:3cg?8bc13;2n6s|1b60>5<5s4i>?7?6b:?1533=9<:0q~?l5483>7}:k<91=4m4=3352?7282wx=5;n:181\7f8e2;38jh63>5b:95<d<uz;h<i4?:3y>5501283i70<>5d8215=z{8i::7>52z?2430=90i01??:f;364>{t91?o6=4={<3323<5im16hi7518c8yv7em90;6?u2e4a95<d<5;;>m7?:0:\7fp5g`52909w0k:c;3:g>;59<h1=8>4}r3;1c<72;q6i8m52`f8943d13;2m6s|1c``>5<5s4;;::4>9c9>643228?;7p}>bb794?4|58:=;7?6c:?1500=9<:0q~?76383>7}:99<<6?ok;<fge?7>i2wx=ohm:181\7f8e2<3;2n63=14a9506<uz;h<94?:3y>g02=90i01??:d;364>{t91?n6=4={<a60?4fl27:9n7518`8yv7ekl0;6?u2e4f95<d<5;;>;7?:0:\7fp5gb02909w0k:d;3:g>;59<21=8>4}r3;24<72;q6i8j52`f89abf283i7p}>b8:94?4|58:=47?6b:?1507=9<:0q~?ma083>7}:99<36<7l;<0217<6=91v\7f<694;296~;68?21>lj4=efa>4?f3ty:n:j50;0x946113;2n63=15d9506<uz;i4k4?:3y>550>283h70<>518215=z{82=97>52z?243?=:hn01<>?6;3:f>{t9kkj6=4={<g6a?7>j279=8=51428yv7ej:0;6?u2e4g95<e<5;;>87?:0:\7fp5=042909w0k:e;0b`>;clk0:5o5rs0f:=?6=:r7:<;o518`8977?i3;><6s|1ec1>5<5s4;;:l4>9b9>64>e28?;7p}>87c94?4|58:=m7<nd:?g`f<61h1v\7f<l9b;296~;69o:1=4l4=337g?7282wx=o9;:181\7f876n90:5n52206g>4373ty:4;850;0x947a838jh63>01495<g<uz;ook4?:3y>g03=90h01??61;364>{t9mo:6=4={<a61?7>k279=4<51428yv7?>>0;6?u2c4796db<58?hm7?6b:\7fp5adc2909w0k:f;3:f>;591o1=8>4}r3gg3<72;q6i8h518a8977?n3;><6s|194;>5<5s4o>j7<nd:?21fg=90k0q~?kac83>7}:m?:1=4l4=33;g?7282wx=il;:181\7f8c183;2o63=19f9506<uz;3:44?:3y>a36=:hn01ijl:0;a?xu6l<k1<7<t=025g?7>j279=5=51428yv7c>:0;6?u2114`>4?d348:494>519~w4>1m3:1>v3>07a96db<58:;;7?6b:\7fp5a0d2909w0??6c82=g=::82=6<;?;|q2`2c=838p1<>9b;3:g>;591=1=8>4}r3;2a<72;q6==8m:3cg?8bcl3;2m6s|1e:4>5<5s4o==7?6b:?15=>=9<:0q~?k9183>7}:m?;1=4m4=33;=?7282wx=58l:181\7f8c1938jh63kde82=g=z{8n?47>52z?25c7=90h01??71;364>{t9m?:6=4={<32b4<61j16><6=:073?xu60?l1<7<t=03e5?4fl27:<=9518c8yv7c;?0;6?u2e7095<d<5;;<j7?:0:\7fp5a5a2909w0k92;3:g>;591:1=8>4}r3;35<72;q6i;<52`f89abb283i7p}>d1;94?4|58:=i7?6b:?152d=9<:0q~?k1c83>7}:99<n6<7l;<023f<6=91v\7f<682;296~;68?o1>lj4=023<?7>j2wx=nh8:181\7f876n;0:5o52205;>4373ty:h=>50;0x947a:3;2o63=16;9506<uz;3;>4?:3y>54`52;ko70??0982=d=z{8n987>52z?243b=90h01??8d;364>{t9m8o6=4={<332a<61j16><9j:073?xu60>;1<7<t=025`?4fl27ohh4>9`9~w4ec;3:1>v3>1g195<d<5;;<87?:0:\7fp5fbd2909w0?>f282=f=::8=>6<;?;|q2<23=838p1<?i3;0b`>;68931=4o4}r3`a0<72;q6==8i:0;a?846??0:9=5rs0afa?6=:r7:<;h518a89770?3;><6s|1957>5<5s4;;:k4=ae9>556>283i7p}>c6394?4|5j?<6<7m;<022<<6=81v\7f<m8a;296~;d=>0:5n52204b>4363ty:4:l50;0x9f302;ko70?:cc82=g=z{8ih=7>52z?25c2=90h01??82;364>{t9jij6=4={<32b1<61j16><9<:073?xu60>=1<7<t=03e0?4fl27:=;o518`8yv7di?0;6?u21332>4?e348:;=4>519~w4ee03:1>v3>20395<e<5;;<=7?:0:\7fp5=1?2909w0?=1081ea=:98<j6<7n;|q2g12=838p1n;7:0;a?846><0:9<5rs0a62?6=:r7h954>9b9>640128?:7p}>86f94?4|5j?36?ok;<36ga<61k1v\7f<m65;296~;6<l?1=4l4=335`?7292wx=n7j:181\7f873m<0:5n52204f>4363ty:4:750;0x942b=38jh63>3b595<d<uz;h4>4?:3y>g00=90h01??9b;365>{t9j2h6=4={<a62?7>k279=;m51438yv7??h0;6?u2c4496db<589h;7?6a:\7fp5f472909w0k96;3:f>;59?:1=8?4}r3`6<<72;q6i;8518a8977193;>=6s|195e>5<5s4o=:7<nd:?g`c<61k1v\7f<m:f;296~;b>=0:5o522044>4363ty:o;650;0x9`03283h70<>698214=z{82<o7>52z?f21<5im16=8mm:0;b?xu6k:81<7<t=d46>4?e348::?4>509~w4e4j3:1>v3j6482=f=::8<86<;>;|q2<2c=838p1h8::3cg?872km0:5l5rs0a3a?6=:r7:<:?518`89772m3;>=6s|1b34>5<5s4;;;<4>9b9>643a28?:7p}>89294?4|58:<=7<nd:?g`c<61h1v\7f<llf;296~;b>10:5o522074>4363ty:ni650;0x9`0?283h70<>598214=z{82387>52z?f2=<5im16hh?518`8yv7eik0;6?u2e7;95<d<5;;>?7?:1:\7fp5gd32909w0k99;3:g>;59<>1=8?4}r3;<3<72;q6i;752`f89ac5283i7p}>bga94?4|5j?26<7m;<021f<6=81v\7f<m?5;296~;d=00:5n52207g>4363ty:45<50;0x9f3>2;ko70?:cd82=g=z{8hn=7>52z?f22<61k16><;n:072?xu6jo91<7<t=d44>4?d348:9o4>509~w4>?;3:1>v3j6681ea=:9<in6<7n;|q2f2c=838p1<>84;3:f>;59=l1=8?4}r3a=5<72;q6==9;:0;`?846=90:9<5rs0:;<?6=:r7:<::52`f89467i3;2n6s|1c`g>5<5s4;;;?4>9c9>643228?:7p}>bb494?4|58:<>7?6c:?1500=9<;0q~?78483>7}:99=96?ok;<ff5?7>i2wx=o76:181\7f877?:0:5o522072>4363ty:nl<50;0x9460;3;2o63=1409507<uz;34:4?:3y>55142;ko70jj2;3:e>{t9mn;6=4={<a6e?7>j279=4?51438yv7cm;0;6?u2c4c95<e<5;;2>7?:1:\7fp5=>f2909w0m:a;0b`>;6=jl1=4l4}r3a2f<72;q6=<h::0;a?846<j0:9<5rs0`41?6=:r7:=k;518a89773l3;>=6s|19::>5<5s4;:j84=ae9>556f283j7p}>dcg94?4|5l<j6<7m;<02<`<6=81v\7f<jl7;296~;b>h0:5n5220:e>4363ty:45l50;0x9`0f2;ko70?:cg82=d=z{8njo7>52z?f2g<61k16><6l:072?xu6lk?1<7<t=d4a>4?d348:4i4>509~w4>?m3:1>v3j6c81ea=:ll91=4l4}r3g=d<72;q6==9::0;a?8460h0:9<5rs0fb7?6=:r7:<:;518a8977?j3;>=6s|19:e>5<5s4;;;84=ae9>``5=90k0q~?k5c83>7}:99=<6<7m;<02<6<6=81v\7f<j94;296~;68>=1=4m4=33;0?7292wx=57=:181\7f877?>09mi52112`>4?e3ty:h5650;0x9`0d283i70<>898214=z{8n2=7>52z?f2f<61j16><66:072?xu600:1<7<t=d4`>7gc34nn87?6b:\7fp5a0c2909w0??7782=g=::82=6<;>;|q2`2`=838p1<>86;3:g>;591=1=8?4}r3;=4<72;q6==99:3cg?8bb<3;2m6s|1bd;>5<5s4;:j:4>9c9>641?28?:7p}>d1394?4|58;m;7?6c:?152?=9<;0q~?79683>7}:98l<6?ok;<334a<61h1v\7f<j;9;296~;69o<1=4l4=33;5?7292wx=i;=:181\7f876n?0:5n5220:1>4363ty:44=50;0x947a>38jh63>01a95<g<uz;o?:4?:3y>a3b=90h01??8f;365>{t9m>;6=4={<g5`?7>k279=5>51438yv7?1=0;6?u2e7f96db<5mo>6<7m;|q2g`0=838p1<>8a;3:f>;59><1=8?4}r3`ac<72;q6==9n:0;`?846?>0:9<5rs0::=?6=:r7:<:o52`f89467m3;2n6s|1e06>5<5s4;;;54>9c9>641c28?:7p}>d3g94?4|58:<47?6c:?152c=9<;0q~?79483>7}:99=36?ok;<ff1?7>i2wx=i>n:181\7f877?00:5o52205a>4363ty:h<m50;0x946013;2o63=16a9507<uz;35;4?:3y>551>2;ko70??0e82=g=z{8ij;7>52z?2644=90h01??80;365>{t9jh26=4={<3157<61j16><9>:072?xu600i1<7<t=0026?4fl27:=;l518c8yv7dl=0;6?u210d;>4?e348:;94>509~w4ecl3:1>v3>1g:95<e<5;;<97?:1:\7fp5=?f2909w0?>f981ea=:99:n6<7n;|q2g<0=838p1<:j6;3:f>;59?n1=8<4}r3`=c<72;q6=9k9:0;`?846>l0:9?5rs0::`?6=:r7:8h852`f8945d03;2n6s|1ba1>5<5s4;:j44>9c9>641528?:7p}>cb`94?4|58;m57?6c:?1525=9<;0q~?79c83>7}:98l26?ok;<322g<61k1v\7f<m82;296~;d=m0:5o52204:>4353ty:o:l50;0x9f3c283h70<>6`8217=z{822j7>52z?`1a<5im16=8j?:0;a?xu6k;;1<7<t=d52>4?e348::=4>539~w4e5i3:1>v3j7082=f=::8<:6<;=;|q2<d2=838p1h9>:3cg?8bb>3;2n6s|1b:7>5<5s4i>o7?6b:?153d=9<80q~?l8e83>7}:k<i1=4m4=335g?72:2wx=57j:181\7f8e2k38jh63>3b:95<g<uz;h:=4?:3y>a3`=90h01??97;366>{t9j<26=4={<g5b?7>k279=;651408yv7?i90;6?u2e7d96db<58?o<7?6a:\7fp5f6a2909w0??7b82=g=::8?n6<;=;|q2g4>=838p1<>8c;3:g>;59<l1=8<4}r3;e0<72;q6==9l:3cg?8bb>3;2m6s|1b66>5<5s4i>i7?6b:?1533=9<80q~?l5683>7}:k<o1=4m4=3352?72:2wx=5o>:181\7f8e2m38jh63>5e395<d<uz;h?>4?:3y>a26=90h01??92;366>{t9j9h6=4={<g44?7>k279=;=51408yv7?i;0;6?u2e6296db<58?o=7?6a:\7fp5gb72909w0k83;3:f>;59<=1=8<4}r3a`<<72;q6i:=518a8977203;>>6s|19c;>5<5s4o<?7<nd:?ga2<61k1v\7f<lid;296~;d=o0:5o52207`>4353ty:o=850;0x9f3a283h70<>5e8217=z{82j:7>52z?`1c<5im16=8j=:0;a?xu6j>l1<7<t=024b?7>j279=9h51408yv7e180;6?u2115e>4?d348:9=4>539~w4>fk3:1>v3>06d96db<58:;j7?6b:\7fp5gc52909w0k82;3:f>;59<k1=8<4}r3ab1<72;q6i:<518a89772j3;>>6s|19c4>5<5s4o<>7<nd:?21a4=90k0q~?mbd83>7}:99=o6<7m;<0210<6=;1v\7f<ll7;296~;68>n1=4m4=3362?72:2wx=5o6:181\7f877?m09mi52dd595<g<uz;i:i4?:3y>54`e283i70<>4b8217=z{8h<:7>52z?25cd=90i01??;d;366>{t91ko6=4={<32bg<5im16==>i:0;b?xu6jhi1<7<t=d57>4?e348:9>4>539~w4de=3:1>v3j7582=f=::8??6<;=;|q2<dg=838p1h9;:3cg?8bb03;2n6s|1c;b>5<5s4;;;h4>9c9>643628?97p}>b`194?4|58:<i7?6c:?1504=9<80q~?7ac83>7}:99=n6?ok;<ff<?7>i2wx=iok:181\7f8c0>3;2n63=19a9504<uz;on;4?:3y>a20=90i01??7d;366>{t91h:6=4={<g42?4fl27oi44>9c9~w4ben3:1>v3j7482=g=::82n6<;=;|q2`f>=838p1h9::0;`?8460o0:9?5rs0:a4?6=:r7n;84=ae9>50b4283j7p}>de394?4|5j<;6<7m;<02=4<6=;1v\7f<jj3;296~;d>90:5n5220;1>4353ty:4lh50;0x9f072;ko70?:d282=g=z{8n2n7>52z?24=6=90h01??7a;366>{t9mk?6=4={<33<5<61j16><6m:071?xu60k81<7<t=02;4?4fl27oi44>9`9~w4b1m3:1>v3>09395<d<5;;3:7?:2:\7fp5a>72909w0??8082=f=::82<6<;=;|q2<g2=838p1<>71;0b`>;cmh0:5l5rs0f7e?6=:r7:=km518`8977?93;>>6s|1e70>5<5s4;:jn4>9b9>64>528?97p}>8c494?4|58;mo7<nd:?2446=90k0q~?k8883>7}:m>=1=4l4=33;<?72:2wx=i7=:181\7f8c0?3;2o63=19;9504<uz;3n>4?:3y>a21=:hn01ikn:0;a?xu6l<i1<7<t=02;6?7>j279=5=51408yv7c><0;6?u211:1>4?d348:494>539~w4>e=3:1>v3>09096db<58::<7?6b:\7fp5a6e2909w0??8582=g=::8=i6<;=;|q2`4b=838p1<>74;3:g>;59>i1=8<4}r3;fd<72;q6==6;:3cg?877980:5o5rs0f12?6=:r7:<5=518`89770l3;>>6s|1e0e>5<5s4;;4>4>9b9>641b28?97p}>8c:94?4|58:3?7<nd:?g6c<61h1v\7f<j<8;296~;b?10:5o52205e>4353ty:h9?50;0x9`1?283h70<>818217=z{82i;7>52z?f3=<5im16h?h518`8yv7dm>0;6?u211:6>4?e348:;;4>539~w4ea83:1>v3>09795<e<5;;<;7?:2:\7fp5=dd2909w0??8481ea=:99;96<7m;|q2gc?=838p1<?id;3:f>;59>21=8<4}r3g47<72;q6=<hk:0;`?846?00:9?5rs0:af?6=:r7:=kj52`f8946693;2m6s|1bf6>5<5s4;:jh4>9c9>641328?97p}>ceg94?4|58;mi7?6c:?1523=9<80q~?7be83>7}:98ln6?ok;<3357<61h1v\7f<ml3;296~;69ol1=4l4=3346?72:2wx=nml:181\7f876no0:5n522050>4353ty:4ok50;0x947an38jh63>17a95<d<uz;hm54?:3y>5774283i70<>718217=z{8iim7>52z?2645=90i01??81;366>{t91hm6=4={<3156<5im16=<8l:0;b?xu6k>91<7<t=b41>4?e348::44>529~w4e0k3:1>v3l6382=f=::8<j6<;<;|q2<f4=838p1n8=:3cg?872l=0:5o5rs0a:3?6=:r7:8h9518`89771l3;>?6s|1bc3>5<5s4;?i:4>9b9>640b28?87p}>8b294?4|58>n;7<nd:?27f?=90h0q~?l8483>7}:k?;1=4l4=335f?72;2wx=n6j:181\7f8e193;2o63=17a9505<uz;3o<4?:3y>g37=:hn01<=l9;3:e>{t9j;;6=4={<33<2<61k16><;j:070?xu6k831<7<t=02;3?7>k279=8h51418yv7?k10;6?u211:4>7gc34n8<7?6a:\7fp5f212909w0m93;3:f>;59??1=8=4}r3`1=<72;q6o;=518a89771>3;>?6s|19a6>5<5s4i=?7<nd:?21a3=90h0q~?l6083>7}:m>k1=4l4=3353?72;2wx=n8n:181\7f8c0i3;2o63=17:9505<uz;3o>4?:3y>a2g=:hn01<;k4;3:e>{t9kln6=4={<a50?7>j279=8m51418yv7d8>0;6?u2c7695<e<5;;>h7?:3:\7fp5=e>2909w0m94;0b`>;6=m<1=4l4}r3`71<72;q6i:l518`89771:3;>?6s|1b1g>5<5s4o<n7?6c:?1535=9<90q~?7c783>7}:m>h1>lj4=07g1?7>i2wx=n<=:181\7f8c0k3;2n63=1729505<uz;h>o4?:3y>a2e=90i01??91;367>{t91i<6=4={<g4g?4fl27o?=4>9c9~w4db;3:1>v3j7e82=g=::8?j6<;<;|q2fc3=838p1h9k:0;`?846=k0:9>5rs0:`e?6=:r7n;i4=ae9>50b1283j7p}>be394?4|5l=n6<7m;<0212<6=:1v\7f<lka;296~;b?l0:5n52207;>4343ty:4nl50;0x9`1b2;ko70j<1;3:f>{t9k<n6=4={<3145<61k16><:l:070?xu6j>=1<7<t=0034?7>k279=9j51418yv7?l80;6?u21323>7gc34;;=>4>9`9~w4dfl3:1>v3j7g82=g=::8?86<;<;|q2fg0=838p1h9i:0;`?846==0:9>5rs0:``?6=:r7n;k4=ae9>`64=90h0q~?mbg83>7}:99236<7m;<0210<6=:1v\7f<ll8;296~;68121=4m4=3362?72;2wx=5ml:181\7f8770109mi52d2395<g<uz;i5o4?:3y>55>>283i70<>508216=z{8hj87>52z?24=?=90i01??:2;367>{t91in6=4={<33<<<5im16h><518c8yv7e090;6?u211:b>4?e348:8k4>529~w4d>:3:1>v3>09c95<e<5;;><7?:3:\7fp5=b72909w0??8`81ea=:99;86<7m;|q2`dc=838p1h6>:0;a?8460j0:9>5rs0fa3?6=:r7n4<4>9b9>64>c28?87p}>8e694?4|5l2:6?ok;<f07?7>j2wx=ij=:181\7f8e1=3;2n63=1839505<uz;oi94?:3y>g33=90i01??62;367>{t91n96=4={<a51?4fl27:9i6518`8yv7ck90;6?u2e9295<d<5;;3i7?:3:\7fp5ae>2909w0k70;3:g>;591l1=8=4}r3;`6<72;q6i5>52`f8943c03;2m6s|1e;`>5<5s4;;4o4>9c9>64>f28?87p}>d`794?4|58:3n7?6c:?15=d=9<90q~?7d483>7}:992i6?ok;<f07?7>i2wx=i6n:181\7f8c?:3;2n63=19:9505<uz;o5>4?:3y>a=4=90i01??79;367>{t91n=6=4={<g;6?4fl27o?94>9c9~w4b3j3:1>v3>21395<d<5;;3=7?:3:\7fp5a332909w0?=0082=f=::8296<;<;|q2<a?=838p1<<?1;0b`>;688>1=4o4}r3g2c<72;q6==6l:0;a?8460?0:9>5rs0f;5?6=:r7:<5m518a8977??3;>?6s|19f4>5<5s4;;4n4=ae9>`62=90k0q~?k5e83>7}:992o6<7m;<02<6<6=:1v\7f<j96;296~;681n1=4m4=33;0?72;2wx=5j7:181\7f8770m09mi521137>4?e3ty:h?950;0x946?m3;2n63=16f9505<uz;o?=4?:3y>55>b283h70<>7d8216=z{82oo7>52z?24=c=:hn01i=::0;b?xu6l:31<7<t=d:0>4?e348:;k4>529~w4b3:3:1>v3j8282=f=::82;6<;<;|q2<ad=838p1h6<:3cg?8b4=3;2n6s|1bdb>5<5s4;9<?4>9c9>641?28?87p}>d1194?4|588;>7?6c:?152?=9<90q~?7dd83>7}:9;:96?ok;<3350<61h1v\7f<mj8;296~;680:1=4l4=3342?72;2wx=nh>:181\7f877190:5n522054>4343ty:4ih50;0x946>838jh63>00595<d<uz;o<n4?:3y>55>a283i70<>7c8216=z{8n:i7>52z?24=`=90i01??8c;367>{t91no6=4={<33<c<5im16==?::0;a?xu6km<1<7<t=0037?7>j279=::51418yv7dlo0;6?u21320>4?d348:;84>529~w4>b83:1>v3>21196db<58::;7?6a:\7fp5fe32909w0?=0582=g=::8=96<;<;|q2gfb=838p1<<?4;3:g>;59>91=8=4}r3;a4<72;q6=?>;:3cg?876>m0:5o5rs0ab=?6=:r7:><:518`8977083;>?6s|1b`a>5<5s4;9=94>9b9>641628?87p}>8d094?4|588:87<nd:?253b=90k0q~?l8783>7}:k?=1=4l4=335f?72k2wx=n6i:181\7f8e1?3;2o63=17a950e<uz;3i94?:3y>g31=:hn01<=la;3:e>{t9j=?6=4={<a5<?7>j279=;7514a8yv7d?m0;6?u2c7:95<e<5;;=m7?:c:\7fp5=c12909w0m98;0b`>;6=m31=4l4}r3`==<72;q6=9k7:0;a?846>m0:9n5rs0ab5?6=:r7:8h6518a89771m3;>o6s|19g0>5<5s4;?i54=ae9>56ef283i7p}>c0394?4|58:2>7?6b:?150c=9<i0q~?l1`83>7}:99396<7l;<021c<6=j1v\7f<6jb;296~;68081>lj4=e15>4?f3ty:o9950;0x9f0>283i70<>64821f=z{8i>57>52z?`2<<61j16><89:07`?xu60l21<7<t=b4:>7gc34;>hl4>9c9~w4e1:3:1>v3j8482=g=::8<<6<;l;|q2g3d=838p1h6::0;`?846>10:9n5rs0:f3?6=:r7n484=ae9>50b>283j7p}>be094?4|5l226<7m;<0212<6=j1v\7f<lkb;296~;b000:5n52207;>43d3ty:4hk50;0x9`>>2;ko70j<7;3:f>{t9j9>6=4={<g;2?7>j279=;<514a8yv7d;l0;6?u2e9495<e<5;;=?7?:c:\7fp5=c>2909w0k76;0b`>;6=mk1=4o4}r3`66<72;q6i59518`8977183;>o6s|1b0`>5<5s4o3;7?6c:?1537=9<i0q~?7e`83>7}:m1=1>lj4=e15>4?e3ty:nkh50;0x9f0f283i70<>5b821f=z{8i;47>52z?`2d<61j16><;k:07`?xu60li1<7<t=b4b>7gc34;>ho4>9c9~w4db<3:1>v3j8982=g=::8?j6<;l;|q2fc0=838p1h67:0;`?846=k0:9n5rs0:f`?6=:r7n454=ae9>50be283j7p}>b7d94?4|588;:7?6b:?151e=9<i0q~?m7983>7}:9;:=6<7l;<020a<6=j1v\7f<6i4;296~;6:9<1>lj4=022<?7>i2wx=ooj:181\7f8c?i3;2n63=141950e<uz;in:4?:3y>a=g=90i01??:4;36g>{t91l:6=4={<g;e?4fl27o?54>9c9~w4dd83:1>v3>08195<d<5;;>97?:c:\7fp5ge>2909w0??9282=f=::8?=6<;l;|q2<``=838p1<>63;0b`>;c;>0:5l5rs0f`5?6=:r7n4o4>9c9>64>b28?h7p}>dbc94?4|5l2i6<7l;<02<c<6=j1v\7f<6i6;296~;b0k09mi5214f`>4?f3ty:n4m50;0x946><3;2n63=143950e<uz;im84?:3y>55?3283h70<>53821f=z{82m>7>52z?24<2=:hn01i=7:0;b?xu6j1;1<7<t=02:1?7>j279=9h514a8yv7e1:0;6?u211;6>4?d348:9=4>5b9~w4>a;3:1>v3>08796db<58::47?6b:\7fp5a?c2909w0??9782=g=::82j6<;l;|q2`d0=838p1<>66;3:g>;591h1=8m4}r3;b=<72;q6==79:3cg?8b4i3;2m6s|1ef0>5<5s4i=n7?6b:?15<7=9<i0q~?ke483>7}:k?h1=4m4=33:6?72k2wx=5h::181\7f8e1j38jh63>5ea95<d<uz;omk4?:3y>a=e=90h01??7c;36g>{t9mh36=4={<g;g?7>k279=5j514a8yv7?n>0;6?u2e9a96db<5m9j6<7m;|q2`0c=838p1<>68;3:f>;59191=8m4}r3g22<72;q6==77:0;`?8460=0:9n5rs0:e`?6=:r7:<4652`f8946613;2n6s|1e:a>5<5s4o3h7?6b:?15=>=9<i0q~?k9583>7}:m1n1=4m4=33;=?72k2wx=5h6:181\7f8c?l38jh63k3c82=g=z{8n947>52z?24<?=90h01??8d;36g>{t9m9:6=4={<33=<<61j16><9j:07`?xu619:1<7<t=02:=?4fl27o?n4>9`9~w4b083:1>v3>08595<d<5;;3:7?:c:\7fp5a>52909w0??9682=f=::82<6<;l;|q2<cg=838p1<>67;0b`>;c;k0:5l5rs0f7g?6=:r7:>=9518`8977?93;>o6s|1e76>5<5s4;9<:4>9b9>64>528?h7p}>8gg94?4|588;;7<nd:?244?=90k0q~?lfc83>7}:9;:36<7m;<023=<6=j1v\7f<j?4;296~;6:921=4m4=334=?72k2wx=4>=:181\7f8758109mi52113b>4?f3ty:h>o50;0x9`>b283i70<>7g821f=z{8n??7>52z?f<`<61j16><6?:07`?xu60ol1<7<t=d:f>7gc34n8o7?6b:\7fp5a6c2909w0??9`82=g=::8=i6<;l;|q2`4`=838p1<>6a;3:g>;59>i1=8m4}r3:44<72;q6==7n:3cg?8779h0:5o5rs0ag3?6=:r7:>=7518`89770<3;>o6s|1bg3>5<5s4;9<44>9b9>641228?h7p}>91694?4|588;57<nd:?244d=90k0q~?lc483>7}:9;:j6<7m;<0237<6=j1v\7f<mle;296~;6:9k1=4m4=3347?72k2wx=4>::181\7f8758h09mi52104f>4?e3ty:oh750;0x946>j3;2n63=164950e<uz;hj?4?:3y>55?e283h70<>76821f=z{83;?7>52z?24<d=:hn01<>>b;3:f>{t9jkj6=4={<3150<61k16><9?:07`?xu6kki1<7<t=0021?7>k279=:?514a8yv7>8?0;6?u21336>7gc34;::h4>9`9~w4c3j3:1>v3>4dc95<d<5;;m<7?;b:\7fp5`3c2909w0?;e`82=f=::8l:6<:m;|q2=4c=838p1<:ja;0b`>;6;jl1=4l4}r3f62<72;q6nho518`8977bj3;?n6s|1d13>5<5s4hnm7?6c:?15`e=9=h0q~?62183>7}:jlk1>lj4=07fe?7>j2wx=h?::181\7f8c><3;2n63=1d;951d<uz;n=h4?:3y>a<2=90i01??ja;37f>{t908:6=4={<g:0?4fl27:9ho518c8yv7b;00;6?u2bd;95<d<5;;nh7?;b:\7fp5`252909w0lj9;3:g>;59lo1=9l4}r3:5c<72;q6nh752`f8945dn3;2m6s|1eg;>5<5s4o297?6b:?15ab=9=h0q~?kf`83>7}:m0?1=4m4=33f2?73j2wx=4<;:181\7f8c>=38jh63>5d`95<g<uz;n<>4?:3y>f`d=90h01??j7;37f>{t9l:h6=4={<`ff?7>k279=h6515`8yv7>::0;6?u2bd`96db<58?nn7?6b:\7fp5fgc2909w0??a082=g=::8=j6<:m;|q2`46=838p1<>n1;3:g>;591?1=9l4}r3:63<72;q6==o>:3cg?8b293;2m6s|2160>5<5s4;;m?4>9c9>675628>i7p}=0`494?4|58:j>7?6c:?166e=9=h0q~?62`83>7}:99k96?ok;<f66?7>i2wx=i9<:181\7f8c>>3;2n63=182951d<uz;oh;4?:3y>a<0=90i01??m3;37f>{t908>6=4={<g:2?4fl27o9<4>9c9~w4db?3:1>v3meb82=g=::8<?6<:m;|q2g1g=838p1okl:0;`?846>o0:8o5rs0;13?6=:r7iin4=ae9>50cd283i7p}>b3394?4|5l3<6<7m;<020`<6<k1v\7f<l74;296~;b1>0:5n52207:>42e3ty:5?650;0x9`?02;ko70?:eb82=d=z{;;;57>52z?f==<61k16><=7:06a?xu6iko1<7<t=d;;>4?d348:8>4>4c9~w4?513:1>v3j9981ea=:l<81=4l4}r3ff2<72;q6==o<:0;a?8458<0:8o5rs0d2e?6=:r7:<l=518a8974683;?n6s|180`>5<5s4;;m>4=ae9>`05=90k0q~?i7e83>7}:m031=4l4=302f?73j2wx=kk?:181\7f8c>13;2o63=234951d<uz;2>o4?:3y>a<?=:hn01i;<:0;a?xu59881<7<t=03;<?7>j279=?j515`8yv7f=k0;6?u210:;>4?d348:n94>4c9~w4?5n3:1>v3>19:96db<58:957?6a:\7fp5c>a2909w0ljd;3:f>;5:891=9l4}r3e==<72;q6nhj518a89746<3;?n6s|1813>5<5s4hnh7<nd:?21`c=90h0q~?kf083>7}:99k?6<7m;<02ac<6<k1v\7f<k:4;296~;68h>1=4m4=33ee?73j2wx=4<j:181\7f877i=09mi52110:>4?e3ty:j::50;0x9`?f283i70<=10820g=z{8l3:7>52z?f=d<61j16>??=:06a?xu61:;1<7<t=d;b>7gc34;>ih4>9`9~w4`5k3:1>v3>0`495<d<5;8;47?;b:\7fp5c522909w0??a782=f=::;:26<:m;|q2=63=838p1<>n6;0b`>;c=<0:5l5rs0d56?6=:r7n5o4>9c9>676b28>i7p}>f7`94?4|5l3i6<7l;<014c<6<k1v\7f<7<2;296~;b1k09mi52d4695<d<uz;m9=4?:3y>55g2283i70<=0b820g=z{8l>57>52z?24d3=90i01?<?d;37f>{t90986=4={<33e0<5im16h8:518c8yv7a;l0;6?u2e8a95<d<5;8;m7?;b:\7fp5c202909w0k6c;3:g>;5:9h1=9l4}r3:71<72;q6i4m52`f89a32283i7p}>f0394?4|58:j;7?6b:?1650=9=h0q~?i2283>7}:99k<6<7l;<0142<6<k1v\7f<7<6;296~;68h=1>lj4=021e?7>j2wx=hkk:181\7f8c>l3;2n63=213951d<uz;nj;4?:3y>a<b=90i01?<?2;37f>{t90926=4={<g:`?4fl27o9;4>9c9~w4can3:1>v3>19;95<d<5;8;?7?;b:\7fp5c6?2909w0?>8882=f=::;:?6<:m;|q2=61=838p1<?79;0b`>;68;k1=4o4}r3f`g<72;q6==o7:0;a?846no0:8o5rs0gf0?6=:r7:<l6518a8974783;?n6s|181b>5<5s4;;m54=ae9>`00=90k0q~?j8`83>7}:982i6<7m;<02b3<6<k1v\7f<k63;296~;691h1=4m4=33e3?73j2wx=4=j:181\7f8760k09mi52110g>4?f3ty:in750;0x946f13;2n63=1gf951d<uz;nh?4?:3y>55g>283h70<>fd820g=z{838n7>52z?24d?=:hn01<>=b;3:f>{t9lkn6=4={<32<d<61k16><hm:06a?xu6mj:1<7<t=03;e?7>k279=km515`8yv7>;j0;6?u210:b>7gc34;;>o4>9`9~w4c1>3:1>v3>20595<d<5;;m>7?;b:\7fp5`0a2909w0?=1682=f=::8l86<:m;|q2=16=838p1<<>7;0b`>;69>91=4o4}r3f=f<72;q6==on:0;a?846n10:8o5rs0gb1?6=:r7:<lo518a8977a13;?n6s|181g>5<5s4;;ml4=ae9>554c283i7p}>e6:94?4|58;3o7?6b:?15c2=9=h0q~?j8083>7}:982h6<7l;<02b0<6<k1v\7f<7<f;296~;691i1>lj4=0347?7>j2wx=h:l:181\7f873mk0:5o5220d3>42a3ty:i8k50;0x942bj3;2o63=1g3951`<uz;29<4?:3y>51ce2;ko70?<d382=g=z{8o::7>52z?fe4<61k16><k6:06e?xu6m8l1<7<t=dc2>4?d348:il4>4g9~w4?2<3:1>v3ja081ea=:9<l<6<7n;|q2``?=838p1ho=:0;a?846lm0:8k5rs0fef?6=:r7nm?4>9b9>64c128>m7p}>94494?4|5lk96?ok;<363f<61h1v\7f<k<a;296~;emo0:5o5220gg>42a3ty:i9=50;0x9gca283h70<>ed820c=z{83>>7>52z?aac<5im16=>j=:0;b?xu6m;21<7<t=cd3>4?e348:io4>4g9~w4c493:1>v3mf182=f=::8oh6<:i;|q2=05=838p1oh?:3cg?872n>0:5o5rs0g30?6=:r7ij<4>9c9>64c028>m7p}>e1f94?4|5kl:6<7l;<02a=<6<o1v\7f<7:5;296~;en809mi52145`>4?e3ty:h::50;0x9`g4283i70<>91820c=z{8no;7>52z?fe6<61j16><l<:06e?xu61<=1<7<t=dc0>7gc34n=87?6b:\7fp65232909w0??ag82=g=::;9:6<:i;|q14d1=838p1<>nf;3:g>;5::i1=9h4}r3:1a<72;q6==oi:3cg?8b1>3;2m6s|1bcf>5<5s4;;mh4>9c9>641f28>m7p}>d0394?4|58:ji7?6c:?15=3=9=l0q~?65983>7}:99kn6?ok;<f50?7>i2wx=ok7:181\7f8da:3;2n63=176951`<uz;h8o4?:3y>fc4=90i01??9f;37b>{t90?j6=4={<`e6?4fl27:9:j518`8yv7e:;0;6?u2e`695<d<5;;?i7?;f:\7fp5g>22909w0kn4;3:g>;59<31=9h4}r3:1g<72;q6il:52`f89430l3;2m6s|202b>5<5s4oj97?6b:?156>=9=l0q~?nbg83>7}:mh?1=4m4=3377?73n2wx=4;l:181\7f8cf=38jh63k6782=g=z{8nm>7>52z?24g7=90h01??jf;37b>{t9l?>6=4={<33f4<61j16><hn:06e?xu61?:1<7<t=02a5?4fl27:<>8518`8yv7bj10;6?u211`3>4?e3489<84>4g9~w4`6j3:1>v3>0c295<e<5;8:<7?;f:\7fp5<3a2909w0??b181ea=:l?=1=4o4}r3e3`<72;q6il8518`89746j3;?j6s|1gg2>5<5s4oj:7?6c:?1670=9=l0q~?65d83>7}:mh<1>lj4=e44>4?e3ty:j4>50;0x9g`4283i70<=12820c=z{8l257>52z?ab6<61j16>??;:06e?xu61?81<7<t=cd0>7gc34;>;h4>9c9~w776;3:1>v3>19g95<d<5;;9h7?;f:\7fp5d3d2909w0?>8d82=f=::8h?6<:i;|q2=37=838p1<?7e;0b`>;68:<1=4o4}r3e26<72;q6il6518`89747m3;?j6s|1g4`>5<5s4oj47?6c:?165`=9=l0q~?66483>7}:mh21>lj4=e4;>4?e3ty:j8?50;0x946e:3;2n63=21a951`<uz;m9l4?:3y>55d5283h70<=0e820c=z{83=:7>52z?24g4=:hn01i87:0;b?xu6n>?1<7<t=dc4>4?e3489=<4>4g9~w4`??3:1>v3ja682=f=::;;96<:i;|q2=35=838p1ho8:3cg?872?l0:5l5rs0d0b?6=:r7nm44>9c9>676f28>m7p}>f5:94?4|5lk26<7l;<014g<6<o1v\7f<797;296~;bi009mi52d7;95<d<uz;m>i4?:3y>55d4283i70<=09820c=z{8l8:7>52z?24g5=90i01?<?9;37b>{t90<36=4={<33f6<5im16h;7518c8yv7a9;0;6?u211`7>4?e3489<;4>4g9~w4`5<3:1>v3>0c695<e<5;8;;7?;f:\7fp5<0>2909w0??b581ea=:nkh1=4l4}r3fa`<72;q6ilo518`8974793;?j6s|1dd4>5<5s4ojm7?6c:?1654=9=l0q~?66c83>7}:mhk1>lj4=e4b>4?e3ty:j=>50;0x947?n3;2n63=211951`<uz;m<44?:3y>54>a283h70<=05820c=z{83=m7>52z?25=`=:hn01klm:0;b?xu6mjk1<7<t=02a2?7>j279=kj515d8yv7bl:0;6?u211`5>4?d348:jh4>4g9~w4?1l3:1>v3>0c496db<5ohh6<7m;|q2ad`=838p1<?60;3:f>;59oh1=9h4}r3fg4<72;q6=<7?:0;`?846nj0:8k5rs0;5a?6=:r7:=4>52`f89cdd283j7p}>eea94?4|58:i97?6b:?15c`=9=l0q~?je483>7}:99h>6<7l;<0145<6<o1v\7f<79c;296~;68k?1>lj4=e4b>4?f3ty:i4j50;0x946e?3;2n63=1g:951`<uz;nm;4?:3y>55d0283h70<>f8820c=z{83<<7>52z?24g1=:hn01klk:0;a?xu6m?=1<7<t=002<?7>j279=k<515d8yv7b?90;6?u2133;>4?d348:j>4>4g9~w4?0;3:1>v3>20:96db<58;<:7?6a:\7fp5`>e2909w0?>9082=g=::8l=6<:i;|q2a<2=838p1<?61;3:g>;59o=1=9h4}r3:34<72;q6=<7>:3cg?8`el3;2m6s|1d5:>5<5s4;:5?4>9c9>64`328>m7p}>e9094?4|58;2>7?6c:?15c3=9=l0q~?67383>7}:98396?ok;<3233<61k1v\7f<k<b;296~;en<0:5o5220gg>4373ty:i9:50;0x9g`2283h70<>ed8215=z{83<97>52z?ab0<5im16=>j<:0;b?xu6m=n1<7<t=06fg?7>j279=k>51428yv7b=o0;6?u215g`>4?d348:j<4>519~w4?0<3:1>v3>4da96db<589o?7?6b:\7fp5`622909w0li7;3:f>;59l=1=8>4}r3f4`<72;q6nk9518a8977b03;><6s|185;>5<5s4hm;7<nd:?21=6=90h0q~?j2883>7}:jo<1=4l4=33ff?7282wx=h==:181\7f8da>3;2o63=1da9506<uz;2;;4?:3y>fc0=:hn01<;8f;3:f>{t9l;<6=4={<gbg?7>j279=h751428yv7b:90;6?u2e`a95<e<5;;nm7?:0:\7fp5<102909w0knc;0b`>;6=>l1=4o4}r3`ec<72;q6==l6:0;a?846?h0:9=5rs0f26?6=:r7:<o7518a8977?=3;><6s|185`>5<5s4;;n44=ae9>`3d=90k0q~?ke`83>7}:mhn1=4l4=33g`?7282wx=ihl:181\7f8cfl3;2o63=1d49506<uz;2;44?:3y>adb=:hn01<;70;3:e>{t9m=>6=4={<gba?7>j279=4>51428yv7cl10;6?u2e`g95<e<5;;i?7?:0:\7fp5<1e2909w0kne;0b`>;c>k0:5o5rs333f?6=:r7nn=4>9c9>645?28?;7p}>ab294?4|5lh;6<7l;<0206<6=91v\7f<78f;296~;bj909mi52d7a95<d<uz;i>>4?:3y>ad`=90h01??;e;364>{t9k2=6=4={<gbb?7>k279=8751428yv7>?l0;6?u2e`d96db<58?3=7?6a:\7fp5gc>2909w0li8;3:f>;59?>1=8>4}r3`0f<72;q6nk6518a89771n3;><6s|185g>5<5s4hm47<nd:?21=7=90h0q~<?4483>7}:99hj6<7m;<0174<6=91v\7f?>n8;296~;68kk1=4m4=300g?7282wx=46?:181\7f877jh09mi52d7a95<g<uz;m;k4?:3y>ag7=90h01?<>b;364>{t9oo96=4={<ga5?7>k279>?851428yv7>080;6?u2ec396db<5m<o6<7m;|q1542=838p1<?64;3:f>;59;n1=8>4}r3b1a<72;q6=<7;:0;`?846j=0:9=5rs0;;0?6=:r7:=4:52`f89cdb283j7p}>ec;94?4|58:in7?6b:?1653=9<:0q~?i1b83>7}:99hi6<7l;<0155<6=91v\7f<772;296~;68kh1>lj4=e4g>4?f3ty:hk=50;0x946ek3;2n63=1dd9506<uz;n9;4?:3y>55dd283h70<>f`8215=z{833?7>52z?24ge=:hn01klj:0;a?xu6n><1<7<t=d`1>4?e3489=<4>519~w4`?03:1>v3jb382=f=::;;96<;?;|q2==1=838p1hl=:3cg?8720;0:5l5rs0d:5?6=:r7ij44>9c9>677428?;7p}>f8c94?4|5kl26<7l;<0151<6=91v\7f<776;296~;en009mi5214:1>4?e3ty:j8<50;0x946el3;2n63=21a9506<uz;m9o4?:3y>55dc283h70<=0e8215=z{83357>52z?24gb=:hn01i8j:0;b?xu6n9;1<7<t=03:1?7>j279>==51428yv7a8h0;6?u210;6>4?d3489<94>519~w4??l3:1>v3>18796db<5ohm6<7n;|q2b32=838p1hl<:0;a?8458l0:9=5rs0d5`?6=:r7nn>4>9b9>676a28?;7p}>99:94?4|5lh86?ok;<f5a?7>j2wx=k:?:181\7f8ce<3;2n63=21c9506<uz;m844?:3y>ag2=90i01?<?b;364>{t902j6=4={<ga0?4fl27o:k4>9c9~w4`5m3:1>v3>0cg95<d<5;8;47?:0:\7fp5c502909w0??bd82=f=::;:26<;?;|q2==d=838p1<>me;0b`>;c>o0:5l5rs0d27?6=:r7:<oh518`89747>3;><6s|1g06>5<5s4;;nk4>9b9>676028?;7p}>99a94?4|58:ij7<nd:?efc<61k1v\7f<kkd;296~;68j:1=4l4=33eb?7282wx=hk9:181\7f877k90:5n522323>4373ty:55h50;0x946d838jh63k7082=d=z{8onj7>52z?ff0<61k16>?>>:073?xu6mo21<7<t=d`6>4?d3489<?4>519~w4??m3:1>v3jb481ea=:l>;1=4l4}r3ff5<72;q6=<79:0;a?846nk0:9=5rs0g`6?6=:r7:=48518a8977ak3;><6s|18;1>5<5s4;:5;4=ae9>bf6=90k0q~?j6983>7}:9;;26<7m;<02b7<6=91v\7f<k81;296~;6:831=4m4=33e7?7282wx=479:181\7f8759009mi521054>4?f3ty:inl50;0x946d93;2n63=1gf9506<uz;nh94?:3y>55e6283h70<>fd8215=z{832=7>52z?24f7=:hn01km?:0;a?xu6m0o1<7<t=02`6?7>j279=k651428yv7bi>0;6?u211a1>4?d348:j44>519~w4?>;3:1>v3>0b096db<5oi:6<7m;|q2a6e=838p1ohn:0;a?846mm0:9<5rs0g71?6=:r7ijl4>9b9>64cb28?:7p}>98:94?4|5klj6?ok;<30`1<61h1v\7f<k7c;296~;690=1=4l4=33e2?7282wx=h7::181\7f8761>0:5n5220d4>4373ty:54:50;0x947>?38jh63ic082=d=z{8o<m7>52z?25<>=90h01??i4;364>{t9l286=4={<32==<61j16><h::073?xu610?1<7<t=03:<?4fl27:=:9518`8yv7b<l0;6?u215gg>4?e348:j=4>509~w4c183:1>v3>4df95<e<5;;m=7?:1:\7fp5<?02909w0?;ee81ea=:9:n?6<7m;|q2a7g=838p1ohm:0;a?846mk0:9<5rs0g07?6=:r7ijo4>9b9>64cd28?:7p}>98;94?4|5kli6?ok;<36<6<61k1v\7f<k?6;296~;enj0:5o5220g4>4363ty:i=h50;0x9g`d283h70<>e98214=z{832o7>52z?abf<5im16=86;:0;a?xu6m821<7<t=d`4>4?e348:i44>509~w4c593:1>v3jb682=f=::8oj6<;>;|q2=<g=838p1hl8:3cg?8720:0:5l5rs0fff?6=:r7nn54>9c9>64bc28?:7p}>dgf94?4|5lh36<7l;<02a3<6=81v\7f<76d;296~;bj109mi5214:7>4?f3ty:n?:50;0x9`df283i70<>4d8214=z{8h3;7>52z?ffd<61j16><;6:072?xu61h;1<7<t=d`b>7gc34;>484>9`9~w4b0>3:1>v3jb882=g=::83;6<;>;|q2`a?=838p1hl6:0;`?846j:0:9<5rs0;:a?6=:r7nn44=ae9>`24=90h0q~?lb183>7}:99i?6<7m;<023d<6=81v\7f<j>3;296~;68j>1=4m4=33;1?7292wx=47i:181\7f877k=09mi52d6095<g<uz8;8;4?:3y>55e2283i70<=308214=z{;:j57>52z?24f3=90i01?<<c;365>{t90k86=4={<33g0<5im16h:=518c8yv7emh0;6?u2bgf95<d<5;;=87?:1:\7fp5f2c2909w0lid;3:g>;59?l1=8?4}r3:e5<72;q6nkj52`f8943?=3;2n6s|202`>5<5s4oin7?6b:?156>=9<;0q~?nc083>7}:mkh1=4m4=3377?7292wx=4o=:181\7f8cej38jh63k7282=g=z{8oim7>52z?24f0=90h01?<?5;365>{t9o;o6=4={<33g3<61j16>???:072?xu61h?1<7<t=02`2?4fl27o;94>9`9~w4`?83:1>v3jbb82=g=::;;i6<;>;|q2b`5=838p1hll:0;`?845:?0:9<5rs0;b0?6=:r7nnn4=ae9>`22=90h0q~?i9383>7}:joo1=4l4=3027?7292wx=k7m:181\7f8dam3;2o63=2069507<uz;2m44?:3y>fcc=:hn01<;77;3:f>{t9ml?6=4={<33g2<61k16><ki:072?xu6m<=1<7<t=02`3?7>k279=ko51438yv7>i>0;6?u211a4>7gc34lh>7?6b:\7fp64722909w0?>9882=g=::88o6<;>;|q2e0c=838p1<?69;3:g>;59k>1=8?4}r3:e=<72;q6=<76:3cg?8`d:3;2m6s|1g62>5<5s4oij7?6b:?165g=9<;0q~?i4`83>7}:mkl1=4m4=303f?7292wx=4ok:181\7f8cen38jh63k7782=g=z{8l<;7>52z?ffa<61k16>??>:072?xu6n131<7<t=d`g>4?d3489=?4>509~w4?fi3:1>v3jbe81ea=:9<2<6<7n;|q2b33=838p1hlj:0;a?8458l0:9<5rs0d5a?6=:r7nnh4>9b9>676a28?:7p}>9``94?4|5lhn6?ok;<f41?7>j2wx=k<i:181\7f877k00:5o52232;>4363ty:j>650;0x946d13;2o63=21;9507<uz;2mh4?:3y>55e>2;ko70j86;3:e>{t9o?86=4={<33g=<61k16>?>l:072?xu6n<i1<7<t=02`<?7>k279>=j51438yv7>ij0;6?u211a;>7gc34n<97?6a:\7fp5c652909w0?>9`82=g=::;:86<;>;|q2b5d=838p1<?6a;3:g>;5:9>1=8?4}r3:f5<72;q6=<7n:3cg?8`d;3;2m6s|1g37>5<5s4;;ol4>9c9>676128?:7p}>f3494?4|58:hm7?6c:?1651=9<;0q~?6ag83>7}:99ij6?ok;<d`7?7>j2wx=hh?:181\7f8cd83;2n63=2139507<uz;nj44?:3y>af6=90i01?<?2;365>{t90h96=4={<g`4?4fl27o;:4>9c9~w4c>n3:1>v3>0bf95<d<5;;m47?:1:\7fp5`g?2909w0??ce82=f=::8l26<;>;|q2=g0=838p1<>ld;0b`>;ak?0:5o5rs0gga?6=:r7:<nl518`8977an3;>=6s|1dg4>5<5s4;;oo4>9b9>676728?:7p}>9c194?4|58:hn7<nd:?g32<61h1v\7f<klc;296~;68ji1=4l4=33e`?7292wx=hj::181\7f877kj0:5n5220df>4363ty:5o:50;0x946dk38jh63ic582=g=z{8oi=7>52z?25<d=90h01??ib;365>{t9li86=4={<32=g<61j16><hl:072?xu61k?1<7<t=03:f?4fl27mo94>9`9~w4c113:1>v3>20c95<d<5;;m>7?:1:\7fp5`152909w0?=1`82=f=::8l86<;>;|q2=g?=838p1<<>a;0b`>;69>21=4o4}r3f0c<72;q6=9kj:0;a?846n90:9?5rs0g55?6=:r7:8hk518a8977a93;>>6s|18`b>5<5s4;?ih4=ae9>56b2283i7p}>e9f94?4|58;2o7?6b:?15c0=9<;0q~?j9783>7}:983h6<7l;<02b2<6=81v\7f<7m7;296~;690i1>lj4=ga5>4?f3ty:i:l50;0x947>l3;2n63=1g69507<uz;n494?:3y>54?c283h70<>f48214=z{83i47>52z?25<b=:hn01<?88;3:f>{t9l9o6=4={<a34?7>j279=hj51408yv7b<?0;6?u2c1295<e<5;;ni7?:2:\7fp5<de2909w0m?0;0b`>;6;m?1=4o4}r3f6g<72;q6o=?518`8977bj3;>>6s|1d17>5<5s4i;=7?6c:?15`e=9<80q~?6bd83>7}:k9;1>lj4=07;<?7>j2wx=h>8:181\7f8e7:3;2n63=1d59504<uz;n==4?:3y>g54=90i01??j8;366>{t90i;6=4={<a36?4fl27:957518`8yv7b900;6?u2eb095<d<5;;n57?:2:\7fp5`452909w0kl2;3:g>;59lk1=8<4}r3:fc<72;q6in<52`f8943?03;2m6s|1e54>5<5s4oh87?6b:?15<6=9<80q~?kd`83>7}:mj>1=4m4=33a7?72:2wx=4m=:181\7f8cd<38jh63k7982=g=z{8hnn7>52z?`46<61k16><8;:071?xu6k=o1<7<t=b20>4?d348::k4>539~w4?d<3:1>v3l0281ea=:9<2j6<7m;|q2``e=838p1hm<:0;a?846lm0:9?5rs0fea?6=:r7no>4>9b9>64c128?97p}>9b394?4|5li86?ok;<36<<<61h1v\7f<mm1;296~;68jl1=4l4=334e?72:2wx=i?;:181\7f877ko0:5n5220:6>4353ty:5n=50;0x946dn38jh63k7982=d=z{;:?;7>52z?24a6=90h01?<<1;366>{t:9kj6=4={<33`5<61j16>?=l:071?xu61j=1<7<t=02g4?4fl27o;44>9`9~w4d5=3:1>v3jc482=g=::8>n6<;=;|q2f=>=838p1hm::0;`?846=00:9?5rs0;`1?6=:r7no84=ae9>50>f283j7p}=11f94?4|5li=6<7m;<027=<6=;1v\7f<ol2;296~;bk?0:5n522060>4353ty:5n850;0x9`e12;ko70j89;3:f>{t9ml>6=4={<33`7<61k16><ki:071?xu6m<21<7<t=02g6?7>k279=ko51408yv7>kk0;6?u211f1>7gc34lh;7?6b:\7fp5c>62909w0kl7;3:f>;5:8h1=8<4}r3ea1<72;q6in9518a89745>3;>>6s|18a:>5<5s4oh;7<nd:?g3d<61k1v\7f<h63;296~;d8=0:5o522330>4353ty:j4m50;0x9f63283h70<=158217=z{83hh7>52z?`41<5im16=86m:0;a?xu6mkh1<7<t=02g5?7>j279>=;51408yv7a9l0;6?u211f2>4?d3489==4>539~w4?di3:1>v3>0e396db<5m=j6<7n;|q1540=838p1<?6f;3:f>;59;n1=8<4}r3b1c<72;q6=<7i:0;`?846j=0:9?5rs0;`g?6=:r7:=4h52`f89ce0283j7p}>f7494?4|5li26<7m;<014`<6=;1v\7f<h9f;296~;bk00:5n52232e>4353ty:5nh50;0x9`e>2;ko70j8c;3:f>{t9o??6=4={<33`6<61k16>?>l:071?xu6n<n1<7<t=02g7?7>k279>=j51408yv7>l90;6?u211f0>7gc34n<o7?6a:\7fp5c1?2909w0kl8;3:f>;5:8;1=8<4}r3e<d<72;q6in6518a89746:3;>>6s|18af>5<5s4oh47<nd:?21=d=90k0q~?i1483>7}:99n>6<7m;<0143<6=;1v\7f<h=7;296~;68m?1=4m4=3033?72:2wx=4j;:181\7f877l<09mi52fb:95<d<uz;m?=4?:3y>55b3283i70<=098217=z{8l857>52z?24a2=90i01?<?9;366>{t90n96=4={<33`1<5im16h:j518c8yv7a<;0;6?u2ebc95<d<5;8;m7?:2:\7fp5c2e2909w0kla;3:g>;5:9h1=8<4}r3:`4<72;q6ino52`f89a1c283i7p}>f1194?4|58;j<7?6b:?1655=9<80q~?i0b83>7}:98k;6<7l;<0141<6=;1v\7f<7k5;296~;69h:1>lj4=ga;>4?f3ty:ik?50;0x9`ee283i70<=008217=z{8omm7>52z?fgg<61j16>?>=:071?xu61m<1<7<t=daa>7gc34n<i7?6b:\7fp5`ec2909w0??d682=g=::8lo6<;=;|q2aa0=838p1<>k7;3:g>;59oo1=8<4}r3:`=<72;q6==j8:3cg?8`d13;2n6s|1d`1>5<5s4;:m<4>9c9>64`e28?97p}>eb694?4|58;j=7?6c:?15ce=9<80q~?6d883>7}:98k:6?ok;<d`=?7>i2wx=hji:181\7f877l?0:5o5220de>4353ty:ih650;0x946c>3;2o63=2129504<uz;2h:4?:3y>55b12;ko70j8e;3:e>{t9l2n6=4={<32e7<61k16><h9:071?xu6m0=1<7<t=03b6?7>k279=k951408yv7>lk0;6?u210c1>7gc34lhm7?6a:\7fp5`g72909w0??d982=g=::8l36<;=;|q2ad?=838p1<>k8;3:g>;59o31=8<4}r3:`d<72;q6==j7:3cg?8`di3;2n6s|1d4b>5<5s4;9=o4>9c9>64`528?97p}>e6194?4|588:n7?6c:?15c5=9<80q~?6de83>7}:9;;i6?ok;<323<<61h1v\7f<k:0;296~;6<ll1=4l4=33e4?72;2wx=h8=:181\7f873mo0:5n5220d2>4343ty:5ih50;0x942bn38jh63>3e495<d<uz;n;n4?:3y>54g4283i70<>f58217=z{8o397>52z?25d5=90i01??i5;366>{t90nh6=4={<32e6<5im16=<96:0;a?xu6m:o1<7<t=b26>4?e348:ii4>529~w4c3?3:1>v3l0482=f=::8on6<;<;|q2=`6=838p1n>::3cg?874l?0:5l5rs0g1g?6=:r7h<;4>9c9>64ce28?87p}>e2794?4|5j:=6<7l;<02af<6=:1v\7f<7j1;296~;d8?09mi5214:`>4?e3ty:i<o50;0x9`ec283i70<>e88216=z{8o9?7>52z?fga<61j16><kn:070?xu61l81<7<t=dag>7gc34;>4n4>9`9~w4bbl3:1>v3jcd82=g=::8no6<;<;|q2`c`=838p1hmj:0;`?846m?0:9>5rs0;f0?6=:r7noh4=ae9>50>c283j7p}>d6:94?4|5lim6<7m;<02=5<6=:1v\7f<jkb;296~;bko0:5n5220`0>4343ty:5h;50;0x9`ea2;ko70j8f;3:f>{t9l:36=4={<a33?7>j279=h951418yv7b980;6?u2c1595<e<5;;n47?:3:\7fp5<c42909w0m?7;0b`>;6=1n1=4l4}r3`f7<72;q6==jn:0;a?846?h0:9>5rs0f21?6=:r7:<io518a8977?=3;>?6s|18g5>5<5s4;;hl4=ae9>`2`=90k0q~?m2783>7}:mm:1=4l4=337a?72;2wx=o66:181\7f8cc83;2o63=14;9505<uz;2i54?:3y>aa6=:hn01<;7e;3:e>{t:9>36=4={<33`g<61k16>?=>:070?xu58hh1<7<t=02gf?7>k279>>m51418yv7>mk0;6?u211fa>7gc34n3<7?6a:\7fp5gcd2909w0m?8;3:f>;59?>1=8=4}r3`0c<72;q6o=6518a89771n3;>?6s|18g4>5<5s4i;47<nd:?21=c=90h0q~<>0d83>7}:mm;1=4l4=330<?72;2wx=lm<:181\7f8cc93;2o63=1519505<uz;2il4?:3y>aa7=:hn01i6?:0;a?xu6lo<1<7<t=02g`?7>j279=hh51418yv7b=00;6?u211fg>4?d348:jl4>529~w4?bm3:1>v3>0ef96db<5oii6<7m;|q2b=4=838p1hj=:0;a?8459k0:9>5rs0df1?6=:r7nh?4>9b9>674128?87p}>9da94?4|5ln96?ok;<f;5?7>j2wx=k7;:181\7f8e713;2n63=2019505<uz;m5i4?:3y>g5?=90i01?<>4;367>{t90l;6=4={<a3=?4fl27:95h518`8yv7bjj0;6?u211f`>4?e3489<84>529~w4`6n3:1>v3>0ea95<e<5;8:<7?:3:\7fp5<cc2909w0??db81ea=:l1;1=4o4}r0252<72;q6=<o;:0;a?846:m0:9>5rs0c54?6=:r7:=l:518a8977e<3;>?6s|18ge>5<5s4;:m94=ae9>bfd=90k0q~?i6683>7}:mm>1=4l4=303a?72;2wx=k9?:181\7f8cc<3;2o63=21d9505<uz;2j?4?:3y>aa2=:hn01i6=:0;a?xu6n<?1<7<t=02ga?7>j279>=m51418yv7a=l0;6?u211ff>4?d3489<i4>529~w4?a;3:1>v3>0eg96db<5m296<7n;|q2b2?=838p1hj<:0;a?845980:9>5rs0d;f?6=:r7nh>4>9b9>677528?87p}>9g394?4|5ln86?ok;<36<c<61h1v\7f<h>6;296~;68l:1=4l4=3032?72;2wx=k<7:181\7f877m90:5n522324>4343ty:5k950;0x946b838jh63icb82=g=z{8l8=7>52z?24a`=90h01?<?8;367>{t9o9j6=4={<33`c<61j16>?>6:070?xu61o<1<7<t=02gb?4fl27o4>4>9`9~w4`3;3:1>v3jd482=g=::;:j6<;<;|q2b1e=838p1hj::0;`?8458k0:9>5rs0;e1?6=:r7nh84=ae9>`=5=90h0q~?i0583>7}:98k>6<7m;<0146<6=:1v\7f<h?d;296~;69h?1=4m4=3030?72;2wx=4h7:181\7f876i<09mi52fba95<g<uz;nj?4?:3y>aa0=90h01?<?1;367>{t9lli6=4={<gg2?7>k279>=<51418yv7>n00;6?u2ee496db<5m2?6<7m;|q2afc=838p1<>j2;3:f>;59on1=8=4}r3f`2<72;q6==k=:0;`?846nl0:9>5rs0;ef?6=:r7:<h<52`f89cec283i7p}>ec194?4|58;j:7?6b:?15cd=9<90q~?jc483>7}:98k=6<7l;<02bf<6=:1v\7f<7ic;296~;69h<1>lj4=gag>4?f3ty:ih>50;0x946b93;2n63=1gd9505<uz;ni44?:3y>55c6283h70<=018216=z{83mm7>52z?24`7=:hn01i6;:0;b?xu6m>n1<7<t=03b<?7>j279=k:51418yv7b0?0;6?u210c;>4?d348:j84>529~w4g783:1>v3>1`:96db<58;<m7?6b:\7fp5`>a2909w0?>a682=g=::8l=6<;<;|q2a<>=838p1<?n7;3:g>;59o=1=8=4}r3:b`<72;q6=<o8:3cg?8`dm3;2m6s|1dc2>5<5s4;;i>4>9c9>64`?28?87p}>e`c94?4|58:n?7?6c:?15c?=9<90q~?6fe83>7}:99o86?ok;<d`a?7>j2wx=h;>:181\7f873n80:5o5220d3>43d3ty:i;=50;0x942a93;2o63=1g3950e<uz;j<?4?:3y>51`62;ko70?<d682=g=z{8o=n7>52z?264e=90h01??i2;367>{t9l=?6=4={<315f<61j16><h<:070?xu6i9;1<7<t=002g?4fl27:=:o518c8yv7b:m0;6?u2c1a95<d<5;;nn7?:c:\7fp5`512909w0m?c;3:g>;59li1=8m4}r3b41<72;q6o=m52`f8943>83;2n6s|1d1e>5<5s4i;n7?6b:?15`b=9<i0q~?j4983>7}:k9h1=4m4=33fa?72k2wx=l><:181\7f8e7j38jh63>3e595<g<uz;n<44?:3y>g5b=90h01??j7;36g>{t9l;96=4={<a3`?7>k279=h6514a8yv7f8?0;6?u2c1f96db<58?2>7?6b:\7fp5a1>2909w0kkb;3:f>;590:1=8m4}r3g`f<72;q6iil518a8977e;3;>o6s|1`2;>5<5s4oon7<nd:?g<0<61k1v\7f<k>b;296~;bl00:5o5220g:>43d3ty:i?:50;0x9`b>283h70<>e`821f=z{8k;97>52z?f`<<5im16=87?:0;b?xu6llo1<7<t=dfb>4?e348:hi4>5b9~w4c783:1>v3jd`82=f=::8o=6<;l;|q2e51=838p1hjn:3cg?8721;0:5l5rs0`f`?6=:r7h<h4>9c9>640328?h7p}>c4294?4|5j:n6<7l;<022c<6=j1v\7f<o?b;296~;d8l09mi5214;0>4?e3ty:oo=50;0x946b>3;2n63=16c950e<uz;o=;4?:3y>55c1283h70<>84821f=z{8k;57>52z?24`0=:hn01i6::0;b?xu599l1<7<t=dfg>4?e348:?54>5b9~w4gd<3:1>v3jde82=f=::8>86<;l;|q2e5b=838p1hjk:3cg?8b??3;2n6s|216:>5<5s4;;i:4>9c9>675628?h7p}=0`a94?4|58:n;7?6c:?166e=9<i0q~?n0d83>7}:99o<6?ok;<f;3?7>i2wx=o<8:181\7f8cck3;2n63=15g950e<uz;i4l4?:3y>aae=90i01??:9;36g>{t9h:h6=4={<ggg?4fl27:94=518c8yv7a0:0;6?u2eeg95<d<5;8:n7?:c:\7fp5cc12909w0kke;3:g>;5:;<1=8m4}r3b4c<72;q6iik52`f89a>?283i7p}>ecf94?4|58:n47?6b:?1653=9<i0q~?i2183>7}:99o36<7l;<0155<6=j1v\7f<o>0;296~;68l21>lj4=e:;>4?f3ty:hk950;0x946b13;2n63=1dd950e<uz;n9l4?:3y>55c>283h70<>f`821f=z{8k:=7>52z?24`?=:hn01kmi:0;a?xu6n0?1<7<t=b2e>4?e3489=>4>5b9~w4`>m3:1>v3l0g82=f=::;;?6<;l;|q2e45=838p1n>i:3cg?8721=0:5o5rs332<?6=:r7:=lo518`89775l3;>o6s|1`42>5<5s4;:ml4>9b9>64d328?h7p}>a0094?4|58;jm7<nd:?egc<61h1v\7f<h8a;296~;blo0:5o522332>43d3ty:j5m50;0x9`ba283h70<=13821f=z{8k:87>52z?f`c<5im16=87;:0;b?xu6n?21<7<t=dg3>4?e3489<h4>5b9~w4`093:1>v3je182=f=::;:m6<;l;|q2e40=838p1hk?:3cg?8b?13;2n6s|1g75>5<5s4;;il4>9c9>676d28?h7p}>f4d94?4|58:nm7?6c:?165b=9<i0q~?n1683>7}:99oj6?ok;<f;=?7>i2wx=k?8:181\7f877mj0:5o522325>43d3ty:j?750;0x946bk3;2o63=215950e<uz;j=l4?:3y>55cd2;ko70hk1;3:f>{t9o>?6=4={<gf5?7>j279>=o514a8yv7a<m0;6?u2ed395<e<5;8;n7?:c:\7fp5d7?2909w0kj1;0b`>;c0h0:5o5rs0d06?6=:r7:<hl518`8974703;>o6s|1g1a>5<5s4;;io4>9b9>676>28?h7p}>a0;94?4|58:nn7<nd:?g<d<61h1v\7f<h?5;296~;69hh1=4l4=3037?72k2wx=k>j:181\7f876ik0:5n522327>43d3ty:m<l50;0x947fj38jh63id082=d=z{8om?7>52z?fa7<61k16>?>>:07`?xu6moi1<7<t=dg1>4?d3489<?4>5b9~w4g6k3:1>v3je381ea=:l1h1=4l4}r3fgc<72;q6==kj:0;a?846nm0:9n5rs0gg<?6=:r7:<hk518a8977am3;>o6s|1`3f>5<5s4;;ih4=ae9>ba4=90h0q~?jb583>7}:98kh6<7m;<02bg<6=j1v\7f<kl6;296~;69hi1=4m4=33eg?72k2wx=l?i:181\7f876ij09mi52fe095<g<uz;ni<4?:3y>55cc283i70<>fg821f=z{8onm7>52z?24`b=90i01?<?0;36g>{t9h;o6=4={<33aa<5im16h5l518c8yv7b190;6?u210cg>4?e348:j;4>5b9~w4c>13:1>v3>1`f95<e<5;;m;7?:c:\7fp5d452909w0?>ae81ea=:nm91=4o4}r3fe7<72;q6==ki:0;a?846n10:9n5rs0gbf?6=:r7:<hh518a8977a13;>o6s|1`02>5<5s4;;ik4=ae9>ba5=90h0q~?j6b83>7}:9;;n6<7m;<02b7<6=j1v\7f<k85;296~;6:8o1=4m4=33e7?72k2wx=l<;:181\7f8759l09mi52105a>4?f3ty9<n650;0x942a:3;2n63=2249563<uz8;h<4?:3y>51`5283h70<=368270=z{8k997>52z?20c4=:hn01<=k9;3:f>{t9l=n6=4={<32e`<61k16><h;:07`?xu6m1=1<7<t=03ba?7>k279=k;514a8yv7f::0;6?u210cf>7gc34;:;o4>9c9~w76>j3:1>v3l1082=g=::;996<=:;|q14db=838p1n?>:0;`?845;:0:?85rs0c13?6=:r7h=<4=ae9>50?2283i7p}=0c494?4|5j;;6<7m;<0171<6;<1v\7f?>mf;296~;d990:5n522316>4523ty:m?850;0x9f772;ko70?<d882=d=z{;:357>52z?fa1<61k16>?<i:016?xu58081<7<t=dg7>4?d3489?=4>349~w4g503:1>v3je581ea=:9<3>6<7n;|q1433=838p1hk::0;a?845:k0:?85rs325a?6=:r7ni84>9b9>674d289>7p}>a3c94?4|5lo>6?ok;<36=3<61h1v\7f?>:3;296~;bm?0:5o52230:>4523ty9<8m50;0x9`c1283h70<=2`8270=z{8<i57>52z?fa3<5im16h5m518`8yv47?>0;6?u2c0095<d<5;89h7?<5:\7fp65>72909w0m>2;3:g>;5:;o1=>;4}r3b6<<72;q6o<<52`f8943>>3;2n6s|2105>5<5s4i:?7?6b:?1672=9:?0q~<?2g83>7}:k891=4m4=3011?74=2wx=;lm:181\7f8e6;38jh63>58595<d<uz8;?54?:3y>55`6283i70<=268270=z{;:?m7>52z?24c7=90i01?<=8;301>{t9?hj6=4={<33b4<5im16h5m518c8yv478;0;6?u2ed:95<d<5;89<7?<5:\7fp656e2909w0kj8;3:g>;5:;;1=>;4}r35fa<72;q6ih652`f89a>c283i7p}>fc394?4|58:m87?6b:?1641=9:?0q~?ib`83>7}:99l?6<7l;<015=<6;<1v\7f<8l1;296~;68o>1>lj4=gf7>4?e3ty9<<:50;0x9`c0283i70<=238270=z{;::h7>52z?fa2<61j16>?<<:016?xu6>ki1<7<t=dg4>7gc34;>5:4>9`9~w4`a83:1>v3>0g095<d<5;8:i7?<5:\7fp5c`>2909w0??f382=f=::;;m6<=:;|q22gc=838p1<>i2;0b`>;c0m0:5l5rs0dg1?6=:r7ni44>9c9>677d289>7p}>fd594?4|5lo26<7l;<015a<6;<1v\7f<8mf;296~;bm009mi52d9g95<d<uz;mo>4?:3y>55`4283i70<=188270=z{8lho7>52z?24c5=90i01?<>a;301>{t9?i;6=4={<33b6<5im16h5k518c8yv7e=<0;6?u2c0695<d<5;;?m7?<5:\7fp5g3b2909w0m>4;3:g>;59=h1=>;4}r35g1<72;q6o<:52`f8943>03;2n6s|1g;e>5<5s4;:mk4>9c9>6772289>7p}>f`:94?4|58;jj7?6c:?1640=9:?0q~?9c383>7}:98km6?ok;<dg0?7>i2wx=o:<:181\7f8cbi3;2n63=15:9563<uz;i8n4?:3y>a`g=90i01??;9;301>{t9?i>6=4={<gfe?4fl27:946518c8yv7fn;0;6?u211d5>4?e348:?k4>349~w4gaj3:1>v3>0g495<e<5;;?<7?<5:\7fp53e>2909w0??f781ea=:l0:1=4o4}r3a74<72;q6ihl518`89773>3;896s|1c1b>5<5s4onn7?6c:?1511=9:?0q~?9c783>7}:mlh1>lj4=e:e>4?e3ty:n<850;0x946a=3;2n63=1569563<uz;i>54?:3y>55`2283h70<>448270=z{8<h;7>52z?24c3=:hn01i6i:0;b?xu6ijo1<7<t=03a4?7>j279=>l51278yv7fl>0;6?u210`3>4?d348:?n4>349~w40dj3:1>v3>1c296db<5on>6<7n;|q2f52=838p1hkl:0;a?846<80:?85rs0`3`?6=:r7nin4>9b9>6425289>7p}>6b:94?4|5loh6?ok;<f:4?7>j2wx=lk?:181\7f877n>0:5o52201g>4523ty:mh750;0x946a?3;2o63=12g9563<uz;=ol4?:3y>55`02;ko70hk5;3:f>{t9hk:6=4={<33b=<61k16><=9:016?xu6ihk1<7<t=02e<?7>k279=>951278yv71km0;6?u211d;>7gc34n2>7?6a:\7fp5dd42909w0kjd;3:f>;59:31=>;4}r3bg0<72;q6ihj518a89774i3;896s|17a`>5<5s4onh7<nd:?g=7<61k1v\7f?>ie;296~;69k81=4l4=331a?74=2wx=l8=:181\7f876j;0:5n52200e>4523ty::i<50;0x947e:38jh63id682=d=z{8k3j7>52z?24c?=90h01??<4;301>{t9h336=4={<33b<<61j16><=::016?xu6>jl1<7<t=02e=?4fl27mh;4>9c9~w4g0l3:1>v3>1c395<d<5;;8>7?<5:\7fp5d>12909w0?>b082=f=::8986<=:;|q22a6=838p1<?m1;0b`>;al?0:5l5rs32ge?6=:r7:><h518`8974403;896s|21g0>5<5s4;9=k4>9b9>675>289>7p}>6e694?4|588:j7<nd:?252b=90k0q~?n6c83>7}:99lj6<7m;<0275<6;<1v\7f<o84;296~;68ok1=4m4=3305?74=2wx=;j>:181\7f877nh09mi52fe595<d<uz8;in4?:3y>54d4283i70<=3`8270=z{;:m97>52z?25g5=90i01?<<b;301>{t9?n86=4={<32f6<5im16=<9k:0;a?xu6;h;1<7<t=01b5?4fl27:>h=518`8yv73n00;6?u215d:>7gc34;9i>4>9`9~w42ai3:1>v3>56;96db<589n<7?6b:\7fpg3e=838p1n8l:3cg?874m90:5l5rsb4g>5<5s4n9o7<nd:?2016=90k0q~h<8;296~;a;109mi5214d;>4?f3ty:9??50;0x9430i38jh63>45295<d<uzioj7>52z?g=6<5im16=9:>:0;b?xudl=0;6?u2d3f96db<58?m47?6b:\7fpb6?=838p1kl7:3cg?871=10:5l5rs071g?6=:r7:9l>52`f8942393;2n6s|cdc94?4|5m3n6?ok;<351=<61k1v\7fk8?:181\7f8`e138jh63>64;95<g<uzim97>52z?ge<<5im16=;;6:0;a?xu69=?1<7<t=0371?4fl27oio4>9`9~wc0e2909w0hkf;0b`>;cmk0:5o5rs0703?6=:r7:9ll52`f89457;3;2m6s|12c1>5<5s4;8o<4=ae9>5664283i7p}k0183>7}:lk>1>lj4=067g?7>i2wx=8:=:181\7f872j?09mi52156`>4?e3ty:99j50;0x943d938jh63>44595<d<uzn;n7>52z?gfc<5im16=9;8:0;b?xua??0;6?u2fdc96db<58<=87?6a:\7fp`77=838p1ij::3cg?873>;0:5l5rse35>5<5s4nhm7<nd:?2232=90h0q~?:5983>7}:9<ih6?ok;<3727<61k1v\7fk6>:181\7f8`a=38jh63>67d95<g<uzi=i7>52z?ga5<5im16=;8i:0;a?xua0j0;6?u21123>7gc34;=;l4>9`9~wf1>2909w0j=e;0b`>;6>>k1=4l4}r3203<72;q6=<8::3cg?8c7j3;2m6s|f8594?4|58:;n7<nd:?f4g<61k1v\7f<;93;296~;6=m=1>lj4=0130?7>i2wx=>ok:181\7f874k;09mi521227>4?e3ty:9;k50;0x943b:38jh63>47f95<d<uzi387>52z?g7<<5im16=98k:0;b?xu6<oh1<7<t=07f`?4fl27:8:6518`8yve?n3:1>v3k4581ea=:9==36<7n;|q`=d<72;q6h9h52`f8940?=3;2n6s|f`094?4|58:::7<nd:?22=3=90k0q~mn5;296~;c=h09mi5215:0>4?f3tymmi4?:3y>55462;ko70?99182=d=z{8?;:7>52z?212d=:hn01<:73;3:f>{tn:k1<7<t=021g?4fl27::4l518c8yvee83:1>v3k6481ea=:9?3;6<7m;|q2507=838p1<?96;0b`>;b8j0:5l5rsb`a>5<5s4n<<7<nd:?22<d=90h0q~h;5;296~;ajh09mi52e1a95<d<uz;>=<4?:3y>50>12;ko70?<0g82=d=z{89i47>52z?27fb=:hn01<=?f;3:f>{tkj<1<7<t=e5a>7gc34;?4h4>9`9~w436i3:1>v3>58396db<58>3i7?6b:\7fpga7=838p1i69:3cg?873100:5l5rs072f?6=:r7:94752`f8942>13;2n6s|f4294?4|5oi>6?ok;<35e3<61h1v\7fnj::181\7f8b>938jh63>6`495<d<uz;>=n4?:3y>50?f2;ko70?;4382=g=z{jn=6=4={<f:0?4fl27:89<518c8yvec?3:1>v3k9481ea=:9?h:6<7m;|qe1<<72;q6ji>52`f8940e93;2m6s|ce:94?4|5m3=6?ok;<351d<61k1v\7fk;n:181\7f8`c038jh63>64c95<g<uz;:9n4?:3y>54162;ko70k>7;3:e>{t9<;o6=4={<36=g<5im16=>?n:0;b?xua=k0;6?u2fe;96db<5l;<6<7m;|q``<<72;q6h4952`f89423;3;2m6s|12`a>5<5s4;8h54=ae9>567f283i7p}ld`83>7}:l021>lj4=0670?7>i2wx=8?j:181\7f8721j09mi521560>4?e3ty:9<h50;0x943>l38jh63>45695<d<uzl>o7>52z?e`d<5im16=;;m:0;b?xudlk0;6?u2d8;96db<58<>n7?6b:\7fpgae=838p1i7n:3cg?873<<0:5l5rs0714?6=:r7:94k52`f89423=3;2n6s|f4f94?4|5oni6?ok;<351f<61h1v\7fnjk:181\7f8b>j38jh63>64a95<d<uzl>i7>52z?e`f<5im16=;;k:0;b?xudll0;6?u2d8a96db<58<>h7?6b:\7fpb0`=838p1kjk:3cg?8c5:3;2n6s|107e>5<5s4;:;n4=ae9>a74=90k0q~?:2383>7}:9<3m6?ok;<305f<61h1v\7fnk?:181\7f8b>l38jh63>45495<g<uz;8nn4?:3y>56bf2;ko70?<1b82=g=z{8?9?7>52z?21d7=:hn01<:;6;3:f>{tkl;1<7<t=e;e>7gc34;?8:4>9`9~w435<3:1>v3>5`096db<58>?;7?6b:\7fpb37=838p1kjj:3cg?871=l0:5l5rsbg1>5<5s4nj<7<nd:?220c=90h0q~mj3;296~;ci809mi52156;>4?f3ty:9?;50;0x943f;38jh63>45:95<d<uzl=>7>52z?ea5<5im16=;;i:0;b?xudm=0;6?u2d`096db<58<>j7?6b:\7fpg`3=838p1io<:3cg?871>90:5o5rsg40>5<5s4ln=7<nd:?2236=90k0q~h94;296~;am;09mi52e3695<d<uz;::=4?:3y>541b2;ko70k=4;3:e>{t9:ho6=4={<30`g<5im16=>?k:0;a?xu6=;<1<7<t=07b0?4fl27:?<j518c8yveb>3:1>v3ka581ea=:9=>26<7n;|q`a2<72;q6hl;52`f89423i3;2m6s|1404>5<5s4;>m84=ae9>512>283i7p}i6483>7}:nl91>lj4=0455?7>i2wx=8<7:181\7f872i?09mi52156b>4?e3tyhi44?:3y>`d1=:hn01<:;b;3:e>{tkl21<7<t=ec5>7gc34;=:<4>9c9~w43513:1>v3>5`596db<58>?n7?6b:\7fpb30=838p1kk;:3cg?871>;0:5l5rsbga>5<5s4nj47<nd:?2234=90h0q~h97;296~;am<09mi521740>4?f3tyhin4?:3y>`dg=:hn01<893;3:f>{t98<:6=4={<323c<5im16i?;518c8yv`103:1>v3ie781ea=:m;?1=4l4}r366d<72;q6=8o7:3cg?8749l0:5l5rs01aa?6=:r7:?im52`f89456m3;2n6s|140a>5<5s4;>m44=ae9>512c283i7p}lee83>7}:lhh1>lj4=067`?7>i2wx=8<k:181\7f872ih09mi52156f>4?e3tyhih4?:3y>`de=:hn01<:;e;3:e>{tkll1<7<t=ecg>7gc34;=:84>9c9~wc0>2909w0hj7;0b`>;6>??1=4o4}rae4?6=:r7omh4=ae9>512a283j7p}i6`83>7}:nl21>lj4=0452?7>i2wx=8<j:181\7f872ij09mi52156e>4?e3tym:n4?:3y>b`?=:hn01<897;3:e>{tko;1<7<t=ece>7gc34;=:;4>9c9~w471:3:1>v3>19296db<5l8=6<7n;|q`b7<72;q6ho>52`f89401?3;2n6s|f7f94?4|5ooi6?ok;<g12?7>j2wx=8<i:181\7f872im09mi52123e>4?f3ty:?oh50;0x945cl38jh63>30d95<d<uzim?7>52z?gf4<5im16=9;?:0;b?xu6=::1<7<t=07ba?4fl27:88>518`8yvea<3:1>v3kb381ea=:9=?:6<7n;|q2167=838p1<;nf;0b`>;6<<;1=4l4}rd5a?6=:r7min4=ae9>530?283j7p}lf783>7}:lk91>lj4=045<?7>j2wx=8==:181\7f872j909mi521571>4?e3tyhj:4?:3y>`g3=:hn01<::2;3:e>{tn?l1<7<t=ggg>7gc34;=:44>9`9~wc172909w0hje;0b`>;6>?k1=4o4}rae<?6=:r7on;4=ae9>530>283i7p}lf883>7}:lk=1>lj4=045e?7>j2wx=<8<:181\7f8760809mi52e3595<g<uzl<=7>52z?eac<5im16i?9518`8yv72;:0;6?u214`2>7gc34;8>=4>9`9~w45d83:1>v3>3eg96db<5899<7?6b:\7fpgcg=838p1il7:3cg?873=:0:5l5rs0700?6=:r7:9o<52`f89422;3;2n6s|cg`94?4|5mh26?ok;<3711<61h1v\7f<;<5;296~;6=k91>lj4=0660?7>j2wxokm50;0x9adf2;ko70?96c82=g=z{o=96=4={<de4?4fl27::;l518c8yv72;?0;6?u214`7>7gc34;?984>9c9~wf`c2909w0jmb;0b`>;6<<?1=4o4}raea?6=:r7onn4=ae9>530d283i7p}i7283>7}:no;1>lj4=045g?7>i2wxj::50;0x9c`52;ko70?96e82=d=z{8;=87>52z?25=4=:hn01h<7:0;b?xudno0;6?u2dcf96db<58<=h7?6b:\7fp505?2909w0?:b481ea=:9:8:6<7n;|qe30<72;q6jk=52`f89`4?283i7p}k0083>7}:lko1>lj4=0662?7>i2wx=>o<:181\7f874lo09mi521202>4?e3ty:9>750;0x943e?38jh63>44495<d<uzn;>7>52z?gg5<5im16=9;7:0;b?xu6=:k1<7<t=07a<?4fl27:886518`8yv`0?3:1>v3if581ea=:9?<n6<7n;|qg46<72;q6hn?52`f89401m3;2n6s|d1694?4|5mi96?ok;<371<<61h1v\7f<;<b;296~;6=k31>lj4=066=?7>j2wxj:650;0x9c`12;ko70?97182=d=z{m:>6=4={<f`7?4fl27:::>518`8yvb7>3:1>v3kc581ea=:9?=:6<7m;|qe3<<72;q6jk952`f8940093;2m6s|f6c94?4|5ol36?ok;<g1=?7>j2wx=<:8:181\7f8760:09mi52e3;95<g<uz;8m94?:3y>56e42;ko70?<0482=g=z{8?8o7>52z?21gg=:hn01<=?5;3:e>{tl9=1<7<t=ea6>7gc34;?9l4>9`9~wa6?2909w0jl6;0b`>;6<<h1=4o4}r367a<72;q6=8lm:3cg?873=h0:5o5rsg5a>5<5s4lm57<nd:?2224=90k0q~?:3d83>7}:9<hh6?ok;<371g<61k1v\7fi>n:181\7f8bd038jh63>44a95<g<uzn;57>52z?gg2<5im16=;9=:0;a?xu6=:l1<7<t=07a`?4fl27:88m518`8yv`0k3:1>v3if`81ea=:9?=86<7n;|qg4f<72;q6hn752`f89400;3;2n6s|f6f94?4|5oli6?ok;<3531<61h1v\7fi>k:181\7f8bdj38jh63>66695<d<uz;:854?:3y>54002;ko70k?d;3:e>{tn>o1<7<t=gd`>7gc34o;h7?6b:\7fp50272909w0?:bd81ea=:9::=6<7n;|q27d3=838p1<=l4;0b`>;6;9<1=4l4}r3604<72;q6=8li:3cg?873=m0:5o5rse2f>5<5s4nho7<nd:?200b=90k0q~j?f;296~;ckm09mi52157f>4?f3tym;k4?:3y>bcb=:hn01<885;3:e>{t9<>86=4={<36g5<5im16=9;j:0;a?xuc990;6?u2dbg96db<58<<97?6b:\7fp`47=838p1imi:3cg?873=o0:5l5rs0770?6=:r7:9n<52`f89422n3;2n6s|f9294?4|5oln6?ok;<3533<61h1v\7fi?=:181\7f8bc838jh63>66495<d<uzl3>7>52z?ebc<5im16=;98:0;b?xuc9:0;6?u2de396db<58<<;7?6b:\7fp542>2909w0?>6981ea=:m9o1=4o4}rd;7?6=:r7:<=?52`f89`6b283i7p}>3`494?4|589h97<nd:?2751=90h0q~?:4483>7}:9<i86?ok;<3042<61h1v\7f<;;6;296~;6=j>1>lj4=0654?7>j2wxh<:50;0x9ab52;ko70?;6182=d=z{8??;7>52z?21f3=:hn01<:91;3:f>{tl8?1<7<t=ef0>7gc34;?:<4>9`9~wc>32909w0??0381ea=:9?=36<7n;|qg5=<72;q6hi852`f89421;3;2m6s|d0594?4|5mn?6?ok;<353=<61k1v\7fk6::181\7f8778:09mi52175:>4?f3ty:99650;0x943d>38jh63>47195<d<uzl3:7>52z?2452=:hn01<88b;3:e>{tl831<7<t=ef4>7gc34;=;44>9c9~wa7f2909w0jk8;0b`>;6>>h1=4l4}r320d<72;q6=<86:3cg?8c7n3;2m6s|f9594?4|58:;97<nd:?f4c<61k1v\7f<;;9;296~;6=j=1>lj4=013<?7>i2wx=>o8:181\7f874k?09mi52122;>4?e3tyo=o4?:3y>`a?=:hn01<:94;3:e>{t9<>j6=4={<36g=<5im16=98;:0;a?xuc9j0;6?u2dec96db<58>=97?6a:\7fp502e2909w0?:c881ea=:9=<>6<7m;|qg5a<72;q6hil52`f89400k3;2n6s|f9:94?4|58:;:7<nd:?222e=90k0q~?:4b83>7}:9<ij6?ok;<3723<61k1v\7fi?j:181\7f8bck38jh63>47495<g<uzn:j7>52z?g`a<5im16=;9k:0;a?xua000;6?u21124>7gc34;=;i4>9`9~wc>f2909w0??0981ea=:9?=n6<7n;|q251d=838p1<?9a;0b`>;b990:5l5rse03>5<5s4noi7<nd:?222c=90h0q~?:4d83>7}:9<ii6?ok;<304<<61h1v\7fk6m:181\7f8778009mi52e0295<d<uzn9>7>52z?g`c<5im16=988:0;b?xu6;h21<7<t=01`3?4fl27:?=7518`8yv72<o0;6?u214ag>7gc34;?::4>9c9~wa442909w0jj1;0b`>;6<?21=4o4}r3615<72;q6=8mj:3cg?873>10:5o5rsg:g>5<5s4;;<l4=ae9>531a283j7p}k2583>7}:ll81>lj4=044b?7>j2wxh?;50;0x9ac42;ko70?;6882=d=z{8?>=7>52z?21f`=:hn01<:99;3:f>{tn1o1<7<t=023g?4fl27::5>518c8yvb5>3:1>v3ke581ea=:9?2;6<7m;|qg62<72;q6hh;52`f8940?93;2n6s|f9d94?4|58:;h7<nd:?22=7=90k0q~?>4b83>7}:98<i6?ok;<g25?7>i2wx=8;=:181\7f872l909mi52122b>4?f3tym5=4?:3y>556b2;ko70k>1;3:f>{t9:k26=4={<30g=<5im16=>>n:0;a?xuc:10;6?u2dd496db<58>=m7?6a:\7fp50342909w0?:d081ea=:9=<j6<7m;|qg6<<72;q6hh952`f89421j3;2m6s|1477>5<5s4;>h?4=ae9>510e283i7p}i9083>7}:99:m6?ok;<35<7<61h1v\7fi<n:181\7f8bb038jh63>69095<d<uzn9n7>52z?ga<<5im16=98l:0;b?xu6=<?1<7<t=07g7?4fl27:8;m518`8yve1n3:1>v3ke`81ea=:9?286<7m;|qe=7<72;q6==??:3cg?8710:0:5l5rsb53>5<5s4n9j7<nd:?22=2=90h0q~h63;296~;688;1>lj4=04;0?7>i2wxj4:50;0x9466:38jh63j1382=g=z{8;?h7>52z?253e=:hn01h?=:0;b?xu6=<<1<7<t=07g0?4fl27:?=l518c8yve093:1>v3k3181ea=:9=<n6<7n;|q27dg=838p1<=l9;0b`>;6;9h1=4l4}ra46?6=:r7o?<4=ae9>510a283j7p}>54594?4|58?o97<nd:?203c=90h0q~h65;296~;68891>lj4=04;2?7>i2wx=8;6:181\7f872l?09mi52154e>4?e3tyh;>4?:3y>`64=:hn01<876;3:f>{tk>>1<7<t=e10>7gc34;?;=4>9`9~w432i3:1>v3>5e:96db<58><<7?6b:\7fpb<0=838p1<>>4;0b`>;6>1=1=4o4}ra41?6=:r7o?94=ae9>53>0283i7p}i9983>7}:99;>6?ok;<35<=<61h1v\7fn99:181\7f8b4=38jh63>69:95<d<uz;:8h4?:3y>540c2;ko70k>3;3:e>{tn031<7<t=0223?4fl27n=>4>9c9~w45fj3:1>v3>3bc96db<589;o7?6b:\7fp503e2909w0?:d881ea=:9::h6<7n;|q210e=838p1<;ka;0b`>;6<>;1=4l4}ra43?6=:r7o?;4=ae9>5116283j7p}>54f94?4|58?on7<nd:?2024=90h0q~m88;296~;c;>09mi521551>4?f3tym5l4?:3y>557?2;ko70?98882=d=z{j=i6=4={<f0e?4fl27:8:=518c8yve0i3:1>v3k3981ea=:9?226<7m;|qe=g<72;q6==?6:3cg?8710h0:5l5rs076a?6=:r7:9im52`f89420;3;2n6s|f8a94?4|58::m7<nd:?22=d=90k0q~m8c;296~;c;k09mi5217:b>4?e3tyh;i4?:3y>`6e=:hn01<87b;3:f>{t98>m6=4={<322`<5im16i<:518c8yv`>l3:1>v3>00`96db<5l;?6<7m;|q213e=838p1<;ja;0b`>;6;8;1=4o4}r30f5<72;q6=>mi:3cg?874980:5o5rsb;1>5<5s4n>=7<nd:?202c=90k0q~?:6e83>7}:9<oi6?ok;<373`<61k1v\7fn7<:181\7f8b2:38jh63>46d95<g<uz;>:k4?:3y>50cd2;ko70?;7g82=g=z{j3?6=4={<f67?4fl27::48518`8yv`fj3:1>v3>03;96db<58<2:7?6a:\7fpg<3=838p1i;;:3cg?873090:5l5rsgc`>5<5s4;;>l4=ae9>53?0283j7p}>56294?4|58?ni7<nd:?20=6=90h0q~m66;296~;c=<09mi5217;4>4?e3tymmh4?:3y>554e2;ko70?99982=d=z{j3<6=4={<f62?4fl27::46518`8yv76==0;6?u21050>7gc34o:57?6a:\7fpbd`=838p1<>=d;0b`>;b900:5o5rs06eg?6=:r7:9k952`f89456<3;2m6s|12`0>5<5s4;8h?4=ae9>5673283i7p}la783>7}:l?>1>lj4=06;<?7>i2wx=9hk:181\7f872?j09mi5215:;>4?e3ty:8kk50;0x9430l38jh63>49;95<d<uzij;7>52z?g23<5im16=966:0;b?xudi10;6?u2d7596db<58<j<7?6b:\7fpb6d=838p1<><6;0b`>;6>h:1=4o4}r37bc<72;q6=89j:3cg?8730h0:5o5rsbc:>5<5s4n=47<nd:?20=g=90k0q~h<c;296~;ajk09mi5217c2>4?f3tym?i4?:3y>bge=:hn01<8n2;3:e>{tkhk1<7<t=e4:>7gc34;=m<4>9c9~w472?3:1>v3>16496db<5l;h6<7n;|q`eg<72;q6h;o52`f8940f:3;2n6s|1423>5<5s4;>;k4=ae9>5672283j7p}i3d83>7}:nkn1>lj4=d3`>4?e3ty:?o:50;0x945c;38jh63>30795<d<uzijo7>52z?g2g<5im16=96m:0;b?xu6=9;1<7<t=07;4?4fl27:85l518`8yvefl3:1>v3k6b81ea=:9=2h6<7n;|q2154=838p1<;71;0b`>;6<1i1=4l4}rd0b?6=:r7mnh4=ae9>53g4283j7p}lad83>7}:l?n1>lj4=04b7?7>j2wxolh50;0x9a0b2;ko70?;8e82=d=z{8?;?7>52z?21=4=:hn01<:7d;3:f>{tkk;1<7<t=e4e>7gc34;=m94>9c9~wc272909w0hmf;0b`>;6>h>1=4o4}raa6?6=:r7o;<4=ae9>53g2283i7p}i4083>7}:nj:1>lj4=04b1?7>i2wxj9<50;0x9ce62;ko70k>d;3:f>{t98?36=4={<3232<5im16i<j518c8yv728=0;6?u214:0>7gc34;8=;4>9`9~wfd42909w0j82;0b`>;6<1l1=4o4}r30f0<72;q6=>j;:3cg?8749?0:5o5rsb`7>5<5s4n<?7<nd:?20<6=90k0q~?:0483>7}:9<2?6?ok;<37<c<61k1v\7fk:<:181\7f8`d:38jh63>6`595<g<uz;><:4?:3y>50>22;ko70?;9182=g=z{jh>6=4={<f40?4fl27::l9518`8yvee>3:1>v3k7481ea=:9=3:6<7n;|q215>=838p1<;77;0b`>;6<0;1=4l4}rd70?6=:r7mo>4=ae9>53g?283j7p}lb683>7}:l><1>lj4=04b<?7>j2wxj9850;0x9ce32;ko70?9a882=d=z{jh36=4={<f43?4fl27::l7518`8yv76=00;6?u2105;>7gc34o:i7?6a:\7fpb11=838p1km9:3cg?8c6m3;2n6s|12`5>5<5s4;8h84=ae9>5670283i7p}>51;94?4|58?347<nd:?2741=90k0q~mm9;296~;c?109mi5215;1>4?f3tyhnl4?:3y>`2?=:hn01<:63;3:e>{t9<:j6=4={<36<<<5im16=97=:0;a?xu6=9h1<7<t=07;e?4fl27:84=518`8yv`303:1>v3ic681ea=:9?kj6<7n;|q`ff<72;q6h:o52`f8940fi3;2n6s|ccf94?4|5m=h6?ok;<37=1<61h1v\7f<;?c;296~;6=1h1>lj4=06:0?7>j2wxj9750;0x9ce?2;ko70?9ac82=d=z{jhn6=4={<f4`?4fl27::ll518`8yv`3i3:1>v3ic881ea=:9?kh6<7n;|q`fc<72;q6h:k52`f8940fk3;2n6s|f5`94?4|5oij6?ok;<g2b?7>j2wx=<;n:181\7f876?009mi52e0d95<g<uz;8n:4?:3y>56b12;ko70?<1982=g=z{8?;h7>52z?21=e=:hn01<=>8;3:e>{t9<:n6=4={<36<a<5im16=97::0;a?xudk90;6?u2d6d96db<58>297?6a:\7fpgf7=838p1i6?:3cg?8731?0:5l5rsg6`>5<5s4lhn7<nd:?22db=90k0q~?:0g83>7}:9<2n6?ok;<37=3<61k1v\7fnm<:181\7f8b?:38jh63>48595<g<uzih>7>52z?g<4<5im16=;ok:0;a?xua<m0;6?u2fba96db<58<ji7?6a:\7fp50772909w0?:8g81ea=:9=3<6<7m;|q`g1<72;q6h5=52`f8940fm3;2n6s|f5g94?4|5oio6?ok;<35ec<61h1v\7fnm::181\7f8b?<38jh63>6`d95<d<uz;:9o4?:3y>541f2;ko70k=0;3:e>{tn=l1<7<t=gaf>7gc34o9<7?6b:\7fp56d>2909w0?<d681ea=:9:;26<7m;|q2144=838p1<;60;0b`>;6;831=4o4}ra`3?6=:r7o484=ae9>51??283j7p}lc983>7}:l1=1>lj4=06:e?7>i2wx=8?<:181\7f8721;09mi5215;;>4?e3tym9<4?:3y>bf`=:hn01<8m0;3:e>{t9<;?6=4={<36=6<5im16=97n:0;a?xudkh0;6?u2d9;96db<58>2n7?6a:\7fpgf?=838p1i67:3cg?871j90:5o5rs0721?6=:r7:94:52`f8942>j3;2n6s|f4094?4|5on:6?ok;<35f7<61h1v\7fnmm:181\7f8b?i38jh63>6c095<d<uzl>?7>52z?e`7<5im16=;l<:0;b?xudkj0;6?u2d9`96db<58<i?7?6b:\7fp543c2909w0?>7c81ea=:m;;1=4o4}rd60?6=:r7mh>4=ae9>a77=90h0q~?:1783>7}:9<3>6?ok;<305g<61h1v\7f<=ma;296~;6;m31>lj4=012f?7>j2wx=8?8:181\7f8721?09mi5215;`>4?e3tyhoi4?:3y>`=e=:hn01<:6c;3:e>{t9<;36=4={<36=2<5im16=97k:0;a?xudkl0;6?u2d9f96db<58>2h7?6a:\7fpgf`=838p1i6j:3cg?871j=0:5o5rsg76>5<5s4lo87<nd:?22g2=90k0q~mk0;296~;c0o09mi5215;f>4?f3tym9;4?:3y>ba3=:hn01<8m5;3:e>{t9<;26=4={<36==<5im16=97j:0;a?xudl;0;6?u2d8296db<58<i97?6b:\7fpb01=838p1kj9:3cg?871j?0:5l5rsbf0>5<5s4n2>7<nd:?22g0=90h0q~?>5d83>7}:98=o6?ok;<g17?7>i2wxj8650;0x9cb02;ko70k=3;3:f>{t:8;m6=4m{<0265<5im16><o?:0:6?8461o0:485220`3>4>2348:mk4>849>64e5282>70<>c082<0=::8n96<6:;<02`4<60<16><k<:0:6?846m;0:485rs332`?6=jr79=<k52`f8977>k3;3963=18`95=3<5;;jo7?75:?15dd=91?01??me;3;1>;59kn1=5;4=33`a?7?=279=nj51978977cn3;3963=1eg95=3<uz8:=44?:cy>647f2;ko70<>9582<0=::8386<6:;<02e1<60<16><o<:0:6?846j?0:485220`6>4>2348:o;4>849>64e2282>70<>d782<0=::8n>6<6:;|q154d=83hp1??>c;0b`>;59021=5;4=33:3?7?=279=l651978977f?3;3963=1cc95=3<5;;i57?75:?15fg=91?01??l9;3;1>;59mk1=5;4=33g=?7?=2wxm4=50;6g\7f8g>m38jh63=1cf9703<5;;ih7=:3:?15gb=;<801??md;165>;59j81?8;4=33`6?52;279=n<53408977d:39>=63=1b39703<5;;h=7=:3:?15f7=;<801??l1;165>;59j<1?8;4=33`2?52;279=n853408977d>39>=63=1b79703<5;;h97=:3:?15f3=;<801??l5;165>;59jk1?8;4=33`e?52;279=no53408977di39>=63=1b;9703<5;;h57=:3:?15f?=;<801??l9;165>;59jo1?8;4=33`a?52;279=nk53408977dm39>=63=1bf9703<5;;hh7=:3:?15fb=;<801??ld;165>;59m81?8;4=33g6?52;279=i<53408977c:39>=63=1e39703<5;;o=7=:3:?15a7=;<801??k1;165>;59m<1?8;4=33g2?52;279=i853408977c>39>=63=1e79703<5;;o97=:3:?15a3=;<801??k5;165>;59mk1?8;4=33ge?52;279=io53408977ci39>=63=1e;9703<5;;o57=:3:?15a?=;<801??k9;165>;59ml1?8;4=33gb?52;279=ih53408977cn39>=63=1eg9703<5;;oi7=:3:?15ac=;<801??ke;165>;59l91?8;4=33f7?52;279=h=53408977b;39>=63=1d09703<5;;n>7=:3:?15`4=;<801??j2;165>{ti0>1<7:k{<cb6?4fl279=4653478977>039>?63=18:9704<5;;247=:1:?15<1=;<?01??67;167>;590=1?8<4=33:3?529279=4m53478977>k39>?63=18a9704<5;;2o7=:1:?15<d=;<?01??6b;167>;590h1?8<4=33:f?529279=l>53478977f839>?63=1`29704<5;;j<7=:1:?15<`=;<?01??6f;167>;590l1?8<4=33:b?529279=l:53478977f<39>?63=1`69704<5;;j87=:1:?15d5=;<?01??n3;167>;59h91?8<4=33b7?529279=l653478977f039>?63=1`:9704<5;;j47=:1:?15d1=;<?01??n7;167>;59h=1?8<4=33b3?529279=lm53478977fk39>?63=1`a9704<5;;jo7=:1:?15dd=;<?01??nb;167>;59hh1?8<4=33bf?529279=o>53478977e839>?63=1c29704<5;;i<7=:1:?15d`=;<?01??nf;167>;59hl1?8<4=33bb?529279=o853478977e>39>?63=1c49704<5;;i:7=:1:?15g3=;<?01??m5;167>;59k?1?8<4=33a1?529279=oo53478977ei39>?63=1cc9704<5;;im7=:1:?15g?=;<?01??m9;167>;59k31?8<4=33a=?529279=ok53478977em39>?63=1cg9704<5;;ii7=:1:\7fpe<0=83>ow0on3;0b`>;591:1?8;4=33;4?52;279=5>53408977?839>=63=1939703<5;;3=7=:3:?15=7=;<801??71;165>;59181?8;4=33;6?52;279=5<53408977?:39>=63=1919703<5;;3?7=:3:?15=5=;<801??73;165>;591>1?8;4=33;0?52;279=5:53408977?<39>=63=1949703<5;;3:7=:3:?15=0=;<801??76;165>;591=1?8;4=33;3?52;279=5953408977??39>=63=19:9703<5;;347=:3:?15=>=;<801??78;165>;59131?8;4=33;=?52;279=5753408977?139>=63=19c9703<5;;3m7=:3:?15=g=;<801??7a;165>;591h1?8;4=33;f?52;279=5l53408977?j39>=63=19a9703<5;;3o7=:3:?15=e=;<801??7c;165>;591n1?8;4=33;`?52;279=5j53408977?l39>=63=19g9703<5;;3i7=:3:?15=c=;<801??7e;165>;591l1?8;4=33;b?52;279=5h53408977?n39>=63=1839703<5;;2=7=:3:?15<7=;<801??61;165>;59081?8;4=33:6?52;279=4<53408977>:39>=63=1869703<5;;287=:3:?15<2=;<801??64;165>;59091?8;4=33:7?52;279=4=53408977>;39>=6s|a8594?2cs4kj87<nd:?153d=;<?01??9b;167>;59?h1?8<4=335f?529279=;m534789771k39>?63=17a9704<5;;=o7=:1:?153b=;<?01??9d;167>;59?n1?8<4=335`?529279=;k534789771m39>?63=17g9704<5;;=i7=:1:?1526=;<?01??80;167>;59>:1?8<4=3344?529279=:?534789770939>?63=1639704<5;;<=7=:1:?1524=;<?01??82;167>;59>81?8<4=3346?529279=:=534789770;39>?63=1619704<5;;<?7=:1:?1522=;<?01??84;167>;59>>1?8<4=3340?529279=:;534789770=39>?63=1679704<5;;<97=:1:?1520=;<?01??86;167>;59><1?8<4=3342?529279=:9534789770?39>?63=1659704<5;;<;7=:1:?152>=;<?01??88;167>;59>21?8<4=334<?529279=:7534789770139>?63=16;9704<5;;<57=:1:?152d=;<?01??8b;167>;59>h1?8<4=334f?529279=:m534789770k39>?63=16a9704<5;;<o7=:1:?152b=;<?01??8d;167>;59>n1?8<4=334`?529279=:k534789770m39>?63=16g9704<5;;<i7=:1:?152`=;<?01??8f;167>;59>l1?8<4=334b?5292wxm4650;6g\7f8gf=38jh63=1449703<5;;>:7=:3:?1500=;<801??:6;165>;59<=1?8;4=3363?52;279=89534089772?39>=63=14:9703<5;;>47=:3:?150>=;<801??:8;165>;59<k1?8;4=336e?52;279=8o534089772i39>=63=14`9703<5;;>n7=:3:?150d=;<801??:b;165>;59<i1?8;4=336g?52;279=8m534089772k39>=63=14f9703<5;;>h7=:3:?150b=;<801??:d;165>;59<o1?8;4=336a?52;279=8k534089772m39>=63=14d9703<5;;>j7=:3:?150`=;<801??:f;165>;59?:1?8;4=3354?52;279=;>534089771839>=63=1739703<5;;==7=:3:?1537=;<801??91;165>;59?81?8;4=3356?52;279=;<534089771:39>=63=1719703<5;;=?7=:3:?1535=;<801??93;165>;59??1?8;4=3351?52;279=;;534089771=39>=63=1749703<5;;=:7=:3:?1530=;<801??96;165>;59?=1?8;4=3353?52;279=;9534089771?39>=63=17:9703<5;;=47=:3:?153>=;<801??98;165>;59?31?8;4=335=?52;279=;7534089771139>=63=17c9703<5;;=m7=:3:?153g=;<801??9a;165>{ti031<7:k{<cb2?4fl279=9?534789773939>?63=1539704<5;;?=7=:1:?1514=;<?01??;2;167>;59=81?8<4=3376?529279=9:534789773<39>?63=1569704<5;;?87=:1:?1513=;<?01??;5;167>;59=?1?8<4=3371?529279=98534789773>39>?63=1549704<5;;?:7=:1:?1511=;<?01??;7;167>;59==1?8<4=3373?529279=96534789773039>?63=15:9704<5;;?47=:1:?151?=;<?01??;9;167>;59=31?8<4=337=?529279=9o534789773i39>?63=15c9704<5;;?m7=:1:?151d=;<?01??;b;167>;59=h1?8<4=337f?529279=9m534789773k39>?63=15a9704<5;;?o7=:1:?151b=;<?01??;d;167>;59=n1?8<4=337`?529279=9h534789773n39>?63=15d9704<5;;?j7=:1:?1506=;<?01??:0;167>;59<:1?8<4=3364?529279=8?534789772939>?63=1439704<5;;>=7=:1:?1504=;<?01??:2;167>;59<81?8<4=3366?529279=8=534789772;39>?63=1419704<5;;>?7=:1:?1502=;<?01??:4;167>;59<>1?8<4=3360?529279=8;534789772=39>?63=1479704<5;;>97=:1:\7fpe<g=83>ow0on7;0b`>;5::h1?8;4=300f?52;279>>l534089744j39>=63=13g9703<5;;9i7=:3:?157c=;<801??=e;165>;59;l1?8;4=331b?52;279=?h534089775n39>=63=1229703<5;;8<7=:3:?1566=;<801??<0;165>;59:;1?8;4=3305?52;279=>?534089774939>=63=1209703<5;;8>7=:3:?1564=;<801??<2;165>;59:91?8;4=3307?52;279=>=534089774;39>=63=1269703<5;;887=:3:?1562=;<801??<4;165>;59:?1?8;4=3301?52;279=>;534089774=39>=63=1249703<5;;8:7=:3:?1560=;<801??<6;165>;59:=1?8;4=3303?52;279=>9534089774?39>=63=12;9703<5;;857=:3:?156?=;<801??<9;165>;59:k1?8;4=330e?52;279=>o534089774i39>=63=12`9703<5;;8n7=:3:?156d=;<801??<b;165>;59:i1?8;4=330g?52;279=>m534089774k39>=63=12f9703<5;;8h7=:3:?156b=;<801??<d;165>;59:o1?8;4=330a?52;279=>k534089774m39>=63=12d9703<5;;8j7=:3:?156`=;<801??<f;165>;59=:1?8;4=3374?52;279=9>534089773839>=6s|a8`94?2cs4kj47<nd:?1671=;<?01?<=7;167>;5:;=1?8<4=3013?529279>?6534789745039>?63=23:9704<5;8947=:1:?167?=;<?01?<=9;167>;5:;31?8<4=301=?529279>?o534789745i39>?63=23c9704<5;89m7=:1:?167d=;<?01?<=b;167>;5:;h1?8<4=301f?529279>?m534789745k39>?63=23a9704<5;89o7=:1:?167b=;<?01?<=d;167>;5:;n1?8<4=301`?529279>?k534789745m39>?63=23g9704<5;89i7=:1:?167`=;<?01?<=f;167>;5:;l1?8<4=301b?529279>>>534789744839>?63=2229704<5;88<7=:1:?1664=;<?01?<<2;167>;5::81?8<4=3006?529279>>=534789744;39>?63=2219704<5;88?7=:1:?1662=;<?01?<<4;167>;5::>1?8<4=3000?529279>>;534789744=39>?63=2279704<5;8897=:1:?1660=;<?01?<<6;167>;5::<1?8<4=3002?529279>>9534789744?39>?63=2259704<5;88;7=:1:?166>=;<?01?<<8;167>;5::21?8<4=300<?529279>>7534789744139>?63=22;9704<5;8857=:1:?166g=;<?01?<<a;167>;5::k1?8<4=300e?5292wxm4m50;6g\7f8gf138jh63=2009703<5;8:>7=:3:?1644=;<801?<>2;165>;5:891?8;4=3027?52;279><=534089746;39>=63=2069703<5;8:87=:3:?1642=;<801?<>4;165>;5:8?1?8;4=3021?52;279><;534089746=39>=63=2049703<5;8::7=:3:?1640=;<801?<>6;165>;5:8=1?8;4=3023?52;279><9534089746?39>=63=20:9703<5;8:47=:3:?164>=;<801?<>8;165>;5:831?8;4=302=?52;279><7534089746139>=63=20c9703<5;8:m7=:3:?164g=;<801?<>a;165>;5:8i1?8;4=302g?52;279><m534089746k39>=63=20f9703<5;8:h7=:3:?164b=;<801?<>d;165>;5:8o1?8;4=302a?52;279><k534089746m39>=63=20d9703<5;8:j7=:3:?164`=;<801?<>f;165>;5:;:1?8;4=3014?52;279>?>534089745839>=63=2339703<5;89=7=:3:?1677=;<801?<=1;165>;5:;81?8;4=3016?52;279>?<534089745:39>=63=2319703<5;89?7=:3:?1675=;<801?<=3;165>;5:;>1?8;4=3010?52;279>?:534089745<39>=63=2379703<5;8997=:3:?1673=;<801?<=5;165>{ti0n1<7:k{<c:b?4fl279=kj53478977al39>?63=1gf9704<5;;mh7=:1:?15cc=;<?01??ie;167>;59oo1?8<4=33ea?529279=kh53478977an39>?63=1gd9704<5;;mj7=:1:?1656=;<?01?<?0;167>;5:9:1?8<4=3034?529279>=?534789747939>?63=2139704<5;8;=7=:1:?1654=;<?01?<?2;167>;5:981?8<4=3036?529279>==534789747;39>?63=2119704<5;8;?7=:1:?1652=;<?01?<?4;167>;5:9>1?8<4=3030?529279>=8534789747>39>?63=2149704<5;8;:7=:1:?1651=;<?01?<?7;167>;5:9=1?8<4=3033?529279>=6534789747039>?63=21:9704<5;8;47=:1:?165?=;<?01?<?9;167>;5:931?8<4=303=?529279>=o534789747i39>?63=21c9704<5;8;m7=:1:?165d=;<?01?<?b;167>;5:9h1?8<4=303f?529279>=m534789747k39>?63=21a9704<5;8;o7=:1:?165b=;<?01?<?d;167>;5:9n1?8<4=303`?529279>=k534789747m39>?63=21g9704<5;8;i7=:1:?165`=;<?01?<?f;167>;5:9l1?8<4=303b?529279><?534789746939>?63=2039704<5;8:=7=:1:\7fped7=83=>w0on1;0b`>;59<31?8;4=336=?52;279=87534089772139>=63=1769703<5;;=87=:3:?1532=;<801??94;165>;59?l1?8;4=335b?52;279=;h534089771n39>=63=16c9703<5;;<m7=:3:?152g=;<801??8a;165>;591?1?8;4=33;1?52;279=5;53408977?=39>=63=1829703<5;;2<7=:3:?15<6=;<801??60;165>;59k91?8;4=33a7?52;279=o=53408977e;39>=63=1ef9703<5;;oh7=:3:?15ab=;<801??kd;165>;59l<1?8;4=33f2?52;279=h853408977b>39>=63=1d59703<5;;n;7=:3:?15`1=;<801??j7;165>;59l21?8;4=33f<?52;279=h653408977b039>=63=1d;9703<5;;n57=:3:?15`?=;<801??j9;165>;59lk1?8;4=33fe?52;279=ho53408977bi39>=63=1d`9703<5;;nn7=:3:?15`d=;<801??jb;165>;59li1?8;4=33fg?52;279=hm53408977bk39>=63=1df9703<5;;nh7=:3:?15`b=;<801??jd;165>;59lo1?8;4=33fa?52;279=hk53408977bm39>=63=1g29703<5;;m<7=:3:?15c6=;<801??i0;165>;59o;1?8;4=33e5?52;279=k?53408977a939>=63=1g09703<5;;m>7=:3:?15c4=;<801??i2;165>;59o91?8;4=33e7?52;279=k=53408977a;39>=63=1g69703<5;;m87=:3:?15c2=;<801??i4;165>;59o?1?8;4=33e1?52;279=k;53408977a=39>=63=1g49703<5;;m:7=:3:?15c0=;<801??i6;165>;59o=1?8;4=33e3?52;279=k953408977a?39>=63=1g:9703<5;;m47=:3:?15c>=;<801??i8;165>;59o31?8;4=33e=?52;279=k753408977a139>=63=1g`9703<5;;mn7=:3:?15cd=;<801??ib;165>;59oi1?8;4=33eg?52;279=km53408977ak39>=6s|20;6>5<5s48:59480:?15<5=?;1v\7f??66;296~;590>1;<5220;0>25<uz8:544?:3y>64??2>:01??67;51?xu590k1<7<t=33:<?16348:5:483:\7fp64?c2909w0<>9b844>;590h1;?5rs33:a?6=:r79=4m5709>64?e2>90q~<>a083>7}::8k;6:>4=33:b?153ty9=l<50;0x977f83=:70<>9g847>{t:8k>6=4={<02e1<08279=l=5739~w77f>3:1>v3=1`6934=::8k86:=4}r02e<<72;q6><o7:628977f?3=97p}=1`c94?4|5;;j479>;<02e2<0;2wx><ok:181\7f846ij0<<63=1``937=z{;;ji7>52z?15de=?816><om:618yv46j80;6?u220`3>26<5;;jj79=;|q15g4=838p1??m0;52?846io0<?6s|20`4>5<5s48:n;480:?15g3=?;1v\7f??m8;296~;59k<1;<5220`6>25<uz8:no4?:3y>64df2>:01??m9;51?xu59ki1<7<t=33ae?16348:n4483:\7fp64da2909w0<>bd844>;59kn1;?5rs33`4?6=:r79=ok5709>64dc2>90q~<>c283>7}::8i96:>4=33`5?153ty9=n:50;0x977d:3=:70<>c0847>{t:8i<6=4={<02g3<08279=n;5739~w77d03:1>v3=1b4934=::8i>6:=4}r02gg<72;q6><mn:628977d13=97p}=1ba94?4|5;;hm79>;<02g<<0;2wx><mi:181\7f846kl0<<63=1bf937=z{;;o<7>52z?15fc=?816><mk:618yv46l:0;6?u220f1>26<5;;o=79=;|q15a2=838p1??k2;52?846l80<?6s|20f4>5<5s48:h;480:?15a3=?;1v\7f??k8;296~;59m<1;<5220f6>25<uz8:ho4?:3y>64bf2>:01??k9;51?xu59mi1<7<t=33ge?16348:h4483:\7fp64c72909w0<>dg844>;59mo1;?5rs33f5?6=:r79=ih5709>64bb2>90q~<>e583>7}::8o86:>4=33f6?153ty9=h;50;0x977b;3=:70<>e3847>{zj:=26=4>:183\7f!53039?j6F<769K71b<g;h;6=44}r007=<72;qU>>=7;<17=?54i2wx?4850;37\7f[5>02T9?>l4^2:g?[5?k2T84o5Q39c8Z6>>3W9346P<869]7<3<V:3?7S=63:\0=7=Y;0;0R>7?;_1;b>X40l1U?584^2:6?85003;2;6s|39394?4|V:2:70=;9;4:?xu5;:k1<7<t^310e>;4<008?k5rs3175?6=:rT9?9?4=26:>6073ty?5l4?:3y]0<g<5:>26<6;;|q1730=838pR?=96:?00<<4?=1v\7f?=<d;296~X5;:n01>:6:26b?xu4090;6?uQ3928962>2?20q~<<1583>7}Y::;?70=;9;113>{t:;9m6=4={_017c=:;=31>lh4}r007`<72;qU>>=j;<17=?53m2wx84j50;0xZ1?c349?57?65:\7fp67272909wS<=419>71?=:k?0q~<<4383>7}Y::>970=;9;155>{t:;kj6=4={_01ed=:;=31>hm4}r001f<72;qU>>:m;<17=?51i2wx>>;n:181\7f[44<h16?97537:8yv44=00;6?uQ226:?853139=;6s|227;>5<5sW88855235;9730<uz889:4?:3y]6620349?57=95:\7fp66312909wS<<479>71?=;?>0q~<<5483>7}Y::>>70=;9;157>{t::<>6=4={_0016=:;=31?:=4}r0021<72;qU>>;=;<17=?50:2wx>>8<:181\7f[44=816?9753638yv44>;0;6?uQ2273?853139<<6s|2242>5<5sW888k5235;973`<uz88:=4?:3y]662b349?57=9e:\7fp663a2909wS<<4e9>71?=;?n0q~<<5d83>7}Y::>h70=;9;15g>{t::?o6=4={_0001=:;=31?;l4}r0011<72;qU>>:<;<17=?51:2wx84?50;0xZ116349?57?8a:\7fp0=`=838pR99?;<17=?7002wx85j50;0xZ10b349?57?86:\7fp0=e=838pR98k;<17=?70=2wx85l50;0xZ10d349?57?84:\7fp0=g=838pR98m;<17=?70;2wx85750;0xZ10f349?57?82:\7fp0=>=838pR986;<17=?7092wx85950;0xZ10?349?57?80:\7fp0=0=838pR988;<17=?71n2wx85;50;0xZ101349?57?9e:\7fp0=2=838pR98:;<17=?71l2wx85<50;0xZ104349?57?9b:\7fp0=7=838pR98=;<17=?71i2wx85>50;0xZ106349?57?99:\7fp02`=838pR98?;<17=?7102wx8:k50;0xZ13a349?57?97:\7fp02b=838pR9;j;<17=?71>2wx8:m50;0xZ13c349?57?95:\7fp02d=838pR9;l;<17=?71<2wx8:o50;0xZ13e349?57?93:\7fp02?=838pR9;n;<17=?71:2wx84650;0xZ110349?57?71:\7fp0<1=838pR999;<17=?7?82wx84850;0xZ112349?57?8f:\7fp0<3=838pR99;;<17=?70m2wx84:50;0xZ114349?57?8d:\7fp0<5=838pR99=;<17=?70k2wx84<50;0xZ10a349?57?8b:\7fp0=c=838pR98;;<17=?70?2wx85=50;0xZ13>349?57?9c:\7fp02>=838pR9;7;<17=?7192wx>><j:181\7f[449m16?9753228yv44:j0;6?uQ223`?8531399i6s|220a>5<5sW88=o5235;977b<uz88>l4?:3y]667f349?57==c:\7fp664>2909wS<<189>71?=;;h0q~<<2983>7}Y::;370=;9;11e>{t::8<6=4={_0052=:;=31??74}r0072<72;qU>><:;<17=?5412wx>>=9:181\7f[44:=16?97532:8yv44;<0;6?uQ2200?8531398;6s|2217>5<5sW88>?5235;9760<uz88?>4?:3y]6646349?57=<5:\7fp66552909wS<<219>71?=;:>0q~<<3083>7}Y::;m70=;9;107>{t::9;6=4={_005`=:;=31?><4}r006c<72;qU>>?9;<17=?5492wx>><9:181\7f[449<16?97533:8yv45?m0;6?uQ234`?853138o<6s|235a>5<5sW89:o5235;96fc<uz89;l4?:3y]670f349?57<ld:\7fp671>2909wS<=689>71?=:ji0q~<=7983>7}Y:;<370=;9;0`f>{t:;=<6=4={_0122=:;=31>no4}r0133<72;qU>?89;<17=?4d12wx>?69:181\7f[45?=16?9752e;8yv450<0;6?uQ2350?853138o46s|23:7>5<5sW89;?5235;96a1<uz894>4?:3y]6716349?57<k6:\7fp67>52909wS<=719>71?=:m?0q~<=8083>7}Y:;<m70=;9;0g0>{t:;2;6=4={_012`=:;=31>i=4}r013c<72;qU>?8k;<17=?4c:2wx>?9j:181\7f[45><16?9752e38yv45?<0;6?uQ2347?853138h46s|44294?4|V=9;70=;9;335>{t<=o1<7<t^50e?85313lm7p};4b83>7}Y<;n01>:6:gf8yv23j3:1>vP;2b9>71?=nj1v\7f9:n:181\7f[25j278844ib:\7fp01?=838pR9<n;<17=?`f3ty?854?:3y]07?<5:>26k74}r673?6=:rT?>55235;9b==z{=>=6=4={_613>;4<00m;6s|45794?4|V=8=70=;9;d5?xu3<=0;6?uQ4378962>2o?0q~:;3;296~X3:=16?975f59~w1262909wS:=2:?00<<a:2wx89>50;0xZ146349?57h>;|q77c<72;qU8?>4=26:>c6<uz>8i7>52z\75c=:;=31ik5rs51g>5<5sW>:i63<488fa>{t<:i1<7<t^53g?85313oo7p};3c83>7}Y<8i01>:6:da8yv24i3:1>vP;1c9>71?=mk1v\7f9=6:181\7f[26i278844ja:\7fp06>=838pR9?6;<17=?c>3ty?9:4?:3y]060<5:>26<>7;|q713<72;qU8>;4=26:>4603ty?984?:3y]062<5:>26<>9;|q711<72;qU8>=4=26:>4623ty?9>4?:3y]064<5:>26<>;;|q717<72;qU8>?4=26:>4643ty?9<4?:3y]07c<5:>26<>=;|q70a<72;qU8?=4=26:>cc<uz>?>7>52z\75==:;=31j>5rs514>5<5sW>:;63<488f<>{t:::j6=4={_01b<=:;=31?<74}r004=<72;qU>?h7;<17=?56?2wx>>>8:181\7f[45n>16?9753048yv448?0;6?uQ23d5?853139:96s|2226>5<5sW89j85235;9742<uz88<94?:3y]67`3349?57=>3:\7fp66642909wS<=f29>71?=;880q~<<1283>7}Y::::70=;9;116>{t::;96=4={_0045=:;=31???4}r0054<72;qU>?hi;<17=?5582wx>>??:181\7f[45nl16?97530d8yv448o0;6?uQ23dg?853139:i6s|222f>5<5sW89jn5235;974b<uz88<i4?:3y]67`e349?57=>c:\7fp666d2909wS<=f`9>71?=;8h0q~<<0c83>7}Y:;l970=;9;12e>{t:::96=4={_01b4=:;=31?<?4}r01a2<72;qU>?j9;<17=?57?2wx>?k::181\7f[45l<16?9753178yv45m=0;6?uQ23f7?853139;86s|23g0>5<5sW89h>5235;9755<uz89i?4?:3y]67b5349?57=?2:\7fp67c62909wS<=d09>71?=;9;0q~<=e183>7}Y:;n;70=;9;134>{t:;l;6=4={_01``=:;=31?<>4}r01ac<72;qU>?jk;<17=?57n2wx>?kj:181\7f[45lj16?97531g8yv45mm0;6?uQ23fa?853139;h6s|23g`>5<5sW89hl5235;975e<uz89io4?:3y]67b>349?57=?b:\7fp67cf2909wS<=d99>71?=;9k0q~<=e883>7}Y:;n<70=;9;13=>{t:;o36=4={_01gc=:;=31?=64}r01`c<72;qU>?mj;<17=?4an2wx>?m;:181\7f[45j:16?9752g78yv45k;0;6?uQ23`1?853138m?6s|23a2>5<5sW89n<5235;96c4<uz89o=4?:3y]67d7349?57<i1:\7fp67da2909wS<=ag9>71?=:o:0q~<=bd83>7}Y:;kn70=;9;0fb>{t:;ho6=4={_01ea=:;=31>hk4}r01ga<72;qU>?lm;<17=?4am2wx>?ml:181\7f[45jh16?9752gf8yv45kk0;6?uQ23`:?853138mo6s|23ab>5<5sW89n55235;96cd<uz89o44?:3y]67d0349?57<ia:\7fp67e?2909wS<=b79>71?=:o30q~<=c683>7}Y:;h>70=;9;0e<>{t:;i=6=4={_01f1=:;=31>k94}r01g0<72;qU>?ol;<17=?4a>2wx>?ll:181\7f[45ik16?9752df8yv45i90;6?uQ23:e?853138n>6s|23;f>5<5sW894h5235;96`6<uz895i4?:3y]67>c349?57<kf:\7fp67?d2909wS<=8b9>71?=:mo0q~<=9c83>7}Y:;2i70=;9;0g`>{t:;3j6=4={_01<d=:;=31>im4}r01=<<72;qU>?66;<17=?4cj2wx>?o6:181\7f[451>16?9752d`8yv45i10;6?uQ23;5?853138nm6s|23c4>5<5sW89585235;96`?<uz89m;4?:3y]67?3349?57<j8:\7fp67g22909wS<=929>71?=:l=0q~<=a583>7}Y:;3970=;9;0f2>{t:;k86=4={_01=4=:;=31>h;4}r01e7<72;qU>?7?;<17=?4b<2wx>?o>:181\7f[450116?9752d18yv45110;6?uQ23:4?853138om6s|237b>5<5sW89845235;96gc<uz89954?:3y]672?349?57<mc:\7fp67302909wS<=469>71?=:kh0q~<=5783>7}Y:;>=70=;9;0ae>{t:;?>6=4={_0100=:;=31>o74}r0111<72;qU>?:;;<17=?4e02wx>?;<:181\7f[45<:16?9752c58yv45>:0;6?uQ2372?853138h;6s|2341>5<5sW899=5235;96f0<uz89:<4?:3y]672a349?57<l5:\7fp67072909wS<=4d9>71?=:j>0q~<=5g83>7}Y:;>o70=;9;0`7>{t:;?n6=4={_010f=:;=31>n<4}r011a<72;qU>?:m;<17=?4d92wx>?;l:181\7f[45<h16?9752b28yv45=k0;6?uQ2361?853138ij6s|2371>5<5sW898<5235;96g0<uz88:7>51z?03<<5j91vq~::0;296~X3;916:i4;319'71e=:1n0q~:;e;296~X3:o16:i4;2g9'71e=:1o0q~:;c;296~X3:m16:i4;2e9'71e=:0:0q~:;b;296~X3:j16:i4;2b9'71e=:080q~:;a;296~X3:k16:i4;2c9'71e=:0<0q~:;9;296~X3:h16:i4;2`9'71e=:990q~:;8;296~X3:016:i4;289'71e=:9>0q~:;7;296~X3:116:i4;299'71e=:9?0q~:;6;296~X3:>16:i4;269'71e=:9<0q~:;5;296~X3:?16:i4;279'71e=:920q~:;4;296~X3:<16:i4;249'71e=:9l0q~:;3;296~X3:=16:i4;259'71e=:8:0q~:;1;296~X3:;16:i4;239'71e=:8?0q~:;0;296~X3:816:i4;209'71e=:;:0q~:<f;296~X3:916:i4;219'71e=:;h0q~:<e;296~X39o16:i4;1g9'71e=::=0q~:<d;296~X39l16:i4;1d9'71e=::o0q~:<c;296~X39m16:i4;1e9'71e=:=30q~:<b;296~X39j16:i4;1b9'71e=:<80q~:<a;296~X39k16:i4;1c9'71e=:<n0q~:<9;296~X39h16:i4;1`9'71e=:?80q~:<8;296~X39016:i4;189'71e=:?90q~::7;296~X3;?16:i4;379'71e=:?=0q~::6;296~X3;<16:i4;349'71e=:?30q~::5;296~X3;=16:i4;359'71e=:?k0q~::4;296~X3;:16:i4;329'71e=:?h0q~::3;296~X3;;16:i4;339'71e=:?i0q~::2;296~X3;816:i4;309'71e=:?n0q~::1;296~X3:l16:i4;2d9'71e=:?o0q~:;d;296~X3::16:i4;229'71e=:?l0q~:;2;296~X39116:i4;199'71e=:>:0q~:<7;296~X39>16:i4;169'71e=:>;0q~<<1583>7}Y::;?708k:3120>"4<j09<:5rs310<?6=:rT9?>64=7f9665?3-9?o7<?9:\7fp665f2909wS<<3`9>2a<5;:k0(>:l:32b?xu5;=81<7<t^3176>;1l3888?5+35a965d<uz88:;4?:3y]660134<o6?=96:&00f<58j1v\7f>6?:181\7f[5?827=h7=70:&00f<58m1v\7f>6>:181\7f[5?927=h7=71:&00f<58l1v\7f97>:181\7f[20927=h7:81:&00f<5981v\7f96i:181\7f[20827=h7:80:&00f<59;1v\7f96k:181\7f[21m27=h7:9e:&00f<59:1v\7f96l:181\7f[21l27=h7:9d:&00f<59=1v\7f96m:181\7f[21k27=h7:9c:&00f<59?1v\7f96n:181\7f[21j27=h7:9b:&00f<59>1v\7f966:181\7f[21i27=h7:9a:&00f<5911v\7f967:181\7f[21127=h7:99:&00f<5901v\7f968:181\7f[21027=h7:98:&00f<59h1v\7f969:181\7f[21?27=h7:97:&00f<59k1v\7f96::181\7f[21>27=h7:96:&00f<59j1v\7f96;:181\7f[21=27=h7:95:&00f<59m1v\7f96=:181\7f[21;27=h7:93:&00f<59l1v\7f96>:181\7f[21:27=h7:92:&00f<59o1v\7f96?:181\7f[21927=h7:91:&00f<5:81v\7f99i:181\7f[21827=h7:90:&00f<5:;1v\7f99j:181\7f[22n27=h7::f:&00f<5::1v\7f99k:181\7f[22m27=h7::e:&00f<5:=1v\7f99l:181\7f[22l27=h7::d:&00f<5:<1v\7f99m:181\7f[22k27=h7::c:&00f<5:?1v\7f99n:181\7f[22j27=h7::b:&00f<5:>1v\7f996:181\7f[22i27=h7::a:&00f<5:11v\7f977:181\7f[20?27=h7:87:&00f<5:01v\7f978:181\7f[20>27=h7:86:&00f<5:h1v\7f979:181\7f[20=27=h7:85:&00f<5:j1v\7f97::181\7f[20<27=h7:84:&00f<5:m1v\7f97;:181\7f[20;27=h7:83:&00f<5:l1v\7f97<:181\7f[20:27=h7:82:&00f<5:o1v\7f97=:181\7f[21n27=h7:9f:&00f<5;91v\7f96j:181\7f[21<27=h7:94:&00f<5;81v\7f96<:181\7f[22127=h7::9:&00f<5;;1v\7f997:181\7f[22027=h7::8:&00f<5;:1v\7f97n:181\7f[2>i27=h7:6a:&00f<5;=1v\7f97k:181\7f[2>l27=h7:6d:&00f<5;<1v\7f?==e;296~X5;8n01;j5223g?!53k38846s|220`>5<5sW88=n526e8174e<,:>h6?=6;|q177d=838pR?=>b:?5`?449k1/?9m522c8yv44:h0;6?uQ223b?80c2;9:m6*<4b817g=z{;9957>52z\174?<5?n1>>?6;%17g?44k2wx>><7:181\7f[449116:i4=30:8 62d2;9o7p}=33594?4|V;9:;639d;0052=#;=i1>>h4}r0072<72;qU>><:;<4g>755=2.88n4=419~w754>3:1>vP=336893b=::8?7)=;c;075>{t::9>6=4={_0066=:>m09??=4$26`>7253ty9?>:50;0xZ755:27=h7<<239'71e=:=90q~<<3283>7}Y::8:708k:3115>"4<j09895rs3106?6=:rT9??>4=7f966473-9?o7<;5:\7fp66562909wS<<1g9>2a<5;8l0(>:l:365?xu5;::1<7<t^312a>;1l388=h5+35a9611<uz88>k4?:3y]667134<o6?=>6:&00f<5<11v\7f?==6;296~X5;8?01;j52236?!53k38?m6s|227`>5<5sW888o526e8171d<,:>h6?:m;|q170g=838pR?=;a:?5`?44<h1/?9m525a8yv44=00;6?uQ226:?80c2;9?56*<4b810a=z{;9>47>52z\171><5?n1>>:7;%17g?43m2wx>>;8:181\7f[44<>16:i4=3558 62d2;>m7p}=34494?4|V;9?:639d;0003=#;=i1>8>4}r0010<72;qU>>::;<4g>753=2.88n4=509~w751=3:1>vP=341893b=::?87)=;c;067>{t::<?6=4={_0017=:>m09?8<4$26`>7333ty9?;=50;0xZ752927=h7<<509'71e=:<?0q~<<6383>7}Y::?;708k:3164>"4<j099;5rs3155?6=:rT9?9h4=7f9662a3-9?o7<:7:\7fp66072909wS<<4d9>2a<5;=o0(>:l:37;?xu5;<l1<7<t^317`>;1l3888i5+35a960?<uz889h4?:3y]662d34<o6?=;c:&00f<5=h1v\7f?=:d;296~X5;=>01;j52267?!53k38>n6s|2277>5<5sW888>526e81715<,:>h6?;l;|\7fm554?n3:1?vF<4e9~j465190;6>uG35f8yk77:0;1<7=tH26g?xh68;396=4<{I17`>{i9982?7>53zJ00a=zf8:9594?:2yK71b<ug;;>4;50;1xL62c3td:<?79:180\7fM53l2we==<67;297~N4<m1vb<>=9983>6}O;=n0qc??28;94?5|@:>o7p`>03;b>5<4sA9?h6sa110:f?6=;rB88i5rn021=f<72:qC?9j4}o336<b=839pD>:k;|l247?b2908wE=;d:\7fm554>n3:1?vF<4e9~j465i90;6>uG35f8yk77:h;1<7=tH26g?xh68;k96=4<{I17`>{i998j?7>53zJ00a=zf8:9m94?:2yK71b<ug;;>l;50;1xL62c3td:<?o9:180\7fM53l2we==<n7;297~N4<m1vb<>=a983>6}O;=n0qc??2`;94?5|@:>o7p`>03cb>5<4sA9?h6sa110bf?6=;rB88i5rn021ef<72:qC?9j4}o336db=839pD>:k;|l247gb2908wE=;d:\7fm554fn3:1?vF<4e9~j465j90;6>uG35f8yk77:k;1<7=tH26g?xh68;h96=4<{I17`>{i998i?7>53zJ00a=zf8:9n94?:2yK71b<ug;;>o;50;1xL62c3td:<?l9:180\7fM53l2we==<m7;297~N4<m1vb<>=b983>6}O;=n0qc??2c;94?5|@:>o7p`>03`b>5<4sA9?h6sa110af?6=;rB88i5rn021ff<72:qC?9j4}o336gb=839pD>:k;|l247db2908wE=;d:\7fm554en3:1?vF<4e9~j465k90;6>uG35f8yk77:j;1<7=tH26g?xh68;i96=4<{I17`>{i998h?7>53zJ00a=zf8:9o94?:2yK71b<ug;;>n;50;1xL62c3td:<?m9:180\7fM53l2we==<l7;297~N4<m1vb<>=c983>6}O;=n0qc??2b;94?5|@:>o7p`>03ab>5<4sA9?h6sa110`f?6=;rB88i5rn021gf<72:qC?9j4}o336fb=839pD>:k;|l247eb2908wE=;d:\7fm554dn3:1?vF<4e9~j465l90;6>uG35f8yk77:m;1<7=tH26g?xh68;n96=4<{I17`>{i998o?7>53zJ00a=zf8:9h94?:2yK71b<ug;;>i;50;1xL62c3td:<?j9:180\7fM53l2we==<k7;297~N4<m1vb<>=d983>6}O;=n0qc??2e;94?5|@:>o7p`>03fb>5<4sA9?h6sa110gf?6=;rB88i5rn021`f<72:qC?9j4}o336ab=839pD>:k;|l247bb2908wE=;d:\7fm554cn3:1?vF<4e9~j465m90;6>uG35f8yk77:l;1<7=tH26g?xh68;o96=4<{I17`>{i998n?7>53zJ00a=zf8:9i94?:2yK71b<ug;;>h;50;1xL62c3td:<?k9:180\7fM53l2we==<j7;297~N4<m1vb<>=e983>6}O;=n0qc??2d;94?5|@:>o7p`>03gb>5<4sA9?h6sa110ff?6=;rB88i5rn021af<72:qC?9j4}o336`b=839pD>:k;|l247cb2908wE=;d:\7fm554bn3:1?vF<4e9~j465n90;6>uG35f8yk77:o;1<7=tH26g?xh68;l96=4<{I17`>{i998m?7>53zJ00a=zf8:9j94?:2yK71b<ug;;>k;50;1xL62c3td:<?h9:180\7fM53l2we==<i7;297~N4<m1vb<>=f983>6}O;=n0qc??2g;94?5|@:>o7p`>00`4>5<6sA9?h6sa113`4?6=?rB88i5rn0214=<728qC?9j4}o3365?=83;pD>:k;|l2476f290:wE=;d:\7fm5547j3:1=vF<4e9~j4658j0;6<uG35f8yk77:9n1<7?tH26g?xh68;:n6=4>{I17`>{i998;j7>51zJ00a=zf8:9==4?:0yK71b<ug;;><?50;3xL62c3td:<??=:182\7fM53l2we==<>3;295~N4<m1vb<>=1583>4}O;=n0qc??20794?7|@:>o7p`>0335>5<6sA9?h6sa11023?6=9rB88i5rn0215=<728qC?9j4}o3366?=83;pD>:k;|l2475f290:wE=;d:\7fm5544j3:1=vF<4e9~j465;j0;6<uG35f8yk77::n1<7?tH26g?xh68;9n6=4>{I17`>{i9988j7>51zJ00a=zf8:98=4?:0yK71b<ug;;>9?50;3xL62c3td:<?:=:182\7fM53l2we==<;3;295~N4<m1vb<>=4583>4}O;=n0qc??25794?7|@:>o7p`>0365>5<6sA9?h6sa11073?6=9rB88i5rn0210=<728qC?9j4}o3361?=83;pD>:k;|l2472f290:wE=;d:\7fm5543j3:1=vF<4e9~j465<j0;6<uG35f8yk77:=n1<7?tH26g?xh68;>n6=4>{I17`>{i998?j7>51zJ00a=zf8:99=4?:0yK71b<ug;;>8?50;3xL62c3td:<?;=:182\7fM53l2we==<:3;295~N4<m1vb<>=5583>4}O;=n0qc??24794?7|@:>o7p`>0375>5<6sA9?h6sa11063?6=9rB88i5rn0211=<728qC?9j4}o3360?=83;pD>:k;|l2473f290:wE=;d:\7fm5542j3:1=vF<4e9~j465=j0;6<uG35f8yk77:<n1<7?tH26g?xh68;?n6=4>{I17`>{i998>j7>51zJ00a=zf8:9:=4?:0yK71b<ug;;>;?50;3xL62c3td:<?8=:182\7fM53l2we==<93;295~N4<m1vb<>=6583>4}O;=n0qc??27794?7|@:>o7p`>0345>5<6sA9?h6sa11053?6=9rB88i5rn0212=<728qC?9j4}o3363?=83;pD>:k;|l2470f290:wE=;d:\7fm5541j3:1=vF<4e9~j465>j0;6<uG35f8yk77:?n1<7?tH26g?xh68;<n6=4>{I17`>{i998=j7>51zJ00a=zf8:9;=4?:0yK71b<ug;;>:?50;3xL62c3td:<?9=:182\7fM53l2we==<83;295~N4<m1vb<>=7583>4}O;=n0qc??26794?7|@:>o7p`>0355>5<6sA9?h6sa11043?6=9rB88i5rn0213=<728qC?9j4}o3362?=83;pD>:k;|l2471f290:wE=;d:\7fm5540j3:1=vF<4e9~j465?j0;6<uG35f8yk77:>n1<7?tH26g?xh68;=n6=4>{I17`>{i998<j7>51zJ00a=zf8:94=4?:0yK71b<ug;;>5?50;3xL62c3td:<?6=:182\7fM53l2we==<73;295~N4<m1vb<>=8583>4}O;=n0qc??29794?7|@:>o7p`>03:5>5<6sA9?h6sa110;3?6=9rB88i5rn021<=<728qC?9j4}o336=?=83;pD>:k;|l247>f290:wE=;d:\7fm554?j3:1=vF<4e9~j4650j0;6<uG35f8yk77:1n1<7?tH26g?xhbm0h1<7?tH26g?xhbmh<1<7?tH26g?xhbmh=1<7?tH26g?xhbmh21<7?tH26g?xhbmh31<7?tH26g?xhbmhk1<7?tH26g?xhbmhh1<7?tH26g?xhbmhi1<7?tH26g?xhbmhn1<7?tH26g?xhbmho1<7?tH26g?xhbmhl1<7?tH26g?xhbmk:1<7?tH26g?xhbmk;1<7?tH26g?xhbmk81<7?tH26g?xhbmk91<7?tH26g?xhbmk>1<7?tH26g?xhbmk?1<7?tH26g?xhbmk<1<7?tH26g?xhbmk=1<7?tH26g?xhbmk21<7?tH26g?xhbmk31<7?tH26g?xhbmkk1<7?tH26g?xhbmkh1<7?tH26g?xhbmki1<7?tH26g?xhbmkn1<7?tH26g?xhbmko1<7?tH26g?xhbmkl1<7?tH26g?xhbmj:1<7?tH26g?xhbmj;1<7?tH26g?xhbmj81<7?tH26g?xhbmj91<7?tH26g?xhbmj>1<7?tH26g?xhbmj?1<7?tH26g?xhbmj<1<7?tH26g?xhbmj=1<7?tH26g?xhbmj21<7?tH26g?xhbmj31<7?tH26g?xhbmjk1<7?tH26g?xhbmjh1<7?tH26g?xhbmji1<7?tH26g?xhbmjn1<7?tH26g?xhbmjo1<7?tH26g?xhbmjl1<7?tH26g?xhbmm:1<7?tH26g?xhbmm;1<7?tH26g?xhbmm81<7?tH26g?xhbmm91<7?tH26g?xhbmm>1<7?tH26g?xhbmm?1<7?tH26g?xhbmm<1<7?tH26g?xhbmm=1<7?tH26g?xhbmm21<7?tH26g?xhbmm31<7?tH26g?xhbmmk1<7?tH26g?xhbmmh1<7?tH26g?xhbmmi1<7?tH26g?xhbmmn1<7?tH26g?xhbmmo1<7?tH26g?xhbmml1<7?tH26g?xhbml:1<7?tH26g?xhbml;1<7?tH26g?xhbml81<7?tH26g?xhbml91<7?tH26g?xhbml>1<7?tH26g?xhbml?1<7?tH26g?xhbml<1<7?tH26g?xhbml=1<7?tH26g?xhbml21<7?tH26g?xhbml31<7?tH26g?xhbmlk1<7?tH26g?xhbmlh1<7?tH26g?xhbmli1<7?tH26g?xhbmln1<7?tH26g?xhbmlo1<7?tH26g?xhbmll1<7?tH26g?xhbmo:1<7?tH26g?xhbmo;1<7?tH26g?xhbmo81<7?tH26g?xhbmo91<7?tH26g?xhbmo>1<7?tH26g?xhbmo?1<7?tH26g?xhbmo<1<7?tH26g?xhbmo=1<7?tH26g?xhbmo21<7?tH26g?xhbmo31<7?tH26g?xhbmok1<7?tH26g?xhbn9i1<7?tH26g?xhbn9n1<7?tH26g?xhbn9o1<7?tH26g?xhbn9l1<7?tH26g?xhbn8:1<7?tH26g?xhbn8;1<7?tH26g?xhbn881<7?tH26g?xhbn891<7?tH26g?xhbn8>1<7?tH26g?xhbn8?1<7?tH26g?xhbn8<1<7?tH26g?xhbn8=1<7?tH26g?xhbn821<7?tH26g?xhbn831<7?tH26g?xhbn8k1<7?tH26g?xhbn8h1<7?tH26g?xhbn8i1<7?tH26g?xhbn8n1<7?tH26g?xhbn8o1<7?tH26g?xhbn8l1<7?tH26g?xhbn;:1<7?tH26g?xhbn;;1<7?tH26g?xhbn;81<7?tH26g?xhbn;91<7?tH26g?xhbn;>1<7?tH26g?xhbn;?1<7?tH26g?xhbn;<1<7?tH26g?xhbn;=1<7?tH26g?xhbn;21<7?tH26g?xhbn;31<7?tH26g?xhbn;k1<7?tH26g?xhbn;h1<7?tH26g?xhbn;i1<7?tH26g?xhbn;n1<7?tH26g?xhbn>l1<7?tH26g?xhbn1:1<7?tH26g?xhbn1;1<7?tH26g?xhbn181<7?tH26g?xhbn191<7?tH26g?xhbn1>1<7?tH26g?xhbn1?1<7?tH26g?xhbn1<1<7?tH26g?xhbn1=1<7?tH26g?xhbn121<7?tH26g?xhbn131<7?tH26g?xhbn1k1<7?tH26g?xhbn1h1<7?tH26g?xhbn1i1<7?tH26g?xhbn1n1<7?tH26g?xhbn1o1<7?tH26g?xhbn1l1<7?tH26g?xhbn0:1<7?tH26g?xhbn0;1<7?tH26g?xhbn081<7?tH26g?xhbn091<7?tH26g?xhbn0>1<7?tH26g?xhbn0?1<7?tH26g?xhbn0<1<7?tH26g?xhbn0=1<7?tH26g?xhbn021<7?tH26g?xhbn031<7?tH26g?xhbn0k1<7?tH26g?xhbn0h1<7?tH26g?xhbn0i1<7?tH26g?xhbn0n1<7?tH26g?xhbn0o1<7?tH26g?xhbn0l1<7?tH26g?xhbnh:1<7?tH26g?xhbnh;1<7?tH26g?xhbnh81<7?tH26g?xhbnh91<7?tH26g?xhbnh>1<7?tH26g?xhbnh?1<7?tH26g?xhbnh<1<7?tH26g?xhbnh=1<7?tH26g?xhbnh21<7?tH26g?xhbnh31<7?tH26g?xhbnhk1<7?tH26g?xhbnhh1<7?tH26g?xhbnhi1<7?tH26g?xhbnhn1<7?tH26g?xhbnho1<7?tH26g?xhbnhl1<7?tH26g?xhbnk:1<7?tH26g?xhbnk;1<7?tH26g?xhbnk81<7?tH26g?xhbnk91<7?tH26g?xhbnk>1<7?tH26g?xhbnk?1<7?tH26g?xhbnk<1<7?tH26g?xhbnk=1<7?tH26g?xhbnk21<7?tH26g?xhbnk31<7?tH26g?xhbnkk1<7?tH26g?xhbnkh1<7?tH26g?xhbnki1<7?tH26g?xhbnkn1<7?tH26g?xhbnko1<7?tH26g?xhbnkl1<7?tH26g?xhbnj:1<7?tH26g?xhbnj;1<7?tH26g?xhbnj81<7?tH26g?xhbnj91<7?tH26g?xhbnj>1<7?tH26g?xhbnj?1<7?tH26g?xhbnj<1<7?tH26g?xhbnj=1<7?tH26g?xhbnj21<7?tH26g?xhbnj31<7?tH26g?xhbnjk1<7?tH26g?xhbnjh1<7?tH26g?xhbnji1<7?tH26g?xhbnjn1<7?tH26g?xhbnjo1<7?tH26g?xhbnjl1<7?tH26g?xhbnm:1<7?tH26g?xhbnm;1<7?tH26g?xhbnm81<7?tH26g?xhbnm91<7?tH26g?xhbnm>1<7?tH26g?xhbnm?1<7?tH26g?xhbnm<1<7?tH26g?xhbnm=1<7?tH26g?xhbnm21<7?tH26g?xhbnm31<7?tH26g?xhbnmk1<7?tH26g?xhbnmh1<7?tH26g?xhbnmi1<7?tH26g?xhbnmn1<7?tH26g?xhbnmo1<7?tH26g?xhbnml1<7?tH26g?xhbnl:1<7?tH26g?xhbnl;1<7?tH26g?xhbnl81<7?tH26g?xhbnl91<7?tH26g?xhbnl>1<7?tH26g?xhbnl?1<7?tH26g?xhbnl<1<7?tH26g?xhbnl=1<7?tH26g?xhbnl21<7?tH26g?xhbnl31<7?tH26g?xhbnlk1<7?tH26g?xhbnlh1<7?tH26g?xhbnli1<7?tH26g?xhbnln1<7?tH26g?xhbnlo1<7?tH26g?xhbnll1<7?tH26g?xhbno:1<7?tH26g?xhbno;1<7?tH26g?xhbno81<7?tH26g?xhbno91<7?tH26g?xhbno>1<7?tH26g?xhbno?1<7?tH26g?xhbno<1<7?tH26g?xhbno=1<7?tH26g?xhbno21<7?tH26g?xhbno31<7?tH26g?xhbnok1<7?tH26g?xhbnoh1<7?tH26g?xhbnoi1<7?tH26g?xhbnon1<7?tH26g?xhbnoo1<7?tH26g?xhbnol1<7?tH26g?xha89:1<7?tH26g?xha89;1<7?tH26g?xha8981<7?tH26g?xha8991<7?tH26g?xha89>1<7?tH26g?xha89?1<7?tH26g?xha89<1<7?tH26g?xha89=1<7?tH26g?xha8921<7?tH26g?xha8931<7?tH26g?xha89k1<7?tH26g?xha89h1<7?tH26g?xha89i1<7?tH26g?xha89n1<7?tH26g?xha89o1<7?tH26g?xha89l1<7?tH26g?xha88:1<7?tH26g?xha88;1<7?tH26g?xha8881<7?tH26g?xha8891<7?tH26g?xha88>1<7?tH26g?xha88?1<7?tH26g?xha88<1<7?tH26g?xha88=1<7?tH26g?xha8821<7?tH26g?xha8831<7?tH26g?xha88k1<7?tH26g?xha88h1<7?tH26g?xha88i1<7?tH26g?xha88n1<7?tH26g?xha88o1<7?tH26g?xha88l1<7?tH26g?xha8;:1<7?tH26g?xha8;;1<7?tH26g?xha8;81<7?tH26g?xha8;91<7?tH26g?xha8;>1<7?tH26g?xha8;?1<7?tH26g?xha8;<1<7?tH26g?xha8;=1<7?tH26g?xha8;21<7?tH26g?xha8;31<7?tH26g?xha8;k1<7?tH26g?xha8;h1<7?tH26g?xha8;i1<7?tH26g?xha8;n1<7?tH26g?xha8;o1<7?tH26g?xha8;l1<7?tH26g?xha8::1<7?tH26g?xha8:;1<7?tH26g?xha8:81<7?tH26g?xha8:91<7?tH26g?xha8:>1<7?tH26g?xha8:?1<7?tH26g?xha8:<1<7?tH26g?xha8:=1<7?tH26g?xha8:21<7?tH26g?xha8:31<7?tH26g?xha8:k1<7?tH26g?xha8:h1<7?tH26g?xha8:i1<7?tH26g?xha8:n1<7?tH26g?xha8:o1<7?tH26g?xha8:l1<7?tH26g?xha8=:1<7?tH26g?xha8=;1<7?tH26g?xha8=81<7?tH26g?xha8=91<7?tH26g?xha8=>1<7?tH26g?xha8=?1<7?tH26g?xha8=<1<7?tH26g?xha8==1<7?tH26g?xha8=21<7?tH26g?xha8=31<7?tH26g?xha8=k1<7?tH26g?xha8=h1<7?tH26g?xha8=i1<7?tH26g?xha8=n1<7?tH26g?xha8=o1<7?tH26g?xha8=l1<7?tH26g?xha8<:1<7?tH26g?xha8<;1<7?tH26g?xha8<81<7?tH26g?xha8<91<7?tH26g?xha8<>1<7?tH26g?xha8<?1<7?tH26g?xha8<<1<7?tH26g?xha8<=1<7?tH26g?xha8<21<7?tH26g?xha8<31<7?tH26g?xha8<k1<7?tH26g?xha8<h1<7?tH26g?xha8<i1<7?tH26g?xha8<n1<7?tH26g?xha8<o1<7?tH26g?xha8<l1<7?tH26g?xha8?:1<7?tH26g?xha8?;1<7?tH26g?xha8?81<7?tH26g?xha8?91<7?tH26g?xha8?>1<7?tH26g?xha8??1<7?tH26g?xha8?<1<7?tH26g?xha8?=1<7?tH26g?xha8?21<7?tH26g?xha8?31<7?tH26g?xha8?k1<7?tH26g?xha8?h1<7?tH26g?xha8?i1<7?tH26g?xha8?n1<7?tH26g?xha8?o1<7?tH26g?xha8?l1<7?tH26g?xha8>:1<7?tH26g?xha8>;1<7?tH26g?xha8>81<7?tH26g?xha8>91<7?tH26g?xha8>>1<7?tH26g?xha8>?1<7?tH26g?xha8><1<7?tH26g?xha8>=1<7?tH26g?xha8>21<7?tH26g?xha8>31<7?tH26g?xha8>k1<7?tH26g?xha8>h1<7?tH26g?xha8>i1<7?tH26g?xha8>n1<7?tH26g?xha8>o1<7?tH26g?xha8>l1<7?tH26g?xha81:1<7?tH26g?xha81;1<7?tH26g?xha8181<7?tH26g?xha8191<7?tH26g?xha81>1<7?tH26g?xha81?1<7?tH26g?xha81<1<7?tH26g?xha81=1<7?tH26g?xha8121<7?tH26g?xha8131<7?tH26g?xha81k1<7?tH26g?xha81h1<7?tH26g?xha81i1<7?tH26g?xha81n1<7?tH26g?xha81o1<7?tH26g?xha81l1<7?tH26g?xha80:1<7?tH26g?xha80;1<7?tH26g?xha8081<7?tH26g?xha8091<7?tH26g?xha80>1<7?tH26g?xha80?1<7?tH26g?xha80<1<7?tH26g?xha80=1<7?tH26g?xha8021<7?tH26g?xha8031<7?tH26g?xha80k1<7?tH26g?xha80h1<7?tH26g?xha80i1<7?tH26g?xha80n1<7?tH26g?xha80o1<7?tH26g?xha80l1<7?tH26g?xha8h:1<7?tH26g?xha8h;1<7?tH26g?xha8h81<7?tH26g?xha8h91<7?tH26g?xha8h>1<7?tH26g?xha8h?1<7?tH26g?xha8h<1<7?tH26g?xha8h=1<7?tH26g?xha8h21<7?tH26g?xha8h31<7?tH26g?xha8hk1<7?tH26g?xha8hh1<7?tH26g?xha8hi1<7?tH26g?xha8hn1<7?tH26g?xha8ho1<7?tH26g?xha8hl1<7?tH26g?xha8k:1<7?tH26g?xha8k;1<7?tH26g?xha8k81<7?tH26g?xha8k91<7?tH26g?xha8k>1<7?tH26g?xha8k?1<7?tH26g?xha8k<1<7?tH26g?xha8k=1<7?tH26g?xha8k21<7?tH26g?xha8k31<7?tH26g?xha8kk1<7?tH26g?xha8kh1<7?tH26g?xha8ki1<7?tH26g?xha8kn1<7?tH26g?xha8ko1<7?tH26g?xha8kl1<7?tH26g?xha8j:1<7?tH26g?xha8j;1<7?tH26g?xha8j81<7?tH26g?xha8j91<7?tH26g?xha8j>1<7?tH26g?xha8j?1<7?tH26g?xha8j<1<7?tH26g?xha8j=1<7?tH26g?xha8j21<7?tH26g?xha8j31<7?tH26g?xha8jk1<7?tH26g?xha8jh1<7?tH26g?xha8ji1<7?tH26g?xha8jn1<7?tH26g?xha8jo1<7?tH26g?xha8jl1<7?tH26g?xha8m:1<7?tH26g?xha8m;1<7?tH26g?xha8m81<7?tH26g?xha8m91<7?tH26g?xha8m>1<7?tH26g?xha8m?1<7?tH26g?xha8m<1<7?tH26g?xha8m=1<7?tH26g?xha8m21<7?tH26g?xha8m31<7?tH26g?xha8mk1<7?tH26g?xha8mh1<7?tH26g?xha8mi1<7?tH26g?xha8mn1<7?tH26g?xha8mo1<7?tH26g?xha8ml1<7?tH26g?xha8l:1<7?tH26g?xha8l;1<7?tH26g?xha8l81<7?tH26g?xha8l91<7?tH26g?xha8l>1<7?tH26g?xha8l?1<7?tH26g?xha8l<1<7?tH26g?xha8l=1<7?tH26g?xha8l21<7?tH26g?xha8l31<7?tH26g?xha8lk1<7?tH26g?xha8lh1<7?tH26g?xha8li1<7?tH26g?xha8ln1<7?tH26g?xha8lo1<7?tH26g?xha8ll1<7?tH26g?xha8o:1<7?tH26g?xha8o;1<7?tH26g?xha8o81<7?tH26g?xha8o91<7?tH26g?xha8o>1<7?tH26g?xha8o?1<7?tH26g?xha8o<1<7?tH26g?xha8o=1<7?tH26g?xha8o21<7?tH26g?xha8o31<7?tH26g?xha8ok1<7?tH26g?xha8oh1<7?tH26g?xha8oi1<7?tH26g?xha8on1<7?tH26g?xha8oo1<7?tH26g?xha8ol1<7?tH26g?xha99:1<7?tH26g?xha99;1<7?tH26g?xha9981<7?tH26g?xha9991<7?tH26g?xha99>1<7?tH26g?xha99?1<7?tH26g?xha99<1<7?tH26g?xha99=1<7?tH26g?xha9921<7?tH26g?xha9931<7?tH26g?xha99k1<7?tH26g?xha99h1<7?tH26g?xha99i1<7?tH26g?xha99n1<7?tH26g?xha99o1<7?tH26g?xha99l1<7?tH26g?xha98:1<7?tH26g?xha98;1<7?tH26g?xha9881<7?tH26g?xha9891<7?tH26g?xha98>1<7?tH26g?xha98?1<7?tH26g?xha98<1<7?tH26g?xha98=1<7?tH26g?xha9821<7?tH26g?xha9831<7?tH26g?xha98k1<7?tH26g?xha98h1<7?tH26g?xha98i1<7?tH26g?xha98n1<7?tH26g?xha98o1<7?tH26g?xha98l1<7?tH26g?xha9;:1<7?tH26g?xha9;;1<7?tH26g?xha9;81<7?tH26g?xha9;91<7?tH26g?xha9;>1<7?tH26g?xha9;?1<7?tH26g?xha9;<1<7?tH26g?xha9;=1<7?tH26g?xha9;21<7?tH26g?xha9;31<7?tH26g?xha9;k1<7?tH26g?xha9;h1<7?tH26g?xha9;i1<7?tH26g?xha9;n1<7?tH26g?xha9;o1<7?tH26g?xha9;l1<7?tH26g?xha9::1<7?tH26g?xha9:;1<7?tH26g?xha9:81<7?tH26g?xha9:91<7?tH26g?xha9:>1<7?tH26g?xha9:?1<7?tH26g?xha9:<1<7?tH26g?xha9:=1<7?tH26g?xha9:21<7?tH26g?xha9:31<7?tH26g?xha9:k1<7?tH26g?xha9:h1<7?tH26g?xha9:i1<7?tH26g?xha9:n1<7?tH26g?xha9:o1<7?tH26g?xha9:l1<7?tH26g?xha9=:1<7?tH26g?xha9=;1<7?tH26g?xha9=81<7?tH26g?xha9=91<7?tH26g?xha9=>1<7?tH26g?xha9=?1<7?tH26g?xha9=<1<7?tH26g?xha9==1<7?tH26g?xha9=21<7?tH26g?xha9=31<7?tH26g?xha9=k1<7?tH26g?xha9=h1<7?tH26g?xha9=i1<7?tH26g?xha9=n1<7?tH26g?xha9=o1<7?tH26g?xha9=l1<7?tH26g?xha9<:1<7?tH26g?xha9<;1<7?tH26g?xha9<81<7?tH26g?xha9<91<7?tH26g?xha9<>1<7?tH26g?xha9<?1<7?tH26g?xha9<<1<7?tH26g?xha9<=1<7?tH26g?xha9<21<7?tH26g?xha9<31<7?tH26g?xha9<k1<7?tH26g?xha9<h1<7?tH26g?xha9<i1<7?tH26g?xha9<n1<7?tH26g?xha9<o1<7?tH26g?xha9<l1<7?tH26g?xha9?:1<7?tH26g?xha9?;1<7?tH26g?xha9?81<7?tH26g?xha9?91<7?tH26g?xha9?>1<7?tH26g?xha9??1<7?tH26g?xha9?<1<7?tH26g?xha9?=1<7?tH26g?xha9?21<7?tH26g?xha9?31<7?tH26g?xha9?k1<7?tH26g?xha9?h1<7?tH26g?xha9?i1<7?tH26g?xha9?n1<7?tH26g?xha9?o1<7?tH26g?xha9?l1<7?tH26g?xha9>:1<7?tH26g?xha9>;1<7?tH26g?xha9>81<7?tH26g?xha9>91<7?tH26g?xha9>>1<7?tH26g?xha9>?1<7?tH26g?xha9><1<7?tH26g?xha9>=1<7?tH26g?xha9>21<7?tH26g?xha9>31<7?tH26g?xha9>k1<7?tH26g?xha9>h1<7?tH26g?xha9>i1<7?tH26g?xha9>n1<7?tH26g?xha9>o1<7?tH26g?xha9>l1<7?tH26g?xha91:1<7?tH26g?xha91;1<7?tH26g?xha9181<7?tH26g?xha9191<7?tH26g?xha91>1<7?tH26g?xha91?1<7?tH26g?xha91<1<7?tH26g?xha91=1<7?tH26g?xha9121<7?tH26g?xha9131<7?tH26g?xha91k1<7?tH26g?xha91h1<7?tH26g?xha91i1<7?tH26g?xha91n1<7?tH26g?xha91o1<7?tH26g?xha91l1<7?tH26g?xha90:1<7?tH26g?xha90;1<7?tH26g?xha9081<7?tH26g?xha9091<7?tH26g?xha90>1<7?tH26g?xha90?1<7?tH26g?xha90<1<7?tH26g?xha90=1<7?tH26g?xha9021<7?tH26g?xha9031<7?tH26g?xha90k1<7?tH26g?xha90h1<7?tH26g?xha90i1<7?tH26g?xha90n1<7?tH26g?xha90o1<7?tH26g?xha90l1<7?tH26g?xha9h:1<7?tH26g?xha9h;1<7?tH26g?xha9h81<7?tH26g?xha9h91<7?tH26g?xha9h>1<7?tH26g?xha9h?1<7?tH26g?xha9h<1<7?tH26g?xha9h=1<7?tH26g?xha9h21<7?tH26g?xha9h31<7?tH26g?xha9hk1<7?tH26g?xha9hh1<7?tH26g?xha9hi1<7?tH26g?xha9hn1<7?tH26g?xha9ho1<7?tH26g?xha9hl1<7?tH26g?xha9k:1<7?tH26g?xha9k;1<7?tH26g?xha9k81<7?tH26g?xha9k91<7?tH26g?xha9k>1<7?tH26g?xha9k?1<7?tH26g?xha9k<1<7?tH26g?xha9k=1<7?tH26g?xha9k21<7?tH26g?xha9k31<7?tH26g?xha9kk1<7?tH26g?xha9kh1<7?tH26g?xha9ki1<7?tH26g?xha9kn1<7?tH26g?xha9ko1<7?tH26g?xha9kl1<7?tH26g?xha9j:1<7?tH26g?xha9j;1<7?tH26g?xha9j81<7?tH26g?xha9j91<7?tH26g?xha9j>1<7?tH26g?xha9j?1<7?tH26g?xha9j<1<7?tH26g?xha9j=1<7?tH26g?xha9j21<7?tH26g?xha9j31<7?tH26g?xha9jk1<7?tH26g?xha9jh1<7?tH26g?xha9ji1<7?tH26g?xha9jn1<7?tH26g?xha9jo1<7?tH26g?xha9jl1<7?tH26g?xha9m:1<7?tH26g?xha9m;1<7?tH26g?xha9m81<7?tH26g?xha9m91<7?tH26g?xha9m>1<7?tH26g?xha9m?1<7?tH26g?xha9m<1<7?tH26g?xha9m=1<7?tH26g?xha9m21<7?tH26g?xha9m31<7?tH26g?xha9mk1<7?tH26g?xha9mh1<7?tH26g?xha9mi1<7?tH26g?xha9mn1<7?tH26g?xha9mo1<7?tH26g?xha9ml1<7?tH26g?xha9l:1<7?tH26g?xha9l;1<7?tH26g?xha9l81<7?tH26g?xha9l91<7?tH26g?xha9l>1<7?tH26g?xha9l?1<7?tH26g?xha9l<1<7?tH26g?xha9l=1<7?tH26g?xha9l21<7?tH26g?xha9l31<7?tH26g?xha9lk1<7?tH26g?xha9lh1<7?tH26g?xha9li1<7?tH26g?xha9ln1<7?tH26g?xha9lo1<7?tH26g?xha9ll1<7?tH26g?xha9o:1<7?tH26g?xha9o;1<7?tH26g?xha9o81<7?tH26g?xha9o91<7?tH26g?xha9o>1<7?tH26g?xha9o?1<7?tH26g?xha9o<1<7?tH26g?xha9o=1<7?tH26g?xha9o21<7?tH26g?xha9o31<7?tH26g?xha9ok1<7?tH26g?xha9oh1<7?tH26g?xha9oi1<7?tH26g?xha9on1<7?tH26g?xha9oo1<7?tH26g?xha9ol1<7?tH26g?xha:9:1<7?tH26g?xha:9;1<7?tH26g?xha:981<7?tH26g?xha:991<7?tH26g?xha:9>1<7?tH26g?xha:9?1<7?tH26g?xha:9<1<7?tH26g?xha:9=1<7?tH26g?xha:921<7?tH26g?xha:931<7?tH26g?xha:9k1<7?tH26g?xha:9h1<7?tH26g?xha:9i1<7?tH26g?xha:9n1<7?tH26g?xha:9o1<7?tH26g?xha:9l1<7?tH26g?xha:8:1<7?tH26g?xha:8;1<7?tH26g?xha:881<7?tH26g?xha:891<7?tH26g?xha:8>1<7?tH26g?xha:8?1<7?tH26g?xha:8<1<7?tH26g?xha:8=1<7?tH26g?xha:821<7?tH26g?xha:831<7?tH26g?xha:8k1<7?tH26g?xha:8h1<7?tH26g?xha:8i1<7?tH26g?xha:8n1<7?tH26g?xha:8o1<7?tH26g?xha:8l1<7?tH26g?xha:;:1<7?tH26g?xha:;;1<7?tH26g?xha:;81<7?tH26g?xha:;91<7?tH26g?xha:;>1<7?tH26g?xha:;?1<7?tH26g?xha:;<1<7?tH26g?xha:;=1<7?tH26g?xha:;21<7?tH26g?xha:;31<7?tH26g?xha:;k1<7?tH26g?xha:;h1<7?tH26g?xha:;i1<7?tH26g?xha:;n1<7?tH26g?xha:;o1<7?tH26g?xha:;l1<7?tH26g?xha:::1<7?tH26g?xha::;1<7?tH26g?xha::81<7?tH26g?xha::91<7?tH26g?xha::>1<7?tH26g?xha::?1<7?tH26g?xha::<1<7?tH26g?xha::=1<7?tH26g?xha::21<7?tH26g?xha::31<7?tH26g?xha::k1<7?tH26g?xha::h1<7?tH26g?xha::i1<7?tH26g?xha::n1<7?tH26g?xha::o1<7?tH26g?xha::l1<7?tH26g?xha:=:1<7?tH26g?xha:=;1<7?tH26g?xha:=81<7?tH26g?xha:=91<7?tH26g?xha:=>1<7?tH26g?xha:=?1<7?tH26g?xha:=<1<7?tH26g?xha:==1<7?tH26g?xha:=21<7?tH26g?xha:=31<7?tH26g?xha:=k1<7?tH26g?xha:=h1<7?tH26g?xha:=i1<7?tH26g?xha:=n1<7?tH26g?xha:=o1<7?tH26g?xha:=l1<7?tH26g?xha:<:1<7?tH26g?xha:<;1<7?tH26g?xha:<81<7?tH26g?xha:<91<7?tH26g?xha:<>1<7?tH26g?xha:<?1<7?tH26g?xha:<<1<7?tH26g?xha:<=1<7?tH26g?xha:<21<7?tH26g?xha:<31<7?tH26g?xha:<k1<7?tH26g?xha:<h1<7?tH26g?xha:<i1<7?tH26g?xha:<n1<7?tH26g?xha:<o1<7?tH26g?xha:<l1<7?tH26g?xha:?:1<7?tH26g?xha:?;1<7?tH26g?xha:?81<7?tH26g?xha:?91<7?tH26g?xha:?>1<7?tH26g?xha:??1<7?tH26g?xha:?<1<7?tH26g?xha:?=1<7?tH26g?xha:?21<7?tH26g?xha:?31<7?tH26g?xha:?k1<7?tH26g?xha:?h1<7?tH26g?xha:?i1<7?tH26g?xha:?n1<7?tH26g?xha:?o1<7?tH26g?xha:?l1<7?tH26g?xha:>:1<7?tH26g?xha:>;1<7?tH26g?xha:>81<7?tH26g?xha:>91<7?tH26g?xha:>>1<7?tH26g?xha:>?1<7?tH26g?xha:><1<7?tH26g?xha:>=1<7?tH26g?xha:>21<7?tH26g?xha:>31<7?tH26g?xha:>k1<7?tH26g?xha:>h1<7?tH26g?xha:>i1<7?tH26g?xha:>n1<7?tH26g?xha:>o1<7?tH26g?xha:>l1<7?tH26g?xha:1:1<7?tH26g?xha:1;1<7?tH26g?xha:181<7?tH26g?xha:191<7?tH26g?xha:1>1<7?tH26g?xha:1?1<7?tH26g?xha:1<1<7?tH26g?xha:1=1<7?tH26g?xha:121<7?tH26g?xha:131<7?tH26g?xha:1k1<7?tH26g?xha:1h1<7?tH26g?xha:1i1<7?tH26g?xha:1n1<7?tH26g?xha:1o1<7?tH26g?xha:1l1<7?tH26g?xha:0:1<7?tH26g?xha:0;1<7?tH26g?xha:081<7?tH26g?xha:091<7?tH26g?xha:0>1<7?tH26g?xha:0?1<7?tH26g?xha:0<1<7?tH26g?xha:0=1<7?tH26g?xha:021<7?tH26g?xha:031<7?tH26g?xha:0k1<7?tH26g?xha:0h1<7?tH26g?xha:0i1<7?tH26g?xha:0n1<7?tH26g?xha:0o1<7?tH26g?xha:0l1<7?tH26g?xha:h:1<7?tH26g?xha:h;1<7?tH26g?xha:h81<7?tH26g?xha:h91<7?tH26g?xha:h>1<7?tH26g?xha:h?1<7?tH26g?xha:h<1<7?tH26g?xha:h=1<7?tH26g?xha:h21<7?tH26g?xha:h31<7?tH26g?xha:hk1<7?tH26g?xha:hh1<7?tH26g?xha:hi1<7?tH26g?xha:hn1<7?tH26g?xha:ho1<7?tH26g?xha:hl1<7?tH26g?xha:k:1<7?tH26g?xha:k;1<7?tH26g?xha:k81<7?tH26g?xha:k91<7?tH26g?xha:k>1<7?tH26g?xha:k?1<7?tH26g?xha:k<1<7?tH26g?xha:k=1<7?tH26g?xha:k21<7?tH26g?xha:k31<7?tH26g?xha:kk1<7?tH26g?xha:kh1<7?tH26g?xha:ki1<7?tH26g?xha:kn1<7?tH26g?xha:ko1<7?tH26g?xha:kl1<7?tH26g?xha:j:1<7?tH26g?xha:j;1<7?tH26g?xha:j81<7?tH26g?xha:j91<7?tH26g?xha:j>1<7?tH26g?xha:j?1<7?tH26g?xha:j<1<7?tH26g?xha:j=1<7?tH26g?xha:j21<7?tH26g?xha:j31<7?tH26g?xha:jk1<7?tH26g?xha:jh1<7?tH26g?xha:ji1<7?tH26g?xha:jn1<7?tH26g?xha:jo1<7?tH26g?xha:jl1<7?tH26g?xha:m:1<7?tH26g?xha:m;1<7?tH26g?xha:m81<7?tH26g?xha:m91<7?tH26g?xha:m>1<7?tH26g?xha:m?1<7?tH26g?xha:m<1<7?tH26g?xha:m=1<7?tH26g?xha:m21<7?tH26g?xha:m31<7?tH26g?xha:mk1<7?tH26g?xha:mh1<7?tH26g?xha:mi1<7?tH26g?xha:mn1<7?tH26g?xha:mo1<7?tH26g?xha:ml1<7?tH26g?xha:l:1<7?tH26g?xha:l;1<7?tH26g?xha:l81<7?tH26g?xha:l91<7?tH26g?xha:l>1<7?tH26g?xha:l?1<7?tH26g?xha:l<1<7?tH26g?xha:l=1<7?tH26g?xha:l21<7?tH26g?xha:l31<7?tH26g?xha:lk1<7?tH26g?xha:lh1<7?tH26g?xha:li1<7?tH26g?xha:ln1<7?tH26g?xha:lo1<7?tH26g?xha:ll1<7?tH26g?xha:o:1<7?tH26g?xha:o;1<7?tH26g?xha:o81<7?tH26g?xha:o91<7?tH26g?xha:o>1<7?tH26g?xha:o?1<7?tH26g?xha:o<1<7?tH26g?xha:o=1<7?tH26g?xha:o21<7?tH26g?xha:o31<7?tH26g?xha:ok1<7?tH26g?xha:oh1<7?tH26g?xha:oi1<7?tH26g?xha:on1<7?tH26g?xha:oo1<7?tH26g?xha:ol1<7?tH26g?xha;9:1<7?tH26g?xha;9;1<7?tH26g?xha;981<7?tH26g?xha;991<7?tH26g?xha;9>1<7?tH26g?xha;9?1<7?tH26g?xha;9<1<7?tH26g?xha;9=1<7?tH26g?xha;921<7?tH26g?xha;931<7?tH26g?xha;9k1<7?tH26g?xha;9h1<7?tH26g?xha;9i1<7?tH26g?xha;9n1<7?tH26g?xha;9o1<7?tH26g?xha;9l1<7?tH26g?xha;8:1<7?tH26g?xha;8;1<7?tH26g?xha;881<7?tH26g?xha;891<7?tH26g?xha;8>1<7?tH26g?xha;8?1<7?tH26g?xha;8<1<7?tH26g?xha;8=1<7?tH26g?xha;821<7?tH26g?xha;831<7?tH26g?xha;8k1<7?tH26g?xha;8h1<7?tH26g?xha;8i1<7?tH26g?xha;8n1<7?tH26g?xha;8o1<7?tH26g?xha;8l1<7?tH26g?xha;;:1<7?tH26g?xha;;;1<7?tH26g?xha;;81<7?tH26g?xha;;91<7?tH26g?xha;;>1<7?tH26g?xha;;?1<7?tH26g?xha;;<1<7?tH26g?xha;;=1<7?tH26g?xha;;21<7?tH26g?xha;;31<7?tH26g?xha;;k1<7?tH26g?xha;;h1<7?tH26g?xha;;i1<7?tH26g?xha;;n1<7?tH26g?xha;;o1<7?tH26g?xha;;l1<7?tH26g?xha;::1<7?tH26g?xha;:;1<7?tH26g?xha;:81<7?tH26g?xha;:91<7?tH26g?xha;:>1<7?tH26g?xha;:?1<7?tH26g?xha;:<1<7?tH26g?xha;:=1<7?tH26g?xha;:21<7?tH26g?xha;:31<7?tH26g?xha;:k1<7?tH26g?xha;:h1<7?tH26g?xha;:i1<7?tH26g?xha;:n1<7?tH26g?xha;:o1<7?tH26g?xha;:l1<7?tH26g?xha;=:1<7?tH26g?xha;=;1<7?tH26g?xha;=81<7?tH26g?xha;=91<7?tH26g?xha;=>1<7?tH26g?xha;=?1<7?tH26g?xha;=<1<7?tH26g?xha;==1<7?tH26g?xha;=21<7?tH26g?xha;=31<7?tH26g?xha;=k1<7?tH26g?xha;=h1<7?tH26g?xha;=i1<7?tH26g?xha;=n1<7?tH26g?xha;=o1<7?tH26g?xha;=l1<7?tH26g?xha;<:1<7?tH26g?xha;<;1<7?tH26g?xha;<81<7?tH26g?xha;<91<7?tH26g?xha;<>1<7?tH26g?xha;<?1<7?tH26g?xha;<<1<7?tH26g?xha;<=1<7?tH26g?xha;<21<7?tH26g?xha;<31<7?tH26g?xha;<k1<7?tH26g?xha;<h1<7?tH26g?xha;<i1<7?tH26g?xha;<n1<7?tH26g?xha;<o1<7?tH26g?xha;<l1<7?tH26g?xha;?:1<7?tH26g?xha;?;1<7?tH26g?xha;?81<7?tH26g?xha;?91<7?tH26g?xha;?>1<7?tH26g?xha;??1<7?tH26g?xha;?<1<7?tH26g?xha;?=1<7?tH26g?xha;?21<7?tH26g?xha;?31<7?tH26g?xha;?k1<7?tH26g?xha;?h1<7?tH26g?xha;?i1<7?tH26g?xha;?n1<7?tH26g?xha;?o1<7?tH26g?xha;?l1<7?tH26g?xha;>:1<7?tH26g?xha;>;1<7?tH26g?xha;>81<7?tH26g?xha;>91<7?tH26g?xha;>>1<7?tH26g?xha;>?1<7?tH26g?xha;><1<7?tH26g?xha;>=1<7?tH26g?xha;>21<7?tH26g?xha;>31<7?tH26g?xha;>k1<7?tH26g?xha;>h1<7?tH26g?xha;>i1<7?tH26g?xha;>n1<7?tH26g?xha;>o1<7?tH26g?xha;>l1<7?tH26g?xha;1:1<7?tH26g?xha;1;1<7?tH26g?xha;181<7?tH26g?xha;191<7?tH26g?xha;1>1<7?tH26g?xha;1?1<7?tH26g?xha;1<1<7?tH26g?xha;1=1<7?tH26g?xha;121<7?tH26g?xha;131<7?tH26g?xha;1k1<7?tH26g?xha;1h1<7?tH26g?xha;1i1<7?tH26g?xha;1n1<7?tH26g?xha;1o1<7?tH26g?xha;1l1<7?tH26g?xha;0:1<7?tH26g?xha;0;1<7?tH26g?xha;081<7?tH26g?xha;091<7?tH26g?xha;0>1<7?tH26g?xha;0?1<7?tH26g?xha;0<1<7?tH26g?xha;0=1<7?tH26g?xha;021<7?tH26g?xha;031<7?tH26g?xha;0k1<7?tH26g?xha;0h1<7?tH26g?xha;0i1<7?tH26g?xha;0n1<7?tH26g?xha;0o1<7?tH26g?xha;0l1<7?tH26g?xha;h:1<7?tH26g?xha;h;1<7?tH26g?xha;h81<7?tH26g?xha;h91<7?tH26g?xha;h>1<7?tH26g?xha;h?1<7?tH26g?xha;h<1<7?tH26g?xha;h=1<7?tH26g?xha;h21<7?tH26g?xha;h31<7?tH26g?xha;hk1<7?tH26g?xha;hh1<7?tH26g?xha;hi1<7?tH26g?xha;hn1<7?tH26g?xha;ho1<7?tH26g?xha;hl1<7?tH26g?xha;k:1<7?tH26g?xha;k;1<7?tH26g?xha;k81<7?tH26g?xha;k91<7?tH26g?xha;k>1<7?tH26g?xha;k?1<7?tH26g?xha;k<1<7?tH26g?xha;k=1<7?tH26g?xha;k21<7?tH26g?xha;k31<7?tH26g?xha;kk1<7?tH26g?xha;kh1<7?tH26g?xha;ki1<7?tH26g?xha;kn1<7?tH26g?xha;ko1<7?tH26g?xha;kl1<7?tH26g?xha;j:1<7?tH26g?xha;j;1<7?tH26g?xha;j81<7?tH26g?xha;j91<7?tH26g?xha;j>1<7?tH26g?xha;j?1<7?tH26g?xha;j<1<7?tH26g?xha;j=1<7?tH26g?xha;j21<7?tH26g?xha;j31<7?tH26g?xha;jk1<7?tH26g?xha;jh1<7?tH26g?xha;ji1<7?tH26g?xha;jn1<7?tH26g?xha;jo1<7?tH26g?xha;jl1<7?tH26g?xha;m:1<7?tH26g?xha;m;1<7?tH26g?xha;m81<7?tH26g?xha;m91<7?tH26g?xha;m>1<7?tH26g?xha;m?1<7?tH26g?xha;m<1<7?tH26g?xha;m=1<7?tH26g?xha;m21<7?tH26g?xha;m31<7?tH26g?xha;mk1<7?tH26g?xha;mh1<7?tH26g?xha;mi1<7?tH26g?xha;mn1<7?tH26g?xha;mo1<7?tH26g?xha;ml1<7?tH26g?xha;l:1<7?tH26g?xha;l;1<7?tH26g?xha;l81<7?tH26g?xha;l91<7?tH26g?xha;l>1<7?tH26g?xha;l?1<7?tH26g?xha;l<1<7?tH26g?xha;l=1<7?tH26g?xha;l21<7?tH26g?xha;l31<7?tH26g?xha;lk1<7?tH26g?xha;lh1<7?tH26g?xha;li1<7?tH26g?xha;ln1<7?tH26g?xha;lo1<7?tH26g?xha;ll1<7?tH26g?xha;o:1<7?tH26g?xha;o;1<7?tH26g?xha;o81<7?tH26g?xha;o91<7?tH26g?xha;o>1<7?tH26g?xha;o?1<7?tH26g?xha;o<1<7?tH26g?xha;o=1<7?tH26g?xha;o21<7?tH26g?xha;o31<7?tH26g?xha;ok1<7?tH26g?xha;oh1<7?tH26g?xha;oi1<7?tH26g?xha;on1<7?tH26g?xha;oo1<7?tH26g?xha;ol1<7?tH26g?xha<9:1<7?tH26g?xha<9;1<7?tH26g?xha<981<7?tH26g?xha<991<7?tH26g?xha<9>1<7?tH26g?xha<9?1<7?tH26g?xha<9<1<7?tH26g?xha<9=1<7?tH26g?xha<921<7?tH26g?xha<931<7?tH26g?xha<9k1<7?tH26g?xha<9h1<7?tH26g?xha<9i1<7?tH26g?xha<9n1<7?tH26g?xha<9o1<7?tH26g?xha<9l1<7?tH26g?xha<8:1<7?tH26g?xha<8;1<7?tH26g?xha<881<7?tH26g?xha<891<7?tH26g?xha<8>1<7?tH26g?xha<8?1<7?tH26g?xha<8<1<7?tH26g?xha<8=1<7?tH26g?xha<821<7?tH26g?xha<831<7?tH26g?xha<8k1<7?tH26g?xha<8h1<7?tH26g?xha<8i1<7?tH26g?xha<8n1<7?tH26g?xha<8o1<7?tH26g?xha<8l1<7?tH26g?xha<;:1<7?tH26g?xha<;;1<7?tH26g?xha<;81<7?tH26g?xha<;91<7?tH26g?xha<;>1<7?tH26g?xha<;?1<7?tH26g?xha<;<1<7?tH26g?xha<;=1<7?tH26g?xha<;21<7?tH26g?xha<;31<7?tH26g?xha<;k1<7?tH26g?xha<;h1<7?tH26g?xha<;i1<7?tH26g?xha<;n1<7?tH26g?xha<;o1<7?tH26g?xha<;l1<7?tH26g?xha<::1<7?tH26g?xha<:;1<7?tH26g?xha<:81<7?tH26g?xha<:91<7?tH26g?xha<:>1<7?tH26g?xha<:?1<7?tH26g?xha<:<1<7?tH26g?xha<:=1<7?tH26g?xha<:21<7?tH26g?xha<:31<7?tH26g?xha<:k1<7?tH26g?xha<:h1<7?tH26g?xha<:i1<7?tH26g?xha<:n1<7?tH26g?xha<:o1<7?tH26g?xha<:l1<7?tH26g?xha<=:1<7?tH26g?xha<=;1<7?tH26g?xha<=81<7?tH26g?xha<=91<7?tH26g?xha<=>1<7?tH26g?xha<=?1<7?tH26g?xha<=<1<7?tH26g?xha<==1<7?tH26g?xha<=21<7?tH26g?xha<=31<7?tH26g?xha<=k1<7?tH26g?xha<=h1<7?tH26g?xha<=i1<7?tH26g?xha<=n1<7?tH26g?xha<=o1<7?tH26g?xha<=l1<7?tH26g?xha<<:1<7?tH26g?xha<<;1<7?tH26g?xha<<81<7?tH26g?xha<<91<7?tH26g?xha<<>1<7?tH26g?xha<<?1<7?tH26g?xha<<<1<7?tH26g?xha<<=1<7?tH26g?xha<<21<7?tH26g?xha<<31<7?tH26g?xha<<k1<7?tH26g?xha<<h1<7?tH26g?xha<<i1<7?tH26g?xha<<n1<7?tH26g?xha<<o1<7?tH26g?xha<<l1<7?tH26g?xha<?:1<7?tH26g?xha<?;1<7?tH26g?xha<?81<7?tH26g?xha<?91<7?tH26g?xha<?>1<7?tH26g?xha<??1<7?tH26g?xha<?<1<7?tH26g?xha<?=1<7?tH26g?xha<?21<7?tH26g?xha<?31<7?tH26g?xha<?k1<7?tH26g?xha<?h1<7?tH26g?xha<?i1<7?tH26g?xha<?n1<7?tH26g?xha<?o1<7?tH26g?xha<?l1<7?tH26g?xha<>:1<7?tH26g?xha<>;1<7?tH26g?xha<>81<7?tH26g?xha<>91<7?tH26g?xha<>>1<7?tH26g?xha<>?1<7?tH26g?xha<><1<7?tH26g?xha<>=1<7?tH26g?xha<>21<7?tH26g?xha<>31<7?tH26g?xha<>k1<7?tH26g?xha<>h1<7?tH26g?xha<>i1<7?tH26g?xha<>n1<7?tH26g?xha<>o1<7?tH26g?xha<>l1<7?tH26g?xha<1:1<7?tH26g?xha<1;1<7?tH26g?xha<181<7?tH26g?xha<191<7?tH26g?xha<1>1<7?tH26g?xha<1?1<7?tH26g?xha<1<1<7?tH26g?xha<1=1<7?tH26g?xha<121<7?tH26g?xha<131<7?tH26g?xha<1k1<7?tH26g?xha<1h1<7?tH26g?xha<1i1<7?tH26g?xha<1n1<7?tH26g?xha<1o1<7?tH26g?xha<1l1<7?tH26g?xha<0:1<7?tH26g?xha<0;1<7?tH26g?xha<081<7?tH26g?xha<091<7?tH26g?xha<0>1<7?tH26g?xha<0?1<7?tH26g?xha<0<1<7?tH26g?xha<0=1<7?tH26g?xha<021<7?tH26g?xha<031<7?tH26g?xha<0k1<7?tH26g?xha<0h1<7?tH26g?xha<0i1<7?tH26g?xha<0n1<7?tH26g?xha<0o1<7?tH26g?xha<0l1<7?tH26g?xha<h:1<7?tH26g?xha<h;1<7?tH26g?xha<h81<7?tH26g?xha<h91<7?tH26g?xha<h>1<7?tH26g?xha<h?1<7?tH26g?xha<h<1<7?tH26g?xha<h=1<7?tH26g?xha<h21<7?tH26g?xha<h31<7?tH26g?xha<hk1<7?tH26g?xha<hh1<7?tH26g?xha<hi1<7?tH26g?xha<hn1<7?tH26g?xha<ho1<7?tH26g?xha<hl1<7?tH26g?xha<k:1<7?tH26g?xha<k;1<7?tH26g?xha<k81<7?tH26g?xha<k91<7?tH26g?xha<k>1<7?tH26g?xha<k?1<7?tH26g?xha<k<1<7?tH26g?xha<k=1<7?tH26g?xha<k21<7?tH26g?xha<k31<7?tH26g?xha<kk1<7?tH26g?xha<kh1<7?tH26g?xha<ki1<7?tH26g?xha<kn1<7?tH26g?xha<ko1<7?tH26g?xha<kl1<7?tH26g?xha<j:1<7?tH26g?xha<j;1<7?tH26g?xha<j81<7?tH26g?xha<j91<7?tH26g?xha<j>1<7?tH26g?xha<j?1<7?tH26g?xha<j<1<7?tH26g?xha<j=1<7?tH26g?xha<j21<7?tH26g?xha<j31<7?tH26g?xha<jk1<7?tH26g?xha<jh1<7?tH26g?xha<ji1<7?tH26g?xha<jn1<7?tH26g?xha<jo1<7?tH26g?xha<jl1<7?tH26g?xha<m:1<7?tH26g?xha<m;1<7?tH26g?xha<m81<7?tH26g?xha<m91<7?tH26g?xha<m>1<7?tH26g?xha<m?1<7?tH26g?xha<m<1<7?tH26g?xha<m=1<7?tH26g?xha<m21<7?tH26g?xha<m31<7?tH26g?xha<mk1<7?tH26g?xha<mh1<7?tH26g?xha<mi1<7?tH26g?xha<mn1<7?tH26g?xha<mo1<7?tH26g?xha<ml1<7?tH26g?xha<l:1<7?tH26g?xha<l;1<7?tH26g?xha<l81<7?tH26g?xha<l91<7?tH26g?xha<l>1<7?tH26g?xha<l?1<7?tH26g?xha<l<1<7?tH26g?xha<l=1<7?tH26g?xha<l21<7?tH26g?xha<l31<7?tH26g?xha<lk1<7?tH26g?xha<lh1<7?tH26g?xha<li1<7?tH26g?xha<ln1<7?tH26g?xha<lo1<7?tH26g?xha<ll1<7?tH26g?xha<o:1<7?tH26g?xha<o;1<7?tH26g?xha<o81<7?tH26g?xha<o91<7?tH26g?xha<o>1<7?tH26g?xha<o?1<7?tH26g?xha<o<1<7?tH26g?xha<o=1<7?tH26g?xha<o21<7?tH26g?xha<o31<7?tH26g?xha<ok1<7?tH26g?xha<oh1<7?tH26g?xha<oi1<7?tH26g?xha<on1<7?tH26g?xha<oo1<7?tH26g?xha<ol1<7?tH26g?xha=9:1<7?tH26g?xha=9;1<7?tH26g?xha=981<7?tH26g?xha=991<7?tH26g?xha=9>1<7?tH26g?xha=9?1<7?tH26g?xha=9<1<7?tH26g?xha=9=1<7?tH26g?xha=921<7?tH26g?xha=931<7?tH26g?xha=9k1<7?tH26g?xha=9h1<7?tH26g?xha=9i1<7?tH26g?xha=9n1<7?tH26g?xha=9o1<7?tH26g?xha=9l1<7?tH26g?xha=8:1<7?tH26g?xha=8;1<7?tH26g?xha=881<7?tH26g?xha=891<7?tH26g?xha=8>1<7?tH26g?xha=8?1<7?tH26g?xha=8<1<7?tH26g?xha=8=1<7?tH26g?xha=821<7?tH26g?xha=831<7?tH26g?xha=8k1<7?tH26g?xha=8h1<7?tH26g?xha=8i1<7?tH26g?xha=8n1<7?tH26g?xha=8o1<7?tH26g?xha=8l1<7?tH26g?xha=;:1<7?tH26g?xha=;;1<7?tH26g?xha=;81<7?tH26g?xha=;91<7?tH26g?xha=;>1<7?tH26g?xha=;?1<7?tH26g?xha=;<1<7?tH26g?xha=;=1<7?tH26g?xha=;21<7?tH26g?xha=;31<7?tH26g?xha=;k1<7?tH26g?xha=;h1<7?tH26g?xha=;i1<7?tH26g?xha=;n1<7?tH26g?xha=;o1<7?tH26g?xha=;l1<7?tH26g?xha=::1<7?tH26g?xha=:;1<7?tH26g?xha=:81<7?tH26g?xha=:91<7?tH26g?xha=:>1<7?tH26g?xha=:?1<7?tH26g?xha=:<1<7?tH26g?xha=:=1<7?tH26g?xha=:21<7?tH26g?xha=:31<7?tH26g?xha=:k1<7?tH26g?xha=:h1<7?tH26g?xha=:i1<7?tH3ca?M53l2wej8=k:182\7fM53l2wej8=j:182\7fM53l2wej8=i:182\7fM53l2wej8:?:182\7fM53l2wej8:>:182\7fM53l2wej8:=:182\7fM53l2wej8:<:182\7fM53l2wej8:;:182\7fM53l2wej8:::182\7fM53l2wej8:9:182\7fM53l2wej8:8:182\7fM53l2wej8:7:182\7fM53l2wej8:6:182\7fM53l2wej8:n:182\7fM53l2wej8:m:182\7fM53l2wej8:l:182\7fM53l2wej8:k:182\7fM53l2wej8:j:182\7fM53l2wej8:i:182\7fM53l2wej8;?:182\7fM53l2wej8;>:182\7fM53l2wej8;=:182\7fM53l2wej8;<:182\7fM53l2wej8;;:182\7fM53l2wej8;::182\7fM53l2wej8;9:182\7fM53l2wej8;8:182\7fM53l2wej8;7:182\7fM53l2wej8;6:182\7fM53l2wej8;n:182\7fM53l2wej8;m:182\7fM53l2wej8;l:182\7fM53l2wej8;k:182\7fM53l2wej8;j:182\7fM53l2wej8;i:182\7fM53l2wej88?:182\7fM53l2wej88>:182\7fM53l2wej88=:182\7fM53l2wej88<:182\7fM53l2wej88;:182\7fM53l2wej88::182\7fM53l2wej889:182\7fM53l2wej888:182\7fM53l2wej887:182\7fM53l2wej886:182\7fM53l2wej88n:182\7fM53l2wej88m:182\7fM53l2wej88l:182\7fM53l2wej88k:182\7fM53l2wej88j:182\7fM53l2wej88i:182\7fM53l2wej89?:182\7fM53l2wej89>:182\7fM53l2wej89=:182\7fM53l2wej89<:182\7fM53l2wej89;:182\7fM53l2wej89::182\7fM53l2wej899:182\7fM53l2wej898:182\7fM53l2wej897:182\7fM53l2wej896:182\7fM53l2wej89n:182\7fM53l2wej89m:182\7fM53l2wej89l:182\7fM53l2wej89k:182\7fM53l2wej89j:182\7fM53l2wej89i:182\7fM53l2wej86?:182\7fM53l2wej86>:182\7fM53l2wej86=:182\7fM53l2wej86<:182\7fM53l2wej86;:182\7fM53l2wej86::182\7fM53l2wej869:182\7fM53l2wej868:182\7fM53l2wej867:182\7fM53l2wej866:182\7fM53l2wej86n:182\7fM53l2wej86m:182\7fM53l2wej86l:182\7fM53l2wej86k:182\7fM53l2wej86j:182\7fM53l2wej86i:182\7fM53l2wej87?:182\7fM53l2wej87>:182\7fM53l2wej87=:182\7fM53l2wej87<:182\7fM53l2wej87;:182\7fM53l2wej87::182\7fM53l2wej879:182\7fM53l2wej878:182\7fM53l2wej877:182\7fM53l2wej876:182\7fM53l2wej87n:182\7fM53l2wej87m:182\7fM53l2wej87l:182\7fM53l2wej87k:182\7fM53l2wej87j:182\7fM53l2wej87i:182\7fM53l2wej8o?:182\7fM53l2wej8o>:182\7fM53l2wej8o=:182\7fM53l2wej8o<:182\7fM4fj2B88i5rng7b0?6=9rB88i5rng7b1?6=9rB88i5rng7b2?6=9rB88i5rng7b3?6=9rB88i5rng7b<?6=9rB88i5rng7b=?6=9rB88i5rng7be?6=9rB88i5rng7bf?6=9rB88i5rng7bg?6=9rB88i5rng7b`?6=9rB88i5rng7ba?6=9rB88i5rng7bb?6=9rB88i5rng7a4?6=9rB88i5rng7a5?6=9rB88i5rng7a6?6=9rB88i5rng7a7?6=9rB88i5rng7a0?6=9rB88i5rng7a1?6=9rB88i5rng7a2?6=9rB88i5rng7a3?6=9rB88i5rng7a<?6=9rB88i5rng7a=?6=9rB88i5rng7ae?6=9rB88i5rng7af?6=9rB88i5rng7ag?6=9rB88i5rng7a`?6=9rB88i5rng7aa?6=9rB88i5rng7ab?6=9rB88i5rng7`4?6=9rB88i5rng7`5?6=9rB88i5rng7`6?6=9rB88i5rng7`7?6=9rB88i5rng7`0?6=9rB88i5rng7`1?6=9rB88i5rng7`2?6=9rB88i5rng7`3?6=9rB88i5rng7`<?6=9rB88i5rng7`=?6=9rB88i5rng7`e?6=9rB88i5rng7`f?6=9rB88i5rng7`g?6=9rB88i5rng7``?6=9rB88i5rng7`a?6=9rB88i5rng7`b?6=9rB88i5rng7g4?6=9rB88i5rng7g5?6=9rB88i5rng7g6?6=9rB88i5rng7g7?6=9rB88i5rng7g0?6=9rB88i5rng7g1?6=9rB88i5rng7g2?6=9rB88i5rng7g3?6=9rB88i5rng7g<?6=9rB88i5rng7g=?6=9rB88i5rng7ge?6=9rB88i5rng7gf?6=9rB88i5rng7gg?6=9rB88i5rng7g`?6=9rB88i5rng7ga?6=9rB88i5rng7gb?6=9rB88i5rng7f4?6=9rB88i5rng7f5?6=9rB88i5rng7f6?6=9rB88i5rng7f7?6=9rB88i5rng7f0?6=9rB88i5rng7f1?6=9rB88i5rng7f2?6=9rB88i5rng7f3?6=9rB88i5rng7f<?6=9rB88i5rng7f=?6=9rB88i5rng7fe?6=9rB88i5rng7ff?6=9rB88i5rng7fg?6=9rB88i5rng7f`?6=9rB88i5rng7fa?6=9rB88i5rng7fb?6=9rB88i5rng7e4?6=9rB88i5rng7e5?6=9rB88i5rng7e6?6=9rB88i5rng7e7?6=9rB88i5rng7e0?6=9rB88i5rng7e1?6=9rB88i5rng7e2?6=9rB88i5rng7e3?6=9rB88i5rng7e<?6=9rB88i5rng7e=?6=9rB88i5rng7ee?6=9rB88i5rng7ef?6=9rB88i5rng7eg?6=9rB88i5rng7e`?6=9rB88i5rng7ea?6=9rB88i5rng7eb?6=9rB88i5rng434?6=9rB88i5rng435?6=9rB88i5rng436?6=9rB88i5rng437?6=9rB88i5rng430?6=9rB88i5rng431?6=9rB88i5rng432?6=9rB88i5rng433?6=9rB88i5rng43<?6=9rB88i5rng43=?6=9rB88i5rng43e?6=9rB88i5rng43f?6=9rB88i5rng43g?6=9rB88i5rng43`?6=9rB88i5rng43a?6=9rB88i5rng43b?6=9rB88i5rng424?6=9rB88i5rng425?6=9rB88i5rng426?6=9rB88i5rng427?6=9rB88i5rng420?6=9rB88i5rng421?6=9rB88i5rng422?6=9rB88i5rng423?6=9rB88i5rng42<?6=9rB88i5rng42=?6=9rB88i5rng42e?6=9rB88i5rng42f?6=9rB88i5rng42g?6=9rB88i5rng42`?6=9rB88i5rng42a?6=9rB88i5rng42b?6=9rB88i5rng414?6=9rB88i5rng415?6=9rB88i5rng416?6=9rB88i5rng417?6=9rB88i5rng410?6=9rB88i5rng411?6=9rB88i5rng412?6=9rB88i5rng413?6=9rB88i5rng41<?6=9rB88i5rng41=?6=9rB88i5rng41e?6=9rB88i5rng41f?6=9rB88i5rng41g?6=9rB88i5rng41`?6=9rB88i5rng41a?6=9rB88i5rng41b?6=9rB88i5rng404?6=9rB88i5rng405?6=9rB88i5rng406?6=9rB88i5rng407?6=9rB88i5rng400?6=9rB88i5rng401?6=9rB88i5rng402?6=9rB88i5rng403?6=9rB88i5rng40<?6=9rB88i5rng40=?6=9rB88i5rng40e?6=9rB88i5rng40f?6=9rB88i5rng40g?6=9rB88i5rng40`?6=9rB88i5rng40a?6=9rB88i5rng40b?6=9rB88i5rng474?6=9rB88i5rng475?6=9rB88i5rng476?6=9rB88i5rng477?6=9rB88i5rng470?6=9rB88i5rng471?6=9rB88i5rng472?6=9rB88i5rng473?6=9rB88i5rng47<?6=9rB88i5rng47=?6=9rB88i5rng47e?6=9rB88i5rng47f?6=9rB88i5rng47g?6=9rB88i5rng47`?6=9rB88i5rng47a?6=9rB88i5rng47b?6=9rB88i5rng464?6=9rB88i5rng465?6=9rB88i5rng466?6=9rB88i5rng467?6=9rB88i5rng460?6=9rB88i5rng461?6=9rB88i5rng462?6=9rB88i5rng463?6=9rB88i5rng46<?6=9rB88i5rng46=?6=9rB88i5rng46e?6=9rB88i5rng46f?6=9rB88i5rng46g?6=9rB88i5rng46`?6=9rB88i5rng46a?6=9rB88i5rng46b?6=9rB88i5rng454?6=9rB88i5rng455?6=9rB88i5rng456?6=9rB88i5rng457?6=9rB88i5rng450?6=9rB88i5rng451?6=9rB88i5rng452?6=9rB88i5rng453?6=9rB88i5rng45<?6=9rB88i5rng45=?6=9rB88i5rng45e?6=9rB88i5rng45f?6=9rB88i5rng45g?6=9rB88i5rng45`?6=9rB88i5rng45a?6=9rB88i5rng45b?6=9rB88i5rng444?6=9rB88i5rng445?6=9rB88i5rng446?6=9rB88i5rng447?6=9rB88i5rng440?6=9rB88i5rng441?6=9rB88i5rng442?6=9rB88i5rng443?6=9rB88i5rng44<?6=9rB88i5rng44=?6=9rB88i5rng44e?6=9rB88i5rng44f?6=9rB88i5rng44g?6=9rB88i5rng44`?6=9rB88i5rng44a?6=9rB88i5rng44b?6=9rB88i5rng4;4?6=9rB88i5rng4;5?6=9rB88i5rng4;6?6=9rB88i5rng4;7?6=9rB88i5rng4;0?6=9rB88i5rng4;1?6=9rB88i5rng4;2?6=9rB88i5rng4;3?6=9rB88i5rng4;<?6=9rB88i5rng4;=?6=9rB88i5rng4;e?6=9rB88i5rng4;f?6=9rB88i5rng4;g?6=9rB88i5rng4;`?6=9rB88i5rng4;a?6=9rB88i5rng4;b?6=9rB88i5rng4:4?6=9rB88i5rng4:5?6=9rB88i5rng4:6?6=9rB88i5rng4:7?6=9rB88i5rng4:0?6=9rB88i5rng4:1?6=9rB88i5rng4:2?6=9rB88i5rng4:3?6=9rB88i5rng4:<?6=9rB88i5rng4:=?6=9rB88i5rng4:e?6=9rB88i5rng4:f?6=9rB88i5rng4:g?6=9rB88i5rng4:`?6=9rB88i5rng4:a?6=9rB88i5rng4:b?6=9rB88i5rng4b4?6=9rB88i5rng4b5?6=9rB88i5rng4b6?6=9rB88i5rng4b7?6=9rB88i5rng4b0?6=9rB88i5rng4b1?6=9rB88i5rng4b2?6=9rB88i5rng4b3?6=9rB88i5rng4b<?6=9rB88i5rng4b=?6=9rB88i5rng4be?6=9rB88i5rng4bf?6=9rB88i5rng4bg?6=9rB88i5rng4b`?6=9rB88i5rng4ba?6=9rB88i5rng4bb?6=9rB88i5rng4a4?6=9rB88i5rng4a5?6=9rB88i5rng4a6?6=9rB88i5rng4a7?6=9rB88i5rng4a0?6=9rB88i5rng4a1?6=9rB88i5rng4a2?6=9rB88i5rng4a3?6=9rB88i5rng4a<?6=9rB88i5rng4a=?6=9rB88i5rng4ae?6=9rB88i5rng4af?6=9rB88i5rng4ag?6=9rB88i5rng4a`?6=9rB88i5rng4aa?6=9rB88i5rng4ab?6=9rB88i5rng4`4?6=9rB88i5rng4`5?6=9rB88i5rng4`6?6=9rB88i5rng4`7?6=9rB88i5rng4`0?6=9rB88i5rng4`1?6=9rB88i5rng4`2?6=9rB88i5rng4`3?6=9rB88i5rng4`<?6=9rB88i5rng4`=?6=9rB88i5rng4`e?6=9rB88i5rng4`f?6=9rB88i5rng4`g?6=9rB88i5rng4``?6=9rB88i5rng4`a?6=9rB88i5rng4`b?6=9rB88i5rng4g4?6=9rB88i5rng4g5?6=9rB88i5rng4g6?6=9rB88i5rng4g7?6=9rB88i5rng4g0?6=9rB88i5rng4g1?6=9rB88i5rng4g2?6=9rB88i5rng4g3?6=9rB88i5rng4g<?6=9rB88i5rng4g=?6=9rB88i5rng4ge?6=9rB88i5rng4gf?6=9rB88i5rng4gg?6=9rB88i5rng4g`?6=9rB88i5rng4ga?6=9rB88i5rng4gb?6=9rB88i5rng4f4?6=9rB88i5rng4f5?6=9rB88i5rng4f6?6=9rB88i5rng4f7?6=9rB88i5rng4f0?6=9rB88i5rng4f1?6=9rB88i5rng4f2?6=9rB88i5rng4f3?6=9rB88i5rng4f<?6=9rB88i5rng4f=?6=9rB88i5rng4fe?6=9rB88i5rng4ff?6=9rB88i5rng4fg?6=9rB88i5rng4f`?6=9rB88i5rng4fa?6=9rB88i5rng4fb?6=9rB88i5rng4e4?6=9rB88i5rng4e5?6=9rB88i5rng4e6?6=9rB88i5rng4e7?6=9rB88i5rng4e0?6=9rB88i5rng4e1?6=9rB88i5rng4e2?6=9rB88i5rng4e3?6=9rB88i5rng4e<?6=9rB88i5rng4e=?6=9rB88i5rng4ee?6=9rB88i5rng4ef?6=9rB88i5rng4eg?6=9rB88i5rng4e`?6=9rB88i5rng4ea?6=9rB88i5rng4eb?6=9rB88i5rng534?6=9rB88i5rng535?6=9rB88i5rng536?6=9rB88i5rng537?6=9rB88i5rng530?6=9rB88i5rng531?6=9rB88i5rng532?6=9rB88i5rng533?6=9rB88i5rng53<?6=9rB88i5rng53=?6=9rB88i5rng53e?6=9rB88i5rng53f?6=9rB88i5rng53g?6=9rB88i5rng53`?6=9rB88i5rng53a?6=9rB88i5rng53b?6=9rB88i5rng524?6=9rB88i5rng525?6=9rB88i5rng526?6=9rB88i5rng527?6=9rB88i5rng520?6=9rB88i5rng521?6=9rB88i5rng522?6=9rB88i5rng523?6=9rB88i5rng52<?6=9rB88i5rng52=?6=9rB88i5rng52e?6=9rB88i5rng52f?6=9rB88i5rng52g?6=9rB88i5rng52`?6=9rB88i5rng52a?6=9rB88i5rng52b?6=9rB88i5rng514?6=9rB88i5rng515?6=9rB88i5rng516?6=9rB88i5rng517?6=9rB88i5rng510?6=9rB88i5rng511?6=9rB88i5rng512?6=9rB88i5rng513?6=9rB88i5rng51<?6=9rB88i5rng51=?6=9rB88i5rng51e?6=9rB88i5rng51f?6=9rB88i5rng51g?6=9rB88i5rng51`?6=9rB88i5rng51a?6=9rB88i5rng51b?6=9rB88i5rng504?6=9rB88i5rng505?6=9rB88i5rng506?6=9rB88i5rng507?6=9rB88i5rng500?6=9rB88i5rng501?6=9rB88i5rng502?6=9rB88i5rng503?6=9rB88i5rng50<?6=9rB88i5rng50=?6=9rB88i5rng50e?6=9rB88i5rng50f?6=9rB88i5rng50g?6=9rB88i5rng50`?6=9rB88i5rng50a?6=9rB88i5rng50b?6=9rB88i5rng574?6=9rB88i5rng575?6=9rB88i5rng576?6=9rB88i5rng577?6=9rB88i5rng570?6=9rB88i5rng571?6=9rB88i5rng572?6=9rB88i5rng573?6=9rB88i5rng57<?6=9rB88i5rng57=?6=9rB88i5rng57e?6=9rB88i5rng57f?6=9rB88i5rng57g?6=9rB88i5rng57`?6=9rB88i5rng57a?6=9rB88i5rng57b?6=9rB88i5rng564?6=9rB88i5rng565?6=9rB88i5rng566?6=9rB88i5rng567?6=9rB88i5rng560?6=9rB88i5rng561?6=9rB88i5rng562?6=9rB88i5rng563?6=9rB88i5rng56<?6=9rB88i5rng56=?6=9rB88i5rng56e?6=9rB88i5rng56f?6=9rB88i5rng56g?6=9rB88i5rng56`?6=9rB88i5rng56a?6=9rB88i5rng56b?6=9rB88i5rng554?6=9rB88i5rng555?6=9rB88i5rng556?6=9rB88i5rng557?6=9rB88i5rng550?6=9rB88i5rng551?6=9rB88i5rng552?6=9rB88i5rng553?6=9rB88i5rng55<?6=9rB88i5rng55=?6=9rB88i5rng55e?6=9rB88i5rng55f?6=9rB88i5rng55g?6=9rB88i5rng55`?6=9rB88i5rng55a?6=9rB88i5rng55b?6=9rB88i5rng544?6=9rB88i5rng545?6=9rB88i5rng546?6=9rB88i5rng547?6=9rB88i5rng540?6=9rB88i5rng541?6=9rB88i5rng542?6=9rB88i5rng543?6=9rB88i5rng54<?6=9rB88i5rng54=?6=9rB88i5rng54e?6=9rB88i5rng54f?6=9rB88i5rng54g?6=9rB88i5rng54`?6=9rB88i5rng54a?6=9rB88i5rng54b?6=9rB88i5rng5;4?6=9rB88i5rng5;5?6=9rB88i5rng5;6?6=9rB88i5rng5;7?6=9rB88i5rng5;0?6=9rB88i5rng5;1?6=9rB88i5rng5;2?6=9rB88i5rng5;3?6=9rB88i5rng5;<?6=9rB88i5rng5;=?6=9rB88i5rng5;e?6=9rB88i5rng5;f?6=9rB88i5rng5;g?6=9rB88i5rng5;`?6=9rB88i5rng5;a?6=9rB88i5rng5;b?6=9rB88i5rng5:4?6=9rB88i5rng5:5?6=9rB88i5rng5:6?6=9rB88i5rng5:7?6=9rB88i5rng5:0?6=9rB88i5rng5:1?6=9rB88i5rng5:2?6=9rB88i5rng5:3?6=9rB88i5rng5:<?6=9rB88i5rng5:=?6=9rB88i5rng5:e?6=9rB88i5rng5:f?6=9rB88i5rng5:g?6=9rB88i5rng5:`?6=9rB88i5rng5:a?6=9rB88i5rng5:b?6=9rB88i5rng5b4?6=9rB88i5rng5b5?6=9rB88i5rng5b6?6=9rB88i5rng5b7?6=9rB88i5rng5b0?6=9rB88i5rng5b1?6=9rB88i5rng5b2?6=9rB88i5rng5b3?6=9rB88i5rng5b<?6=9rB88i5rng5b=?6=9rB88i5rng5be?6=9rB88i5rng5bf?6=9rB88i5rng5bg?6=9rB88i5rng5b`?6=9rB88i5rng5ba?6=9rB88i5rng5bb?6=9rB88i5rng5a4?6=9rB88i5rng5a5?6=9rB88i5rng5a6?6=9rB88i5rng5a7?6=9rB88i5rng5a0?6=9rB88i5rng5a1?6=9rB88i5rng5a2?6=9rB88i5rng5a3?6=9rB88i5rng5a<?6=9rB88i5rng5a=?6=9rB88i5rng5ae?6=9rB88i5rng5af?6=9rB88i5rng5ag?6=9rB88i5rng5a`?6=9rB88i5rng5aa?6=9rB88i5rng5ab?6=9rB88i5rng5`4?6=9rB88i5rng5`5?6=9rB88i5rng5`6?6=9rB88i5rng5`7?6=9rB88i5rng5`0?6=9rB88i5rng5`1?6=9rB88i5rng5`2?6=9rB88i5rng5`3?6=9rB88i5rng5`<?6=9rB88i5rng5`=?6=9rB88i5rng5`e?6=9rB88i5rng5`f?6=9rB88i5rng5`g?6=9rB88i5rng5``?6=9rB88i5rng5`a?6=9rB88i5rng5`b?6=9rB88i5rng5g4?6=9rB88i5rng5g5?6=9rB88i5rng5g6?6=9rB88i5rng5g7?6=9rB88i5rng5g0?6=9rB88i5rng5g1?6=9rB88i5rng5g2?6=9rB88i5rng5g3?6=9rB88i5rng5g<?6=9rB88i5rng5g=?6=9rB88i5rng5ge?6=9rB88i5rng5gf?6=9rB88i5rng5gg?6=9rB88i5rng5g`?6=9rB88i5rng5ga?6=9rB88i5rng5gb?6=9rB88i5rng5f4?6=9rB88i5rng5f5?6=9rB88i5rng5f6?6=9rB88i5rng5f7?6=9rB88i5rng5f0?6=9rB88i5rng5f1?6=9rB88i5rng5f2?6=9rB88i5rng5f3?6=9rB88i5rng5f<?6=9rB88i5rng5f=?6=9rB88i5rng5fe?6=9rB88i5rng5ff?6=9rB88i5rng5fg?6=9rB88i5rng5f`?6=9rB88i5rng5fa?6=9rB88i5rng5fb?6=9rB88i5rng5e4?6=9rB88i5rng5e5?6=9rB88i5rng5e6?6=9rB88i5rng5e7?6=9rB88i5rng5e0?6=9rB88i5rng5e1?6=9rB88i5rng5e2?6=9rB88i5rng5e3?6=9rB88i5rng5e<?6=9rB88i5rng5e=?6=9rB88i5rng5ee?6=9rB88i5rng5ef?6=9rB88i5rng5eg?6=9rB88i5rng5e`?6=9rB88i5rng5ea?6=9rB88i5rng5eb?6=9rB88i5rng:34?6=9rB88i5rng:35?6=9rB88i5rng:36?6=9rB88i5rng:37?6=9rB88i5rng:30?6=9rB88i5rng:31?6=9rB88i5rng:32?6=9rB88i5rng:33?6=9rB88i5rng:3<?6=9rB88i5rng:3=?6=9rB88i5rng:3e?6=9rB88i5rng:3f?6=9rB88i5rng:3g?6=9rB88i5rng:3`?6=9rB88i5rng:3a?6=9rB88i5rng:3b?6=9rB88i5rng:24?6=9rB88i5rng:25?6=9rB88i5rng:26?6=9rB88i5rng:27?6=9rB88i5rng:20?6=9rB88i5rng:21?6=9rB88i5rng:22?6=9rB88i5rng:23?6=9rB88i5rng:2<?6=9rB88i5rng:2=?6=9rB88i5rng:2e?6=9rB88i5rng:2f?6=9rB88i5rng:2g?6=9rB88i5rng:2`?6=9rB88i5rng:2a?6=9rB88i5rng:2b?6=9rB88i5rng:14?6=9rB88i5rng:15?6=9rB88i5rng:16?6=9rB88i5rng:17?6=9rB88i5rng:10?6=9rB88i5rng:11?6=9rB88i5rng:12?6=9rB88i5rng:13?6=9rB88i5rng:1<?6=9rB88i5rng:1=?6=9rB88i5rng:1e?6=9rB88i5rng:1f?6=9rB88i5rng:1g?6=9rB88i5rng:1`?6=9rB88i5rng:1a?6=9rB88i5rng:1b?6=9rB88i5rng:04?6=9rB88i5rng:05?6=9rB88i5rng:06?6=9rB88i5rng:07?6=9rB88i5rng:00?6=9rB88i5rng:01?6=9rB88i5rng:02?6=9rB88i5rng:03?6=9rB88i5rng:0<?6=9rB88i5rng:0=?6=9rB88i5rng:0e?6=9rB88i5rng:0f?6=9rB88i5rng:0g?6=9rB88i5rng:0`?6=9rB88i5rng:0a?6=9rB88i5rng:0b?6=9rB88i5rng:74?6=9rB88i5rng:75?6=9rB88i5rng:76?6=9rB88i5rng:77?6=9rB88i5rng:70?6=9rB88i5rng:71?6=9rB88i5rng:72?6=9rB88i5rng:73?6=9rB88i5rng:7<?6=9rB88i5rng:7=?6=9rB88i5rng:7e?6=9rB88i5rng:7f?6=9rB88i5rng:7g?6=9rB88i5rng:7`?6=9rB88i5rng:7a?6=9rB88i5rng:7b?6=9rB88i5rng:64?6=9rB88i5rng:65?6=9rB88i5rng:66?6=9rB88i5rng:67?6=9rB88i5rng:60?6=9rB88i5rng:61?6=9rB88i5rng:62?6=9rB88i5rng:63?6=9rB88i5rng:6<?6=9rB88i5rng:6=?6=9rB88i5rng:6e?6=9rB88i5rng:6f?6=9rB88i5rng:6g?6=9rB88i5rng:6`?6=9rB88i5rng:6a?6=9rB88i5rng:6b?6=9rB88i5rng:54?6=9rB88i5rng:55?6=9rB88i5rng:56?6=9rB88i5rng:57?6=9rB88i5rng:50?6=9rB88i5rng:51?6=9rB88i5rng:52?6=9rB88i5rng:53?6=9rB88i5rng:5<?6=9rB88i5rng:5=?6=9rB88i5rng:5e?6=9rB88i5rng:5f?6=9rB88i5rng:5g?6=9rB88i5rng:5`?6=9rB88i5rng:5a?6=9rB88i5rng:5b?6=9rB88i5rng:44?6=9rB88i5rng:45?6=9rB88i5rng:46?6=9rB88i5rng:47?6=9rB88i5rng:40?6=9rB88i5rng:41?6=9rB88i5rng:42?6=9rB88i5rng:43?6=9rB88i5rng:4<?6=9rB88i5rng:4=?6=9rB88i5rng:4e?6=9rB88i5rng:4f?6=9rB88i5rng:4g?6=9rB88i5rng:4`?6=9rB88i5rng:4a?6=9rB88i5rng:4b?6=9rB88i5rng:;4?6=9rB88i5rng:;5?6=9rB88i5rng:;6?6=9rB88i5rng:;7?6=9rB88i5rng:;0?6=9rB88i5rng:;1?6=9rB88i5rng:;2?6=9rB88i5rng:;3?6=9rB88i5rng:;<?6=9rB88i5rng:;=?6=9rB88i5rng:;e?6=9rB88i5rng:;f?6=9rB88i5rng:;g?6=9rB88i5rng:;`?6=9rB88i5rng:;a?6=9rB88i5rng:;b?6=9rB88i5rng::4?6=9rB88i5rng::5?6=9rB88i5rng::6?6=9rB88i5rng::7?6=9rB88i5rng::0?6=9rB88i5rng::1?6=9rB88i5rng::2?6=9rB88i5rng::3?6=9rB88i5rng::<?6=9rB88i5rng::=?6=9rB88i5rng::e?6=9rB88i5rng::f?6=9rB88i5rng::g?6=9rB88i5rng::`?6=9rB88i5rng::a?6=9rB88i5rng::b?6=9rB88i5rng:b4?6=9rB88i5rng:b5?6=9rB88i5rng:b6?6=9rB88i5rng:b7?6=9rB88i5rng:b0?6=9rB88i5rng:b1?6=9rB88i5rng:b2?6=9rB88i5rng:b3?6=9rB88i5rng:b<?6=9rB88i5rng:b=?6=9rB88i5rng:be?6=9rB88i5rng:bf?6=9rB88i5rng:bg?6=9rB88i5rng:b`?6=9rB88i5rng:ba?6=9rB88i5rng:bb?6=9rB88i5rng:a4?6=9rB88i5rng:a5?6=9rB88i5rng:a6?6=9rB88i5rng:a7?6=9rB88i5rng:a0?6=9rB88i5rng:a1?6=9rB88i5rng:a2?6=9rB88i5rng:a3?6=9rB88i5rng:a<?6=9rB88i5rng:a=?6=9rB88i5rng:ae?6=9rB88i5rng:af?6=9rB88i5rng:ag?6=9rB88i5rng:a`?6=9rB88i5rng:aa?6=9rB88i5rng:ab?6=9rB88i5rng:`4?6=9rB88i5rng:`5?6=9rB88i5rng:`6?6=9rB88i5rng:`7?6=9rB88i5rng:`0?6=9rB88i5rng:`1?6=9rB88i5rng:`2?6=9rB88i5rng:`3?6=9rB88i5rng:`<?6=9rB88i5rng:`=?6=9rB88i5rng:`e?6=9rB88i5rng:`f?6=9rB88i5rng:`g?6=9rB88i5rng:``?6=9rB88i5rng:`a?6=9rB88i5rng:`b?6=9rB88i5rng:g4?6=9rB88i5rng:g5?6=9rB88i5rng:g6?6=9rB88i5rng:g7?6=9rB88i5rng:g0?6=9rB88i5rng:g1?6=9rB88i5rng:g2?6=9rB88i5rng:g3?6=9rB88i5rng:g<?6=9rB88i5rng:g=?6=9rB88i5rng:ge?6=9rB88i5rng:gf?6=9rB88i5rng:gg?6=9rB88i5rng:g`?6=9rB88i5rng:ga?6=9rB88i5rng:gb?6=9rB88i5rng:f4?6=9rB88i5rng:f5?6=9rB88i5rng:f6?6=9rB88i5rng:f7?6=9rB88i5rng:f0?6=9rB88i5rng:f1?6=9rB88i5rng:f2?6=9rB88i5rng:f3?6=9rB88i5rng:f<?6=9rB88i5rng:f=?6=9rB88i5rng:fe?6=9rB88i5rng:ff?6=9rB88i5rng:fg?6=9rB88i5rng:f`?6=9rB88i5rng:fa?6=9rB88i5rng:fb?6=9rB88i5rng:e4?6=9rB88i5rng:e5?6=9rB88i5rng:e6?6=9rB88i5rng:e7?6=9rB88i5rng:e0?6=9rB88i5rng:e1?6=9rB88i5rng:e2?6=9rB88i5rng:e3?6=9rB88i5rng:e<?6=9rB88i5rng:e=?6=9rB88i5rng:ee?6=9rB88i5rng:ef?6=9rB88i5rng:eg?6=9rB88i5rng:e`?6=9rB88i5rng:ea?6=9rB88i5rng:eb?6=9rB88i5rng;34?6=9rB88i5rng;35?6=9rB88i5rng;36?6=9rB88i5rng;37?6=9rB88i5rng;30?6=9rB88i5rng;31?6=9rB88i5rng;32?6=9rB88i5rng;33?6=9rB88i5rng;3<?6=9rB88i5rng;3=?6=9rB88i5rng;3e?6=9rB88i5rng;3f?6=9rB88i5rng;3g?6=9rB88i5rng;3`?6=9rB88i5rng;3a?6=9rB88i5rng;3b?6=9rB88i5rng;24?6=9rB88i5rng;25?6=9rB88i5rng;26?6=9rB88i5rng;27?6=9rB88i5rng;20?6=9rB88i5rng;21?6=9rB88i5rng;22?6=9rB88i5rng;23?6=9rB88i5rng;2<?6=9rB88i5rng;2=?6=9rB88i5rng;2e?6=9rB88i5rng;2f?6=9rB88i5rng;2g?6=9rB88i5rng;2`?6=9rB88i5rng;2a?6=9rB88i5rng;2b?6=9rB88i5rng;14?6=9rB88i5rng;15?6=9rB88i5rng;16?6=9rB88i5rng;17?6=9rB88i5rng;10?6=9rB88i5rng;11?6=9rB88i5rng;12?6=9rB88i5rng;13?6=9rB88i5rng;1<?6=9rB88i5rng;1=?6=9rB88i5rng;1e?6=9rB88i5rng;1f?6=9rB88i5rng;1g?6=9rB88i5rng;1`?6=9rB88i5rng;1a?6=9rB88i5rng;1b?6=9rB88i5rng;04?6=9rB88i5rng;05?6=9rB88i5rng;06?6=9rB88i5rng;07?6=9rB88i5rng;00?6=9rB88i5rng;01?6=9rB88i5rng;02?6=9rB88i5rng;03?6=9rB88i5rng;0<?6=9rB88i5rng;0=?6=9rB88i5rng;0e?6=9rB88i5rng;0f?6=9rB88i5rng;0g?6=9rB88i5rng;0`?6=9rB88i5rng;0a?6=9rB88i5rng;0b?6=9rB88i5rng;74?6=9rB88i5rng;75?6=9rB88i5rng;76?6=9rB88i5rng;77?6=9rB88i5rng;70?6=9rB88i5rng;71?6=9rB88i5rng;72?6=9rB88i5rng;73?6=9rB88i5rng;7<?6=9rB88i5rng;7=?6=9rB88i5rng;7e?6=9rB88i5rng;7f?6=9rB88i5rng;7g?6=9rB88i5rng;7`?6=9rB88i5rng;7a?6=9rB88i5rng;7b?6=9rB88i5rng;64?6=9rB88i5rng;65?6=9rB88i5rng;66?6=9rB88i5rng;67?6=9rB88i5rng;60?6=9rB88i5rng;61?6=9rB88i5rng;62?6=9rB88i5rng;63?6=9rB88i5rng;6<?6=9rB88i5rng;6=?6=9rB88i5rng;6e?6=9rB88i5rng;6f?6=9rB88i5rng;6g?6=9rB88i5rng;6`?6=9rB88i5rng;6a?6=9rB88i5rng;6b?6=9rB88i5rng;54?6=9rB88i5rng;55?6=9rB88i5rng;56?6=9rB88i5rng;57?6=9rB88i5rng;50?6=9rB88i5rng;51?6=9rB88i5rng;52?6=9rB88i5rng;53?6=9rB88i5rng;5<?6=9rB88i5rng;5=?6=9rB88i5rng;5e?6=9rB88i5rng;5f?6=9rB88i5rng;5g?6=9rB88i5rng;5`?6=9rB88i5rng;5a?6=9rB88i5rng;5b?6=9rB88i5rng;44?6=9rB88i5rng;45?6=9rB88i5rng;46?6=9rB88i5rng;47?6=9rB88i5rng;40?6=9rB88i5rng;41?6=9rB88i5rng;42?6=9rB88i5rng;43?6=9rB88i5rng;4<?6=9rB88i5rng;4=?6=9rB88i5rng;4e?6=9rB88i5rng;4f?6=9rB88i5rng;4g?6=9rB88i5rng;4`?6=9rB88i5rng;4a?6=9rB88i5rng;4b?6=9rB88i5rng;;4?6=9rB88i5rng;;5?6=9rB88i5rng;;6?6=9rB88i5rng;;7?6=9rB88i5rng;;0?6=9rB88i5rng;;1?6=9rB88i5rng;;2?6=9rB88i5rng;;3?6=9rB88i5rng;;<?6=9rB88i5rng;;=?6=9rB88i5rng;;e?6=9rB88i5rng;;f?6=9rB88i5rng;;g?6=9rB88i5rng;;`?6=9rB88i5rng;;a?6=9rB88i5rng;;b?6=9rB88i5rng;:4?6=9rB88i5rng;:5?6=9rB88i5rng;:6?6=9rB88i5rng;:7?6=9rB88i5rng;:0?6=9rB88i5rng;:1?6=9rB88i5rng;:2?6=9rB88i5rng;:3?6=9rB88i5rng;:<?6=9rB88i5rng;:=?6=9rB88i5rng;:e?6=9rB88i5rng;:f?6=9rB88i5rng;:g?6=9rB88i5rng;:`?6=9rB88i5rng;:a?6=9rB88i5rng;:b?6=9rB88i5rng;b4?6=9rB88i5rng;b5?6=9rB88i5rng;b6?6=9rB88i5rng;b7?6=9rB88i5rng;b0?6=9rB88i5rng;b1?6=9rB88i5rng;b2?6=9rB88i5rng;b3?6=9rB88i5rng;b<?6=9rB88i5rng;b=?6=9rB88i5rng;be?6=9rB88i5rng;bf?6=9rB88i5rng;bg?6=9rB88i5rng;b`?6=9rB88i5rng;ba?6=9rB88i5rng;bb?6=9rB88i5rng;a4?6=9rB88i5rng;a5?6=9rB88i5rng;a6?6=9rB88i5rng;a7?6=9rB88i5rng;a0?6=9rB88i5rng;a1?6=9rB88i5rng;a2?6=9rB88i5rng;a3?6=9rB88i5rng;a<?6=9rB88i5rng;a=?6=9rB88i5rng;ae?6=9rB88i5rng;af?6=9rB88i5rng;ag?6=9rB88i5rng;a`?6=9rB88i5rng;aa?6=9rB88i5rng;ab?6=9rB88i5rng;`4?6=9rB88i5rng;`5?6=9rB88i5rng;`6?6=9rB88i5rng;`7?6=9rB88i5rng;`0?6=9rB88i5rng;`1?6=9rB88i5rng;`2?6=9rB88i5rng;`3?6=9rB88i5rng;`<?6=9rB88i5rng;`=?6=9rB88i5rng;`e?6=9rB88i5rng;`f?6=9rB88i5rng;`g?6=9rB88i5rng;``?6=9rB88i5rng;`a?6=9rB88i5rng;`b?6=9rB88i5rng;g4?6=9rB88i5rng;g5?6=9rB88i5rng;g6?6=9rB88i5rng;g7?6=9rB88i5rng;g0?6=9rB88i5rng;g1?6=9rB88i5rng;g2?6=9rB88i5rng;g3?6=9rB88i5rng;g<?6=9rB88i5rng;g=?6=9rB88i5rng;ge?6=9rB88i5rng;gf?6=9rB88i5rng;gg?6=9rB88i5rng;g`?6=9rB88i5rng;ga?6=9rB88i5rng;gb?6=9rB88i5rng;f4?6=9rB88i5rng;f5?6=9rB88i5rng;f6?6=9rB88i5rng;f7?6=9rB88i5rng;f0?6=9rB88i5rng;f1?6=9rB88i5rng;f2?6=9rB88i5rng;f3?6=9rB88i5rng;f<?6=9rB88i5rng;f=?6=9rB88i5rng;fe?6=9rB88i5rng;ff?6=9rB88i5rng;fg?6=9rB88i5rng;f`?6=9rB88i5rng;fa?6=9rB88i5rng;fb?6=9rB88i5rng;e4?6=9rB88i5rng;e5?6=9rB88i5rng;e6?6=9rB88i5rng;e7?6=9rB88i5rng;e0?6=9rB88i5rng;e1?6=9rB88i5rng;e2?6=9rB88i5rng;e3?6=9rB88i5rng;e<?6=9rB88i5rng;e=?6=9rB88i5rng;ee?6=9rB88i5rng;ef?6=9rB88i5rng;eg?6=9rB88i5rng;e`?6=9rB88i5rng;ea?6=9rB88i5rng;eb?6=9rB88i5rngc34?6=9rB88i5rngc35?6=9rB88i5rngc36?6=9rB88i5rngc37?6=9rB88i5rngc30?6=9rB88i5rngc31?6=9rB88i5rngc32?6=9rB88i5rngc33?6=9rB88i5rngc3<?6=9rB88i5rngc3=?6=9rB88i5rngc3e?6=9rB88i5rngc3f?6=9rB88i5rngc3g?6=9rB88i5rngc3`?6=9rB88i5rngc3a?6=9rB88i5rngc3b?6=9rB88i5rngc24?6=9rB88i5rngc25?6=9rB88i5rngc26?6=9rB88i5rngc27?6=9rB88i5rngc20?6=9rB88i5rngc21?6=9rB88i5rngc22?6=9rB88i5rngc23?6=9rB88i5rngc2<?6=9rB88i5rngc2=?6=9rB88i5rngc2e?6=9rB88i5rngc2f?6=9rB88i5rngc2g?6=9rB88i5rngc2`?6=9rB88i5rngc2a?6=9rB88i5rngc2b?6=9rB88i5rngc14?6=9rB88i5rngc15?6=9rB88i5rngc16?6=9rB88i5rngc17?6=9rB88i5rngc10?6=9rB88i5rngc11?6=9rB88i5rngc12?6=9rB88i5rngc13?6=9rB88i5rngc1<?6=9rB88i5rngc1=?6=9rB88i5rngc1e?6=9rB88i5rngc1f?6=9rB88i5rngc1g?6=9rB88i5rngc1`?6=9rB88i5rngc1a?6=9rB88i5rngc1b?6=9rB88i5rngc04?6=9rB88i5rngc05?6=9rB88i5rngc06?6=9rB88i5rngc07?6=9rB88i5rngc00?6=9rB88i5rngc01?6=9rB88i5rngc02?6=9rB88i5rngc03?6=9rB88i5rngc0<?6=9rB88i5rngc0=?6=9rB88i5rngc0e?6=9rB88i5rngc0f?6=9rB88i5rngc0g?6=9rB88i5rngc0`?6=9rB88i5rngc0a?6=9rB88i5rngc0b?6=9rB88i5rngc74?6=9rB88i5rngc75?6=9rB88i5rngc76?6=9rB88i5rngc77?6=9rB88i5rngc70?6=9rB88i5rngc71?6=9rB88i5rngc72?6=9rB88i5rngc73?6=9rB88i5rngc7<?6=9rB88i5rngc7=?6=9rB88i5rngc7e?6=9rB88i5rngc7f?6=9rB88i5rngc7g?6=9rB88i5rngc7`?6=9rB88i5rngc7a?6=9rB88i5rngc7b?6=9rB88i5rngc64?6=9rB88i5rngc65?6=9rB88i5rngc66?6=9rB88i5rngc67?6=9rB88i5rngc60?6=9rB88i5rngc61?6=9rB88i5rngc62?6=9rB88i5rngc63?6=9rB88i5rngc6<?6=9rB88i5rngc6=?6=9rB88i5rngc6e?6=9rB88i5rngc6f?6=9rB88i5rngc6g?6=9rB88i5rngc6`?6=9rB88i5rngc6a?6=9rB88i5rngc6b?6=9rB88i5rngc54?6=9rB88i5rngc55?6=9rB88i5rngc56?6=9rB88i5rngc57?6=9rB88i5rngc50?6=9rB88i5rngc51?6=9rB88i5rngc52?6=9rB88i5rngc53?6=9rB88i5rngc5<?6=9rB88i5rngc5=?6=9rB88i5rngc5e?6=9rB88i5rngc5f?6=9rB88i5rngc5g?6=9rB88i5rngc5`?6=9rB88i5rngc5a?6=9rB88i5rngc5b?6=9rB88i5rngc44?6=9rB88i5rngc45?6=9rB88i5rngc46?6=9rB88i5rngc47?6=9rB88i5rngc40?6=9rB88i5rngc41?6=9rB88i5rngc42?6=9rB88i5rngc43?6=9rB88i5rngc4<?6=9rB88i5rngc4=?6=9rB88i5rngc4e?6=9rB88i5rngc4f?6=9rB88i5rngc4g?6=9rB88i5rngc4`?6=9rB88i5rngc4a?6=9rB88i5rngc4b?6=9rB88i5rngc;4?6=9rB88i5rngc;5?6=9rB88i5rngc;6?6=9rB88i5rngc;7?6=9rB88i5rngc;0?6=9rB88i5rngc;1?6=9rB88i5rngc;2?6=9rB88i5rngc;3?6=9rB88i5rngc;<?6=9rB88i5rngc;=?6=9rB88i5rngc;e?6=9rB88i5rngc;f?6=9rB88i5rngc;g?6=9rB88i5rngc;`?6=9rB88i5rngc;a?6=9rB88i5rngc;b?6=9rB88i5rngc:4?6=9rB88i5rngc:5?6=9rB88i5rngc:6?6=9rB88i5rngc:7?6=9rB88i5rngc:0?6=9rB88i5rngc:1?6=9rB88i5rngc:2?6=9rB88i5rngc:3?6=9rB88i5rngc:<?6=9rB88i5rngc:=?6=9rB88i5rngc:e?6=9rB88i5rngc:f?6=9rB88i5rngc:g?6=9rB88i5rngc:`?6=9rB88i5rngc:a?6=9rB88i5rngc:b?6=9rB88i5rngcb4?6=9rB88i5rngcb5?6=9rB88i5rngcb6?6=9rB88i5rngcb7?6=9rB88i5rngcb0?6=9rB88i5rngcb1?6=9rB88i5rngcb2?6=9rB88i5rngcb3?6=9rB88i5rngcb<?6=9rB88i5rngcb=?6=9rB88i5rngcbe?6=9rB88i5rngcbf?6=9rB88i5rngcbg?6=9rB88i5rngcb`?6=9rB88i5rngcba?6=9rB88i5rngcbb?6=9rB88i5rngca4?6=9rB88i5rngca5?6=9rB88i5rngca6?6=9rB88i5rngca7?6=9rB88i5rngca0?6=9rB88i5rngca1?6=9rB88i5rngca2?6=9rB88i5rngca3?6=9rB88i5rngca<?6=9rB88i5rngca=?6=9rB88i5rngcae?6=9rB88i5rngcaf?6=9rB88i5rngcag?6=9rB88i5rngca`?6=9rB88i5rngcaa?6=9rB88i5rngcab?6=9rB88i5rngc`4?6=9rB88i5rngc`5?6=9rB88i5rngc`6?6=9rB88i5rngc`7?6=9rB88i5rngc`0?6=9rB88i5rngc`1?6=9rB88i5rngc`2?6=9rB88i5rngc`3?6=9rB88i5rngc`<?6=9rB88i5rngc`=?6=9rB88i5rngc`e?6=9rB88i5rngc`f?6=9rB88i5rngc`g?6=9rB88i5rngc``?6=9rB88i5rngc`a?6=9rB88i5rngc`b?6=9rB88i5rngcg4?6=9rB88i5rngcg5?6=9rB88i5rngcg6?6=9rB88i5rngcg7?6=9rB88i5rngcg0?6=9rB88i5rngcg1?6=9rB88i5rngcg2?6=9rB88i5rngcg3?6=9rB88i5rngcg<?6=9rB88i5rngcg=?6=9rB88i5rngcge?6=9rB88i5rngcgf?6=9rB88i5rngcgg?6=9rB88i5rngcg`?6=9rB88i5rngcga?6=9rB88i5rngcgb?6=9rB88i5rngcf4?6=9rB88i5rngcf5?6=9rB88i5rngcf6?6=9rB88i5rngcf7?6=9rB88i5rngcf0?6=9rB88i5rngcf1?6=9rB88i5rngcf2?6=9rB88i5rngcf3?6=9rB88i5rngcf<?6=9rB88i5rngcf=?6=9rB88i5rngcfe?6=9rB88i5rngcff?6=9rB88i5rngcfg?6=9rB88i5rngcf`?6=9rB88i5rngcfa?6=9rB88i5rngcfb?6=9rB88i5rngce4?6=9rB88i5rngce5?6=9rB88i5rngce6?6=9rB88i5rngce7?6=9rB88i5rngce0?6=9rB88i5rngce1?6=9rB88i5rngce2?6=9rB88i5rngce3?6=9rB88i5rngce<?6=9rB88i5rngce=?6=9rB88i5rngcee?6=9rB88i5rngcef?6=9rB88i5rngceg?6=9rB88i5rngce`?6=9rB88i5rngcea?6=9rB88i5rngceb?6=9rB88i5rng`34?6=9rB88i5rng`35?6=9rB88i5rng`36?6=9rB88i5rng`37?6=9rB88i5rng`30?6=9rB88i5rng`31?6=9rB88i5rng`32?6=9rB88i5rng`33?6=9rB88i5rng`3<?6=9rB88i5rng`3=?6=9rB88i5rng`3e?6=9rB88i5rng`3f?6=9rB88i5rng`3g?6=9rB88i5rng`3`?6=9rB88i5rng`3a?6=9rB88i5rng`3b?6=9rB88i5rng`24?6=9rB88i5rng`25?6=9rB88i5rng`26?6=9rB88i5rng`27?6=9rB88i5rng`20?6=9rB88i5rng`21?6=9rB88i5rng`22?6=9rB88i5rng`23?6=9rB88i5rng`2<?6=9rB88i5rng`2=?6=9rB88i5rng`2e?6=9rB88i5rng`2f?6=9rB88i5rng`2g?6=9rB88i5rng`2`?6=9rB88i5rng`2a?6=9rB88i5rng`2b?6=9rB88i5rng`14?6=9rB88i5rng`15?6=9rB88i5rng`16?6=9rB88i5rng`17?6=9rB88i5rng`10?6=9rB88i5rng`11?6=9rB88i5rng`12?6=9rB88i5rng`13?6=9rB88i5rng`1<?6=9rB88i5rng`1=?6=9rB88i5rng`1e?6=9rB88i5rng`1f?6=9rB88i5rng`1g?6=9rB88i5rng`1`?6=9rB88i5rng`1a?6=9rB88i5rng`1b?6=9rB88i5rng`04?6=9rB88i5rng`05?6=9rB88i5rng`06?6=9rB88i5rng`07?6=9rB88i5rng`00?6=9rB88i5rng`01?6=9rB88i5rng`02?6=9rB88i5rng`03?6=9rB88i5rng`0<?6=9rB88i5rng`0=?6=9rB88i5rng`0e?6=9rB88i5rng`0f?6=9rB88i5rng`0g?6=9rB88i5rng`0`?6=9rB88i5rng`0a?6=9rB88i5rng`0b?6=9rB88i5rng`74?6=9rB88i5rng`75?6=9rB88i5rng`76?6=9rB88i5rng`77?6=9rB88i5rng`70?6=9rB88i5rng`71?6=9rB88i5rng`72?6=9rB88i5rng`73?6=9rB88i5rng`7<?6=9rB88i5rng`7=?6=9rB88i5rng`7e?6=9rB88i5rng`7f?6=9rB88i5rng`7g?6=9rB88i5rng`7`?6=9rB88i5rng`7a?6=9rB88i5rng`7b?6=9rB88i5rng`64?6=9rB88i5rng`65?6=9rB88i5rng`66?6=9rB88i5rng`67?6=9rB88i5rng`60?6=9rB88i5rng`61?6=9rB88i5rng`62?6=9rB88i5rng`63?6=9rB88i5rng`6<?6=9rB88i5rng`6=?6=9rB88i5rng`6e?6=9rB88i5rng`6f?6=9rB88i5rng`6g?6=9rB88i5rng`6`?6=9rB88i5rng`6a?6=9rB88i5rng`6b?6=9rB88i5rng`54?6=9rB88i5rng`55?6=9rB88i5rng`56?6=9rB88i5rng`57?6=9rB88i5rng`50?6=9rB88i5rng`51?6=9rB88i5rng`52?6=9rB88i5rng`53?6=9rB88i5rng`5<?6=9rB88i5rng`5=?6=9rB88i5rng`5e?6=9rB88i5rng`5f?6=9rB88i5rng`5g?6=9rB88i5rng`5`?6=9rB88i5rng`5a?6=9rB88i5rng`5b?6=9rB88i5rng`44?6=9rB88i5rng`45?6=9rB88i5rng`46?6=9rB88i5rng`47?6=9rB88i5rng`40?6=9rB88i5rng`41?6=9rB88i5rng`42?6=9rB88i5rng`43?6=9rB88i5rng`4<?6=9rB88i5rng`4=?6=9rB88i5rng`4e?6=9rB88i5rng`4f?6=9rB88i5rng`4g?6=9rB88i5rng`4`?6=9rB88i5rng`4a?6=9rB88i5rng`4b?6=9rB88i5rng`;4?6=9rB88i5rng`;5?6=9rB88i5rng`;6?6=9rB88i5rng`;7?6=9rB88i5rng`;0?6=9rB88i5rng`;1?6=9rB88i5rng`;2?6=9rB88i5rng`;3?6=9rB88i5rng`;<?6=9rB88i5rng`;=?6=9rB88i5rng`;e?6=9rB88i5rng`;f?6=9rB88i5rng`;g?6=9rB88i5rng`;`?6=9rB88i5rng`;a?6=9rB88i5rng`;b?6=9rB88i5rng`:4?6=9rB88i5rng`:5?6=9rB88i5rng`:6?6=9rB88i5rng`:7?6=9rB88i5rng`:0?6=9rB88i5rng`:1?6=9rB88i5rng`:2?6=9rB88i5rng`:3?6=9rB88i5rng`:<?6=9rB88i5rng`:=?6=9rB88i5rng`:e?6=9rB88i5rng`:f?6=9rB88i5rng`:g?6=9rB88i5rng`:`?6=9rB88i5rng`:a?6=9rB88i5rng`:b?6=9rB88i5rng`b4?6=9rB88i5rng`b5?6=9rB88i5rng`b6?6=9rB88i5rng`b7?6=9rB88i5rng`b0?6=9rB88i5rng`b1?6=9rB88i5rng`b2?6=9rB88i5rng`b3?6=9rB88i5rng`b<?6=9rB88i5rng`b=?6=9rB88i5rng`be?6=9rB88i5rng`bf?6=9rB88i5rng`bg?6=9rB88i5rng`b`?6=9rB88i5rng`ba?6=9rB88i5rng`bb?6=9rB88i5rng`a4?6=9rB88i5rng`a5?6=9rB88i5rng`a6?6=9rB88i5rng`a7?6=9rB88i5rng`a0?6=9rB88i5rng`a1?6=9rB88i5rng`a2?6=9rB88i5rng`a3?6=9rB88i5rng`a<?6=9rB88i5rng`a=?6=9rB88i5rng`ae?6=9rB88i5rng`af?6=9rB88i5rng`ag?6=9rB88i5rng`a`?6=9rB88i5rng`aa?6=9rB88i5rng`ab?6=9rB88i5rng``4?6=9rB88i5rng``5?6=9rB88i5rng``6?6=9rB88i5rng``7?6=9rB88i5rng``0?6=9rB88i5rng``1?6=9rB88i5rng``2?6=9rB88i5rng``3?6=9rB88i5rng``<?6=9rB88i5rng``=?6=9rB88i5rng``e?6=9rB88i5rng``f?6=9rB88i5rng``g?6=9rB88i5rng```?6=9rB88i5rng``a?6=9rB88i5rng``b?6=9rB88i5rng`g4?6=9rB88i5rng`g5?6=9rB88i5rng`g6?6=9rB88i5rng`g7?6=9rB88i5rng`g0?6=9rB88i5rng`g1?6=9rB88i5rng`g2?6=9rB88i5rng`g3?6=9rB88i5rng`g<?6=9rB88i5rng`g=?6=9rB88i5rng`ge?6=9rB88i5rng`gf?6=9rB88i5rng`gg?6=9rB88i5rng`g`?6=9rB88i5rng`ga?6=9rB88i5rng`gb?6=9rB88i5rng`f4?6=9rB88i5rng`f5?6=9rB88i5rng`f6?6=9rB88i5rng`f7?6=9rB88i5rng`f0?6=9rB88i5rng`f1?6=9rB88i5rng`f2?6=9rB88i5rng`f3?6=9rB88i5rng`f<?6=9rB88i5rng`f=?6=9rB88i5rng`fe?6=9rB88i5rng`ff?6=9rB88i5rng`fg?6=9rB88i5rng`f`?6=9rB88i5rng`fa?6=9rB88i5rng`fb?6=9rB88i5rng`e4?6=9rB88i5rng`e5?6=9rB88i5rng`e6?6=9rB88i5rng`e7?6=9rB88i5rng`e0?6=9rB88i5rng`e1?6=9rB88i5rng`e2?6=9rB88i5rng`e3?6=9rB88i5rng`e<?6=9rB88i5rng`e=?6=9rB88i5rng`ee?6=9rB88i5rng`ef?6=9rB88i5rng`eg?6=9rB88i5rng`e`?6=9rB88i5rng`ea?6=9rB88i5rng`eb?6=9rB88i5rnga34?6=9rB88i5rnga35?6=9rB88i5rnga36?6=9rB88i5rnga37?6=9rB88i5rnga30?6=9rB88i5rnga31?6=9rB88i5rnga32?6=9rB88i5rnga33?6=9rB88i5rnga3<?6=9rB88i5rnga3=?6=9rB88i5rnga3e?6=9rB88i5rnga3f?6=9rB88i5rnga3g?6=9rB88i5rnga3`?6=9rB88i5rnga3a?6=9rB88i5rnga3b?6=9rB88i5rnga24?6=9rB88i5rnga25?6=9rB88i5rnga26?6=9rB88i5rnga27?6=9rB88i5rnga20?6=9rB88i5rnga21?6=9rB88i5rnga22?6=9rB88i5rnga23?6=9rB88i5rnga2<?6=9rB88i5rnga2=?6=9rB88i5rnga2e?6=9rB88i5rnga2f?6=9rB88i5rnga2g?6=9rB88i5rnga2`?6=9rB88i5rnga2a?6=9rB88i5rnga2b?6=9rB88i5rnga14?6=9rB88i5rnga15?6=9rB88i5rnga16?6=9rB88i5rnga17?6=9rB88i5rnga10?6=9rB88i5rnga11?6=9rB88i5rnga12?6=9rB88i5rnga13?6=9rB88i5rnga1<?6=9rB88i5rnga1=?6=9rB88i5rnga1e?6=9rB88i5rnga1f?6=9rB88i5rnga1g?6=9rB88i5rnga1`?6=9rB88i5rnga1a?6=9rB88i5rnga1b?6=9rB88i5rnga04?6=9rB88i5rnga05?6=9rB88i5rnga06?6=9rB88i5rnga07?6=9rB88i5rnga00?6=9rB88i5rnga01?6=9rB88i5rnga02?6=9rB88i5rnga03?6=9rB88i5rnga0<?6=9rB88i5rnga0=?6=9rB88i5rnga0e?6=9rB88i5rnga0f?6=9rB88i5rnga0g?6=9rB88i5rnga0`?6=9rB88i5rnga0a?6=9rB88i5rnga0b?6=9rB88i5rnga74?6=9rB88i5rnga75?6=9rB88i5rnga76?6=9rB88i5rnga77?6=9rB88i5rnga70?6=9rB88i5rnga71?6=9rB88i5rnga72?6=9rB88i5rnga73?6=9rB88i5rnga7<?6=9rB88i5rnga7=?6=9rB88i5rnga7e?6=9rB88i5rnga7f?6=9rB88i5rnga7g?6=9rB88i5rnga7`?6=9rB88i5rnga7a?6=9rB88i5rnga7b?6=9rB88i5rnga64?6=9rB88i5rnga65?6=9rB88i5rnga66?6=9rB88i5rnga67?6=9rB88i5rnga60?6=9rB88i5rnga61?6=9rB88i5rnga62?6=9rB88i5rnga63?6=9rB88i5rnga6<?6=9rB88i5rnga6=?6=9rB88i5rnga6e?6=9rB88i5rnga6f?6=9rB88i5rnga6g?6=9rB88i5rnga6`?6=9rB88i5rnga6a?6=9rB88i5rnga6b?6=9rB88i5rnga54?6=9rB88i5rnga55?6=9rB88i5rnga56?6=9rB88i5rnga57?6=9rB88i5rnga50?6=9rB88i5rnga51?6=9rB88i5rnga52?6=9rB88i5rnga53?6=9rB88i5rnga5<?6=9rB88i5rnga5=?6=9rB88i5rnga5e?6=9rB88i5rnga5f?6=9rB88i5rnga5g?6=9rB88i5rnga5`?6=9rB88i5rnga5a?6=9rB88i5rnga5b?6=9rB88i5rnga44?6=9rB88i5rnga45?6=9rB88i5rnga46?6=9rB88i5rnga47?6=9rB88i5rnga40?6=9rB88i5rnga41?6=9rB88i5rnga42?6=9rB88i5rnga43?6=9rB88i5rnga4<?6=9rB88i5rnga4=?6=9rB88i5rnga4e?6=9rB88i5rnga4f?6=9rB88i5rnga4g?6=9rB88i5rnga4`?6=9rB88i5rnga4a?6=9rB88i5rnga4b?6=9rB88i5rnga;4?6=9rB88i5rnga;5?6=9rB88i5rnga;6?6=9rB88i5rnga;7?6=9rB88i5rnga;0?6=9rB88i5rnga;1?6=9rB88i5rnga;2?6=9rB88i5rnga;3?6=9rB88i5rnga;<?6=9rB88i5rnga;=?6=9rB88i5rnga;e?6=9rB88i5rnga;f?6=9rB88i5rnga;g?6=9rB88i5rnga;`?6=9rB88i5rnga;a?6=9rB88i5rnga;b?6=9rB88i5rnga:4?6=9rB88i5rnga:5?6=9rB88i5rnga:6?6=9rB88i5rnga:7?6=9rB88i5rnga:0?6=9rB88i5rnga:1?6=9rB88i5rnga:2?6=9rB88i5rnga:3?6=9rB88i5rnga:<?6=9rB88i5rnga:=?6=9rB88i5rnga:e?6=9rB88i5rnga:f?6=9rB88i5rnga:g?6=9rB88i5rnga:`?6=9rB88i5rnga:a?6=9rB88i5rnga:b?6=9rB88i5rngab4?6=9rB88i5rngab5?6=9rB88i5rngab6?6=9rB88i5rngab7?6=9rB88i5rngab0?6=9rB88i5rngab1?6=9rB88i5rngab2?6=9rB88i5rngab3?6=9rB88i5rngab<?6=9rB88i5rngab=?6=9rB88i5rngabe?6=9rB88i5rngabf?6=9rB88i5rngabg?6=9rB88i5rngab`?6=9rB88i5rngaba?6=9rB88i5rngabb?6=9rB88i5rngaa4?6=9rB88i5rngaa5?6=9rB88i5rngaa6?6=9rB88i5rngaa7?6=9rB88i5rngaa0?6=9rB88i5rngaa1?6=9rB88i5rngaa2?6=9rB88i5rngaa3?6=9rB88i5rngaa<?6=9rB88i5rngaa=?6=9rB88i5rngaae?6=9rB88i5rngaaf?6=9rB88i5rngaag?6=9rB88i5rngaa`?6=9rB88i5rngaaa?6=9rB88i5rngaab?6=9rB88i5rnga`4?6=9rB88i5rnga`5?6=9rB88i5rnga`6?6=9rB88i5rnga`7?6=9rB88i5rnga`0?6=9rB88i5rnga`1?6=9rB88i5rnga`2?6=9rB88i5rnga`3?6=9rB88i5rnga`<?6=9rB88i5rnga`=?6=9rB88i5rnga`e?6=9rB88i5rnga`f?6=9rB88i5rnga`g?6=9rB88i5rnga``?6=9rB88i5rnga`a?6=9rB88i5rnga`b?6=9rB88i5rngag4?6=9rB88i5rngag5?6=9rB88i5rngag6?6=9rB88i5rngag7?6=9rB88i5rngag0?6=9rB88i5rngag1?6=9rB88i5rngag2?6=9rB88i5rngag3?6=9rB88i5rngag<?6=9rB88i5rngag=?6=9rB88i5rngage?6=9rB88i5rngagf?6=9rB88i5rngagg?6=9rB88i5rngag`?6=9rB88i5rngaga?6=9rB88i5rngagb?6=9rB88i5rngaf4?6=9rB88i5rngaf5?6=9rB88i5rngaf6?6=9rB88i5rngaf7?6=9rB88i5rngaf0?6=9rB88i5rngaf1?6=9rB88i5rngaf2?6=9rB88i5rngaf3?6=9rB88i5rngaf<?6=9rB88i5rngaf=?6=9rB88i5rngafe?6=9rB88i5rngaff?6=9rB88i5rngafg?6=9rB88i5rngaf`?6=9rB88i5rngafa?6=9rB88i5rngafb?6=9rB88i5rngae4?6=9rB88i5rngae5?6=9rB88i5rngae6?6=9rB88i5rngae7?6=9rB88i5rngae0?6=9rB88i5rngae1?6=9rB88i5rngae2?6=9rB88i5rngae3?6=9rB88i5rngae<?6=9rB88i5rngae=?6=9rB88i5rngaee?6=9rB88i5rngaef?6=9rB88i5rngaeg?6=9rB88i5rngae`?6=9rB88i5rngaea?6=9rB88i5rngaeb?6=9rB88i5rngf34?6=9rB88i5rngf35?6=9rB88i5rngf36?6=9rB88i5rngf37?6=9rB88i5rngf30?6=9rB88i5rngf31?6=9rB88i5rngf32?6=9rB88i5rngf33?6=9rB88i5rngf3<?6=9rB88i5rngf3=?6=9rB88i5rngf3e?6=9rB88i5rngf3f?6=9rB88i5rngf3g?6=9rB88i5rngf3`?6=9rB88i5rngf3a?6=9rB88i5rngf3b?6=9rB88i5rngf24?6=9rB88i5rngf25?6=9rB88i5rngf26?6=9rB88i5rngf27?6=9rB88i5rngf20?6=9rB88i5rngf21?6=9rB88i5rngf22?6=9rB88i5rngf23?6=9rB88i5rngf2<?6=9rB88i5rngf2=?6=9rB88i5rngf2e?6=9rB88i5rngf2f?6=9rB88i5rngf2g?6=9rB88i5rngf2`?6=9rB88i5rngf2a?6=9rB88i5rngf2b?6=9rB88i5rngf14?6=9rB88i5rngf15?6=9rB88i5rngf16?6=9rB88i5rngf17?6=9rB88i5rngf10?6=9rB88i5rngf11?6=9rB88i5rngf12?6=9rB88i5rngf13?6=9rB88i5rngf1<?6=9rB88i5rngf1=?6=9rB88i5rngf1e?6=9rB88i5rngf1f?6=9rB88i5rngf1g?6=9rB88i5rngf1`?6=9rB88i5rngf1a?6=9rB88i5rngf1b?6=9rB88i5rngf04?6=9rB88i5rngf05?6=9rB88i5rngf06?6=9rB88i5rngf07?6=9rB88i5rngf00?6=9rB88i5rngf01?6=9rB88i5rngf02?6=9rB88i5rngf03?6=9rB88i5rngf0<?6=9rB88i5rngf0=?6=9rB88i5rngf0e?6=9rB88i5rngf0f?6=9rB88i5rngf0g?6=9rB88i5rngf0`?6=9rB88i5rngf0a?6=9rB88i5rngf0b?6=9rB88i5rngf74?6=9rB88i5rngf75?6=9rB88i5rngf76?6=9rB88i5rngf77?6=9rB88i5rngf70?6=9rB88i5rngf71?6=9rB88i5rngf72?6=9rB88i5rngf73?6=9rB88i5rngf7<?6=9rB88i5rngf7=?6=9rB88i5rngf7e?6=9rB88i5rngf7f?6=9rB88i5rngf7g?6=9rB88i5rngf7`?6=9rB88i5rngf7a?6=9rB88i5rngf7b?6=9rB88i5rngf64?6=9rB88i5rngf65?6=9rB88i5rngf66?6=9rB88i5rngf67?6=9rB88i5rngf60?6=9rB88i5rngf61?6=9rB88i5rngf62?6=9rB88i5rngf63?6=9rB88i5rngf6<?6=9rB88i5rngf6=?6=9rB88i5rngf6e?6=9rB88i5rngf6f?6=9rB88i5rngf6g?6=9rB88i5rngf6`?6=9rB88i5rngf6a?6=9rB88i5rngf6b?6=9rB88i5rngf54?6=9rB88i5rngf55?6=9rB88i5rngf56?6=9rB88i5rngf57?6=9rB88i5rngf50?6=9rB88i5rngf51?6=9rB88i5rngf52?6=9rB88i5rngf53?6=9rB88i5rngf5<?6=9rB88i5rngf5=?6=9rB88i5rngf5e?6=9rB88i5rngf5f?6=9rB88i5rngf5g?6=9rB88i5rngf5`?6=9rB88i5rngf5a?6=9rB88i5rngf5b?6=9rB88i5rngf44?6=9rB88i5rngf45?6=9rB88i5rngf46?6=9rB88i5rngf47?6=9rB88i5rngf40?6=9rB88i5rngf41?6=9rB88i5rngf42?6=9rB88i5rngf43?6=9rB88i5rngf4<?6=9rB88i5rngf4=?6=9rB88i5rngf4e?6=9rB88i5rngf4f?6=9rB88i5rngf4g?6=9rB88i5rngf4`?6=9rB88i5rngf4a?6=9rB88i5rngf4b?6=9rB88i5rngf;4?6=9rB88i5rngf;5?6=9rB88i5rngf;6?6=9rB88i5rngf;7?6=9rB88i5rngf;0?6=9rB88i5rngf;1?6=9rB88i5rngf;2?6=9rB88i5rngf;3?6=9rB88i5rngf;<?6=9rB88i5rngf;=?6=9rB88i5rngf;e?6=9rB88i5rngf;f?6=9rB88i5rngf;g?6=9rB88i5rngf;`?6=9rB88i5rngf;a?6=9rB88i5rngf;b?6=9rB88i5rngf:4?6=9rB88i5rngf:5?6=9rB88i5rngf:6?6=9rB88i5rngf:7?6=9rB88i5rngf:0?6=9rB88i5rngf:1?6=9rB88i5rngf:2?6=9rB88i5rngf:3?6=9rB88i5rngf:<?6=9rB88i5rngf:=?6=9rB88i5rngf:e?6=9rB88i5rngf:f?6=9rB88i5rngf:g?6=9rB88i5rngf:`?6=9rB88i5rngf:a?6=9rB88i5rngf:b?6=9rB88i5rngfb4?6=9rB88i5rngfb5?6=9rB88i5rngfb6?6=9rB88i5rngfb7?6=9rB88i5rngfb0?6=9rB88i5rngfb1?6=9rB88i5rngfb2?6=9rB88i5rngfb3?6=9rB88i5rngfb<?6=9rB88i5rngfb=?6=9rB88i5rngfbe?6=9rB88i5rngfbf?6=9rB88i5rngfbg?6=9rB88i5rngfb`?6=9rB88i5rngfba?6=9rB88i5rngfbb?6=9rB88i5rngfa4?6=9rB88i5rngfa5?6=9rB88i5rngfa6?6=9rB88i5rngfa7?6=9rB88i5rngfa0?6=9rB88i5rngfa1?6=9rB88i5rngfa2?6=9rB88i5rngfa3?6=9rB88i5rngfa<?6=9rB88i5rngfa=?6=9rB88i5rngfae?6=9rB88i5rngfaf?6=9rB88i5rngfag?6=9rB88i5rngfa`?6=9rB88i5rngfaa?6=9rB88i5rngfab?6=9rB88i5rngf`4?6=9rB88i5rngf`5?6=9rB88i5rngf`6?6=9rB88i5rngf`7?6=9rB88i5rngf`0?6=9rB88i5rngf`1?6=9rB88i5rngf`2?6=9rB88i5rngf`3?6=9rB88i5rngf`<?6=9rB88i5rngf`=?6=9rB88i5rngf`e?6=9rB88i5rngf`f?6=9rB88i5rngf`g?6=9rB88i5rngf``?6=9rB88i5rngf`a?6=9rB88i5rngf`b?6=9rB88i5rngfg4?6=9rB88i5rngfg5?6=9rB88i5rngfg6?6=9rB88i5rngfg7?6=9rB88i5rngfg0?6=9rB88i5rngfg1?6=9rB88i5rngfg2?6=9rB88i5rngfg3?6=9rB88i5rngfg<?6=9rB88i5rngfg=?6=9rB88i5rngfge?6=9rB88i5rngfgf?6=9rB88i5rngfgg?6=9rB88i5rngfg`?6=9rB88i5rngfga?6=9rB88i5rngfgb?6=9rB88i5rngff4?6=9rB88i5rngff5?6=9rB88i5rngff6?6=9rB88i5rngff7?6=9rB88i5rngff0?6=9rB88i5rngff1?6=9rB88i5rngff2?6=9rB88i5rngff3?6=9rB88i5rngff<?6=9rB88i5rngff=?6=9rB88i5rngffe?6=9rB88i5rngfff?6=9rB88i5rngffg?6=9rB88i5rngff`?6=9rB88i5rngffa?6=9rB88i5rngffb?6=9rB88i5rngfe4?6=9rB88i5rngfe5?6=9rB88i5rngfe6?6=9rB88i5rngfe7?6=9rB88i5rngfe0?6=9rB88i5rngfe1?6=9rB88i5rngfe2?6=9rB88i5rngfe3?6=9rB88i5rngfe<?6=9rB88i5rngfe=?6=9rB88i5rngfee?6=9rB88i5rngfef?6=9rB88i5rngfeg?6=9rB88i5rngfe`?6=9rB88i5rngfea?6=9rB88i5rngfeb?6=9rB88i5rngg34?6=9rB88i5rngg35?6=9rB88i5rngg36?6=9rB88i5rngg37?6=9rB88i5rngg30?6=9rB88i5rngg31?6=9rB88i5rngg32?6=9rB88i5rngg33?6=9rB88i5rngg3<?6=9rB88i5rngg3=?6=9rB88i5rngg3e?6=9rB88i5rngg3f?6=9rB88i5rngg3g?6=9rB88i5rngg3`?6=9rB88i5rngg3a?6=9rB88i5rngg3b?6=9rB88i5rngg24?6=9rB88i5rngg25?6=9rB88i5rngg26?6=9rB88i5rngg27?6=9rB88i5rngg20?6=9rB88i5rngg21?6=9rB88i5rngg22?6=9rB88i5rngg23?6=9rB88i5rngg2<?6=9rB88i5rngg2=?6=9rB88i5rngg2e?6=9rB88i5rngg2f?6=9rB88i5rngg2g?6=9rB88i5rngg2`?6=9rB88i5rngg2a?6=9rB88i5rngg2b?6=9rB88i5rngg14?6=9rB88i5rngg15?6=9rB88i5rngg16?6=9rB88i5rngg17?6=9rB88i5rngg10?6=9rB88i5rngg11?6=9rB88i5rngg12?6=9rB88i5rngg13?6=9rB88i5rngg1<?6=9rB88i5rngg1=?6=9rB88i5rngg1e?6=9rB88i5rngg1f?6=9rB88i5rngg1g?6=9rB88i5rngg1`?6=9rB88i5rngg1a?6=9rB88i5rngg1b?6=9rB88i5rngg04?6=9rB88i5rngg05?6=9rB88i5rngg06?6=9rB88i5rngg07?6=9rB88i5rngg00?6=9rB88i5rngg01?6=9rB88i5rngg02?6=9rB88i5rngg03?6=9rB88i5rngg0<?6=9rB88i5rngg0=?6=9rB88i5rngg0e?6=9rB88i5rngg0f?6=9rB88i5rngg0g?6=9rB88i5rngg0`?6=9rB88i5rngg0a?6=9rB88i5rngg0b?6=9rB88i5rngg74?6=9rB88i5rngg75?6=9rB88i5rngg76?6=9rB88i5rngg77?6=9rB88i5rngg70?6=9rB88i5rngg71?6=9rB88i5rngg72?6=9rB88i5rngg73?6=9rB88i5rngg7<?6=9rB88i5rngg7=?6=9rB88i5rngg7e?6=9rB88i5rngg7f?6=9rB88i5rngg7g?6=9rB88i5rngg7`?6=9rB88i5rngg7a?6=9rB88i5rngg7b?6=9rB88i5rngg64?6=9rB88i5rngg65?6=9rB88i5rngg66?6=9rB88i5rngg67?6=9rB88i5rngg60?6=9rB88i5rngg61?6=9rB88i5rngg62?6=9rB88i5rngg63?6=9rB88i5rngg6<?6=9rB88i5rngg6=?6=9rB88i5rngg6e?6=9rB88i5rngg6f?6=9rB88i5rngg6g?6=9rB88i5rngg6`?6=9rB88i5rngg6a?6=9rB88i5rngg6b?6=9rB88i5rngg54?6=9rB88i5rngg55?6=9rB88i5rngg56?6=9rB88i5rngg57?6=9rB88i5rngg50?6=9rB88i5rngg51?6=9rB88i5rngg52?6=9rB88i5rngg53?6=9rB88i5rngg5<?6=9rB88i5rngg5=?6=9rB88i5rngg5e?6=9rB88i5rngg5f?6=9rB88i5rngg5g?6=9rB88i5rngg5`?6=9rB88i5rngg5a?6=9rB88i5rngg5b?6=9rB88i5rngg44?6=9rB88i5rngg45?6=9rB88i5rngg46?6=9rB88i5rngg47?6=9rB88i5rngg40?6=9rB88i5rngg41?6=9rB88i5rngg42?6=9rB88i5rngg43?6=9rB88i5rngg4<?6=9rB88i5rngg4=?6=9rB88i5rngg4e?6=9rB88i5rngg4f?6=9rB88i5rngg4g?6=9rB88i5rngg4`?6=9rB88i5rngg4a?6=9rB88i5rngg4b?6=9rB88i5rngg;4?6=9rB88i5rngg;5?6=9rB88i5rngg;6?6=9rB88i5rngg;7?6=9rB88i5rngg;0?6=9rB88i5rngg;1?6=9rB88i5rngg;2?6=9rB88i5rngg;3?6=9rB88i5rngg;<?6=9rB88i5rngg;=?6=9rB88i5rngg;e?6=9rB88i5rngg;f?6=9rB88i5rngg;g?6=9rB88i5rngg;`?6=9rB88i5rngg;a?6=9rB88i5rngg;b?6=9rB88i5rngg:4?6=9rB88i5rngg:5?6=9rB88i5rngg:6?6=9rB88i5rngg:7?6=9rB88i5rngg:0?6=9rB88i5rngg:1?6=9rB88i5rngg:2?6=9rB88i5rngg:3?6=9rB88i5rngg:<?6=9rB88i5rngg:=?6=9rB88i5rngg:e?6=9rB88i5rngg:f?6=9rB88i5rngg:g?6=9rB88i5rngg:`?6=9rB88i5rngg:a?6=9rB88i5rngg:b?6=9rB88i5rnggb4?6=9rB88i5rnggb5?6=9rB88i5rnggb6?6=9rB88i5rnggb7?6=9rB88i5rnggb0?6=9rB88i5rnggb1?6=9rB88i5rnggb2?6=9rB88i5rnggb3?6=9rB88i5rnggb<?6=9rB88i5rnggb=?6=9rB88i5rnggbe?6=9rB88i5rnggbf?6=9rB88i5rnggbg?6=9rB88i5rnggb`?6=9rB88i5rnggba?6=9rB88i5rnggbb?6=9rB88i5rngga4?6=9rB88i5rngga5?6=9rB88i5rngga6?6=9rB88i5rngga7?6=9rB88i5rngga0?6=9rB88i5rngga1?6=9rB88i5rngga2?6=9rB88i5rngga3?6=9rB88i5rngga<?6=9rB88i5rngga=?6=9rB88i5rnggae?6=9rB88i5rnggaf?6=9rB88i5rnggag?6=9rB88i5rngga`?6=9rB88i5rnggaa?6=9rB88i5rnggab?6=9rB88i5rngg`4?6=9rB88i5rngg`5?6=9rB88i5rngg`6?6=9rB88i5rngg`7?6=9rB88i5rngg`0?6=9rB88i5rngg`1?6=9rB88i5rngg`2?6=9rB88i5rngg`3?6=9rB88i5rngg`<?6=9rB88i5rngg`=?6=9rB88i5rngg`e?6=9rB88i5rngg`f?6=9rB88i5rngg`g?6=9rB88i5rngg``?6=9rB88i5rngg`a?6=9rB88i5rngg`b?6=9rB88i5rnggg4?6=9rB88i5rnggg5?6=9rB88i5rnggg6?6=9rB88i5rnggg7?6=9rB88i5rnggg0?6=9rB88i5rnggg1?6=9rB88i5rnggg2?6=9rB88i5rnggg3?6=9rB88i5rnggg<?6=9rB88i5rnggg=?6=9rB88i5rnggge?6=9rB88i5rngggf?6=9rB88i5rngggg?6=9rB88i5rnggg`?6=9rB88i5rnggga?6=9rB88i5rngggb?6=9rB88i5rnggf4?6=9rB88i5rnggf5?6=9rB88i5rnggf6?6=9rB88i5rnggf7?6=9rB88i5rnggf0?6=9rB88i5rnggf1?6=9rB88i5rnggf2?6=9rB88i5rnggf3?6=9rB88i5rnggf<?6=9rB88i5rnggf=?6=9rB88i5rnggfe?6=9rB88i5rnggff?6=9rB88i5rnggfg?6=9rB88i5rnggf`?6=9rB88i5rnggfa?6=9rB88i5rnggfb?6=9rB88i5rngge4?6=9rB88i5rngge5?6=9rB88i5rngge6?6=9rB88i5rngge7?6=9rB88i5rngge0?6=9rB88i5rngge1?6=9rB88i5rngge2?6=9rB88i5rngge3?6=9rB88i5rngge<?6=9rB88i5rngge=?6=9rB88i5rnggee?6=9rB88i5rnggef?6=9rB88i5rnggeg?6=9rB88i5rngge`?6=9rB88i5rnggea?6=9rB88i5rnggeb?6=9rB88i5rngd34?6=9rB88i5rngd35?6=9rB88i5rngd36?6=9rB88i5rngd37?6=9rB88i5rngd30?6=9rB88i5rngd31?6=9rB88i5rngd32?6=9rB88i5rngd33?6=9rB88i5rngd3<?6=9rB88i5rngd3=?6=9rB88i5rngd3e?6=9rB88i5rngd3f?6=9rB88i5rngd3g?6=9rB88i5rngd3`?6=9rB88i5rngd3a?6=9rB88i5rngd3b?6=9rB88i5rngd24?6=9rB88i5rngd25?6=9rB88i5rngd26?6=9rB88i5rngd27?6=9rB88i5rngd20?6=9rB88i5rngd21?6=9rB88i5rngd22?6=9rB88i5rngd23?6=9rB88i5rngd2<?6=9rB88i5rngd2=?6=9rB88i5rngd2e?6=9rB88i5rngd2f?6=9rB88i5rngd2g?6=9rB88i5rngd2`?6=9rB88i5rngd2a?6=9rB88i5rngd2b?6=9rB88i5rngd14?6=9rB88i5rngd15?6=9rB88i5rngd16?6=9rB88i5rngd17?6=9rB88i5rngd10?6=9rB88i5rngd11?6=9rB88i5rngd12?6=9rB88i5rngd13?6=9rB88i5rngd1<?6=9rB88i5rngd1=?6=9rB88i5rngd1e?6=9rB88i5rngd1f?6=9rB88i5rngd1g?6=9rB88i5rngd1`?6=9rB88i5rngd1a?6=9rB88i5rngd1b?6=9rB88i5rngd04?6=9rB88i5rngd05?6=9rB88i5rngd06?6=9rB88i5rngd07?6=9rB88i5rngd00?6=9rB88i5rngd01?6=9rB88i5rngd02?6=9rB88i5rngd03?6=9rB88i5rngd0<?6=9rB88i5rngd0=?6=9rB88i5rngd0e?6=9rB88i5rngd0f?6=9rB88i5rngd0g?6=9rB88i5rngd0`?6=9rB88i5rngd0a?6=9rB88i5rngd0b?6=9rB88i5rngd74?6=9rB88i5rngd75?6=9rB88i5rngd76?6=9rB88i5rngd77?6=9rB88i5rngd70?6=9rB88i5rngd71?6=9rB88i5rngd72?6=9rB88i5rngd73?6=9rB88i5rngd7<?6=9rB88i5rngd7=?6=9rB88i5rngd7e?6=9rB88i5rngd7f?6=9rB88i5rngd7g?6=9rB88i5rngd7`?6=9rB88i5rngd7a?6=9rB88i5rngd7b?6=9rB88i5rngd64?6=9rB88i5rngd65?6=9rB88i5rngd66?6=9rB88i5rngd67?6=9rB88i5rngd60?6=9rB88i5rngd61?6=9rB88i5rngd62?6=9rB88i5rngd63?6=9rB88i5rngd6<?6=9rB88i5rngd6=?6=9rB88i5rngd6e?6=9rB88i5rngd6f?6=9rB88i5rngd6g?6=9rB88i5rngd6`?6=9rB88i5rngd6a?6=9rB88i5rngd6b?6=9rB88i5rngd54?6=9rB88i5rngd55?6=9rB88i5rngd56?6=9rB88i5rngd57?6=9rB88i5rngd50?6=9rB88i5rngd51?6=9rB88i5rngd52?6=9rB88i5rngd53?6=9rB88i5rngd5<?6=9rB88i5rngd5=?6=9rB88i5rngd5e?6=9rB88i5rngd5f?6=9rB88i5rngd5g?6=9rB88i5rngd5`?6=9rB88i5rngd5a?6=9rB88i5rngd5b?6=9rB88i5rngd44?6=9rB88i5rngd45?6=9rB88i5rngd46?6=9rB88i5rngd47?6=9rB88i5rngd40?6=9rB88i5rngd41?6=9rB88i5rngd42?6=9rB88i5rngd43?6=9rB88i5rngd4<?6=9rB88i5rngd4=?6=9rB88i5rngd4e?6=9rB88i5rngd4f?6=9rB88i5rngd4g?6=9rB88i5rngd4`?6=9rB88i5rngd4a?6=9rB88i5rngd4b?6=9rB88i5rngd;4?6=9rB88i5rngd;5?6=9rB88i5rngd;6?6=9rB88i5rngd;7?6=9rB88i5rngd;0?6=9rB88i5rngd;1?6=9rB88i5rngd;2?6=9rB88i5rngd;3?6=9rB88i5rngd;<?6=9rB88i5rngd;=?6=9rB88i5rngd;e?6=9rB88i5rngd;f?6=9rB88i5rngd;g?6=9rB88i5rngd;`?6=9rB88i5rngd;a?6=9rB88i5rngd;b?6=9rB88i5rngd:4?6=9rB88i5rngd:5?6=9rB88i5rngd:6?6=9rB88i5rngd:7?6=9rB88i5rngd:0?6=9rB88i5rngd:1?6=9rB88i5rngd:2?6=9rB88i5rngd:3?6=9rB88i5rngd:<?6=9rB88i5rngd:=?6=9rB88i5rngd:e?6=9rB88i5rngd:f?6=9rB88i5rngd:g?6=9rB88i5rngd:`?6=9rB88i5rngd:a?6=9rB88i5rngd:b?6=9rB88i5rngdb4?6=9rB88i5rngdb5?6=9rB88i5rngdb6?6=9rB88i5rngdb7?6=9rB88i5rngdb0?6=9rB88i5rngdb1?6=9rB88i5rngdb2?6=9rB88i5rngdb3?6=9rB88i5rngdb<?6=9rB88i5rngdb=?6=9rB88i5rngdbe?6=9rB88i5rngdbf?6=9rB88i5rngdbg?6=9rB88i5rngdb`?6=9rB88i5rngdba?6=9rB88i5rngdbb?6=9rB88i5rngda4?6=9rB88i5rngda5?6=9rB88i5rngda6?6=9rB88i5rngda7?6=9rB88i5rngda0?6=9rB88i5rngda1?6=9rB88i5rngda2?6=9rB88i5rngda3?6=9rB88i5rngda<?6=9rB88i5rngda=?6=9rB88i5rngdae?6=9rB88i5rngdaf?6=9rB88i5rngdag?6=9rB88i5rngda`?6=9rB88i5rngdaa?6=9rB88i5rngdab?6=9rB88i5rngd`4?6=9rB88i5rngd`5?6=9rB88i5rngd`6?6=9rB88i5rngd`7?6=9rB88i5rngd`0?6=9rB88i5rngd`1?6=9rB88i5rngd`2?6=9rB88i5rngd`3?6=9rB88i5rngd`<?6=9rB88i5rngd`=?6=9rB88i5rngd`e?6=9rB88i5rngd`f?6=9rB88i5rngd`g?6=9rB88i5rngd``?6=9rB88i5rngd`a?6=9rB88i5rngd`b?6=9rB88i5rngdg4?6=9rB88i5rngdg5?6=9rB88i5rngdg6?6=9rB88i5rngdg7?6=9rB88i5rngdg0?6=9rB88i5rngdg1?6=9rB88i5rngdg2?6=9rB88i5rngdg3?6=9rB88i5rngdg<?6=9rB88i5rngdg=?6=9rB88i5rngdge?6=9rB88i5rngdgf?6=9rB88i5rngdgg?6=9rB88i5rngdg`?6=9rB88i5rngdga?6=9rB88i5rngdgb?6=9rB88i5rngdf4?6=9rB88i5rngdf5?6=9rB88i5rngdf6?6=9rB88i5rngdf7?6=9rB88i5rngdf0?6=9rB88i5rngdf1?6=9rB88i5rngdf2?6=9rB88i5rngdf3?6=9rB88i5rngdf<?6=9rB88i5rngdf=?6=9rB88i5rngdfe?6=9rB88i5rngdff?6=9rB88i5rngdfg?6=9rB88i5rngdf`?6=9rB88i5rngdfa?6=9rB88i5rngdfb?6=9rB88i5rngde4?6=9rB88i5rngde5?6=9rB88i5rngde6?6=9rB88i5rngde7?6=9rB88i5rngde0?6=9rB88i5rngde1?6=9rB88i5rngde2?6=9rB88i5rngde3?6=9rB88i5rngde<?6=9rB88i5rngde=?6=9rB88i5rngdee?6=9rB88i5rngdef?6=9rB88i5rngdeg?6=9rB88i5rngde`?6=9rB88i5rngdea?6=9rB88i5rngdeb?6=9rB88i5rn02345<728qC?9j4}o33457=83;pD>:k;|l24565290:wE=;d:\7fm5567;3:1=vF<4e9~j4678=0;6<uG35f8yk7789?1<7?tH26g?xh689:=6=4>{I17`>{i99:;;7>51zJ00a=zf8:;<54?:0yK71b<ug;;<=750;3xL62c3td:<=>n:182\7fM53l2we==>?b;295~N4<m1vb<>?0b83>4}O;=n0qc??01f94?7|@:>o7p`>012f>5<6sA9?h6sa1123b?6=9rB88i5rn02355<728qC?9j4}o33447=83;pD>:k;|l24575290:wE=;d:\7fm5566;3:1=vF<4e9~j4679=0;6<uG35f8yk7788?1<7?tH26g?xh689;=6=4>{I17`>{i99::;7>51zJ00a=zf8:;=54?:0yK71b<ug;;<<750;3xL62c3td:<=?n:182\7fM53l2we==>>b;295~N4<m1vb<>?1b83>4}O;=n0qc??00f94?7|@:>o7p`>013f>5<6sA9?h6sa1122b?6=9rB88i5rn02365<728qC?9j4}o33477=83;pD>:k;|l24545290:wE=;d:\7fm5565;3:1=vF<4e9~j467:=0;6<uG35f8yk778;?1<7?tH26g?xh6898=6=4>{I17`>{i99:9;7>51zJ00a=zf8:;>54?:0yK71b<ug;;<?750;3xL62c3td:<=<n:182\7fM53l2we==>=b;295~N4<m1vb<>?2b83>4}O;=n0qc??03f94?7|@:>o7p`>010f>5<6sA9?h6sa1121b?6=9rB88i5rn02375<728qC?9j4}o33467=83;pD>:k;|l24555290:wE=;d:\7fm5564;3:1=vF<4e9~j467;=0;6<uG35f8yk778:?1<7?tH26g?xh6899=6=4>{I17`>{i99:8;7>51zJ00a=zf8:;?54?:0yK71b<ug;;<>750;3xL62c3td:<==n:182\7fM53l2we==><b;295~N4<m1vb<>?3b83>4}O;=n0qc??02f94?7|@:>o7p`>011f>5<6sA9?h6sa1120b?6=9rB88i5rn02305<728qC?9j4}o33417=83;pD>:k;|l24525290:wE=;d:\7fm5563;3:1=vF<4e9~j467<=0;6<uG35f8yk778=?1<7?tH26g?xh689>=6=4>{I17`>{i99:?;7>51zJ00a=zf8:;854?:0yK71b<ug;;<9750;3xL62c3td:<=:n:182\7fM53l2we==>;b;295~N4<m1vb<>?4b83>4}O;=n0qc??05f94?7|@:>o7p`>016f>5<6sA9?h6sa1127b?6=9rB88i5rn02315<728qC?9j4}o33407=83;pD>:k;|l24535290:wE=;d:\7fm5562;3:1=vF<4e9~j467==0;6<uG35f8yk778<?1<7?tH26g?xh689?=6=4>{I17`>{i99:>;7>51zJ00a=zf8:;954?:0yK71b<ug;;<8750;3xL62c3td:<=;n:182\7fM53l2we==>:b;295~N4<m1vb<>?5b83>4}O;=n0qc??04f94?7|@:>o7p`>017f>5<6sA9?h6sa1126b?6=9rB88i5rn02325<728qC?9j4}o33437=83;pD>:k;|l24505290:wE=;d:\7fm5561;3:1=vF<4e9~j467>=0;6<uG35f8yk778??1<7?tH26g?xh689<=6=4>{I17`>{i99:=;7>51zJ00a=zf8:;:54?:0yK71b<ug;;<;750;3xL62c3td:<=8n:182\7fM53l2we==>9b;295~N4<m1vb<>?6b83>4}O;=n0qc??07f94?7|@:>o7p`>014f>5<6sA9?h6sa1125b?6=9rB88i5rn02335<728qC?9j4}o33427=83;pD>:k;|l24515290:wE=;d:\7fm5560;3:1=vF<4e9~j467?=0;6<uG35f8yk778>?1<7?tH26g?xh689==6=4>{I17`>{i99:<;7>51zJ00a=zf8:;;54?:0yK71b<ug;;<:750;3xL62c3td:<=9n:182\7fM53l2we==>8b;295~N4<m1vb<>?7b83>4}O;=n0qc??06f94?7|@:>o7p`>015f>5<6sA9?h6sa1124b?6=9rB88i5rn023<5<728qC?9j4}o334=7=83;pD>:k;|l245>5290:wE=;d:\7fm556?;3:1=vF<4e9~j4670=0;6<uG35f8yk7781?1<7?tH26g?xh6892=6=4>{I17`>{i99:3;7>51zJ00a=zf8:;454?:0yK71b<ug;;<5750;3xL62c3td:<=6n:182\7fM53l2we==>7b;295~N4<m1vb<>?8b83>4}O;=n0qc??09f94?7|@:>o7p`>01:f>5<6sA9?h6sa112;b?6=9rB88i5rn023=5<728qC?9j4}o334<7=83;pD>:k;|l245?5290:wE=;d:\7fm556>;3:1=vF<4e9~j4671=0;6<uG35f8yk7780?1<7?tH26g?xh6893=6=4>{I17`>{i99:2;7>51zJ00a=zf8:;554?:0yK71b<ug;;<4750;3xL62c3td:<=7n:182\7fM53l2we==>6b;295~N4<m1vb<>?9b83>4}O;=n0qc??08f94?7|@:>o7p`>01;f>5<6sA9?h6sa112:b?6=9rB88i5rn023e5<728qC?9j4}o334d7=83;pD>:k;|l245g5290:wE=;d:\7fm556f;3:1=vF<4e9~j467i=0;6<uG35f8yk778h?1<7?tH26g?xh689k=6=4>{I17`>{i99:j;7>51zJ00a=zf8:;m54?:0yK71b<ug;;<l750;3xL62c3td:<=on:182\7fM53l2we==>nb;295~N4<m1vb<>?ab83>4}O;=n0qc??0`f94?7|@:>o7p`>01cf>5<6sA9?h6sa112bb?6=9rB88i5rn023f5<728qC?9j4}o334g7=83;pD>:k;|l245d5290:wE=;d:\7fm556e;3:1=vF<4e9~j467j=0;6<uG35f8yk778k?1<7?tH26g?xh689h=6=4>{I17`>{i99:i;7>51zJ00a=zf8:;n54?:0yK71b<ug;;<o750;3xL62c3td:<=ln:182\7fM53l2we==>mb;295~N4<m1vb<>?bb83>4}O;=n0qc??0cf94?7|@:>o7p`>01`f>5<6sA9?h6sa112ab?6=9rB88i5rn023g5<728qC?9j4}o334f7=83;pD>:k;|l245e5290:wE=;d:\7fm556d;3:1=vF<4e9~j467k=0;6<uG35f8yk778j?1<7?tH26g?xh689i=6=4>{I17`>{i99:h;7>51zJ00a=zf8:;o54?:0yK71b<ug;;<n750;3xL62c3td:<=mn:182\7fM53l2we==>lb;295~N4<m1vb<>?cb83>4}O;=n0qc??0bf94?7|@:>o7p`>01af>5<6sA9?h6sa112`b?6=9rB88i5rn023`5<728qC?9j4}o334a7=83;pD>:k;|l245b5290:wE=;d:\7fm556c;3:1=vF<4e9~j467l=0;6<uG35f8yk778m?1<7?tH26g?xh689n=6=4>{I17`>{i99:o;7>51zJ00a=zf8:;h54?:0yK71b<ug;;<i750;3xL62c3td:<=jn:182\7fM53l2we==>kb;295~N4<m1vb<>?db83>4}O;=n0qc??0ef94?7|@:>o7p`>01ff>5<6sA9?h6sa112gb?6=9rB88i5rn023a5<728qC?9j4}o334`7=83;pD>:k;|l245c5290:wE=;d:\7fm556b;3:1=vF<4e9~j467m=0;6<uG35f8yk778l?1<7?tH26g?xh689o=6=4>{I17`>{i99:n;7>51zJ00a=zf8:;i54?:0yK71b<ug;;<h750;3xL62c3td:<=kn:182\7fM53l2we==>jb;295~N4<m1vb<>?eb83>4}O;=n0qc??0df94?7|@:>o7p`>01gf>5<6sA9?h6sa112fb?6=9rB88i5rn023b5<728qC?9j4}o334c7=83;pD>:k;|l245`5290:wE=;d:\7fm556a;3:1=vF<4e9~j467n=0;6<uG35f8yk778o?1<7?tH26g?xh689l=6=4>{I17`>{i99:m;7>51zJ00a=zf8:;j54?:0yK71b<ug;;<k750;3xL62c3td:<=hn:182\7fM53l2we==>ib;295~N4<m1vb<>?fb83>4}O;=n0qc??0gf94?7|@:>o7p`>01df>5<6sA9?h6sa112eb?6=9rB88i5rn02245<728qC?9j4}o33557=83;pD>:k;|l24465290:wE=;d:\7fm5577;3:1=vF<4e9~j4668=0;6<uG35f8yk7799?1<7?tH26g?xh688:=6=4>{I17`>{i99;;;7>51zJ00a=zf8::<54?:0yK71b<ug;;==750;3xL62c3td:<<>n:182\7fM53l2we==??b;295~N4<m1vb<>>0b83>4}O;=n0qc??11f94?7|@:>o7p`>002f>5<6sA9?h6sa1133b?6=9rB88i5rn02255<728qC?9j4}o33547=83;pD>:k;|l24475290:wE=;d:\7fm5576;3:1=vF<4e9~j4669=0;6<uG35f8yk7798?1<7?tH26g?xh688;=6=4>{I17`>{i99;:;7>51zJ00a=zf8::=54?:0yK71b<ug;;=<750;3xL62c3td:<<?n:182\7fM53l2we==?>b;295~N4<m1vb<>>1b83>4}O;=n0qc??10f94?7|@:>o7p`>003f>5<6sA9?h6sa1132b?6=9rB88i5rn02265<728qC?9j4}o33577=83;pD>:k;|l24445290:wE=;d:\7fm5575;3:1=vF<4e9~j466:=0;6<uG35f8yk779;?1<7?tH26g?xh6888=6=4>{I17`>{i99;9;7>51zJ00a=zf8::>54?:0yK71b<ug;;=?750;3xL62c3td:<<<n:182\7fM53l2we==?=b;295~N4<m1vb<>>2b83>4}O;=n0qc??13f94?7|@:>o7p`>000f>5<6sA9?h6sa1131b?6=9rB88i5rn02275<728qC?9j4}o33567=83;pD>:k;|l24455290:wE=;d:\7fm5574;3:1=vF<4e9~j466;=0;6<uG35f8yk779:?1<7?tH26g?xh6889=6=4>{I17`>{i99;8;7>51zJ00a=zf8::?54?:0yK71b<ug;;=>750;3xL62c3td:<<=n:182\7fM53l2we==?<b;295~N4<m1vb<>>3b83>4}O;=n0qc??12f94?7|@:>o7p`>001f>5<6sA9?h6sa1130b?6=9rB88i5rn02205<728qC?9j4}o33517=83;pD>:k;|l24425290:wE=;d:\7fm5573;3:1=vF<4e9~j466<=0;6<uG35f8yk779=?1<7?tH26g?xh688>=6=4>{I17`>{i99;?;7>51zJ00a=zf8::854?:0yK71b<ug;;=9750;3xL62c3td:<<:n:182\7fM53l2we==?;b;295~N4<m1vb<>>4b83>4}O;=n0qc??15f94?7|@:>o7p`>006f>5<6sA9?h6sa1137b?6=9rB88i5rn02215<728qC?9j4}o33507=83;pD>:k;|l24435290:wE=;d:\7fm5572;3:1=vF<4e9~j466==0;6<uG35f8yk779<?1<7?tH26g?xh688?=6=4>{I17`>{i99;>;7>51zJ00a=zf8::954?:0yK71b<ug;;=8750;3xL62c3td:<<;n:182\7fM53l2we==?:b;295~N4<m1vb<>>5b83>4}O;=n0qc??14f94?7|@:>o7p`>007f>5<6sA9?h6sa1136b?6=9rB88i5rn02225<728qC?9j4}o33537=83;pD>:k;|l24405290:wE=;d:\7fm5571;3:1=vF<4e9~j466>=0;6<uG35f8yk779??1<7?tH26g?xh688<=6=4>{I17`>{i99;=;7>51zJ00a=zf8:::54?:0yK71b<ug;;=;750;3xL62c3td:<<8n:182\7fM53l2we==?9b;295~N4<m1vb<>>6b83>4}O;=n0qc??17f94?7|@:>o7p`>004f>5<6sA9?h6sa1135b?6=9rB88i5rn02235<728qC?9j4}o33527=83;pD>:k;|l24415290:wE=;d:\7fm5570;3:1=vF<4e9~j466?=0;6<uG35f8yk779>?1<7?tH26g?xh688==6=4>{I17`>{i99;<;7>51zJ00a=zf8::;54?:0yK71b<ug;;=:750;3xL62c3td:<<9n:182\7fM53l2we==?8b;295~N4<m1vb<>>7b83>4}O;=n0qc??16f94?7|@:>o7p`>005f>5<6sA9?h6sa1134b?6=9rB88i5rn022<5<728qC?9j4}o335=7=83;pD>:k;|l244>5290:wE=;d:\7fm557?;3:1=vF<4e9~j4660=0;6<uG35f8yk7791?1<7?tH26g?xh6882=6=4>{I17`>{i99;3;7>51zJ00a=zf8::454?:0yK71b<ug;;=5750;3xL62c3td:<<6n:182\7fM53l2we==?7b;295~N4<m1vb<>>8b83>4}O;=n0qc??19f94?7|@:>o7p`>00:f>5<6sA9?h6sa113;b?6=9rB88i5rn022=5<728qC?9j4}o335<7=83;pD>:k;|l244?5290:wE=;d:\7fm557>;3:1=vF<4e9~j4661=0;6<uG35f8yk7790?1<7?tH26g?xh6883=6=4>{I17`>{i99;2;7>51zJ00a=zf8::554?:0yK71b<ug;;=4750;3xL62c3td:<<7n:182\7fM53l2we==?6b;295~N4<m1vb<>>9b83>4}O;=n0qc??18f94?7|@:>o7p`>00;f>5<6sA9?h6sa113:b?6=9rB88i5rn022e5<728qC?9j4}o335d7=83;pD>:k;|l244g5290:wE=;d:\7fm557f;3:1=vF<4e9~j466i=0;6<uG35f8yk779h?1<7?tH26g?xh688k=6=4>{I17`>{i99;j;7>51zJ00a=zf8::m54?:0yK71b<ug;;=l750;3xL62c3td:<<on:182\7fM53l2we==?nb;295~N4<m1vb<>>ab83>4}O;=n0qc??1`f94?7|@:>o7p`>00cf>5<6sA9?h6sa113bb?6=9rB88i5rn022f5<728qC?9j4}o335g7=83;pD>:k;|l244d5290:wE=;d:\7fm557e;3:1=vF<4e9~j4650l0;6<uG35f8yx{zHIIp=<88b;::23>a8tJKNv>r@ARxyEF
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file virtex_13kW_fifo.vhd when simulating
+-- the core, virtex_13kW_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY virtex_13kW_fifo IS
+ port (
+ din: IN std_logic_VECTOR(31 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ almost_empty: OUT std_logic;
+ almost_full: OUT std_logic;
+ dout: OUT std_logic_VECTOR(31 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(16 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(16 downto 0));
+END virtex_13kW_fifo;
+
+ARCHITECTURE virtex_13kW_fifo_a OF virtex_13kW_fifo IS
+-- synthesis translate_off
+component wrapped_virtex_13kW_fifo
+ port (
+ din: IN std_logic_VECTOR(31 downto 0);
+ rd_clk: IN std_logic;
+ rd_en: IN std_logic;
+ rst: IN std_logic;
+ wr_clk: IN std_logic;
+ wr_en: IN std_logic;
+ almost_empty: OUT std_logic;
+ almost_full: OUT std_logic;
+ dout: OUT std_logic_VECTOR(31 downto 0);
+ empty: OUT std_logic;
+ full: OUT std_logic;
+ rd_data_count: OUT std_logic_VECTOR(16 downto 0);
+ wr_data_count: OUT std_logic_VECTOR(16 downto 0));
+end component;
+
+-- Configuration specification
+ for all : wrapped_virtex_13kW_fifo use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+ generic map(
+ c_rd_freq => 100,
+ c_wr_response_latency => 1,
+ c_has_srst => 0,
+ c_has_rd_data_count => 1,
+ c_din_width => 32,
+ c_has_wr_data_count => 1,
+ c_implementation_type => 2,
+ c_family => "virtex4",
+ c_has_wr_rst => 0,
+ c_wr_freq => 100,
+ c_underflow_low => 0,
+ c_has_meminit_file => 0,
+ c_has_overflow => 0,
+ c_preload_latency => 1,
+ c_dout_width => 32,
+ c_rd_depth => 131072,
+ c_default_value => "BlankString",
+ c_mif_file_name => "BlankString",
+ c_has_underflow => 0,
+ c_has_rd_rst => 0,
+ c_has_almost_full => 1,
+ c_has_rst => 1,
+ c_data_count_width => 17,
+ c_has_wr_ack => 0,
+ c_use_ecc => 0,
+ c_wr_ack_low => 0,
+ c_common_clock => 0,
+ c_rd_pntr_width => 17,
+ c_has_almost_empty => 1,
+ c_rd_data_count_width => 17,
+ c_enable_rlocs => 0,
+ c_wr_pntr_width => 17,
+ c_overflow_low => 0,
+ c_prog_empty_type => 0,
+ c_optimization_mode => 0,
+ c_wr_data_count_width => 17,
+ c_preload_regs => 0,
+ c_dout_rst_val => "0",
+ c_has_data_count => 0,
+ c_prog_full_thresh_negate_val => 131069,
+ c_wr_depth => 131072,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
+ c_has_valid => 0,
+ c_init_wr_pntr_val => 0,
+ c_prog_full_thresh_assert_val => 131070,
+ c_use_fifo16_flags => 0,
+ c_has_backup => 0,
+ c_valid_low => 0,
+ c_prim_fifo_type => "8kx4",
+ c_count_type => 0,
+ c_prog_full_type => 0,
+ c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_virtex_13kW_fifo
+ port map (
+ din => din,
+ rd_clk => rd_clk,
+ rd_en => rd_en,
+ rst => rst,
+ wr_clk => wr_clk,
+ wr_en => wr_en,
+ almost_empty => almost_empty,
+ almost_full => almost_full,
+ dout => dout,
+ empty => empty,
+ full => full,
+ rd_data_count => rd_data_count,
+ wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END virtex_13kW_fifo_a;
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$0a4\7f41<,[o}e~g`n;"2*447&;:%>-*>;1684567<2:;<?:401030>6609>0<?><1:30?4(79;1:47GAPTV9`lh;9>0;2<:4198LQQVR\3ndyy2>7;2=0>45L;;08<k44;KMTPR=x{elShc\7ftx]wlwct`591<3<:;58JJUSS2yxdkRkbpu{\pmtb{aUj\7f~k}t=194;423=0BB][[:qplcZcjx}sTxe|jsi]mabgsm591<3?k;58JJUSS2yxdkRhzlm]wlwct`591<3<;;58JJUSS2yxdkRhzlm]wlwct`Vkx\7fh|{<283:72<<3CE\XZ5psmd[cskdV~c~h}g_ogdeqc;;3:5=55;:NWWTPR=lh~jSnaznu>0>586j2>1CXZ_UU8q`Zbf|hUhcx`{<283:4d<<3E^X][[:vp\`drfWje~by2<:1<e?1pkp=:mj<?;8,330>0>;J>0;5K>2:5E0>1ANL>04=>>4:;@734<I980M<<4A308E6?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC43JF@?6MCR89@KHKN\]OO;6M]E@VF@4=C<2OJO5:4EBC36>@E:2LO86HKCD18BAV33ON[I95IFGG6?Cgk{l;0K>5HNE38M7=N8;1B=?5F239J7<=NF@^XXLZJ3:KMR6=NGK80@B84LNCGAA1<DFMBOLB;;MWW63=K]]8TH95CUU15?ISS;VF?7A[[479OQQ2XD<1FYUH:c:ObnjtQm{ybccm4MhllvScu{`ee?6@?129M552<F8:;86@>0068J465<2D:<>=4N030?K75;2D:?>5A1518J4343G;=?6@>729M5=5<F8397C<<;O037>H59:1E>?=4N310?K2>;2D>=>5A5508J35<F?887C8<3:L506=I><90B;8<;O447>H10:1E:4<4N618J2643G=:?6@8229M365<F>>87C9:3:L426=I?>90B:6<;O5:6>H?;2D3<>5A8008J<5<F0>87C7:3:L:36=I11l0BOQMURRJJZVUGYY<7CK[WNPH5>I53FA:7]:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U6=V9<30^h}zlu>3:d=Umz\7fgx1>11`9Qavsk|5:5>45]erwop979i2Xn\7fxb{<0<2e>Tb{|f\7f0<0=9:Pfwpjs4;4j7_k|umv?6;7f3[oxyaz32?0:?Wct}e~7?3o4Rdqvhq:468k0^h}zlu>0:77<[?1XCX\LE69PVIE6>L>0XT^J289V4*aun'xm#jb>.mqplcr(EqeySn`{r^gm[Wct}e~:?>5Z0.eqb+ta'nf:"a}|hgv,g5)Jkf\7fexRm`uov\|jt;87;:SD@Y_10g?P6(o{l%~k!hl0,owvna|&i;#@m`uov\gjsi|Vrd~1?1100g?P6(o{l%~k!hl0,owvna|&i;#@m`uov\gjsi|Vrd~1<1100`?P6(o{l%~k!hl0,owvna|&i;#@m`uov\gjsi|Vrd~1=11228Q5)`zo$yj"ic1/npwm`s'j:$Anaznu]`kphsWqey0>0>_RU175=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|33?3\WR5582_;#j|i.sd,ci7)dzycjy!l0.alqkr;878;7X> gsd-vc)`d8$g\7f~fit.a3+firf}6:2?>4U1-dvc(un&mg=#b|sidw+f6(kf\7fex1<1219V4*aun'xm#jb>.mqplcr(k9%hcx`{<2<2b>S7'nxm"\7fh gm3-hvuon}%h<"m`uov\44`<]9%l~k }f.eo5+jt{al\7f#n> cnwmpZ76n2_;#j|i.sd,ci7)dzycjy!l0.alqkrX:8l0Y=!hrg,qb*ak9'fx\7feh{/b2,gjsi|V98?6[?/fpe*w`(oe;%`~}gfu-`5*Kdg|d\7fSnaznu]{kw:768;TECXP03f8Q5)`zo$yj"ic1/npwm`s'j;$Anaznu]`kphsWqey0<0>13f8Q5)`zo$yj"ic1/npwm`s'j;$Anaznu]`kphsWqey0?0>13g8Q5)`zo$yj"ic1/npwm`s'j;$Anaznu]`kphsWqey0>0>100f?P6(o{l%~k!hl0,owvna|&i:#@m`uov\gjsi|Vrd~1=110004>S7'nxm"\7fh gm3-hvuon}%h="Clotlw[firf}Usc\7f2<>03\b0473\:$k\7fh!rg-dh4(k{zbmx"m>/bmvjq:76;:0Y=!hrg,qb*ak9'fx\7feh{/b3,gjsi|5;5>=5Z0.eqb+ta'nf:"a}|hgv,g4)dg|d\7f0?0=0:W3+bta&{l$ka?!lrqkbq)d9&idycz33?3e?P6(o{l%~k!hl0,owvna|&i:#naznu]35c=R8&myj#|i/fn2*iut`o~$o<!lotlw[47a3\:$k\7fh!rg-dh4(k{zbmx"m>/bmvjqY59o1^<"i}f/pe+bj6&eyxdkz c0-`kphsW:;h7X> gsd-vc)`d8$g\7f~fit.ampwYbf:>0Y=!hrg,qb*ak9'fx\7feh{/bpg+Heh}g~Tob{at^zlv96998UBB[Q?2d9V4*aun'xm#jb>.mqplcr(k{n$Anaznu]`kphsWqey0<0>13g8Q5)`zo$yj"ic1/npwm`s'jxo#@m`uov\gjsi|Vrd~1<1100g?P6(o{l%~k!hl0,owvna|&iyh"Clotlw[firf}Usc\7f2<>012?P6(o{l%~k!hl0,owvna|&iyh"Clotlw[firf}Usc\7f2<>0]PS7563\:$k\7fh!rg-dh4(k{zbmx"m}d.O`kphsWje~byQwos>0:4YT_:8:7X> gsd-vc)`d8$g\7f~fit.aq`*eh}g~7<3<>;T2,cw`)zo%l`< csrjep*eul&idycz31?02?P6(o{l%~k!hl0,owvna|&iyh"m`uov?6;463\:$k\7fh!rg-dh4(k{zbmx"m}d.alqkr;;78;7X> gsd-vc)`d8$g\7f~fit.aq`*eh}g~T<?>4U1-dvc(un&mg=#b|sidw+ftc'je~byQ>219V4*aun'xm#jb>.mqplcr(k{n$ob{at^014>S7'nxm"\7fh gm3-hvuon}%h~i!lotlw[6463\:$k\7fh!rg-dh4(k{zbmx"|nm^pg[`hXa88:7X> gsd-vc)`d8$g\7f~fit.pbiZquWldTe<<7;T2,cw`)zo%l`< csrjep*tt|z%ym`Qjmqvz[fijj;k0Y=!hrg,qb*ak9'fx\7feh{/sqww*tfeVof|ywPcnoa534f3\:$k\7fh!rg-dh4(k{zbmx"||tr-qehYbey~rSnabb331e>S7'nxm"\7fh gm3-hvuon}%y\7fy} r`o\ahvsqVidao<62`9V4*aun'xm#jb>.mqplcr(zz~x#\7fob_dosp|Ydgdh?8?74U1-dvc(un&mg=#b|sidw+wus{&xjaRkbpu{\gjke>;o0Y=!hrg,qb*ak9'fx\7feh{/sqww*tfeVof|ywPcnoa[hgw998o7X> gsd-vc)`d8$g\7f~fit.pppv)uidUna}zv_bmnfZkfx=8o7X> gsd-vc)`d8$g\7f~fit.pppv)uidUna}zv_bmnfZkfx>837X> gsd-vc)`d8$g\7f~fit.pppv)uidUna}zv_g`\m73<]9%l~k }f.eo5+jt{al\7f#\7f}{s.pbiZcjx}sTe?94U1-dvc(un&mg=#b|sidw+rus{&xjaRhzlm]`khd5i2_;#j|i.sd,ci7)dzycjy!xsuq,vdkXn|fgSnabb0326<=R8&myj#|i/fn2*iut`o~${~z|/scn[cskdVidao?:289V4*aun'xm#jb>.mqplcr(\7fz~x#\7fob_gwohZehek8>>45Z0.eqb+ta'nf:"a}|hgv,svrt'{kfSk{cl^alig50:01^<"i}f/pe+bj6&eyxdkz wrvp+wgjWo\7fg`Rm`mc6:6==R8&myj#|i/fn2*iut`o~${~z|/scn[cskdVidao;=d:W3+bta&{l$ka?!lrqkbq)p{}y$~lcPftno[fijjVgj|<>=d:W3+bta&{l$ka?!lrqkbq)p{}y$~lcPftno[fijjVgj|<8=c:W3+bta&{l$ka?!lrqkbq)p{}y$~lcPftno[fijjVgj|?<l;T2,cw`)zo%l`< csrjep*qt|z%ym`Qiumn\gjkeWdk{9?94U1-dvc(un&mg=#b|sidw+rus{&xjaRhzlm]efZo5<2_;#j|i.sd,ci7)dzycjy!xsuq,vdkXn|fgSd<<;T2,cw`)zo%l`< csrjep*qt|z%|~R||t^f25a=R8&myj#|i/lgn+buj&zg$hb{{_h]35a=R8&myj#|i/lgn+buj&zg$hb{{_h]25`=R8&myj#|i/lgn+buj&zg$hb{{_h]244c<]9%l~k }f.ofi*ate'yf#iazt^k\547b3\:$k\7fh!rg-nah)`{d$xa"j`uu]j[446m2_;#j|i.sd,i`k(ozg%\7f`!kotv\mZ749l1^<"i}f/pe+hcj'nyf"~c dnww[lY6<8o0Y=!hrg,qb*kbe&mxa#}b/emvpZoX9<;n7X> gsd-vc)jmd%l\7f` |m.flqqYnW8<:i6[?/fpe*w`(elg$k~c!sl-gkprXaV;<=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U9=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U8=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U?=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U>=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U==i5Z0.eqb+ta'dof#j}b.ro,`jssW`U<=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U3=i5Z0.eqb+ta'dof#j}b.ro,`jssW`U2>=5Z0.eqb+ta'dof#j}b.ro,`jssWdof0=0=1:W3+bta&{l$ahc gro-wh)cg|~Tahc311<15>S7'nxm"\7fh mdo,cvk){d%ocxzPmdo?548592_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;9;49=6[?/fpe*w`(elg$k~c!sl-gkprXelg7=>0=1:W3+bta&{l$ahc gro-wh)cg|~Tahc315<15>S7'nxm"\7fh mdo,cvk){d%ocxzPmdo?508592_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;9?49=6[?/fpe*w`(elg$k~c!sl-gkprXelg7=:0=0:W3+bta&{l$ahc gro-wh)cg|~Tahc31?03?P6(o{l%~k!bel-dwh(te&ndyyQbel>1:76<]9%l~k }f.ofi*ate'yf#iazt^ofi959:91^<"i}f/pe+hcj'nyf"~c dnww[hcj4=49<6[?/fpe*w`(elg$k~c!sl-gkprXelg793<?;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`29>328Q5)`zo$yj"cjm.epi+uj'me~xRcjm=5=65=R8&myj#|i/lgn+buj&zg$hb{{_lgn8=8582_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;179?7X> gsd-vc)jmd%l\7f` |m.epi4(te8%l~lc!grop_5[)o\7f>%\7f\7fb>6278Q5)`zo$yj"cjm.epi+uj'nyf=#}b1.eqeh(`{dyP==S!gw6-wwj6>:?0Y=!hrg,qb*kbe&mxa#}b/fqn5+uj9&mym` hslqX54[)o\7f>%\7f\7fb>6278Q5)`zo$yj"cjm.epi+uj'nyf=#}b1.eqeh(`{dyP=?S!gw6-wwj6>:?0Y=!hrg,qb*kbe&mxa#}b/fqn5+uj9&mym` hslqX56[)o\7f>%\7f\7fb>6278Q5)`zo$yj"cjm.epi+uj'nyf=#}b1.eqeh(`{dyP=9S!gw6-wwj6>:?0Y=!hrg,qb*kbe&mxa#}b/fqn5+uj9&mym` hslqX50[)o\7f>%\7f\7fb>6278Q5)`zo$yj"cjm.epi+uj'nyf=#}b1.eqeh(`{dyP=;S!gw6-wwj6>:?0Y=!hrg,qb*kbe&mxa#}b/fqn5+uj9&mym` hslqX52[)o\7f>%\7f\7fb>6268Q5)`zo$yj"cjm.epi+uj'nyf=#}b1.eqeh(`{dyP=P hv5,pvi71;=1^<"i}f/pe+hcj'nyf"~c gro2*vk6'nxja#i|mrY1Y+aq<'yy`<8<4:W3+bta&{l$ahc gro-wh)`{d;%\7f`? gscn*buj{R9V"jx;.rpo53533\:$k\7fh!rg-nah)`{d$xa"i|m0,pi4)`zhg%k~c|[5_-cs2){{f::>:4U1-dvc(un&gna"i|m/qn+buj9'yf="i}al,dwhu\=T$lz9 |rm3571=R8&myj#|i/lgn+buj&zg$k~c>.ro2+btfe'mxa~U9]/eu0+uud8<886[?/fpe*w`(elg$k~c!sl-dwh7){d;$k\7fob.fqnw^1Z&n|?"~|c1717?P6(o{l%~k!bel-dwh(te&mxa< |m0-dvdk)ozgxW5S!gw6-wwj6>:>0Y=!hrg,qb*kbe&mxa#}b/fqn5+uj9&mym` hslqX=X(`~=$x~a?9129V4*aun'xm#\7fob_sf\ak743\:$k\7fh!rg-qehYpzVoe=i5Z0.eqb+ta'{y\7fnae re]qwqYf{{ol>=5Z0.eqb+ta'{y\7fnae re]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*tcW{y\7fSnabb0f8Q5)`zo$yj"||tcnh+wbXzz~Tobcm10g8Q5)`zo$yj"||tcnh+wbXzz~T~hi30?3f?P6(o{l%~k!}su`oo*tcW{y\7fS\7fkh<0<2`>S7'nxm"\7fh rrvahn)ulVxxxR|jg^22`>S7'nxm"\7fh rrvahn)ulVxxxR|jg^32`>S7'nxm"\7fh rrvahn)pzVxxxRo|rde14>S7'nxm"\7fh rrvahn)pzVxxxRo|rde\`4473\:$k\7fh!rg-qwqdkc&}yS\7f}{_`qqabYc:h1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF;;7UQLOSG\MK@HWECXX;5Wdc]J`c=_laU[~dcYesqjkk773QnfS@oeosTfvvohf8:0TicPMhllvScu{`eeo6ocmnqw[`kw|ph0mac`su]eqij13kkhgx~k;cc`opvXehx`i\7f=4cmig?agsiVidycz'0(f8`drfWje~by&>)e9geqgXkf\7fex%<&d:fbpdYdg|d\7f$>'i;ecweZeh}g~7?7>17:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)79gmk.5!?1oec&<)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk.?!?1oec&6)79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;1<l`d7=808;ekm840912nbb1?8:1<4?aoi48=5:6jfn=3=2>bnf585:6jfn=1=2>bnf5>5:6jfn=7=2>bnf5<5:6jfn=5=2>bnf525:6jfn=;=3>bh}}";%:5kotv+5,><lf\7f\7f$<>&8:flqq.69 20hb{{(00*<>bh}}":?$64dnww,42.02ndyy&>5(:8`jss 8<"46j`uu*23,1<lf\7f\7f$?'8;emvp-5.?2ndyy&;)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$94dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=2l5kotv?52<7611ocxz316<4?air|5;5;6j`uu>1:2=cg|~7?394dnww81803me~x1;17:flqq:16>1ocxz37?58`jss414<7iazt=;=<>ccao8eki;4elrw}7><n`ldSjkaescwkwYq<V8',Na}efgm$<(5&9>bSd~=4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#::6~}of]fiur~W}byi~f33;2=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'0(3a?uthoVof|ywPtipfwmYf{zoyx%?&1c9svjaXmdz\7fuRzgrdqk[dutm{~#>$?m;qplcZcjx}sTxe|jsi]bwvcu|!9"=i5\7frne\ahvsqV~c~h}g_`qpawr;;3:5=o5\7frne\ahvsqV~c~h}g_ogdeqc/8 ;i7}|`g^gntq\7fX|axn\7feQaefcwa-7.9k1{~biPelrw}ZrozlycSckhaug+6,7e3yxdkRkbpu{\pmtb{aUeijo{e)1*5a=wzfmTi`~{y^vkv`uoWgolmyk33;2==>vugnUmyab>3:rqkbYa}efTxe|jsi*3-45<x{elSk{cl^vkv`uo 8#:?6~}of]eqijX|axn\7fe&=)018twi`Wo\7fg`Rzgrdqk,6/6=2zycjQiumn\pmtb{a686=0>a:rqkbYa}efTxe|jsi]bwvcu|!:"=l5\7frne\bpjkW}byi~fParqfvq.6!8k0|\7fah_gwohZrozlycSl}|esv+6,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(2+2g>vugnUmyabPtipfwmYf{zoyx1=50?3b?uthoVl~`aQ{hsgplZhboh~n$='>a:rqkbYa}efTxe|jsi]mabgsm!;"=l5\7frne\bpjkW}byi~fPndebp`.5!8k0|\7fah_gwohZrozlycSckhaug+7,7d3yxdkRhzlm]wlwct`Vdnklzj<283:3=ulVigg<>4re]geqgXkf\7fex%>&119q`Zbf|hUhcx`{(0+24>tcWmk\7fmRm`uov+6,773{nThlzn_bmvjq.4!8:0~iQkauc\gjsi|5:5=?5}d^fbpdYdg|d\7f0>4?>49q`Zci>2xoS\7f}{3:ppp2=tj`~n~\7f:4ssqw5a=sey%Toj!wimkm|Z`nnfU:4u?9.oel=>sillxm`by:;wcoma0<\7f{Ujof84ws]`hn773~xThlzn_bmvjq.7!8:0{\7fQkauc\gjsi|!;"==5xr^fbpdYdg|d\7f$?'>0:uq[agsiVidycz'3(31?rtXlh~jSnaznu>0>5823~xTic84ws]qwq763qcgecvPfhdl[4>\7f9?8i7ugcioz\bl`hW82s=;Qiigm\c`hbzh~d~Rx;_3]{wqY6uIJ{8=o4@Az2f<<A2=0:w^<6:0:5>=<6;;2n5i4=581`\7fk75n3;0b<=?:59'57b=9;=0q^<7:0:5>=<6;;2n5i4=581`?V5a282i6=4>33:f=a<5=09n7^<7:0:a>5<6;;2n5i4=581e?a7d=3:1=7?tS3;95=0=03;8>5k6d;06=6e<,88i6<67;wV:1?6=93;1;:u\2882<3<?28994h7k:37:7f=#9;<18=5Y13g96~s303;0y9750:\7f'<7<6>2h:o84?:3c97?4fsA;986T=3;0xe??=u-3<6<m:;%31f?7?02P:>n4={0:;>4>?2tc:n94?::k2g6<722e:444?::m2<2<722c:?84?::k2f3<722e::l4?:%:7>41e3g286=54o04:>5<#0=0:;o5a8282?>i6>10;6)6;:05a?k>42;10c<88:18'<1<6?k1e4>4<;:m223<72-2?6<9m;o:0>1=<g8<>6=4+85823g=i0:0>76a>6583>!>328=i7c6<:798k404290/494>7c9m<6<032e:;?4?:%:7>41e3g286554o052>5<#0=0:;o5a828:?>i6?90;6)6;:05a?k>42h10c<8i:18'<1<6?k1e4>4m;:m22`<72-2?6<9m;o:0>f=<g8<o6=4+85823g=i0:0o76a>6b83>!>328=i7c6<:d98k40e290/494>7c9m<6<a32e::?4?:%:7>41e3g286<>4;n355?6=,1>1=:l4n91954=<a8>>6=4+858213=i0:0;76g>4583>!>328?=7c6<:098m424290/494>579m<6<532c:8?4?:%:7>4313g286>54i062>5<#0=0:9;5a8287?>o6<90;6)6;:075?k>42<10e<=i:18'<1<6=?1e4>49;:k27`<72-2?6<;9;o:0>2=<a8>o6=4+858213=i0:0376g>4b83>!>328?=7c6<:898m42e290/494>579m<6<f32c:8l4?:%:7>4313g286o54i06:>5<#0=0:9;5a828`?>o6<10;6)6;:075?k>42m10e<:8:18'<1<6=?1e4>4j;:k203<72-2?6<;9;o:0>c=<a89o6=4+858213=i0:0:<65f12a94?"?<3;>:6`73;32?>d6:<0;6<4?:1yK572<,0=1=?;4o9394?=zj:?1<7?50;2xL4433-3<6>;4o2694?=zj;81<78?:72932}O9;>0V?=517yg>47=9=0n6<;5118b><<6:3;86k4>3;36>d<6<3o157?=:03955<a2m0v(4951b48 6b=;:1/=?l519:8 2b=091d=>?50;9j5g3=831b=4?50;&;0?7>:2d3?7>4;h3:4?6=,1>1=4<4n9195>=n91l1<7*74;3:6>h?;3807d?7e;29 =2=9080b5=53:9l5<b=831b=o650;9l5gd=831b=l850;&;0?7f?2d3?7>4;h3b1?6=,1>1=l94n9195>=n9h>1<7*74;3b3>h?;3807d?n3;29 =2=9h=0b5=53:9j5g2=831d=5j50;9l5=e=831b=>:50;9l5g7=83.387?m3:l;7?6<3f;i<7>5$9695g5<f191=65`1`d94?"?<3;i?6`73;08?j7fm3:1(5:51c18j=5=;21b=4850;&;0?7>?2d3?7>4;h3:1?6=,1>1=494n9195>=n90>1<7*74;3:3>h?;3807d?63;29 =2=90=0b5=53:9j5f5=831d=n?50;&;0?7d:2d3?7>4;n3`4?6=,1>1=n<4n9195>=h9kl1<7*74;3`6>h?;3807b?me;29 =2=9j80b5=53:9l5=?=831d=5950;9j5gb=831b=l?50;&;0?7f:2d3?7>4;h3b4?6=,1>1=l<4n9195>=n90l1<7*74;3b6>h?;3807d?6e;29 =2=9h80b5=53:9j5=g=831b=>;50;9j5f2=831d=o950;9l5ge=831d=oo50;9j5g0=831d=;o50;&;0?70j2d3?7>4;n35=?6=,1>1=:l4n9195>=h9?21<7*74;34f>h?;3807b?97;29 =2=9>h0b5=53:9l530=83.387?8b:l;7?2<3f;=97>5$96952d<f191965`17694?"?<3;<n6`73;48?j71;3:1(5:516`8j=5=?21d=:<50;&;0?70j2d3?764;n345?6=,1>1=:l4n919=>=h9>:1<7*74;34f>h?;3k07b?9f;29 =2=9>h0b5=5b:9l53c=83.387?8b:l;7?e<3f;=h7>5$96952d<f191h65`17a94?"?<3;<n6`73;g8?j71j3:1(5:516`8j=5=n21d=;<50;&;0?70j2d3?7??;:m224<72-2?6<9m;o:0>47<3`;?97>5$969500<f191<65f15694?"?<3;>:6`73;38?l73;3:1(5:51448j=5=:21b=9<50;&;0?72>2d3?7=4;h375?6=,1>1=884n9190>=n9=:1<7*74;362>h?;3?07d?<f;29 =2=9<<0b5=56:9j56c=83.387?:6:l;7?1<3`;?h7>5$969500<f191465f15a94?"?<3;>:6`73;;8?l73j3:1(5:51448j=5=i21b=9o50;&;0?72>2d3?7l4;h37=?6=,1>1=884n919g>=n9=21<7*74;362>h?;3n07d?;7;29 =2=9<<0b5=5e:9j510=83.387?:6:l;7?`<3`;8h7>5$969500<f191==54i01`>5<#0=0:9;5a82825>=h9:81<75f12194?=n9hh1<7*74;3bg>h?;3:07d?na;29 =2=9hi0b5=51:9j5d?=83.387?nc:l;7?4<3`;j47>5$9695de<f191?65`12;94?"?<3;8m6`73;28?j7403:1(5:512c8j=5=921d=>950;&;0?74i2d3?7<4;n302?6=,1>1=>o4n9197>=h9:h1<75f18`94?"?<3;2o6`73;28?l7>i3:1(5:518a8j=5=921b=4750;&;0?7>k2d3?7<4;h3:<?6=,1>1=4m4n9197>=n9hn1<75m13;94?7=83:p(495349K57><@88?7b=;:188yg7613:1?7>50z&:3?4b3A;946F>259K64=#;k0:7d<;:188m7g=831d4;4?::\7fa574=8391<7>t$8596`=O9;20D<<;;I02?!5e281b>94?::k1e?6=3f2=6=44}c32<?6=;3:1<v*67;0f?M7502B:>95G209'7g<63`8?6=44i3c94?=h0?0;66sm13294?3=83:p(495309K57><@88?7E<>;%1a>4=n:=0;66g=5;29?l4f2900e5;50;9l<3<722wi=??50;194?6|,0=1>h5G13:8L4433A8:7)=m:09j61<722c9m7>5;n:5>5<<uk;:;7>55;294~">?39:7E?=8:J261=O:81/?o4>;h07>5<<a;?1<75f2`83>>o?=3:17b69:188yg76n3:187>50z&:3?573A;946F>259'7g<63`8?6=44i3c94?=n0<0;66a76;29?xd69l0;694?:1y'=2<482B:>55G1368 6d=92c987>5;h0b>5<<a1?1<75`8783>>{eko0;694?:1y'=2<482B:>55G1368 6d=?2c987>5;h0b>5<<a1?1<75`8783>>{el90;694?:1y'=2<482B:>55G1368 6d=?2c987>5;h0b>5<<a1?1<75`8783>>{elm0;694?:1y'=2<482B:>55G1368 6d=92c987>5;h0b>5<<a1?1<75`8783>>{ell0;694?:1y'=2<482B:>55G1368 6d=?2c987>5;h0b>5<<a1?1<75`8783>>{elj0;694?:1y'=2<482B:>55G1368 6d=92c987>5;h0b>5<<a1?1<75`8783>>{ej80;684?:1y'=2<492B:>55G1368 6d=?2c987>5;h06>5<<a;k1<75f8483>>i?>3:17plm2;291?6=8r.2;7<i;I31<>N6:=1/?o4>;h07>5<<a;?1<75f2683>>o5i3:17b69:188ygd4290>6=4?{%;4>7`<@8837E?=4:&0f?7<a;>1<75f2483>>o5?3:17d<n:188k=0=831vnl=50;794?6|,0=1>k5G13:8L4433-9i6<5f2583>>o5=3:17d<8:188m7g=831d4;4?::\7fae1<72<0;6=u+9681b>N6:11C=?:4$2`95>o5<3:17d<::188m71=831b>l4?::m;2?6=3thj97>55;294~">?38m7E?=8:J261=#;k0:7d<;:188m73=831b>:4?::k1e?6=3f2=6=44}cc5>5<2290;w)78:3d8L44?3A;986*<b;38m72=831b>84?::k13?6=3`8j6=44o9494?=zjk>1<7;50;2x <1=:o1C=?64H007?!5e281b>94?::k11?6=3`8<6=44i3c94?=h0?0;66smc183>0<729q/5:4<1:J26==O9;>0(>l57:k10?6=3`8>6=44i3c94?=n0<0;66a76;29?xdd93:197>50z&:3?563A;946F>259'7g<03`8?6=44i3794?=n:h0;66g75;29?j>12900qom=:186>5<7s-3<6>?4H00;?M75<2.8n794i3694?=n:<0;66g=a;29?l>22900c5850;9~ff5=83?1<7>t$85974=O9;20D<<;;%1a>2=n:=0;66g=5;29?l4f2900e5;50;9l<3<722wi===50;;94?6|,0=15;5G13:8L4433-9i6?5f1e83>>o6m3:17d?i:188m76=831b>84?::k12?6=3`8j6=44o9494?=h0>0;66sm11a94??=83:p(495979K57><@88?7)=m:39j5a<722c:i7>5;h3e>5<<a;:1<75f2483>>o5>3:17d<n:188k=0=831d4:4?::\7fa55b=8331<7>t$859=3=O9;20D<<;;%1a>7=n9m0;66g>e;29?l7a2900e?>50;9j60<722c9:7>5;h0b>5<<g1<1<75`8683>>{e99o1<7750;2x <1=1?1C=?64H007?!5e2;1b=i4?::k2a?6=3`;m6=44i3294?=n:<0;66g=6;29?l4f2900c5850;9l<2<722wi==h50;;94?6|,0=15;5G13:8L4433-9i6?5f1e83>>o6m3:17d?i:188m76=831b>84?::k12?6=3`8j6=44o9494?=h0>0;66sm10294??=83:p(495979K57><@88?7)=m:39j5a<722c:i7>5;h3e>5<<a;:1<75f2483>>o5>3:17d<n:188k=0=831d4:4?::\7fa547=8331<7>t$859=3=O9;20D<<;;%1a>7=n9m0;66g>e;29?l7a2900e?>50;9j60<722c9:7>5;h0b>5<<g1<1<75`8683>>{e9881<7750;2x <1=1?1C=?64H007?!5e2;1b=i4?::k2a?6=3`;m6=44i3294?=n:<0;66g=6;29?l4f2900c5850;9l<2<722wi=<=50;;94?6|,0=15;5G13:8L4433-9i6?5f1e83>>o6m3:17d?i:188m76=831b>84?::k12?6=3`8j6=44o9494?=h0>0;66sm10694??=83:p(495979K57><@88?7)=m:39j5a<722c:i7>5;h3e>5<<a;:1<75f2483>>o5>3:17d<n:188k=0=831d4:4?::\7fa552=8331<7>t$859=3=O9;20D<<;;%1a>7=n9m0;66g>e;29?l7a2900e?>50;9j60<722c9:7>5;h0b>5<<g1<1<75`8683>>{e99?1<7750;2x <1=1?1C=?64H007?!5e2;1b=i4?::k2a?6=3`;m6=44i3294?=n:<0;66g=6;29?l4f2900c5850;9l<2<722wi==850;;94?6|,0=15;5G13:8L4433-9i6?5f1e83>>o6m3:17d?i:188m76=831b>84?::k12?6=3`8j6=44o9494?=h0>0;66sm11594??=83:p(495979K57><@88?7)=m:39j5a<722c:i7>5;h3e>5<<a;:1<75f2483>>o5>3:17d<n:188k=0=831d4:4?::\7fa55>=8331<7>t$859=3=O9;20D<<;;%1a>7=n9m0;66g>e;29?l7a2900e?>50;9j60<722c9:7>5;h0b>5<<g1<1<75`8683>>{e9931<7750;2x <1=1?1C=?64H007?!5e2;1b=i4?::k2a?6=3`;m6=44i3294?=n:<0;66g=6;29?l4f2900c5850;9l<2<722wi==o50;;94?6|,0=15;5G13:8L4433-9i6?5f1e83>>o6m3:17d?i:188m76=831b>84?::k12?6=3`8j6=44o9494?=h0>0;66sm11`94??=83:p(495979K57><@88?7)=m:39j5a<722c:i7>5;h3e>5<<a;:1<75f2483>>o5>3:17d<n:188k=0=831d4:4?::\7faa=<72<0;6=u+9681b>N6:11C=?:4$2`95>o5<3:17d<::188m71=831b>l4?::m;2?6=3thn;7>55;294~">?38m7E?=8:J261=#;k0:7d<;:188m73=831b>:4?::k1e?6=3f2=6=44}cg5>5<2290;w)78:3d8L44?3A;986*<b;38m72=831b>84?::k13?6=3`8j6=44o9494?=zjl?1<7;50;2x <1=:o1C=?64H007?!5e281b>94?::k11?6=3`8<6=44i3c94?=h0?0;66sme583>0<729q/5:4=f:J26==O9;>0(>l51:k10?6=3`8>6=44i3594?=n:h0;66a76;29?xdb;3:197>50z&:3?4a3A;946F>259'7g<63`8?6=44i3794?=n:>0;66g=a;29?j>12900qok=:186>5<7s-3<6?h4H00;?M75<2.8n7?4i3694?=n:<0;66g=7;29?l4f2900c5850;9~f`7=83?1<7>t$8596c=O9;20D<<;;%1a>4=n:=0;66g=5;29?l402900e?o50;9l<3<722wij=4?:483>5}#1>09j6F>299K572<,:h1=6g=4;29?l422900e?950;9j6d<722e3:7>5;|`fb?6==3:1<v*67;0e?M7502B:>95+3c82?l432900e?;50;9j62<722c9m7>5;n:5>5<<ukon6=4::183\7f!?02;l0D<<7;I310>"4j3;0e?:50;9j60<722c9;7>5;h0b>5<<g1<1<75rbdf94?3=83:p(4952g9K57><@88?7)=m:09j61<722c997>5;h04>5<<a;k1<75`8783>>{emj0;684?:1y'=2<5n2B:>55G1368 6d=92c987>5;h06>5<<a;=1<75f2`83>>i?>3:17pljb;291?6=8r.2;7<i;I31<>N6:=1/?o4>;h07>5<<a;?1<75f2683>>o5i3:17b69:188ygcf290>6=4?{%;4>7`<@8837E?=4:&0f?7<a;>1<75f2483>>o5?3:17d<n:188k=0=831vnh750;794?6|,0=1>k5G13:8L4433-9i6<5f2583>>o5=3:17d<8:188m7g=831d4;4?::\7faa5<72<0;6=u+9681b>N6:11C=?:4$2`95>o5<3:17d<::188m71=831b>l4?::m;2?6=3thoj7>55;294~">?38m7E?=8:J261=#;k0:7d<;:188m73=831b>:4?::k1e?6=3f2=6=44}c32f?6=;3:1<v*67;62?M7502B:>95+3c8`?l502900e>650;9l3c<722win54?:483>5}#1>0?96F>299K572<,:h1=55f3683>>o403:17d=6:188m6g=831d;k4?::\7fae<<72<0;6=u+96871>N6:11C=?:4$2`95==n;>0;66g<8;29?l5>2900e>o50;9l3c<722wi5o4?:483>5}#1>0?96F>299K572<,:h1=55f3683>>o403:17d=6:188m6g=831d;k4?::\7fa`7<72=0;6=u+96877>N6:11C=?:4$2`95c=n;>0;66g<8;29?l5>2900c:h50;9~fa3=83?1<7>t$85900=O9;20D<<;;%1a>6=n;>0;66g<8;29?l5>2900e>o50;9l3c<722wih;4?:483>5}#1>0?96F>299K572<,:h1>n5f3683>>o403:17d=6:188m6g=831d;k4?::\7fag=<72<0;6=u+96871>N6:11C=?:4$2`977=n;>0;66g<8;29?l5>2900e>o50;9l3c<722wio84?:283>5}#1>0?=6F>299K572<,:h1o6g<7;29?l5?2900c:h50;9~ffd=8391<7>t$85904=O9;20D<<;;%1a>f=n;>0;66g<8;29?j1a2900qom6:180>5<7s-3<69?4H00;?M75<2.8n7<n;h14>5<<a:21<75`7g83>>{ekh0;684?:1y'=2<3=2B:>55G1368 6d=:k1b?:4?::k0<?6=3`926=44i2c94?=h?o0;66smc583>6<729q/5:4;1:J26==O9;>0(>l5c:k03?6=3`936=44o6d94?=zj021<7;50;2x <1=<<1C=?64H007?!5e2k1b?:4?::k0<?6=3`926=44i2c94?=h?o0;66smd583>0<729q/5:4;5:J26==O9;>0(>l56:k03?6=3`936=44i2;94?=n;h0;66a8f;29?xde?3:197>50z&:3?223A;946F>259'7g<6?2c8;7>5;h1;>5<<a:31<75f3`83>>i0n3:17pln8;291?6=8r.2;7::;I31<>N6:=1/?o4>7:k03?6=3`936=44i2;94?=n;h0;66a8f;29?xd>i3:197>50z&:3?223A;946F>259'7g<6?2c8;7>5;h1;>5<<a:31<75f3`83>>i0n3:17plmb;290?6=8r.2;7:<;I31<>N6:=1/?o4>9:k03?6=3`936=44i2;94?=h?o0;66smb883>0<729q/5:4;5:J26==O9;>0(>l51b9j72<722c847>5;h1:>5<<a:k1<75`7g83>>{e1l0;694?:1y'=2<3;2B:>55G1368 6d=901b?:4?::k0<?6=3`926=44o6d94?=zj0i1<7;50;2x <1=<<1C=?64H007?!5e28i0e>950;9j7=<722c857>5;h1b>5<<g>l1<75rbc494?4=83:p(4953b9K57><@88?7d=9:188k2`=831vnl950;094?6|,0=1?n5G13:8L4433`9=6=44o6d94?=zj031<7<50;2x <1=;j1C=?64H007?l512900c:h50;9~f44f290:6=4?{%;4>4423A;946F>259l<4<722wiml4?:483>5}#1>0?96F>299K572<,:h1=l5f3683>>o403:17d=6:188m6g=831d;k4?::\7faeg<72<0;6=u+96871>N6:11C=?:4$2`95g=n;>0;66g<8;29?l5>2900e>o50;9l3c<722wimn4?:583>5}#1>0?;6F>299K572<a:=1<75f3983>>o><3:17b9i:188ygb0290?6=4?{%;4>12<@8837E?=4:&0f?4c3`9<6=44i2:94?=n;00;66a<e;29?xdd?3:197>50z&:3?213A;946F>259'7g<33`9<6=44i2:94?=n;00;66g<a;29?j5b2900qoj<:187>5<7s-3<69<4H00;?M75<2.8n7<n;h14>5<<a:21<75`3d83>>i0n3:17plma;291?6=8r.2;7:9;I31<>N6:=1/?o4:;h14>5<<a:21<75f3883>>o4i3:17b=j:188yg?c290>6=4?{%;4>10<@8837E?=4:&0f?3<a:=1<75f3983>>o413:17d=n:188k6c=831v\7f<=::180a~X6;<16=<75259>574=:=16=<65259>576=:=16=??5259>541=:=16=<h5259>54c=:=16ok4=4:?g4?4334no6?:4=eg961=:lj09863m1;07?8d52;>01o=5259>e6<5<27j87<;;<c6>72<5h<1>952b5810>;d838?70m>:3689f4=:=16o>4=4:?246<5>27:<n4=6:?24a<5>27:<h4=6:?24c<5>27:==4=6:?254<5>27:=?4=6:?256<5>27:=94=6:?241<5>27:<84=6:?243<5>27:<:4=6:?24=<5>27:<44=6:?24d<5>27:<o4=6:?f<?4334o<6?:4=d4961=:m<09863j4;07?8c42;>01h<5259>a4<5<27m<7<;;<ge>72<5lo1>952ee810>;bk38?70km:3689`g=:=16i44=4:?f4?4334nm6?:4}r3a0?6=krT:n952cc80<>;d<3937077:2;89a2=;h16n:4<a:?b<?5f343j6>o4=e597==:l:08;63ma;1b?8?c2:k0q~?m2;295f}Y9:h0R<==;_3ag>X6jh1U=>?4^0`4?[7?k2T:no5Q19f8Z4?c3W;856P>399]561<V89=7S?l1:\2g5=Y9kl0R<lj;_3a5>X6j91U=lh4^0cf?875139?70?=0;0b?876?38j70?>f;0b?876m38j70jj:3c8yv7e>3:1?vP>b79>576=0<16=<95849~w4>02909wS?77:?g4?>13ty:o>4?:4y]5f5<5m?1?:52c480<>;d139<7077:258yv7?13:1>vP>889>`a<?>2wx=:m50;0xZ40f34o36584}r34e?6=:rT::452e68;2>{t9>31<7<t^04;?8c121<0q~?88;296~X6>>16i8476:\7fp521=838pR<89;<g7>=0<uz;<:7>52z\220=:m:03:6s|16794?4|V8<?70k=:948yv70<3:1>vP>629>a4<?>2wx=5;50;0xZ41534l;6584}r3;0?6=:rT:;<52eg8;2>{t9191<7<t^053?8cb21<0q~?72;296~X6>o16ii476:\7fp5=7=838pR<8j;<g`>=0<uz;3<7>52z\22a=:mk03:6s|16d94?4|V8<h70kn:948yv70m3:1>vP>6c9>a<<?>2wx=:j50;0xZ40534o;6584}r347?6=:rT::<52dg8;2>{t9<=1<7<t^066?877j38j7p}>5483>7}Y9=>01<>n:3c8yv72<3:1>vP>429>55?=:h1v\7f<;<:181\7f[73:27:<54=a:\7fp504=838pR<:>;<333?4f3ty:9<4?:3y]516<58:=6?o4}r364?6=:rT:?k5211796d=z{8>m6=4={_30a>;68=09m6s|17294?4|V8>o70?>4;0b?xu6=o0;6?uQ15a894742;k0q~?:e;296~X6<k16=<<52`9~w43c2909wS?;a:?254<5i2wx=8m50;0xZ42>34;:<7<n;|q21g<72;qU=964=02e>7g<uz;>m7>52z\202=:99o1>l5rs07:>5<5sW;?:63>0e81e>{t9<21<7<t^01g?877k38j7p}>4d83>7}Y9:i01<><:3c8yv7603:1?v3>1881e>;69103:63>16811>{t9831<7<t=03:>=0<58;i6>94}r315?6=;r7:>?4=a:?265<5=27:><476:\7fp574=83;p1<<=:948yv76?3:1?v3>1981e>;69>03:63>1c80<>{t9;:1<7<t=003>=0<588:6?o4}r32e?6=;r7:=k475:?25`<?=27:=o48f:\7fp54b=83;8w0?>f;:5?8ea21?01i>5849>`a<?=27oi76:;<f`>=3<5k;14852b3813>;e;38<70o<:3589d2=:>16m84=7:?b2?4034h?6?94=b29<0=:k803963l2;:6?8e421?01i<5389~w47d290:?v3>1d8;2>;b038<70k8:3589`0=:>16i84=7:?f0?4034o86?94=d0962=:m809;63i0;04?8ca2;=01hk5269>aa<5?27no7<8;<ga>71<5lk1>:52e8813>;b838<70ji:358yve12908w0mi:3c89a6=:h16ol48f:\7fpgc<72jq6ok476:?`e?5034i?6>94=8:97d=:l=08;63m7;14?8g?2:=014o5369>f<<4?272o7=8;<f4>61<5m91?55rse394?5|5mn1>l52db81e>;c>3=m7p}ke;296~;cm32=70j=:2:8yvbd290=w0jl:9489a4=;>16h84<8:?`1?5034i26>64=8:97==z{k?1<7jt=c3960=:j;09963m3;06?8g42;?01l:5249>e0<5=27j:7<:;<`7>73<5j:1>852c0811>;d:38>70m<:3789<>=?o1v\7f5650;0x9g7=:h16m:48f:\7fpea<72>q6n<476:?b=?5?34n>6>74=`:97<=:i>08:63na;1;?8ge2:20q~6m:181\7f8d52;k01l657g9~wdc=83<p1o<5879>e<<4i27o87=7;<c;>6><5hk1?452ac80=>{t0l0;6?u2b281e>;f13=m7p}nf;291~;e;32=70o6:2;89a2=;016ml4<a:?bf?5f3ty357>52z?b7?4f34326:h4}r;e>5<?s4k86584=8`97==:k108;636a;1:?8?b2:301475379>g2<4?272h7=6;|q;g?6=:r7j87<n;<;b>2`<uzk;6=48{<c7>=0<50h1?l52c980<>;>i393707j:2:89f1=;h165i4<8:\7fp<c<72;q6m84=a:?:f?1a3tyj=7>56z?b1?>1343i6>74=b:97<=:1l08;63l7;1;?8?c2:=0q~7=:181\7f8g12;k014m57g9~wd4=83>p1l85879>g=<4i27hn7=8;<;`>6><uz3:6=4={<`7>7g<5hi1;k5rsc294?5|5k>14;52d480e>;fk33?7p}7a;296~;d838j70l9:6d8yvdd290:4v3l0;:5?877;3;o70??c;3g?877l3;o70??e;3g?877n3;o70?>0;3g?87693;o70?>2;3g?876;3;o70?>4;3g?877<3;o70??5;3g?877>3;o70??7;3g?87703;o70??9;3g?877i3;o70??b;3g?8d?2:201o95389>fg<4127i:7=9;<`b>6?<uz2o6=4={<a2>7g<5k=1;k5rscf94?70s4i:6584=020>4c<58:h6<k4=02g>4c<58:n6<k4=02e>4c<58;;6<k4=032>4c<58;96<k4=030>4c<58;?6<k4=027>4c<58:>6<k4=025>4c<58:<6<k4=02;>4c<58:26<k4=02b>4c<58:i6<k4=c:97d=:j>08463mb;1;?8df2:20q~7?:181\7f8e52;k01o657g9~wgc=83;=w0m=:948946428l01<>l:0d8946c28l01<>j:0d8946a28l01<??:0d8947628l01<?=:0d8947428l01<?;:0d8946328l01<>::0d8946128l01<>8:0d8946?28l01<>6:0d8946f28l01<>m:0d89g>=;016no4<7:?ae?503ty2?7>52z?`7?4f34h26:h4}r`e>5<6<r7h?769;<337?4734;;o7<?;<33`?4734;;i7<?;<33b?4734;:<7<?;<325?4734;:>7<?;<327?4734;:87<?;<330?4734;;97<?;<332?4734;;;7<?;<33<?4734;;57<?;<33e?4734;;n7<?;<`:>6><uz;::7>512y>555=:<16==m5249>55b=:<16==k5249>55`=:<16=<>5249>547=:<16=<<5249>545=:<16=<:5249>552=:<16==;5249>550=:<16==95249>55>=:<16==75249>55g=:<16==l5249>g0<0n2wxj<4?:3y>555=0?16hk4=a:\7fpbd<72;q6==m5879>a5<5i2wxjo4?:3y>55b=0?16i44=a:\7fpbf<72;q6==k5879>ad<5i2wxji4?:3y>55`=0?16io4=a:\7fpb`<72;q6=<>5879>af<5i2wxjk4?:3y>547=0?16ii4=a:\7fp556=838p1<?=:9489`c=:h1v\7f<>>:181\7f876;32=70ki:3c8yv77:3:1>v3>158;2>;a838j7p}i2;296~;68=03:63j1;0b?xua;3:1>v3>048;2>;b:38j7p}i4;296~;68?03:63j3;0b?xua=3:1>v3>068;2>;b<38j7p}i6;296~;68103:63j5;0b?xua?3:1>v3>088;2>;b>38j7p}i8;296~;68h03:63j7;0b?xua13:1>v3>0c8;2>;b038j7p}>1483>45|5l21>852e6811>;b>38>70k::3789`2=:<16i>4=5:?f6?4234o:6?;4=g2960=:mo09963je;06?8cc2;?01hm5249>ag<5=27nm7<:;<g:>73<5l:1>852dg811>;d<3=m7p};f;296~;e039<70j<:2g8yv2f290>w0o6:2589<d=;>16ml4<7:?bf?5034n86:h4}rfa>5<5s4n96:h4=e497==z{m31<7<t=e793c=:l?08;6s|d983>7}:l?08563k4;5e?xuci3:1>v3k6;1b?8b02:o0q~;<:180\7f8e?2>l01no53`9>`2<412wxoi4?:3y>gg<0n27h;7=6;|q`g?6=:r7h579i;<ab>6><uzin6=4={<ab>6?<5j=1?h5rs6c94?4|5kh1;k52b880e>{t?00;6?u2b880=>;ei39n7p}8c;296~;>m3=m707l:2c8yv1e2909w07l:2;89<b=;l1v\7f9m50;3x944f21;0q~:k:181\7f8gf2>l01lm5399~w1c=838p1ll57g9>ef<4?2wv\7f<=::181\7f[74=279>7?<5:&266<212wx=8950;0xZ42234896<::;%317?063ty:984?:3y]512<5;81=9:4$000>3g<uz;>87>52z\206=::;0:8>5+131930=z{8?86=4={_376>;5:3;?>6*>2284<>{t9<81<7<t^062?84528>:7)?=3;6a?xu6=80;6?uQ1528974=9=:0(<<<:428yv7283:1>vP>3g9>67<6;o1/=?=5509~w42a2909wS?<e:?16?74m2.:>>4:2:\7fp536=838pR<:k;<01>42c3-;9?7;<;|q21c<72;qU=9m4=30951e<,88868:4}r36a?6=:rT:8o5223820g=#9;91985rs07g>5<5sW;?m63=2;37e>"6::0>:6s|14a94?4|V8>270<=:06:?!75;3?<7p}>5c83>7}Y9=201?<515:8 4442<20q~?:a;296~X6<>16>?4>469'575==h1v\7f<;6:181\7f[73>279>7?;6:&266<2j2wx=8650;0xZ45c34896<=k;%317?3d3ty:8h4?:3y]56e<5;81=>m4$000>0b<uz;i87>52z\2f1=::;0:n95+13191`=z{8h=6=4={_3a2>;5:3;i:6*>2286b>{t9j91<7<t^0a0?84528i87)?=3;43?xu6?j0;6?uQ17c8974=9?k0(<<<:708yv70i3:1>vP>689>67<6>01/=?=5629~w41>2909wS?98:?16?7102.:>>494:\7fp52>=838pR<88;<01>4003-;9?78:;|q232<72;qU=;84=309530<,8886;84}r342?6=:rT::852238220=#9;91::5rs056>5<5sW;=863=2;350>"6::0=46s|16694?4|V8<870<=:040?!75;3<27p}>8483>7}Y9>801?<51608 4442?h0q~?74;296~X6?816>?4>709'575=>j1v\7f<6<:181\7f[708279>7?80:&266<1l2wx=5<50;0xZ40a34896<8i;%317?0b3ty:4<4?:3y]53c<5;81=;k4$000>3`<uz;3<7>52z\22a=::;0::i5+131935=z{8=m6=4={_35g>;5:3;=o6*>22845>{t9>o1<7<t^04a?84528<i7)?=3;51?xu6?m0;6?uQ1708974=9?80(<<<:618yv70;3:1>vP>609>67<6>81/=?=5759~w4>02909wS?77:?16?7??2.:>>486:\7fp5=?=838pR<66;<01>4>>3-;9?798;|\7fm73?=838pD<<;;|l02d<72;qC=?:4}o15f?6=:rB:>95rn24`>5<5sA;986sa37f94?4|@88?7p`<6d83>7}O9;>0qc=9f;296~N6:=1vb>9?:181\7fM75<2we?:?50;0xL4433td8;?4?:3yK572<ug9<?7>52zJ261=zf:=?6=4={I310>{i;>?1<7<tH007?xh4??0;6?uG1368yk50?3:1>vF>259~j61?2909wE?=4:\7fm72?=838pD<<;;|l03d<72;qC=?:4}o14f?6=:rB:>95rn25`>5<5sA;986sa36f94?4|@88?7p`<7d83>7}O9;>0qc=8f;296~N6:=1vb>6?:181\7fM75<2we?5?50;0xL4433td84?4?:3yK572<ug93?7>52zJ261=zf:2?6=4={I310>{i;1?1<7<tH007?xh40?0;6?uG1368yk5??3:1>vF>259~j6>?2909wE?=4:\7fm7=?=838pD<<;;|l0<d<72;qC=?:4}o1;f?6=:rB:>95rn2:`>5<5sA;986sa39f94?4|@88?7p`<8d83>7}O9;>0qc=7f;296~N6:=1vb>7?:181\7fM75<2we?4?50;0xL4433td85?4?:3yK572<ug8m87>51zJ261=zf:;o6=4>{I310>{i;8o1<7?tH007?xh49o0;6<uG1368yk5583:1=vF>259~j646290:wE?=4:\7fm774=83;pD<<;;|l066<728qC=?:4}o110?6=9rB:>95rn206>5<6sA;986sa33494?7|@88?7p`<2683>4}O9;>0qc==8;295~N6:=1vb><6:182\7fM75<2we??o50;3xL4433td8>o4?:0yK572<ug99o7>51zJ261=zf:8o6=4>{I310>{i;;o1<7?tH007?xh4:o0;6<uG1368yk5483:1=vF>259~j656290:wE?=4:\7fm764=83;pD<<;;|l076<728qC=?:4}o100?6=9rB:>95rn216>5<6sA;986sa32494?7|@88?7p`<3683>4}O9;>0qc=<8;295~N6:=1vb>=6:182\7fM75<2we?>o50;3xL4433td8?o4?:0yK572<ug98o7>51zJ261=zf:9o6=4>{I310>{i;:o1<7?tH007?xh4;o0;6<uG1368yk5383:1=vF>259~j626290:wE?=4:\7fm714=83;pD<<;;|l006<728qC=?:4}o170?6=9rB:>95rn266>5<6sA;986sa35494?7|@88?7p`<4683>4}O9;>0qc=;8;295~N6:=1vb>:6:182\7fM75<2we?9o50;3xL4433td88o4?:0yK572<ug9?o7>51zJ261=zf:>o6=4>{I310>{i;=o1<7?tH007?xh4<o0;6<uG1368yk5283:1=vF>259~j636290:wE?=4:\7fm704=83;pD<<;;|l016<728qC=?:4}o160?6=9rB:>95rn276>5<6sA;986sa34494?7|@88?7p`<5683>4}O9;>0qc=:8;295~N6:=1vb>;6:182\7fM75<2we?8o50;3xL4433td89o4?:0yK572<ug9>o7>51zJ261=zf:?o6=4>{I310>{i;<o1<7?tH007?xh4=o0;6<uG1368yk5183:1=vF>259~j606290:wE?=4:\7fm734=83;pD<<;;|l026<728qC=?:4}o150?6=9rB:>95rn246>5<6sA;986sa37494?7|@88?7p`<6683>4}O9;>0qc=98;295~N6:=1vqpsO@By74d<?ij:>4>>r@A@x4xFGXrwKL
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$2;0\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1:30?4(79o1:<7GAPTV9twi`Wlg{xtQ{hsgpl9?=878=7<>5IORVP?vugnUna}zv_ujqavnXizyn~y26:1<12>772@D[YY4\7frne\ahvsqV~c~h}g_ogdeqc;13:5=h5>0;KMTPR=x{elSk{cl^vkv`uo400;2?;4118JJUSS2yxdkRhzlm]wlwct`Vkx\7fh|{<883:73<990BB][[:qplcZ`rdeU\7fd\7fk|h^lfcdrb400;2<74118LQQVR\3njxlQlotlw8<<768i0==4@UURVP?tcWmk\7fmRm`uov?=?699j1:<7AZTQWW>rtXlh~jSnaznu>:>586<2;>6D@_UU8B@ATF48>1<3?;;079MKVR\3KOH_L31583:44<910BB][[:ekm841=87;?7<65OTVSQQ<cg|~7=:4?>0910>47;9;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294?79H;Cg97ri~38ol:=96"1038<1=>89397L<7;@FGVD:7601JHI\N<02==>GCL[K7=<06;@FGVD:6:730MIJ]A=30:g=FLMXJ0<:50?;8EABUI5;?255NDEPB848?3HNO^L2=>99B@ATF4:437LJKR@>7:==FLMXJ0807;@FGVD:1611JHI\N<6<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?;8EABUJ5;82o5NDEPA842=8730MIJ]B=37:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?255NDEPA808?3HNO^O29>99B@ATE4>437LJKRC>;:==FLMXI0406;@QZJFYUMN80NK=4BT0;?GSTW@DMC<5La:ABWFGCM@DJm6MNSBCGALHEj2IJ_NOKENWWEg=DIZIJHHAZTC08G@4<K@>0OAEN4:AOOG5<KEX27NABMHVWAA1<K[OJXHJ>;E08@L0<L@K7<394DHC?55803MCJ0<?17:FJE9756>1OEL2>3?58@LG;9=4<7IGN<07=3>BNI5;=2:5KI@>23;1<L@K7=508;EKB84?9>2NBM1?17:FJE9476>1OEL2=1?58@LG;:;4<7IGN<31=3>BNI58?2:5KI@>11;1<L@K7>;08;EKB8719?2NBM1<7>69GMD:517<0HDO32?58@LG;;9427IGN<2394;1<L@K7?<09;EKB86813MCJ0909;EKB80813MCJ0;09;EKB82813MCJ0509;EKB8<813MCI0=08;EKA8469?2NBN1?>>69GMG:6:7=0HDL312<4?AOE48>5;6JFB=36:2=CAK6::394DH@?52803MCI0<617:FJF97>6?1OEO2>>69GMG:587=0HDL320<4?AOE4;85;6JFB=00:2=CAK698394DH@?60803MCI0?817:FJF9406>1OEO2=8?58@LD;:04=7IGM<3<4?AOE4::556JFB=12>5803MCI0>?16:FJF959>2NBN1:16:FJF939>2NBN1816:FJF919>2NBN1616:FJF9?9?2NB\L2?>69GMUG;97=0HD^N<3<:?AOWI591<394DHRB86803MC[N1>17:FJTG:66>1OE]L32?;8@LVE4:0;2:5KIQ@?7;0<LFK7<394DNC?55803MEJ0<?17:FLE9756>1OCL2>3?58@JG;9=4<7IAN<07=3>BHI5;=2:5KO@>23;1<LFK7=508;EMB84?9>2NDM1?17:FLE9476>1OCL2=1?58@JG;:;4<7IAN<31=3>BHI58?2:5KO@>11;1<LFK7>;08;EMB8719?2NDM1<7>69GKD:517<0HBO32?58@JG;;9427IAN<2394;1<LFK7?<09;EMB86813MEJ0909;EMB80813MEJ0;09;EMB82813MEJ0509;EMB8<803MEJS_KH6:FLF969?2NDN1??>69GKG:697=0HBL313<4?AIE4895;6J@B=37:2=CGK6:9394DN@?53803MEI0<917:FLF97?6>1OCO2>9?48@JD;97=0HBL321<4?AIE4;;5;6J@B=01:2=CGK69?394DN@?61803MEI0?;17:FLF9416>1OCO2=7?58@JD;:14<7IAM<3;=2>BHJ585;6J@B=13:<=CGK68=7>17:FLF9566?1OCO2<>79GKG:36?1OCO2:>79GKG:16?1OCO28>79GKG:?6?1OCO26>69GKGYUMN=0HB^N<1<4?AIWI5;5;6J@P@>1:<=CGYK7?7>17:FLTD:46>1OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959;2OEM>5JNC68B=>?=2LJ@^K=;GF7?CBDM:1MH]:4FERF1>@fdzo:7J=4GOF1?L653@;97D<=;H1;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM880ECXJRU]@HNYCGHUYIJ?=;HLUAWRXKEATHBLPRDE0?LIE:2FB>6B@6:NLEACC?2FDKDMNL59OQQ733E__>;5CUU0\H1=K]]9=7A[[3^N7?ISS<<1FYUMVc:ObnjtQm{ybccm4MhllvScu{`ee>6@>3:L241=I99>?7C??559M55033G;;;95A11:7?K771:1E=<:4N0330>H698>0B<?=4:L2562<F8;?86@>1468J471<2D:=::4N03;0>H69090B<<;;O3141=I9;;?7C?=259M57543G;8?6@>429M505<F8<87C?83:L2<6=I9080B?=4N320?K46;2D9>>5A2208J34<F>80B5=4N970?K>0:2D2?6@60g9MFZDR[YCES]\@PR58J@RPG[A?7CAAE09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P48VDKE9?l0^LCPEYVFJVOHFVKm7_OB_DZWAKUNGGUIo6\JAE]TMAROWHi0^HOK_VKGPMYE>2XNKNKN6:PFCFCE92Y37^OYEECWE45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UC512_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(khxyuck{<1<1=>S7'nxm"\7fh gm2-va)`zzy%~~z|/b3,gdtuqgo\7f0<0=9:W3+bta&{l$ka>!re-dvvu)zz~x#n? c`pq}kcs4;4956[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(od\7fs"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d9&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$k`{w.foX6X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fppw+tt|z%h="ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvvu)zz~x#n? glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28585<2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~86;2<<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=3=61=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;97;9?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2=>368Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28786::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;7?3<;;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=1=575<]9%l~k }f.eo4+tc'nxx\7f#||tr-`5*p64=4986[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2;>00:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-`ewt~fl~7<3<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!laspzj`r;97827X> gsd-vc)`d9$yh"i}sr,qwqu(k;%hm\7f|vndv?6;4>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)di{xrbhz33?0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+bkrp'mfW<S!glq-iv4a3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$yh"i}sr,qwqu(k;%laxv!glY7Y+aj{'gx>>5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i9#{?30?00?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-u5979::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'\7f;7>3<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o?!y1=1=66=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7;<78i7X> gsd-vc)`d9$yh"i}sr,qwqu(k;%}=R>Paof34566:k1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e5'\7f;T=Road123444e3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g7)q9V8Tmcj?01226g=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a1+s7X;Vkeh=>?000a?P6(o{l%~k!hl1,q`*au{z$y\7fy} c3-u5Z2Xign;<=>>219V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m`mq214>S7'nxm"\7fh gm2-va)`zzy%~~z|/bmnt44>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,vdkXmdz\7fuRhm_h12?P6(o{l%~k!hl1,q`*au{z$y\7fy} r`o\ahvsqVliSdQbuy2345573\:$k\7fh!rg-dh5(ul&my\7f~ }suq,vdkXmdz\7fuRhm_h]lv5678:>0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\mZiu89:;S^Y?279V4*aun'xm#jb?.sf,cwut&{y\7f\7f"|nm^gntq\7fXa8n0Y=!hrg,qb*ak8'xo#\7fob_sf\akYn9;90Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp4433\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7f>?:4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov061=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}>986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at407?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs>;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz8259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq>5<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex4<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;878h7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?4;Yu|;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>2:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2>>^pw6<=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1<12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq585S\7fz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4:49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az868Xz}827X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?0;4d3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw34?]qp7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2:>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6>2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5<5>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}909W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8285k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<6<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw38?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs743Q}t3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7<3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4849m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv949:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>0:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|34?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey080=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5<5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:06;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?<;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<8<1`>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~171_sv2b>S7'nxm"\7fh gm2-va)uxg~y#naznu>3:4`<]9%l~k }f.eo4+tc'{zex\7f!lotlw8486n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:568l0Y=!hrg,qb*ak8'xo#\7f~ats-`kphs4:4:j6[?/fpe*w`(oe:%~i!}povq+firf}6?2<h4U1-dvc(un&mg<#|k/srmpw)dg|d\7f080>f:W3+bta&{l$ka>!re-qtkru'je~by29>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<6<2b>S7'nxm"\7fh gm2-va)uxg~y#naznu>;:4`<]9%l~k }f.eo4+tc'{zex\7f!lotlw8<86m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY79l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX98o0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsW;;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V9:i6[?/fpe*w`(oe:%~i!}povq+firf}U?=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T9<k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS;?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR9>e:W3+bta&{l$ka>!re-qtkru'je~byQ71d9V4*aun'xm#jb?.sf,vuhsz&idyczP9308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?4;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64849>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1<1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>0:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;<7897X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:080=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=4=67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:06;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;743<=;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><8<15>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5Z6592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V;9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R<=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^115>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5Z2592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V?9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R8=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^515>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5Z>592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V3956[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$ol|}yogw858512_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f6(khxyuck{<0<1=>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,gdtuqgo\7f0?0=9:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> c`pq}kcs4:49j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j:$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fupw+qt|z%h<"ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n> glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l0.enq}(`eR9V"jc|.lq1b>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b2,chs\7f&ngP8P hmr,nw7?<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.abvw\7fim}6:2?74U1-dvc(un&mg<#y}/fupw+qt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&ij~\7fwaeu>0:7`<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(od\7fs"jcT1\,div(j{;l0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$k`{w.foX7X(`ez$f\7f?h4U1-dvc(un&mg<#y}/fupw+qt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!ws-dsvu)\7fz~x#n? v0>3:75<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p64849?6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$z<2=>318Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.t28685;2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~86?2?l4U1-dvc(un&mg<#y}/fupw+qt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%{\7f!hwrq-svrt'j;$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'}y#jy|s/uppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}x\7f#y|tr-`5*p6W=Ujbi>?01314>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/bmnt5473\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,gjkw9;20Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa6d=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l>53;8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXkfgi>?o4U1-dvc(un&mg<#y}/fupw+qt|z%ym`Qiumn\gjke:=827X> gsd-vc)`d9$|~"ixsr,twqu(zhgTjxbc_bmnf<4c3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSnabb^obt44c3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSnabb^obt04c3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSnabb^obt=4?3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSklPi378Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXa;>0Y=!hrg,qb*ak8'}y#jy|s/uppv)pzVxxxRj>1e9V4*aun'xm#jb?.vp,vdkX\7f{UnbRg>229V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f=?:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov161=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}9986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at507?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs=;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz9259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq15<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex5<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw=7?<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}979W{~956[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<3<\vq4>3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw33?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:36Vx\7f>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}939:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<7<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;?7Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:?6;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>;:Zts:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>3:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:36;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?1;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<7<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{632?o4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;178o7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8<8Xz};m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5:5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7=3?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1<11g9V4*aun'xm#jb?.vp,suhsz&idycz33?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=6=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?1;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9099o1^<"i}f/pe+bj7&~x${}`{r.alqkr;?7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|525=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~753?j;T2,cw`)zo%l`= xr.usjqt(kf\7fexR>>e:W3+bta&{l$ka>!ws-ttkru'je~byQ>1d9V4*aun'xm#jb?.vp,suhsz&idyczP20g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_23f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^62a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]65`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\24c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[27b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ>6m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY>:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86;2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?31?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28785:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9595>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2;>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?1;453\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb64?49>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=191239V4*aun'xm#jb?.vp,suhsz&idyczPd0>;:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;178:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[3463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb6W>8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:S5<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj>_84e?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[1_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS56=R8&myj#|i/scn[wbXmg;87X> gsd-vc)uidU|~Rka1e9V4*aun'xm#\7f}{bmi,vaYu{}Uj\7f\7fkh219V4*aun'xm#\7f}{bmi,vaYu{}Uj\7f\7fkh_e314>S7'nxm"\7fh rrvahn)ulVxxxRo|rde\`77d3\:$k\7fh!rg-qwqdkc&xoS\7f}{_bmnf4b<]9%l~k }f.pppgjl'{nT~~zPcnoa54c<]9%l~k }f.pppgjl'{nT~~zPrde?6;7c3\:$k\7fh!rg-qwqdkc&xoS\7f}{_sgd[77c3\:$k\7fh!rg-qwqdkc&}yS\7f}{_`qqab473\:$k\7fh!rg-qwqdkc&}yS\7f}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVn9=n5Z0.eqb+ta'{y\7fnae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5;5=i5Z0.eqb+ta'{y\7fnae ws]qwqYumnU:m6[\ES]BHLGTk2_XI_QYIRKAH@5<^JI27[GJW^VZT@0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=16:UFF969>2]NN1?16:UFF94902]NN1=50?48S@D;;7h0[_G[E^DJVVRd3^XBXHQBOEG\Ef=PZ@^NS@AKE^@g?RTN\LU\EIZG_@f8SWOSMV]BHYFPB0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB`8\ZEHZLUBBKA>0:Z\GJTBW@DMCRBFSU48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`nb9bhhit|Vof|ywm;`nnkvrXn|fg:6lncjws`>dfkb\7f{S`o}kdp0?fjll2njxlQlotlw,5/c3mk\7fmRm`uov+5,b<lh~jSnaznu*1-a=ci}kTob{at)1*`>bf|hUhcx`{(5+g?agsiVidycz'5(f8`drfWje~by&9)e9geqgXkf\7fex%9&d:fbpdYdg|d\7f$5'k;ecweZeh}g~#5$h4d`vb[firf}626=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&6:fjj-4.>2nbb%=&6:fjj-2.>2nbb%;&6:fjj-0.>2nbb%9&6:fjj->.>2nbb%7&6:fjj969?2nbb1??>69gmk:697=0hd`313<4?aoi4895;6jfn=37:2=cag6:9394dhl?538>3mce0<950?58`lh;9>4=7iga<0<5?aoi4;4=7iga<2<5?aoi4=4=7iga<4<5?aoi4?4=7iga<6<5?aoi414=7iga<8<4?air|!:";6j`uu*2-==cg|~#=='7;emvp-76!11ocxz'13+;?air|!;8%55kotv+51/?3me~x%?:)99gkpr/9?#37iazt)34-2=cg|~#>$94dnww,6/03me~x%:&7:flqq.2!>1ocxz'6(58`jss >#<7iazt):*3>bh}}"2%:5kotv?4;><lf\7f\7f0<>18:flqq:69720hb{{<00=<>bh}}6:?364dnww842902ndyy2>5?:8`jss48<5m6j`uu>23?6902ndyy2>7?58`jss484<7iazt=0=3>bh}}682:5kotv?0;1<lf\7f\7f0808;emvp909?2ndyy28>69gkpr;07=0hb{{<8<;?`bnn;dlh85jmqvz6==aaoeTkh`jr`vlvZp3W;&+Ob|jgdl#=+4)8=cTe}<;;gwoh2=nf}Uh`f64nfaaqljc02e}i\7fhcovc8twi`Wlg{xt?;;qplcZcjx}sTxe|jsi*3-42<x{elShc\7ftx]wlwct`!;"=95\7frne\ahvsqV~c~h}g(3+20>vugnUna}zv_ujqavn/; ;?7}|`g^gntq\7fX|axn\7fe&;)068twi`Wlg{xtQ{hsgpl-3.9=1{~biPelrw}Zrozlyc$;'>4:rqkbYbey~rSyf}erj+3,733yxdkRkbpu{\pmtb{a"3%<:4psmd[`kw|pU\7fd\7fk|h);*53=wzfmTi`~{y^vkv`uo400;2<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 9#:n6~}of]fiur~W}byi~fParqfvq.6!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,7/6j2zycjQjmqvz[qnumzbTm~}jru*0-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(5+2f>vugnUna}zv_ujqavnXizyn~y&:)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$;'>b:rqkbYbey~rSyf}erj\evubz}"<%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 1#:n6~}of]fiur~W}byi~fParqfvq.>!8n0|\7fah_dosp|Ys`{oxdRo|sdpw8<<768h0|\7fah_dosp|Ys`{oxdR`jg`vf,5/6j2zycjQjmqvz[qnumzbTbhintd*2-4d<x{elShc\7ftx]wlwct`Vdnklzj(3+2f>vugnUna}zv_ujqavnXflmjxh&<)0`8twi`Wlg{xtQ{hsgplZhboh~n$9'>b:rqkbYbey~rSyf}erj\j`af|l">%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb ?#:n6~}of]fiur~W}byi~fPndebp`.0!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,=/6j2zycjQjmqvz[qnumzbTbhintd*:-4b<x{elShc\7ftx]wlwct`Vdnklzj<883:<=wzfmTjxbc129svjaXn|fgSyf}erj+4,743yxdkRhzlm]wlwct`!;"=>5\7frne\bpjkW}byi~f'2(30?uthoVl~`aQ{hsgpl-5.9:1{~biPftno[qnumzb#8$?<;qplcZ`rdeU\7fd\7fk|h)7*56=wzfmTjxbc_ujqavn/> ;87}|`g^dvhiYs`{oxd%9&129svjaXn|fgSyf}erj+<,743yxdkRhzlm]wlwct`!3"=85\7frne\bpjkW}byi~f39;2=5d=wzfmTjxbc_ujqavnXizyn~y&?)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#=$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts ;#:m6~}of]eqijX|axn\7feQnsrgqp-5.9h1{~biPftno[qnumzbTm~}jru*7-4g<x{elSk{cl^vkv`uoWhyxi\7fz'5(3b?uthoVl~`aQ{hsgplZgt{lx\7f$;'>a:rqkbYa}efTxe|jsi]bwvcu|!="=l5\7frne\bpjkW}byi~fParqfvq.?!8k0|\7fah_gwohZrozlycSl}|esv+=,7d3yxdkRhzlm]wlwct`Vkx\7fh|{<883:4g<x{elSk{cl^vkv`uoWgolmyk'0(3b?uthoVl~`aQ{hsgplZhboh~n$<'>a:rqkbYa}efTxe|jsi]mabgsm!8"=l5\7frne\bpjkW}byi~fPndebp`.4!8k0|\7fah_gwohZrozlycSckhaug+0,7f3yxdkRhzlm]wlwct`Vdnklzj(4+2e>vugnUmyabPtipfwmYimnk\7fi%8&1`9svjaXn|fgSyf}erj\j`af|l"<%<o4psmd[cskdV~c~h}g_ogdeqc/0 ;j7}|`g^dvhiYs`{oxdR`jg`vf,</6k2zycjQiumn\pmtb{aUeijo{e=;94;0<zmUh`f??;sf\`drfWje~by&?)028vaYci}kTob{at)3*55=ulVnjxlQlotlw,7/682xoSio{a^alqkr/; ;;7\7fjPd`vb[firf}"?%<>4re]geqgXkf\7fex%;&119q`Zbf|hUhcx`{(7+24>tcWmk\7fmRm`uov+3,773{nThlzn_bmvjq.?!8:0~iQkauc\gjsi|!3"==5}d^fbpdYdg|d\7f0=0>2:pg[agsiVidycz39;2=1>tcWld=7\7fjPrrv0?wus?2yieyk}r59pvvr6l2~f|"Qlg.zjhlh\7fWocmcR?7x0i-jbi>3|doi\7fhcov78rdjnl?1|~Rolk79tvZekc8:0{\7fQkauc\gjsi|!:"==5xr^fbpdYdg|d\7f$<'>0:uq[agsiVidycz'2(33?rtXlh~jSnaznu*0-46<\7f{UomyoPcnwmp-2.991|~Rjnt`]`kphs <#:<6y}_ecweZeh}g~#:$??;vp\`drfWje~by&8)028swYci}kTob{at):*55=pzVnjxlQlotlw,</6:2}ySio{a^alqkr;13:596y}_dl5?rtXzz~:=6vflhl{[coagV;3t<e=b:zjhlh\7fWocmcR?7x0i\bl`hWnoei\7fo{os]u0Z4Xpz~T=pNOp773?EF\7f;>l1J7:51zQ60?55:3?1=><7de596=6c0rd9o84>;o0`2?2<,;i86?ll;|Q67?55:3?1=><7de596=6c02Y:9<4<2683>4550mn<6?6?d89P16<4:>0;6<==8ef4>7>7lk1o?4?50;395~U2<399>7;5120;`a1=:1:o46*=c08061=q\83h6=4>:08`2~U2<399>7;5120;`a1=:1:o46*=ab8217=Q:j>1>v{>5`82?p72j3:0q)?7d;02?g5>93:1>l4<:3cxL7gf3S>36?u>2;32>x"6i8085<5+2b39772<R;i96?u<258061<za:=j6=44i2:e>5<<g:8>6=44o200>5<<a;ii6=44i25`>5<<g::=6=4+19d9741<f82n6=54o226>5<#91l1?<94n0:f>4=<g::?6=4+19d9741<f82n6?54o220>5<#91l1?<94n0:f>6=<g::96=4+19d9741<f82n6954o222>5<#91l1?<94n0:f>0=<g::;6=4+19d9741<f82n6;54o3de>5<#91l1?<94n0:f>2=<g::n6=4+19d9741<f82n6554o22g>5<#91l1?<94n0:f><=<g::h6=4+19d9741<f82n6l54o22a>5<#91l1?<94n0:f>g=<g::j6=4+19d9741<f82n6n54o22:>5<#91l1?<94n0:f>a=<g::36=4+19d9741<f82n6h54o224>5<#91l1?<94n0:f>c=<g;ln6=4+19d9741<f82n6<>4;n0e`?6=,82m6>?8;o3;a?7632c9i<4?:%3;b?4a:2d:4h4?;:k1a5<72-;3j7<i2:l2<`<632c9hk4?:%3;b?4a:2d:4h4=;:k1``<72-;3j7<i2:l2<`<432c9hi4?:%3;b?4a:2d:4h4;;:k1`f<72-;3j7<i2:l2<`<232c9ho4?:%3;b?4a:2d:4h49;:k1`d<72-;3j7<i2:l2<`<032c9i44?:%3;b?4a:2d:4h47;:k1a=<72-;3j7<i2:l2<`<>32c9i:4?:%3;b?4a:2d:4h4n;:k1a3<72-;3j7<i2:l2<`<e32c9i84?:%3;b?4a:2d:4h4l;:k1a1<72-;3j7<i2:l2<`<c32c9i>4?:%3;b?4a:2d:4h4j;:k1a7<72-;3j7<i2:l2<`<a32c9h44?:%3;b?4a:2d:4h4>0:9j6a>=83.:4k4=f39m5=c=9810n?om:182>5<7sA8jm6*>a081eg=h91i1<75rbg`94?7=83:pD?on;%3b5?`e3flj6=44}c64>5<>>33m6n8tH3cb?_2?28<p:7o5d;59a??=9;0:=7l5c;:9g?c=9;0o6:4>1;`9e??=03<1q)?n1;1:6>"6<l0m56*=c08061=#91=1=5l4o3a4>5<<a:=i6=44i210>5<#91l1?>:4n0:f>5=<a:996=4+19d9762<f82n6<54i212>5<#91l1?>:4n0:f>7=<a:9;6=4+19d9762<f82n6>54i20e>5<#91l1?>:4n0:f>1=<a:8n6=4+19d9762<f82n6854i20g>5<#91l1?>:4n0:f>3=<a:8h6=4+19d9762<f82n6:54i20a>5<#91l1?>:4n0:f>==<a:8j6=4+19d9762<f82n6454o26a>5<<a:=n6=44o2:2>5<<a:<;6=4+19d9737<f82n6=54i27e>5<#91l1?;?4n0:f>4=<a:?n6=4+19d9737<f82n6?54i27g>5<#91l1?;?4n0:f>6=<a:?h6=4+19d9737<f82n6954i27a>5<#91l1?;?4n0:f>0=<a:?j6=4+19d9737<f82n6;54i27:>5<#91l1?;?4n0:f>2=<a:?36=4+19d9737<f82n6554i274>5<#91l1?;?4n0:f><=<a:=j6=44o20:>5<<g:836=44i3ab>5<<g:=<6=4+19d972?<f82n6=54o255>5<#91l1?:74n0:f>4=<g:=>6=4+19d972?<f82n6?54o257>5<#91l1?:74n0:f>6=<g:=86=4+19d972?<f82n6954o251>5<#91l1?:74n0:f>0=<g:=:6=4+19d972?<f82n6;54o253>5<#91l1?:74n0:f>2=<g:<m6=4+19d972?<f82n6554o24f>5<#91l1?:74n0:f><=<a:9n6=4+19d976`<f82n6=54i21g>5<#91l1?>h4n0:f>4=<a:9h6=4+19d976`<f82n6?54i21a>5<#91l1?>h4n0:f>6=<a:9j6=4+19d976`<f82n6954i21:>5<#91l1?>h4n0:f>0=<a:936=4+19d976`<f82n6;54i214>5<#91l1?>h4n0:f>2=<a:9=6=4+19d976`<f82n6554i216>5<#91l1?>h4n0:f><=<a:2m6=44o2:g>5<#91l1?5k4n0:f>5=<g:2h6=4+19d97=c<f82n6<54o2:a>5<#91l1?5k4n0:f>7=<g:2j6=4+19d97=c<f82n6>54o2::>5<#91l1?5k4n0:f>1=<g:236=4+19d97=c<f82n6854o2:4>5<#91l1?5k4n0:f>3=<g:2=6=4+19d97=c<f82n6:54o2:6>5<#91l1?5k4n0:f>==<g:2?6=4+19d97=c<f82n6454o206>5<<g:886=44i2:0>5<<a:?>6=4+19d9700<f82n6=54i277>5<#91l1?884n0:f>4=<a:?86=4+19d9700<f82n6?54i271>5<#91l1?884n0:f>6=<a:?:6=4+19d9700<f82n6954i273>5<#91l1?884n0:f>0=<a:>m6=4+19d9700<f82n6;54i26f>5<#91l1?884n0:f>2=<a:>o6=4+19d9700<f82n6554i26`>5<#91l1?884n0:f><=<a:8=6=44i3aa>5<<a:3;6=44o25g>5<<g:296=44o2:3>5<<a:=h6=44o225>5<#91l1?<94n0:f>5=<g::>6=4+19d9741<f82n6<54o227>5<#91l1?<94n0:f>7=<g::86=4+19d9741<f82n6>54o221>5<#91l1?<94n0:f>1=<g:::6=4+19d9741<f82n6854o223>5<#91l1?<94n0:f>3=<g;lm6=4+19d9741<f82n6:54o22f>5<#91l1?<94n0:f>==<g::o6=4+19d9741<f82n6454o22`>5<#91l1?<94n0:f>d=<g::i6=4+19d9741<f82n6o54o22b>5<#91l1?<94n0:f>f=<g::26=4+19d9741<f82n6i54o22;>5<#91l1?<94n0:f>`=<g::<6=4+19d9741<f82n6k54o3df>5<#91l1?<94n0:f>46<3f8mh7>5$0:e>6703g;3i7?>;:k1a4<72-;3j7<i2:l2<`<732c9i=4?:%3;b?4a:2d:4h4>;:k1`c<72-;3j7<i2:l2<`<532c9hh4?:%3;b?4a:2d:4h4<;:k1`a<72-;3j7<i2:l2<`<332c9hn4?:%3;b?4a:2d:4h4:;:k1`g<72-;3j7<i2:l2<`<132c9hl4?:%3;b?4a:2d:4h48;:k1a<<72-;3j7<i2:l2<`<?32c9i54?:%3;b?4a:2d:4h46;:k1a2<72-;3j7<i2:l2<`<f32c9i;4?:%3;b?4a:2d:4h4m;:k1a0<72-;3j7<i2:l2<`<d32c9i94?:%3;b?4a:2d:4h4k;:k1a6<72-;3j7<i2:l2<`<b32c9i?4?:%3;b?4a:2d:4h4i;:k1`<<72-;3j7<i2:l2<`<6821b>i650;&2<c<5n;1e=5k51098k7e?2900e?m6:188m60e290/=5h537a8j4>b2910e>8n:18'5=`=;?i0b<6j:098m60>290/=5h537a8j4>b2;10e>87:18'5=`=;?i0b<6j:298m600290/=5h537a8j4>b2=10e>89:18'5=`=;?i0b<6j:498m602290/=5h537a8j4>b2?10e>8;:18'5=`=;?i0b<6j:698m604290/=5h537a8j4>b2110e>8=:18'5=`=;?i0b<6j:898k7b2290/=5h52e48j4>b2910c?j;:18'5=`=:m<0b<6j:098k7b4290/=5h52e48j4>b2;10c?j=:18'5=`=:m<0b<6j:298k7b6290/=5h52e48j4>b2=10c?j?:18'5=`=:m<0b<6j:498k7ea290/=5h52e48j4>b2?10c?mj:18'5=`=:m<0b<6j:698k7ec290/=5h52e48j4>b2110c?ml:18'5=`=:m<0b<6j:898k7b02900e>:6:18'5=`=;=k0b<6j:198m62?290/=5h535c8j4>b2810e>:8:18'5=`=;=k0b<6j:398m621290/=5h535c8j4>b2:10e>:::18'5=`=;=k0b<6j:598m623290/=5h535c8j4>b2<10e>:<:18'5=`=;=k0b<6j:798m625290/=5h535c8j4>b2>10e>:>:18'5=`=;=k0b<6j:998m627290/=5h535c8j4>b2010e>8k:188f7da290:6=4?{%3b5?`e3A8ii6F=a`9lbd<722wi>n>50;394?6|,8k:6?om;I0aa>N5ih1d=5m50;9~f7?c29086=4?{%3b5?`23A8ii6F=a`9K00=#9921=6g;9;29?l322900c<7>:188yg4f<3:1?7>50z&2e4<a=2B9nh5G2`c8L13<,8:36<5f4883>>o2=3:17b?61;29?xd51j0;6>4?:1y'5d7=n<1C>ok4H3cb?M223-;;47?4i5;94?=n=<0;66a>9083>>{e:h81<7;50;2x 4g62o20D?lj;I0be>N3=2.:<54>;h6:>5<<a=o1<75f5483>>o6190;66a>9083>>{e:h91<7=50;2x 4g62o?0D?lj;I0be>N3=2.:<54>;h6:>5<<a<?1<75`18394?=zj;3i6=4::183\7f!7f93l37E<me:J1ed=O<<1/==651:k7=?6=3`>n6=44i4794?=n90:1<75`18394?=zj;k:6=4;:183\7f!7f93l<7E<me:J1ed=#9921=6g;9;29?l322900e<7?:188k4?62900qo<n8;290?6=8r.:m<4i7:J1f`=O:hk0(<>7:09j0<<722c>97>5;h3:4?6=3f;2=7>5;|`2gd<72=0;6=u+1`39b2=O:ko0D?on;%33<?2<a=31<75f5483>>o6190;66a>9083>>{e9jo1<7:50;2x 4g62o=0D?lj;I0be>"6810?7d:6:188m03=831b=4>50;9l5<7=831vn?:9:187>5<7s-;j=7h8;I0aa>N5ih1/==651:k7=?6=3`?>6=44i0;3>5<<g83:6=44}c073?6=<3:1<v*>a08e3>N5jl1C>lo4$02;>1=n<00;66g:5;29?l7>83:17b?61;29?xd5<<0;694?:1y'5d7=n>1C>ok4H3cb?!7703;0e9750;9j10<722c:5=4?::m2=4<722wi=lo50;694?6|,8k:6<;6;I0aa>N5ih1b8k4?::k62?6=3`;257>5;n3;e?6=3th:m44?:583>5}#9h;1=874H3`f?M4fi2c?j7>5;h75>5<<a8326=44o0:b>5<<uk;j47>54;294~"6i80:945G2cg8L7gf3`>m6=44i4494?=n9031<75`19c94?=zj8k<6=4;:183\7f!7f93;>56F=bd9K6dg<a=l1<75f5783>>o6100;66a>8`83>>{e9h<1<7:50;2x 4g628?27E<me:J1ed=n<o0;66g:6;29?l7>13:17b?7a;29?xd6jm0;694?:1y'5d7=9<30D?lj;I0be>o3n3:17d;9:188m4?>2900c<6n:188yg7ek3:187>50z&2e4<6=01C>ok4H3cb?l2a2900e8850;9j5<?=831d=5o50;9~f4de290?6=4?{%3b5?7212B9nh5G2`c8m1`=831b9;4?::k2=<<722e:4l4?::\7fa5gg=83>1<7>t$0c2>43>3A8ii6F=a`9j0c<722c>:7>5;h3:=?6=3f;3m7>5;|`2f<<72=0;6=u+1`3950?<@;hn7E<na:k7b?6=3`?=6=44i0;:>5<<g82j6=44}c013?6=<3:1<v*>a0821<=O:ko0D?on;h6e>5<<a<<1<75f18;94?=h91k1<75rb305>5<3290;w)?n1;36=>N5jl1C>lo4i5d94?=n=?0;66g>9883>>i60h0;66sm23794?2=83:p(<o>:07:?M4em2B9ml5f4g83>>o2>3:17d?69;29?j7?i3:17pl=2583>1<729q/=l?514;8L7db3A8jm6g;f;29?l312900e<76:188k4>f2900qo<=3;290?6=8r.:m<4>589K6gc<@;kj7d:i:188m00=831b=4750;9l5=g=831vn?=?:187>5<7s-;j=7?:9:J1f`=O:hk0e9h50;9j13<722c:544?::m2<d<722wi>?h50;694?6|,8k:6<;6;I0aa>N5ih1b8k4?::k62?6=3`;257>5;n3;e?6=3th9>h4?:583>5}#9h;1=874H3`f?M4fi2c?j7>5;h75>5<<a8326=44o0:b>5<<uk89h7>54;294~"6i80:945G2cg8L7gf3`>m6=44i4494?=n9031<75`19c94?=zj;8h6=4;:183\7f!7f93;>56F=bd9K6dg<a=l1<75f5783>>o6100;66a>8`83>>{e:9k1<7;50;2x 4g62o<0D?lj;I0be>"6810:7d:6:188m1c=831b9?4?::k61?6=3f;2=7>5;|`14<<72<0;6=u+1`39b3=O:ko0D?on;%33<?7<a=31<75f4d83>>o2:3:17d;::188k4?62900qo<?7;291?6=8r.:m<4i6:J1f`=O:hk0(<>7:09j0<<722c?i7>5;h71>5<<a<?1<75`18394?=zj;:=6=4::183\7f!7f93l=7E<me:J1ed=#9921=6g;9;29?l2b2900e8<50;9j10<722e:5<4?::\7fa65>=83?1<7>t$0c2>c0<@;hn7E<na:&24=<63`>26=44i5g94?=n=;0;66g:5;29?j7>93:17pl=0483>0<729q/=l?5f79K6gc<@;kj7)??8;38m1?=831b8h4?::k66?6=3`?>6=44o0;2>5<<uk8;87>55;294~"6i80m:6F=bd9K6dg<,8:36<5f4883>>o3m3:17d;=:188m03=831d=4?50;9~f765290>6=4?{%3b5?`13A8ii6F=a`9'55>=92c?57>5;h6f>5<<a<81<75f5483>>i6180;66sm21394?3=83:p(<o>:g:8L7db3A8jm6*>0987?l2>2900e9k50;9j10<722c:5=4?::m2=4<722wi>==50;794?6|,8k:6k84H3`f?M4fi2.:<54>;h6:>5<<a=o1<75f5383>>o2=3:17b?61;29?xd6n<0;6>4?:1y'5d7=:kn0D?lj;I0be>o3n3:17d?;f;29?j7?i3:17pl>f583>6<729q/=l?52cf8L7db3A8jm6g;f;29?l73n3:17b?7a;29?xd6mh0;694?:1y'5d7=9<30D?lj;I0be>o3n3:17d;9:188m4?>2900c<6n:188yg7a;3:1?7>50z&2e4<5jm1C>ok4H3cb?l2a2900e<:i:188k4>f2900qo?j8;290?6=8r.:m<4>589K6gc<@;kj7d:i:188m00=831b=4750;9l5=g=831vn<h=:180>5<7s-;j=7<md:J1f`=O:hk0e9h50;9j51`=831d=5o50;9~f4c1290?6=4?{%3b5?7212B9nh5G2`c8m1`=831b9;4?::k2=<<722e:4l4?::\7fa5c7=8391<7>t$0c2>7dc3A8ii6F=a`9j0c<722c:8k4?::m2<d<722wi=h:50;694?6|,8k:6<;6;I0aa>N5ih1b8k4?::k62?6=3`;257>5;n3;e?6=3th:j=4?:283>5}#9h;1>oj4H3`f?M4fi2c?j7>5;h37b?6=3f;3m7>5;|`2a7<72=0;6=u+1`3950?<@;hn7E<na:k7b?6=3`?=6=44i0;:>5<<g82j6=44}c3fb?6=;3:1<v*>a081fa=O:ko0D?on;h6e>5<<a8>m6=44o0:b>5<<uk;n<7>54;294~"6i80:945G2cg8L7gf3`>m6=44i4494?=n9031<75`19c94?=zj8on6=4<:183\7f!7f938ih6F=bd9K6dg<a=l1<75f15d94?=h91k1<75rb0ff>5<3290;w)?n1;36=>N5jl1C>lo4i5d94?=n=?0;66g>9883>>i60h0;66sm1df94?5=83:p(<o>:3`g?M4em2B9ml5f4g83>>o6<o0;66a>8`83>>{e9mi1<7:50;2x 4g628?27E<me:J1ed=n<o0;66g:6;29?l7>13:17b?7a;29?xd6mj0;6>4?:1y'5d7=:kn0D?lj;I0be>o3n3:17d?;f;29?j7?i3:17pl>d`83>1<729q/=l?514;8L7db3A8jm6g;f;29?l312900e<76:188k4>f2900qo<>e;291?6=8r.:m<4i6:J1f`=O:hk0(<>7:09j0<<722c?i7>5;h71>5<<a<?1<75`18394?=zj;;o6=4::183\7f!7f93l=7E<me:J1ed=#9921=6g;9;29?l2b2900e8<50;9j10<722e:5<4?::\7fa64e=83?1<7>t$0c2>c0<@;hn7E<na:&24=<63`>26=44i5g94?=n=;0;66g:5;29?j7>93:17pl=1c83>0<729q/=l?5f79K6gc<@;kj7)??8;38m1?=831b8h4?::k66?6=3`?>6=44o0;2>5<<uk8:m7>55;294~"6i80m:6F=bd9K6dg<,8:36<5f4883>>o3m3:17d;=:188m03=831d=4?50;9~f77>290>6=4?{%3b5?`13A8ii6F=a`9'55>=92c?57>5;h6f>5<<a<81<75f5483>>i6180;66sm20:94?3=83:p(<o>:g48L7db3A8jm6*>0982?l2>2900e9k50;9j17<722c>97>5;n3:5?6=3th9=:4?:483>5}#9h;1j;5G2cg8L7gf3-;;47?4i5;94?=n<l0;66g:2;29?l322900c<7>:188yg46>3:197>50z&2e4<a>2B9nh5G2`c8 46?281b844?::k7a?6=3`?96=44i4794?=h90;1<75rb336>5<2290;w)?n1;d5?M4em2B9ml5+11:95>o313:17d:j:188m04=831b984?::m2=4<722wi>5=50;794?6|,8k:6k84H3`f?M4fi2.:<54>;h6:>5<<a=o1<75f5383>>o2=3:17b?61;29?xd50;0;684?:1y'5d7=n?1C>ok4H3cb?!7703;0e9750;9j0`<722c>>7>5;h76>5<<g83:6=44}c0;4?6==3:1<v*>a08e2>N5jl1C>lo4$02;>4=n<00;66g;e;29?l352900e8;50;9l5<7=831vn?9i:186>5<7s-;j=7h9;I0aa>N5ih1/==651:k7=?6=3`>n6=44i4094?=n=<0;66a>9083>>{e:1;1<7;50;2x 4g62o<0D?lj;I0be>"6810:7d:6:188m1c=831b9?4?::k61?6=3f;2=7>5;|`13`<72<0;6=u+1`39b3=O:ko0D?on;%33<?7<a=31<75f4d83>>o2:3:17d;::188k4?62900qo<8d;291?6=8r.:m<4i6:J1f`=O:hk0(<>7:09j0<<722c?i7>5;h71>5<<a<?1<75`18394?=zj;=i6=4::183\7f!7f93l=7E<me:J1ed=#9921=6g;9;29?l2b2900e8<50;9j10<722e:5<4?::\7fa62g=83?1<7>t$0c2>c><@;hn7E<na:&24=<33`>26=44i5g94?=n=<0;66g>9183>>i6180;66sm26a94?3=83:p(<o>:g48L7db3A8jm6*>0982?l2>2900e9k50;9j17<722c>97>5;n3:5?6=3th9:h4?:283>5}#9h;1>oj4H3`f?M4fi2c?j7>5;h37b?6=3f;3m7>5;|`12a<72:0;6=u+1`396gb<@;hn7E<na:k7b?6=3`;?j7>5;n3;e?6=3th9:>4?:583>5}#9h;1=874H3`f?M4fi2c?j7>5;h75>5<<a8326=44o0:b>5<<uk8=o7>53;294~"6i809ni5G2cg8L7gf3`>m6=44i06e>5<<g82j6=44}c055?6=<3:1<v*>a0821<=O:ko0D?on;h6e>5<<a<<1<75f18;94?=h91k1<75rb34a>5<4290;w)?n1;0a`>N5jl1C>lo4i5d94?=n9=l1<75`19c94?=zj;?m6=4;:183\7f!7f93;>56F=bd9K6dg<a=l1<75f5783>>o6100;66a>8`83>>{e:?k1<7=50;2x 4g62;ho7E<me:J1ed=n<o0;66g>4g83>>i60h0;66sm24f94?2=83:p(<o>:07:?M4em2B9ml5f4g83>>o2>3:17d?69;29?j7?i3:17pl=6883>6<729q/=l?52cf8L7db3A8jm6g;f;29?l73n3:17b?7a;29?xd5=k0;694?:1y'5d7=9<30D?lj;I0be>o3n3:17d;9:188m4?>2900c<6n:188yg4103:1?7>50z&2e4<5jm1C>ok4H3cb?l2a2900e<:i:188k4>f2900qo<:9;290?6=8r.:m<4>589K6gc<@;kj7d:i:188m00=831b=4750;9l5=g=831vn?88:180>5<7s-;j=7<md:J1f`=O:hk0e9h50;9j51`=831d=5o50;9~f730290?6=4?{%3b5?7212B9nh5G2`c8m1`=831b9;4?::k2=<<722e:4l4?::\7fa630=8391<7>t$0c2>7dc3A8ii6F=a`9j0c<722c:8k4?::m2<d<722wi>8;50;694?6|,8k:6<;6;I0aa>N5ih1b8k4?::k62?6=3`;257>5;n3;e?6=3th9:84?:283>5}#9h;1>oj4H3`f?M4fi2c?j7>5;h37b?6=3f;3m7>5;|`116<72=0;6=u+1`3950?<@;hn7E<na:k7b?6=3`?=6=44i0;:>5<<g82j6=44}c0:3?6==3:1<v*>a08e2>N5jl1C>lo4$02;>4=n<00;66g;e;29?l352900e8;50;9l5<7=831vn?79:186>5<7s-;j=7h9;I0aa>N5ih1/==651:k7=?6=3`>n6=44i4094?=n=<0;66a>9083>>{e:0?1<7;50;2x 4g62o<0D?lj;I0be>"6810:7d:6:188m1c=831b9?4?::k61?6=3f;2=7>5;|`1=1<72<0;6=u+1`39b3=O:ko0D?on;%33<?7<a=31<75f4d83>>o2:3:17d;::188k4?62900qo<63;291?6=8r.:m<4i6:J1f`=O:hk0(<>7:09j0<<722c?i7>5;h71>5<<a<?1<75`18394?=zj;396=4::183\7f!7f93l=7E<me:J1ed=#9921=6g;9;29?l2b2900e8<50;9j10<722e:5<4?::\7fa6<7=83?1<7>t$0c2>c0<@;hn7E<na:&24=<63`>26=44i5g94?=n=;0;66g:5;29?j7>93:17pl=9183>0<729q/=l?5f79K6gc<@;kj7)??8;38m1?=831b8h4?::k66?6=3`?>6=44o0;2>5<<uk83j7>55;294~"6i80m:6F=bd9K6dg<,8:36<5f4883>>o3m3:17d;=:188m03=831d=4?50;9~f7>b290>6=4?{%3b5?`13A8ii6F=a`9'55>=92c?57>5;h6f>5<<a<81<75f5483>>i6180;66sm2`494?5=83:p(<o>:077?M4em2B9ml5+11:95<=nnj0;66gid;29?j7?i3:17pl=9g83>6<729q/=l?51468L7db3A8jm6*>0982=>oak3:17dhk:188k4>f2900qo<;8;297?6=8r.:m<4>559K6gc<@;kj7)??8;3:?l`d2900ekj50;9l5=g=831vn<mi:180>5<7s-;j=7?:4:J1f`=O:hk0(<>7:0;8mce=831bji4?::m2<d<722wi>>o50;794?6|,8k:6<;7;I0aa>N5ih1/==65229jbf<722cmh7>5;hdf>5<<aol1<75`19c94?=zj8i<6=4::183\7f!7f93;>46F=bd9K6dg<,8:36?=4iga94?=nnm0;66gie;29?l`a2900c<6n:188yg4413:197>50z&2e4<6=11C>ok4H3cb?!7703887dhl:188mcb=831bjh4?::keb?6=3f;3m7>5;|`2g3<72<0;6=u+1`3950><@;hn7E<na:&24=<5;2cmo7>5;hdg>5<<aoo1<75ffg83>>i60h0;66sm22:94?3=83:p(<o>:07;?M4em2B9ml5+11:966=nnj0;66gid;29?l`b2900ekh50;9l5=g=831vn<m::186>5<7s-;j=7?:8:J1f`=O:hk0(<>7:318mce=831bji4?::kea?6=3`lm6=44o0:b>5<<uk88;7>55;294~"6i80:955G2cg8L7gf3-;;47<<;hd`>5<<aon1<75ffd83>>oan3:17b?7a;29?xd6k=0;684?:1y'5d7=9<20D?lj;I0be>"68109?6gic;29?l`c2900ekk50;9jbc<722e:4l4?::\7fa660=83?1<7>t$0c2>43?3A8ii6F=a`9'55>=::1bjn4?::ke`?6=3`ln6=44igd94?=h91k1<75rb0a0>5<2290;w)?n1;36<>N5jl1C>lo4$02;>75<aoi1<75ffe83>>oam3:17dhi:188k4>f2900qo?m4;291?6=8r.:m<4>599K6gc<@;kj7)??8;00?l`d2900ekj50;9jb`<722cmj7>5;n3;e?6=3th:n?4?:483>5}#9h;1=864H3`f?M4fi2.:<54=3:keg?6=3`lo6=44igg94?=nno0;66a>8`83>>{e9k:1<7;50;2x 4g628?37E<me:J1ed=#9921>>5ffb83>>oal3:17dhj:188mc`=831d=5o50;9~f4gb290>6=4?{%3b5?7202B9nh5G2`c8 46?2;90ekm50;9jba<722cmi7>5;hde>5<<g82j6=44}c3bg?6==3:1<v*>a0821==O:ko0D?on;%33<?443`lh6=44igf94?=nnl0;66gif;29?j7?i3:17pl>cb83>0<729q/=l?514:8L7db3A8jm6*>09814>oak3:17dhk:188mcc=831bjk4?::m2<d<722wi>>k50;694?6|,8k:6<;9;I0aa>N5ih1/==65259jbf<722cmh7>5;hdf>5<<g82j6=44}c074?6==3:1<v*>a0821==O:ko0D?on;%33<?`43`lh6=44igf94?=nnl0;66gif;29?j7?i3:17pl>ec83>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd6m00;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl>e683>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd6m<0;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl>e283>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd6m80;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl>dg83>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd6lm0;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl>dc83>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd5>=0;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl=6383>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd5>90;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl=5d83>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd5=j0;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl=5`83>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd5=10;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl=5783>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd5==0;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl>f783>7<729q/=l?51418L7db3A8jm6*>09827>oak3:17b?7a;29?xd5>o0;6?4?:1y'5d7=9<90D?lj;I0be>"6810:?6gic;29?j7?i3:17pl=3g83>0<729q/=l?514:8L7db3A8jm6*>09820>oak3:17dhk:188mcc=831bjk4?::m2<d<722wi>4650;a1>5<7s-;j=7?62:J1f`=O:hk0V965czd955<6=3;=6<o51c82g?7c28=1=54>e;3e>xhd<3:0bh850:&2=d<a<2.:5i4=;%33=?5<,8:j6>5+11`97>"68j087)??d;18 46b2:1/==h53:&255<43-;:=7=4$031>6=#9891?6*>1580?!76=390(<?9:29'541=;2.:=54<;%32=?5<,8;j6>5+10`97>"69j087)?>d;18 47b2:1/=<h53:&265<43-;9=7=4$001>6=#9;91?6*>2580?!75=390(<<9:29'571=;2.:>54<;%31=?5<,88j6>5+13`97>"6:j087)?=d;18 44b2:1/=?h53:&275<43-;8=7=4$011>6=#9:91?6*>3580?!74=390(<=9:29'561=;2.:?54<;%30=?5<,89j6>5+12`97>"6;j087)?<d;18 45b2:1/=>h53:&205<43-;?=7=4$061>6=#9=91?6*>4580?!73=390(<:9:29'511=;2.:854<;%37f?7?12.:844=;%37e?4<,8:;6>5+11397>"68;087)??3;18 4632:1/==;53:&20f<a<2.:8i4i4:&2=6<6001/=4:519;8j4?22880b<79:008 4?e28227)??6;18 4602:1/>o652c58 7d>2;h<7c<ma;31?k4ej3;97)?6e;08m1g=831b8o4?::k64?6=3`?:6=44ig394?=n90=1<75f18:94?=nn;0;66g>9g83>>o6i90;66a;c;29?j2c2900e?l50;&2<c<5i2d:4h4?;:k1=?6=,82m6?o4n0:f>4=<a;21<7*>8g81e>h60l0976g=7;29 4>a2;k0b<6j:298m70=83.:4k4=a:l2<`<332c887>5$0:e>7g<f82n6854i2194?"60o09m6`>8d85?>o4:3:1(<6i:3c8j4>b2>10e>?50;&2<c<5i2d:4h47;:k04?6=,82m6?o4n0:f><=<a;l1<7*>8g81e>h60l0j76g=e;29 4>a2;k0b<6j:c98m7b=83.:4k4=a:l2<`<d32c9o7>5$0:e>7g<f82n6i54i3794?"60o09m6`>8d8f?>o4j3:1(<6i:2c8j4>b2910e>750;&2<c<4i2d:4h4>;:k0<?6=,82m6>o4n0:f>7=<a:=1<7*>8g80e>h60l0876g<6;29 4>a2:k0b<6j:598m12=83.:4k4<a:l2<`<232c??7>5$0:e>6g<f82n6;54i5094?"60o08m6`>8d84?>o393:1(<6i:2c8j4>b2110e9>50;&2<c<4i2d:4h46;:k0b?6=,82m6>o4n0:f>d=<a:o1<7*>8g80e>h60l0i76g<d;29 4>a2:k0b<6j:b98m6e=83.:4k4<a:l2<`<c32c897>5$0:e>6g<f82n6h54i6294?"60o0=j6`>8d83?>o1m3:1(<6i:7d8j4>b2810e;m50;&2<c<1n2d:4h4=;:k5f?6=,82m6;h4n0:f>6=<a?k1<7*>8g85b>h60l0?76g99;29 4>a2?l0b<6j:498m3>=83.:4k49f:l2<`<132c=;7>5$0:e>3`<f82n6:54i7494?"60o0=j6`>8d8;?>o1=3:1(<6i:7d8j4>b2010e;:50;&2<c<1n2d:4h4n;:k57?6=,82m6;h4n0:f>g=<a?;1<7*>8g85b>h60l0h76g90;29 4>a2?l0b<6j:e98m0`=83.:4k49f:l2<`<b32c>i7>5$0:e>3`<f82n6k54i4f94?"60o0=j6`>8d824>=n=j0;6)?7f;4e?k7?m3;:76g:b;29 4>a2?l0b<6j:008?l3f290/=5h56g9m5=c=9:10e8750;&2<c<1n2d:4h4>4:9j1=<72-;3j78i;o3;a?7232c<;7>5$0:e>3`<f82n6<84;h55>5<#91l1:k5a19g952=<a>?1<7*>8g85b>h60l0:465f7583>!7?n3<m7c?7e;3:?>o0;3:1(<6i:7d8j4>b28k07d9=:18'5=`=>o1e=5k51c98m27=83.:4k49f:l2<`<6k21b:i4?:%3;b?0a3g;3i7?k;:k56?6=,82m6;h4n0:f>4c<3`?<6=4+19d92c=i91o1=k54i8394?"60o02<6`>8d83?>o?n3:1(<6i:828j4>b2810e5j50;&2<c<>82d:4h4=;:k;g?6=,82m64>4n0:f>6=<a1h1<7*>8g8:4>h60l0?76g7a;29 4>a20:0b<6j:498m=?=83.:4k460:l2<`<132c347>5$0:e><6<f82n6:54i9594?"60o02<6`>8d8;?>o?>3:1(<6i:828j4>b2010e5;50;&2<c<>82d:4h4n;:k;0?6=,82m64>4n0:f>g=<a181<7*>8g8:4>h60l0h76g71;29 4>a20:0b<6j:e98m=6=83.:4k460:l2<`<b32c<j7>5$0:e><6<f82n6k54i6g94?"60o02<6`>8d824>=n?m0;6)?7f;;3?k7?m3;:76g8c;29 4>a20:0b<6j:008?l1e290/=5h5919m5=c=9:10e:o50;&2<c<>82d:4h4>4:9j3<<72-;3j77?;o3;a?7232c247>5$0:e><6<f82n6<84;h;4>5<#91l15=5a19g952=<a0<1<7*>8g8:4>h60l0:465f9483>!7?n33;7c?7e;3:?>o><3:1(<6i:828j4>b28k07d7<:18'5=`=191e=5k51c98m<4=83.:4k460:l2<`<6k21b4h4?:%3;b??73g;3i7?k;:k;7?6=,82m64>4n0:f>4c<3`=36=4+19d9=5=i91o1=k54i8f94?"60o02o6`>8d83?>o>j3:1(<6i:8a8j4>b2810e4o50;&2<c<>k2d:4h4=;:k:=?6=,82m64m4n0:f>6=<ah81<7*>8g8b5>h60l0;76gn0;29 4>a2h;0b<6j:098m<`=83.:4k4n1:l2<`<532c2i7>5$0:e>d7<f82n6>54i3`2>5<#91l1>o>4n0:f>5=<a;km6=4+19d96g6<f82n6<54i3cf>5<#91l1>o>4n0:f>7=<a;ko6=4+19d96g6<f82n6>54i3`5>5<#91l1>o;4n0:f>5=<a;h?6=4+19d96g3<f82n6<54i3`0>5<#91l1>o;4n0:f>7=<a;h96=4+19d96g3<f82n6>54oca94?"60o0in6`>8d83?>iei3:1(<6i:c`8j4>b2810co650;&2<c<ej2d:4h4=;:ma3?6=,82m6ol4n0:f>6=<gk<1<7*>8g8af>h60l0?76am5;29 4>a2kh0b<6j:498kg2=83.:4k4mb:l2<`<132ei?7>5$0:e>gd<f82n6:54oc094?"60o0in6`>8d8;?>ie93:1(<6i:c`8j4>b2010co>50;&2<c<ej2d:4h4n;:mbb?6=,82m6ol4n0:f>g=<ghn1<7*>8g8af>h60l0h76anc;29 4>a2kh0b<6j:e98kdd=83.:4k4mb:l2<`<b32ejm7>5$0:e>gd<f82n6k54o`;94?"60o0in6`>8d824>=hi10;6)?7f;`a?k7?m3;:76an7;29 4>a2kh0b<6j:008?jg1290/=5h5bc9m5=c=9:10cl;50;&2<c<ej2d:4h4>4:9le1<72-;3j7lm;o3;a?7232eh?7>5$0:e>gd<f82n6<84;na1>5<#91l1no5a19g952=<gj;1<7*>8g8af>h60l0:465`c183>!7?n3hi7c?7e;3:?>ien3:1(<6i:c`8j4>b28k07blj:18'5=`=jk1e=5k51c98kgb=83.:4k4mb:l2<`<6k21dn44?:%3;b?de3g;3i7?k;:mba?6=,82m6ol4n0:f>4c<3fk86=4+19d9fg=i91o1=k54oeg94?"60o0oh6`>8d83?>ick3:1(<6i:ef8j4>b2810cio50;&2<c<cl2d:4h4=;:mg=?6=,82m6ij4n0:f>6=<gm21<7*>8g8g`>h60l0?76ak7;29 4>a2mn0b<6j:498ka0=83.:4k4kd:l2<`<132eo97>5$0:e>ab<f82n6:54oe694?"60o0oh6`>8d8;?>ic;3:1(<6i:ef8j4>b2010ci<50;&2<c<cl2d:4h4n;:mg5?6=,82m6ij4n0:f>g=<gjl1<7*>8g8g`>h60l0h76ale;29 4>a2mn0b<6j:e98kfb=83.:4k4kd:l2<`<b32eho7>5$0:e>ab<f82n6k54ob`94?"60o0oh6`>8d824>=hkh0;6)?7f;fg?k7?m3;:76al9;29 4>a2mn0b<6j:008?je?290/=5h5de9m5=c=9:10cn950;&2<c<cl2d:4h4>4:9lg3<72-;3j7jk;o3;a?7232en97>5$0:e>ab<f82n6<84;ng7>5<#91l1hi5a19g952=<gl91<7*>8g8g`>h60l0:465`e383>!7?n3no7c?7e;3:?>ib93:1(<6i:ef8j4>b28k07bk?:18'5=`=lm1e=5k51c98ka`=83.:4k4kd:l2<`<6k21dho4?:%3;b?bc3g;3i7?k;:mg4?6=,82m6ij4n0:f>4c<3fi>6=4+19d9`a=i91o1=k54od`94?"60o0nm6`>8d83?>ib13:1(<6i:dc8j4>b2810ch650;&2<c<bi2d:4h4=;:mf3?6=,82m6ho4n0:f>6=<go:1<7*>8g8fb>h60l0;76aje;29 4>a2ll0b<6j:098k`b=83.:4k4jf:l2<`<532eno7>5$0:e>``<f82n6>54}c3``?6=;3:1<v*>a08210=O:ko0D?on;%33<?453`lh6=44igf94?=h9<:1<75rb362>5<3290;w)?n1;363>N5jl1C>lo4$02;>10<aoi1<75ffe83>>oam3:17b?:0;29?xu5kk0;6>6t^3aa?84>l3>270<n4;6:?84>k3>270<n2;6:?84f;3>270<6b;6:?84f93>270<n8;6:?87di3>270?le;6:?843>3>270<;7;6:?843=3>270<?a;6:?84713>270<?7;6:?847>3>270<?8;6:?847=3>270<?4;6:?847:3>270<?1;6:?847;3>270<>e;6:?846l3>270<>c;6:?846j3>270<>a;6:?84613>270<>8;6:?846?3>270<>6;6:?846=3>270<73;6:?84?:3>270<70;6:?840n3>270<71;6:?840m3>270<8d;6:?840j3>270<8a;6:?840k3>270<67;6:?84>>3>270<65;6:?84><3>270<63;6:?84>:3>270<61;6:?84>83>270<7f;6:?84?m3>270<68;73?84>03?:7p}<7`83>0}Y;>k01<mi:gf8975a2ol01<mk:gf897262oo0q~=88;29=`}Y:m=0R?m7;_1;6>X4091U>n94^25g?[5502T84<5Q33;8Z62e3W8o96P=d59]6a5<V;n97S<k1:\1`5=Y:jl0R?mj;_0``>X5kj1U?5j4^2:`?[5?j2T84l5Q39;8Z6>?3W93;6P<879]7=3<V:2?7S=87:\033=Y;>?0R>9;;_147>X4?;1U?:?4^253?[51n2T8:h522cd9bd=::h81985228`910=::h;198522`:910=::==198521`c913=:9h319;521`:913=:9h=19;521`4913=:9kn19;521ca913=:9kh19;521cc913=:9k319;52235913=::;<19;52237913=::;>19;52231913=::::19;5223d913=::;o19;5223f913=::;i19;521dc913=:9l219;521d4913=:9l>19;521d0913=:9l:19;521eg913=:9mi19;521ec913=::?919;52273913=::<l19;5224f913=::<h19;5224;913=::<=19;52247913=::<919;5228:90d=::0218o5228:95<1<5;336<77;<0:<?7>n279554>a19>6<>=:k16>4652d9>6<>=:m16>4652b9>6<>=:<16>4653c9>6<>=;l16>4653e9>6<>=;j16>465349>6<>=?916>4656d9>6<>=>j16>4656c9>6<>=>h16>465689>6<>=>116>465669>6<>=>?16>465649>6<>=>=16>465629>6<>=>816>465619>6<>==o16>4655d9>6<>=1816>4658g9>6<>=0m16>4658b9>6<>=0k16>4658`9>6<>=0016>465899>6<>=0>16>465879>6<>=0<16>465859>6<>=0;16>465809>6<>=0916>4657g9>6<>=?l16>4657e9>6<>=?j16>4657c9>6<>=?h16>465789>6<>=1116>465969>6<>=1?16>465949>6<>=1=16>465929>6<>=1;16>4658d9>6<>=0:16>465799>6<>=1m16>4659c9>6<>=i;16>465a19>6<>=1o16>4659d9>6<>=:k<01?77:3`7?84>038i?63=9981f7=z{:=h6=4<{_14g>;5i;0:5=5228`95<6<uz99?7>52z\066=:9jo1=4?4}r1;b?6=;rT84k5225:9ba=:::l1jh5rs206>5<5sW99963=4782=4=z{:;36=4={_132>;5110nh6s|30494?4|V::>70<68;aa?xu49<0;6?uQ316897??2jk0q~=>4;296~X48:16>465c89~w6742909wS=?2:?1==<d02wx?<<50;0xZ666348247m8;|q054<72;qU?=>4=3;;>f0<uz9:<7>52z\1bc=::021i85rs202>5<5sW9;i63=998f0>{t;;:1<7<t^22g?84>03oh7p}<1g83>7}Y;9i01?77:d18yv56m3:1>vP<0c9>6<>=m;1v\7f>?k:181\7f[57i279554j1:\7fp74e=838pR>>6;<0:<?c73ty8=o4?:3y]75><5;336ih4}r12e?6=:rT8<:5228:9`g=z{:;26=4={_0ea>;5110o<6s|31d94?4|V;lo70<68;a6?xu5n:0;6?uQ2d3897??20k0q~<i1;296~X5m916>4655e9~w7`72909wS<kf:?1==<2k2wx>hh50;0xZ7bb348247;m;|q1a`<72;qU>ij4=3;;>0g<uz8nh7>52z\1`f=::021945rs3g`>5<5sW8on63=9986<>{t:lh1<7<t^3fb?84>03=<7p}=fb83>7}Y:l301?77:648yv4aj3:1>vP=e99>6<>=101v\7f?hn:181\7f[4b?27955485:\7fp6c?=838pR?k9;<0:<?133ty9j54?:3y]6`3<5;336:=4}r0e3?6=:rT9i95228:937=z{;l=6=4={_0f7>;5110<=6s|2g794?4|V;o970<68;4g?xu5n=0;6?uQ2e;897??2?80q~<ja;296~X5l116>465569~w43d290jw0<l0;3;g>;6i?0?j63>b887b>;5::0?j63=2b87b>;6mj0?j63>d`87b>;5><0?j63=5287b>;5110m=6s|28a94?5|5;3o68;4=3;`>4?63482n7:j;|q1=a<72;q6>4j5183897?a2oi0q~<n3;297~;5i=0>963=a387a>;5i:0:5<5rs3c7>5<5s48j87?61:?1e3<ak2wx>4l50;1x97?d2<?01?7m:0;2?84>n3lo7p}=a383>6}::h81=4?4=3c0>03<5;k=6kj4}r0:a?6=:r79m<4>919>6<`=91k0q~<n0;2952}::h;1=4?4=0ab>4?734;hi7?60:?14d<2:279<44:2:?142<2:279<;4:2:?14=<2:279<84:2:?141<2:279<?4:2:?144<61916>==5539>64c==;16><j5539>64e==;16><l5539>64g==;16><75539>64>==;16><95539>640==;16><;5539~w7g22909w0<n8;3:4>;5i?0:4l5rs3c4>5<61r79m54>909>610=90:01?:8:0;3?843=3;2<63=82866>;50;0>>63=81866>;5?o0>>63=80866>;5?l0>>63=7e866>;5?k0>>63=7`82=5=::>i19?52285917=::0<19?52287917=::0>19?52281917=::0819?52283917=::0:19?5229d917=::1o19?5222g9b`=z{8ii6=4<{<3`e?3234;hi7;:;<3`g?7?i2wx=no50;7x94ef283:70?lf;d`?87dk3lo70<<f;d`?84393lh7p}=3e83>6}::=<19852257910=::=:1=5o4}r073?6=:r798:4>909>66c=nm1v\7f?:::187\7f843=3;2=63=498eg>;5;l0mo63=3g8e`>{t9h?1<7<t=0cb>1`<58k26<6n;|q2f6<72:q6=lo518;89740283270?m4;3;e>{t9j21<7<t=0cb>4>f34;ho7hl;|q2e1<72;q6=l754g9>5d>=91k0q~?m1;297~;6i00:545223495<?<58h96<6n;|q2e6<72;q6=l654g9>5d1=91k0q~?nf;297~;6i10:545223795<?<58h;6<6n;|q2e7<72;q6=l954g9>5d0=91k0q~?nd;297~;6i>0:545223695<?<58kn6<6n;|q2eg<72:q6=l8518;89744283270?nc;3;e>{t9k21<7<t=0`g>1`<58hh6<6n;|q2g7<72;q6=oj518;894e0282j7p}>c883>7}:9kn1=5o4=0ag>ce<uz;i;7>52z?2ff<3n27:no4>8`9~w4e62909w0?mc;3:=>;6k?0:4l5rs0`5>5<5s4;in7:i;<3ae?7?i2wx=n>50;0x94de283270?l5;3;e>{t9k?1<7<t=0`b>1`<58h26<6n;|q2fc<72;q6=oo518;894e3282j7p}>bd83>7}:9k31=474=0a0>4>f3ty9>?4?:3y>671=<o16>?8519c8yv44j3:1>v3=2682<d=::=;1ji5rs302>5<5s489:7:i;<011?7?i2wx>?>50;0x97422=l01?<;:0:b?xu59o0;6?u223690c=::;91=5o4}r01f?6=:r79?=4;f:?16c<60h1v\7f?=::181\7f84483;2563=3`82<d=z{;9h6=4={<004?7?i2798=4ic:\7fp67g=838p1?<i:5d8974b282j7p}=3583>7}::;l1=474=31:>4>f3ty9>44?:3y>67c=<o16>?j519c8yv44;3:1>v3=2d82=<=:::21=5o4}r01<?6=:r79>i4;f:?16f<60h1v\7f?==:181\7f845l3;2563=3682<d=z{;9:6=4={<01g?7>1279?;4>8`9~w7?>290::v3=0`87a>;5800?i63=0687a>;58?0?i63=0987a>;58<0?i63=0587a>;58;0?i63=0087a>;58:0?i63=1d87a>;59m0?i63=1b87a>;59k0?i63=1`87a>;5900?i63=1987a>;59>0?i63=1787a>;59<0?i63>cg82<d=::021j?5rs0f:>5<5s48;m7;:;<3e1?7?i2wx>=>50;6x976f283:70<>e;76?87d?3lh70?i6;d`?xu6l10;6?u221;910=:9o>1=5o4}r3eb?6=<r79<44>909>64b==<16=n95fd9>5`d=nj1v\7f<j9:181\7f847?3?>70?i2;3;e>{t9on1<7:t=324>4?6348:n7;:;<3`2?`b34;n;7hl;|q2`0<72;q6>=85549>5c7=91k0q~?ic;290~;58?0:5<5220c910=:9j?1jn521d79bf=z{8n<6=4={<03<?3234;m?7?7a:\7fp5cc=83>p1?>7:0;2?846k3?>70?l6;d`?87b13lh7p}>d583>7}::9?198521g295=g<uz;mn7>54z?140<61816><75549>5f3=nl16=h=5fb9~w4b42909w0<?4;76?87bn3;3m6s|1gc94?2|5;:?6<7>;<02<?3234;h87hl;<3f5?`d3ty:h<4?:3y>654==<16=hj519c8yv7a03:18v3=0382=4=::8<198521b19bf=:9mn1jn5rs0f3>5<5s48;=7;:;<3fg?7?i2wx=k950;6x9766283:70<>5;76?87d;3ln70?kb;d`?xu6l;0;6?u2211910=:9lo1=5o4}r3e=?6=<r79<>4>909>641==<16=n:5fd9>5a`=nj1v\7f<kn:181\7f87a=3>m70?ja;3;e>{t9o<1<7<t=0d6>42a34;m:7?7a:\7fp5`>=839p1<h;:5d894cf2=l01<k7:0:b?xu6mk0;6>u21g6951`<58oj6<76;<3ff?7?i2wx=h850;1x94`42=l01<k7:5d894c1282j7p}>e883>6}:9o91=9h4=0g;>4?>34;n57?7a:\7fp5`2=839p1<h=:5d894c12=l01<k;:0:b?xu6m>0;6>u21g0951`<58o=6<76;<3f3?7?i2wx=h<50;1x94`62=l01<k;:5d894c5282j7p}>e483>6}:9o;1=9h4=0g7>4?>34;n97?7a:\7fp5`6=839p1<h?:5d894c52=l01<k?:0:b?xu6m:0;6>u21g2951`<58o96<76;<3f7?7?i2wx=ik50;1x94ca2=l01<k?:5d894bb282j7p}>e083>6}:9ll1=9h4=0g3>4?>34;n=7?7a:\7fp5ae=839p1<kj:5d894bb2=l01<jl:0:b?xu6lo0;6>u21dg951`<58nn6<76;<3gb?7?i2wx=io50;1x94cc2=l01<jl:5d894bf282j7p}>de83>6}:9ln1=9h4=0f`>4?>34;oh7?7a:\7fp5ad=839p1<kl:06e?87ci3;2563>dc82<d=z{;;?6=4;{<02a?7>9279?l4id:?2f1<ak279554<9:\7fp645=83>p1??k:0;2?844i3lm70?m4;df?84>03937p}=1383>1}::8i1=4?4=31:>cb<58h96km4=3;;>61<uz8:=7>54z?15g<61816>>75fg9>5g4=nl16>465379~w777290?w0<>a;3:5>;5;10mh63>b18eg>;5110?86s|21d94?2|5;;26<7>;<00<?`a34;i<7hj;<0:<?243ty9<h4?:5y>64>=90;01?=8:gf894gb2oi01?77:508yv47l3:18v3=1682=4=:::=1jk521`g9b`=::0218<5rs32`>5<3s48::7?61:?173<al27:mn4ic:?1==<382wx>=l50;6x9772283:70<<6;de?87fk3ln70<68;1e?xu51h0;6<ot=3:0>1c<5;2969k4=3:3>1c<5;=m69k4=3:2>1c<5;=n69k4=35g>1c<5;=i69k4=35b>1c<5;=h69k4=3;4>1c<5;3=69k4=3;6>1c<5;3?69k4=3;0>1c<5;3969k4=3;2>1c<5;3;69k4=3:e>1c<5;2n69k4=36;>4>f34;ho7hj;<0:<?4e9279554=ag9>6<>=:ho01?77:3cg?xu5=;0;6?u2291910=::?o1=5o4}r04=?6=<r794>4>909>6<1==<16>>o5fb9>63`=nj1v\7f?;>:181\7f84?:3?>70<9d;3;e>{t:>21<7:t=3:1>4?63482:7;:;<00e?`b348=87hl;|q10c<72;q6>5>5549>63d=91k0q~<86;290~;5090:5<52286910=:::31jh522729bf=z{;>n6=4={<04b?32348=m7?7a:\7fp623=83>p1?9i:0;2?84>;3?>70<<8;d`?842m3lh7p}=5183>7}::1;1985227a95=g<uz8<;7>54z?1<4<61816>4;5549>66?=nj16>;<5fb9~w72c2909w0<8e;76?84113;3m6s|26694?2|5;=n6<7>;<0:6?32348847hj;<06g?`d3ty98n4?:3y>62b==<16>;6519c8yv40;3:18v3=7e82=4=::0;198522259bf=::<k1jn5rs36b>5<5s48<n7;:;<052?7?i2wx>:?50;6x971e283:70<7f;76?844>3lh70<:6;d`?xu5<00;6?u226c910=::??1=5o4}r044?6=<r79;l4>909>6=c==<16>>85fd9>602=nj1v\7f?:m:181\7f840k3?>70<97;3;e>{t:>81<7:t=35`>4?63482<7;:;<003?`b348>47hl;|q126<72;q6>;k54g9>635=91k0q~<9f;296~;5>l0:8k5227d95=g<uz8==7>53z?12a<3n279:>4;f:?124<60h1v\7f?8;:180\7f841l3;?j63=6282=<=::?>1=5o4}r06b?6=;r79:n4;f:?124<3n2799k4>8`9~w7052908w0<9c;37b>;5>80:545227095=g<uz8>h7>53z?12g<3n2799k4;f:?11a<60h1v\7f?8?:180\7f841j3;?j63=5g82=<=::?:1=5o4}r06f?6=;r79:l4;f:?11a<3n2799o4>8`9~w73b2908w0<9a;37b>;5=m0:545224g95=g<uz8>57>53z?12<<3n2799o4;f:?11<<60h1v\7f?;l:180\7f84113;?j63=5c82=<=::<i1=5o4}r063?6=;r79:54;f:?11<<3n2799:4>8`9~w73f2908w0<98;37b>;5=00:545224c95=g<uz8>97>53z?122<3n2799:4;f:?110<60h1v\7f?;7:180\7f841?3;?j63=5682=<=::<21=5o4}r067?6=;r79:;4;f:?110<3n2799>4>8`9~w7312908w0<96;37b>;5=<0:545224495=g<uz8>87>53z?120<6<o16>8=518;89733282j7p}=8e83>1}::0=1=4?4=0a4>cb<58h?6kj4=3;;>7?<uz83o7>54z?1=3<61816=n95fg9>5g2=no16>465299~w7>e290?w0<65;3:5>;6k?0mh63>b38e`>;51109;6s|29c94?2|5;3?6<7>;<3`2?`a34;i>7hi;<0:<?413ty9444?:5y>6<5=90;01<m::gf894d72on01?77:268yv4?03:18v3=9382=4=:9j?1jk521c29bc=::021?>5rs3:4>5<3s482=7?61:?2g1<al27:mh4id:?1==<4:2wx>5850;6x97?7283:70?l4;de?87fm3lm70<68;12?xu50<0;69u229d95<7<58i86kj4=0c`>cb<5;336>>4}r0;0?6=<r794h4>909>5f5=no16=lm5fg9>6<>=:o1v\7f<9n:181\7f87dk3lm70?ld;364>{t:=>1<7<t=31f>4>f348?<7hi;|q107<72;q6>9>5fe9>66`=91k0q~<;3;296~;5<90mi63=408215=zuz8hn7>52z\1gg=:<>09oo5+2`;952d<uz8m?7>52z\1a4=:<>09i<5+2`;95=6<uz8m=7>52z\1a5=:<>09i=5+2`;95=7<uz8m<7>52z\1`c=:<>09hk5+2`;95=4<uz8nj7>52z\1``=:<>09hh5+2`;95=3<uz8ni7>52z\1`a=:<>09hi5+2`;950b<uz8nh7>52z\1`f=:<>09hn5+2`;9532<uz8no7>52z\1`g=:<>09ho5+2`;953`<uz8nn7>52z\1`d=:<>09hl5+2`;9522<uz8mo7>52z\1a<=:<>09i45+2`;9523<uz8mn7>52z\1a==:<>09i55+2`;9520<uz8mm7>52z\1a2=:<>09i:5+2`;9521<uz8m57>52z\1a3=:<>09i;5+2`;952><uz8m47>52z\1a0=:<>09i85+2`;952?<uz8m;7>52z\1a1=:<>09i95+2`;952g<uz8m:7>52z\1a6=:<>09i>5+2`;952e<uz8m97>52z\1a7=:<>09i?5+2`;952b<uz8m87>52z\1`<=:<>09h45+2`;952c<uz8nm7>52z\1`==:<>09h55+2`;952`<uz9<m7>52z\03d=:<>08;l5+2`;95=5<uz9<o7>52z\03f=:<>08;n5+2`;95=2<uz93j7>52z\0<c=:<>084k5+2`;95=0<uz9:47>52z\043=:<>08<;5+2`;950c<uz9::7>52z\040=:<>08<85+2`;950`<uz9:97>52z\041=:<>08<95+2`;9536<uz9:87>52z\046=:<>08<>5+2`;9537<uz9:?7>52z\047=:<>08<?5+2`;9534<uz9:>7>52z\044=:<>08<<5+2`;9535<uz9:=7>52z\045=:<>08<=5+2`;9533<uz9:<7>52z\1bc=:<>09jk5+2`;9530<uz99=7>52z\04`=:<>08<h5+2`;9531<uz99<7>52z\04a=:<>08<i5+2`;953><uz9:j7>52z\04f=:<>08<n5+2`;953?<uz9:i7>52z\04g=:<>08<o5+2`;953g<uz9:h7>52z\04d=:<>08<l5+2`;953d<uz9:o7>52z\04<=:<>08<45+2`;953e<uz9:n7>52z\04==:<>08<55+2`;953b<uz9:m7>52z\042=:<>08<:5+2`;953c<uz9:57>52z\1b`=:<>09jh5+2`;9526<uz9;j7>52z\1ba=:<>09ji5+2`;9527<uz99?7>52z\066=:<>08>>5+2`;9524<uz9997>52z\060=:<>08>85+2`;9525<utd>:=4?:3yK6dg<ug?==7>52zJ1ed=zf<<96=4={I0be>{i=?91<7<tH3cb?xh2>=0;6?uG2`c8yk31=3:1>vF=a`9~j0012909wE<na:\7fm131=838pD?on;|l62=<72;qC>lo4}o75=?6=:rB9ml5rn44b>5<5sA8jm6sa57`94?4|@;kj7p`:6b83>7}O:hk0qc;9d;296~N5ih1vb88j:181\7fM4fi2we9;h50;0xL7gf3td>;=4?:3yK6dg<ug?<=7>52zJ1ed=zf<=96=4={I0be>{i=>91<7<tH3cb?xh2?=0;6?uG2`c8yk30=3:1>vF=a`9~j0112909wE<na:\7fm121=838pD?on;|l63=<72;qC>lo4}o74=?6=:rB9ml5rn45b>5<5sA8jm6sa56`94?4|@;kj7p`:7b83>7}O:hk0qc;8d;296~N5ih1vb89j:181\7fM4fi2we9:h50;0xL7gf3td>4=4?:3yK6dg<ug?3=7>52zJ1ed=zf<296=4={I0be>{i=191<7<tH3cb?xh20=0;6?uG2`c8yk3?=3:1>vF=a`9~j0>12909wE<na:\7fm1=1=838pD?on;|l6<=<72;qC>lo4}o7;=?6=:rB9ml5rn5;`>5<6sA8jm6sa4b794?7|@;kj7p`;c783>4}O:hk0qc:l7;295~N5ih1vb9m7:182\7fM4fi2we8n750;3xL7gf3td?ol4?:0yK6dg<ug>hn7>51zJ1ed=zf=ih6=4>{I0be>{i<jn1<7?tH3cb?xh3kl0;6<uG2`c8yk2dn3:1=vF=a`9~j1b7290:wE<na:\7fm0a7=83;pD?on;|l7`7<728qC>lo4}o6g7?6=9rB9ml5rn5f7>5<6sA8jm6sa4e794?7|@;kj7p`;d783>4}O:hk0qc:k7;295~N5ih1vb9j7:182\7fM4fi2we8i750;3xL7gf3td?hl4?:0yK6dg<ug>on7>51zJ1ed=zf=nh6=4>{I0be>{i<mn1<7?tH3cb?xh3ll0;6<uG2`c8yk2cn3:1=vF=a`9~j1c7290:wE<na:\7fm0`7=83;pD?on;|l7a7<728qC>lo4}o6f7?6=9rB9ml5rn5g7>5<6sA8jm6sa4d794?7|@;kj7p`;e783>4}O:hk0qc:j7;295~N5ih1vb9k7:182\7fM4fi2we8h750;3xL7gf3td?il4?:0yK6dg<ug>nn7>51zJ1ed=zf=oh6=4>{I0be>{i<ln1<7?tH3cb?xh3ml0;6<uG2`c8yk2bn3:1=vF=a`9~j1`7290:wE<na:\7fm0c7=83;pD?on;|l7b7<728qC>lo4}o6e7?6=9rB9ml5rn5d7>5<6sA8jm6sa4g794?7|@;kj7p`;f783>4}O:hk0qc:i7;295~N5ih1vb9h7:182\7fM4fi2we8k750;3xL7gf3td?jl4?:0yK6dg<ug>mn7>51zJ1ed=zf=lh6=4>{I0be>{i<on1<7?tH3cb?xh3nl0;6<uG2`c8yk2an3:1=vF=a`9~j067290:wE<na:\7fm157=83;pD?on;|l647<728qC>lo4}o737?6=9rB9ml5rn427>5<6sA8jm6sa51794?7|@;kj7p`:0783>4}O:hk0qc;?7;295~N5ih1vb8>7:182\7fM4fi2we9=750;3xL7gf3td><l4?:0yK6dg<ug?;n7>51zJ1ed=zf<:h6=4>{I0be>{i=9n1<7?tH3cb?xh28l0;6<uG2`c8yk37n3:1=vF=a`9~j077290:wE<na:\7fm147=83;pD?on;|l657<728qC>lo4}o727?6=9rB9ml5rn437>5<6sA8jm6sa50794?7|@;kj7p`:1783>4}O:hk0qc;>7;295~N5ih1vb8?7:182\7fM4fi2we9<750;3xL7gf3td>=l4?:0yK6dg<ug?:n7>51zJ1ed=zf<;h6=4>{I0be>{i=8n1<7?tH3cb?xh29l0;6<uG2`c8yk36n3:1=vF=a`9~j047290:wE<na:\7fm177=83;pD?on;|l667<728qC>lo4}o717?6=9rB9ml5rn407>5<6sA8jm6sa53794?7|@;kj7p`:2783>4}O:hk0qc;=7;295~N5ih1vb8<7:182\7fM4fi2we9?750;3xL7gf3td>>l4?:0yK6dg<ug?9n7>51zJ1ed=zf<8h6=4>{I0be>{i=;n1<7?tH3cb?xh2:l0;6<uG2`c8yk35n3:1=vF=a`9~j057290:wE<na:\7fm167=83;pD?on;|l677<728qC>lo4}o707?6=9rB9ml5rn417>5<6sA8jm6sa52794?7|@;kj7p`:3783>4}O:hk0qc;<7;295~N5ih1vb8=7:182\7fM4fi2we9>750;3xL7gf3td>?l4?:0yK6dg<ug?8n7>51zJ1ed=zf<9h6=4>{I0be>{i=:n1<7?tH3cb?xh2;l0;6<uG2`c8yk34n3:1=vF=a`9~j027290:wE<na:\7fm117=83;pD?on;|l607<728qC>lo4}o777?6=9rB9ml5rn467>5<6sA8jm6sa55794?7|@;kj7p`:4783>4}O:hk0qc;;7;295~N5ih1vb8:7:182\7fM4fi2we99750;3xL7gf3td>8l4?:0yK6dg<ug??n7>51zJ1ed=zf<>h6=4>{I0be>{i==n1<7?tH3cb?xh2<l0;6<uG2`c8yk33n3:1=vF=a`9~j037290:wE<na:\7fm107=83;pD?on;|l617<728qC>lo4}o767?6=9rB9ml5rn477>5<6sA8jm6sa54794?7|@;kj7p`:5783>4}O:hk0qc;:7;295~N5ih1vb8;7:182\7fM4fi2we98750;3xL7gf3td>9l4?:0yK6dg<ug?>n7>51zJ1ed=zf<?h6=4>{I0be>{i=<n1<7?tH3cb?xh2=l0;6<uG2`c8yk32n3:1=vF=a`9~yx{GHJq=9=4<c67e1`4zHIHp<pNOPz\7fCD
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$364\7f41<,[o}e~g`n;"2*447&;:%>-*>;1684567<2:;<<:401:20>6488>0<NO91:30?4(7<2;;<=?=;0:9MKVR\3nbb1?8:1<20>7?2F__\XZ5dnww841=87;08k5;vmz74c`69=2&==?j;48JJUSS2yxdkRkbpu{\pmtb{a6?6=0=5:79MKVR\3zycjQjmqvz[qnumzbTm~}jru>7>585=2?1EC^ZT;rqkbYbey~rSyf}erj\j`af|l6?6=0>d:79MKVR\3zycjQiumn\pmtb{a6?6=0=4:79MKVR\3zycjQiumn\pmtb{aUj\7f~k}t=694;433<0BB][[:qplcZ`rdeU\7fd\7fk|h^lfcdrb4=0;2<645;MVPUSS2mk\7fmRm`uov?0?699k1>6B[[PTV9vaYci}kTob{at=694;7e3<0DYY^ZT;uq[agsiVidycz34;2=0>0>;J>0;>KI4:5;A42<?OLN866?0038=7=F8;1J=?5N239B7<=F[PDHS_KH3:@V6==E]ZUBBKA>;B68GFE?:2IN?6MCK29@HW?<KFGFEYZJD69@V@GSMM;0H?5J439FE1=A>93?7K67839E@1=ALJO87KJ_4:DGT@4<NL>0JK9J4:DEBA2<NOLN96Hnlrg2?B5<OGN:7D<4I108M44<A;80E>74IOKWWQGSM:1BB[=4IN@1?II13EEJHHJ8;MMDMFGK<2F^X?84LTV1[A2<D\^886BZT548HPR3WM<0@XZ;_M78IP^A=j1Fmga}Vdppmjhd3Dcec\7fXjrrklj6=I8890B<>;;O3356=I9890B<<<;O300>H6;>>0B<=74:L27<5<F8>?7C?;059M51733G;?>95A1517?K73<=1E=9;;;O3721=I9==87C?:3:L226=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88>6@<2:L76>H2;2D>9>5A5608J35<F?:87C862:L47>H08:1E;<=4N600?K14;2D<8>5A7418J2043G=<?6@8829M3<4<F190B5><;O:27>H?::1E4>=4N960?K>2;2D3:>5A8618J=>53G3m7CLPBTQSMKYWZFZX;6@JTVMQO4=H:2E@=6^;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T5<Y8?27_k|umv?4;g<Zly~`y2?>0c8V`urd}6;2?74Rdqvhq:66h1Yi~{ct=3=5d=Umz\7fgx1?1289Qavsk|585m6\jstnw8786i2Xn\7fxb{<3<1=>Tb{|f\7f0>0n;Sgpqir;;7;j7_k|umv?7;4>3[oxyaz34?c8V`urd}6?2<o4Rdqvhq:36;;0_;5\OTP@A2=TZEI::H:4TXRF6d=R8&myj#|i/fn2*iut`o~$Aua}_blwvZciW[oxyaz>13;8Q5)`zo$yj"ic1/npwm`s'Drd~Rmats]fjZTb{|f\7f>>=4U1-dvc(un&mg=#b|sidw+f6(Eje~byQlotlw[}iu494:=RGAV^21`>S7'nxm"\7fh gm3-hvuon}%h<"Clotlw[firf}Usc\7f2>>031`>S7'nxm"\7fh gm3-hvuon}%h<"Clotlw[firf}Usc\7f2=>031a>S7'nxm"\7fh gm3-hvuon}%h<"Clotlw[firf}Usc\7f2<>0326a=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|33?316`=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|34?3257b<]9%l~k }f.eo5+jt{al\7f#n> MbmvjqYdg|d\7fSua}<5<2676<]9%l~k }f.eo5+jt{al\7f#n> cnwmp969:91^<"i}f/pe+bj6&eyxdkz c1-`kphs4849<6[?/fpe*w`(oe;%`~}gfu-`4*eh}g~7>3<?;T2,cw`)zo%l`< csrjep*e7'je~by2<>328Q5)`zo$yj"ic1/npwm`s'j:$ob{at=6=5c=R8&myj#|i/fn2*iut`o~$o=!lotlw[57a3\:$k\7fh!rg-dh4(k{zbmx"m?/bmvjqY69o1^<"i}f/pe+bj6&eyxdkz c1-`kphsW;;m7X> gsd-vc)`d8$g\7f~fit.a3+firf}U8=k5Z0.eqb+ta'nf:"a}|hgv,g5)dg|d\7fS9=<;T2,cw`)zo%l`< csrjep*e6'DidyczPcnwmpZ~hz5:5=<QFNW]36a=R8&myj#|i/fn2*iut`o~$o<!BcnwmpZeh}g~Ttb|31?326`=R8&myj#|i/fn2*iut`o~$o<!BcnwmpZeh}g~Ttb|32?3257b<]9%l~k }f.eo5+jt{al\7f#n? MbmvjqYdg|d\7fSua}<3<267c<]9%l~k }f.eo5+jt{al\7f#n? MbmvjqYdg|d\7fSua}<2<2544b3\:$k\7fh!rg-dh4(k{zbmx"m>/LalqkrXkf\7fexRv`r=1=544482_;#j|i.sd,ci7)dzycjy!l1.O`kphsWje~byQwos>0:47Xn<8n7X> gsd-vc)`d8$g\7f~fit.a2+Heh}g~Tob{at^zlv9299;;9i6[?/fpe*w`(oe;%`~}gfu-`5*Kdg|d\7fSnaznu]{kw:36889?=5Z0.eqb+ta'nf:"a}|hgv,g4)Jkf\7fexRm`uov\|jt;<7;9Sk;=0:W3+bta&{l$ka?!lrqkbq)d9&idycz30?03?P6(o{l%~k!hl0,owvna|&i:#naznu>2:76<]9%l~k }f.eo5+jt{al\7f#n? cnwmp949:91^<"i}f/pe+bj6&eyxdkz c0-`kphs4:49<6[?/fpe*w`(oe;%`~}gfu-`5*eh}g~783?i;T2,cw`)zo%l`< csrjep*e6'je~byQ?1g9V4*aun'xm#jb>.mqplcr(k8%hcx`{_03e?P6(o{l%~k!hl0,owvna|&i:#naznu]15c=R8&myj#|i/fn2*iut`o~$o<!lotlw[67a3\:$k\7fh!rg-dh4(k{zbmx"m>/bmvjqY39j1^<"i}f/pe+bj6&eyxdkz covq[`h4<2_;#j|i.sd,ci7)dzycjy!lre-Ngjsi|VidyczPxnp?4;76W@D]S=<j;T2,cw`)zo%l`< csrjep*eul&Ghcx`{_bmvjqY\7fg{6:2<?=e:W3+bta&{l$ka?!lrqkbq)dzm%Fob{at^alqkrXpfx7>3?>2g9V4*aun'xm#jb>.mqplcr(k{n$Anaznu]`kphsWqey0>0>100f?P6(o{l%~k!hl0,owvna|&iyh"Clotlw[firf}Usc\7f2<>001a>S7'nxm"\7fh gm3-hvuon}%h~i!BcnwmpZeh}g~Ttb|34?3264=R8&myj#|i/fn2*iut`o~$o\7fj cnwmp969:81^<"i}f/pe+bj6&eyxdkz csf,gjsi|5;5><5Z0.eqb+ta'nf:"a}|hgv,gwb(kf\7fex1<1209V4*aun'xm#jb>.mqplcr(k{n$ob{at=1=64=R8&myj#|i/fn2*iut`o~$o\7fj cnwmp929:91^<"i}f/pe+bj6&eyxdkz csf,gjsi|V:9<6[?/fpe*w`(oe;%`~}gfu-`va)dg|d\7fS<<?;T2,cw`)zo%l`< csrjep*eul&idyczP2328Q5)`zo$yj"ic1/npwm`s'jxo#naznu]065=R8&myj#|i/fn2*iut`o~$o\7fj cnwmpZ2592_;#j|i.sd,ci7)dzycjy!}al]q`ZciW`;9=6[?/fpe*w`(oe;%`~}gfu-qehYpzVoeSd?=8:W3+bta&{l$ka?!lrqkbq)u{}y$~lcPelrw}Zehek827X> gsd-vc)`d8$g\7f~fit.pppv)uidUna}zv_bmnf74f3\:$k\7fh!rg-dh4(k{zbmx"||tr-qehYbey~rSnabb321e>S7'nxm"\7fh gm3-hvuon}%y\7fy} r`o\ahvsqVidao==2`9V4*aun'xm#jb>.mqplcr(zz~x#\7fob_dosp|Ydgdh???m4U1-dvc(un&mg=#b|sidw+wus{&xjaRkbpu{\gjke<:UM>n5Z0.eqb+ta'nf:"a}|hgv,vvrt'{kfShc\7ftx]`khd3;VM9h6[?/fpe*w`(oe;%`~}gfu-qwqu(zhgTi`~{y^aligYjiy;9i6[?/fpe*w`(oe;%`~}gfu-qwqu(zhgTi`~{y^aligYjiy;?>i5Z0.eqb+ta'nf:"a}|hgv,vvrt'{kfShc\7ftx]`khdXehz3>55Z0.eqb+ta'nf:"a}|hgv,vvrt'{kfShc\7ftx]efZo5=2_;#j|i.sd,ci7)dzycjy!}suq,vdkXmdz\7fuRg=7:W3+bta&{l$ka?!lrqkbq)p{}y$~lcPftno[fijj;30Y=!hrg,qb*ak9'fx\7feh{/vqww*tfeVl~`aQlol`247?<]9%l~k }f.eo5+jt{al\7f#z}{s.pbiZ`rdeUhc`l>13;8Q5)`zo$yj"ic1/npwm`s'~y\7f\7f"|nm^dvhiYdgdh9??74U1-dvc(un&mg=#b|sidw+rus{&xjaRhzlm]`khd31;30Y=!hrg,qb*ak9'fx\7feh{/vqww*tfeVl~`aQlol`567?<]9%l~k }f.eo5+jt{al\7f#z}{s.pbiZ`rdeUhc`l873f8Q5)`zo$yj"ic1/npwm`s'~y\7f\7f"|nm^dvhiYdgdhTal~>63f8Q5)`zo$yj"ic1/npwm`s'~y\7f\7f"|nm^dvhiYdgdhTal~=33a8Q5)`zo$yj"ic1/npwm`s'~y\7f\7f"|nm^dvhiYdgdhTal~:2b9V4*aun'xm#jb>.mqplcr(\7fz~x#\7fob_gwohZehekUfm}8=c:W3+bta&{l$ka?!lrqkbq)p{}y$~lcPftno[fijjVgj|4<8;T2,cw`)zo%l`< csrjep*qt|z%ym`Qiumn\bgYn:=1^<"i}f/pe+bj6&eyxdkz wrvp+wgjWo\7fg`Rg=3:W3+bta&{l$ka?!lrqkbq)p{}y${\7fQ}su]g574<]9%l~k }f.ofi*ate'yf#iazt^0g858;87887X> gsd-vc)jmd%l\7f` |m.flqqY5l5:50<>1229V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j30?>25;443\:$k\7fh!rg-nah)`{d$xa"j`uu]1`9694885>>5Z0.eqb+ta'dof#j}b.ro,`jssW;n7<32>3?00?P6(o{l%~k!bel-dwh(te&ndyyQ=d=2=8429::1^<"i}f/pe+hcj'nyf"~c dnww[7b;876:93<<;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1>1<04=66=R8&myj#|i/lgn+buj&zg$hb{{_3f?4;:6?7897X> gsd-vc)jmd%l\7f` |m.flqqY5l5:50<0=2:W3+bta&{l$ahc gro-wh)cg|~T>i2?>=0=67=R8&myj#|i/lgn+buj&zg$hb{{_3f?4;:46;80Y=!hrg,qb*kbe&mxa#}b/emvpZ4c494783<=;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1>1<4<16>S7'nxm"\7fh mdo,cvk){d%ocxzP2e>3:909:;1^<"i}f/pe+hcj'nyf"~c dnww[7b;876<2?<4U1-dvc(un&gna"i|m/qn+air|V8o0=038?01?P6(o{l%~k!bel-dwh(te&ndyyQ=d=2=8<85:2_;#j|i.sd,i`k(ozg%\7f`!kotv\6a:665:5>>5Z0.eqb+ta'dof#j}b.ro,`jssW;n7=32>0?00?P6(o{l%~k!bel-dwh(te&ndyyQ=d=3=8479::1^<"i}f/pe+hcj'nyf"~c dnww[7b;976:>3<<;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1?1<01=66=R8&myj#|i/lgn+buj&zg$hb{{_3f?5;:6<7887X> gsd-vc)jmd%l\7f` |m.flqqY5l5;50<;1229V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j31?>22;443\:$k\7fh!rg-nah)`{d$xa"j`uu]1`97948=5>?5Z0.eqb+ta'dof#j}b.ro,`jssW;n7=32>>308Q5)`zo$yj"cjm.epi+uj'me~xR<k<0<?6;453\:$k\7fh!rg-nah)`{d$xa"j`uu]1`9794:49>6[?/fpe*w`(elg$k~c!sl-gkprX:m6:21:1239V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j31?>6:74<]9%l~k }f.ofi*ate'yf#iazt^0g848;>7897X> gsd-vc)jmd%l\7f` |m.flqqY5l5;50:0=2:W3+bta&{l$ahc gro-wh)cg|~T>i2>>=:=67=R8&myj#|i/lgn+buj&zg$hb{{_3f?5;:>68n0Y=!hrg,qb*kbe&mxa#}b/emvpZoX88n0Y=!hrg,qb*kbe&mxa#}b/emvpZoX98o0Y=!hrg,qb*kbe&mxa#}b/emvpZoX99;n7X> gsd-vc)jmd%l\7f` |m.flqqYnW8;:i6[?/fpe*w`(elg$k~c!sl-gkprXaV;9=h5Z0.eqb+ta'dof#j}b.ro,`jssW`U:?<k4U1-dvc(un&gna"i|m/qn+air|VcT=9?j;T2,cw`)zo%fi`!hsl,pi*bh}}UbS<;>e:W3+bta&{l$ahc gro-wh)cg|~TeR?91d9V4*aun'xm#`kb/fqn*vk(lf\7f\7fSdQ>70f8Q5)`zo$yj"cjm.epi+uj'me~xRgP20f8Q5)`zo$yj"cjm.epi+uj'me~xRgP30f8Q5)`zo$yj"cjm.epi+uj'me~xRgP40f8Q5)`zo$yj"cjm.epi+uj'me~xRgP50f8Q5)`zo$yj"cjm.epi+uj'me~xRgP60f8Q5)`zo$yj"cjm.epi+uj'me~xRgP70f8Q5)`zo$yj"cjm.epi+uj'me~xRgP80f8Q5)`zo$yj"cjm.epi+uj'me~xRgP9328Q5)`zo$yj"cjm.epi+uj'me~xRcjm=2=64=R8&myj#|i/lgn+buj&zg$hb{{_lgn8586:81^<"i}f/pe+hcj'nyf"~c dnww[hcj48:5>?5Z0.eqb+ta'dof#j}b.ro,`jssWdof0<>11338Q5)`zo$yj"cjm.epi+uj'me~xRcjm=32:74<]9%l~k }f.ofi*ate'yf#iazt^ofi976688:7X> gsd-vc)jmd%l\7f` |m.flqqYjmd6:>3<=;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`2>2?315>S7'nxm"\7fh mdo,cvk){d%ocxzPmdo?5685:2_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;9:4:><5Z0.eqb+ta'dof#j}b.ro,`jssWdof0<:1239V4*aun'xm#`kb/fqn*vk(lf\7f\7fS`kb<06=577<]9%l~k }f.ofi*ate'yf#iazt^ofi9726;80Y=!hrg,qb*kbe&mxa#}b/emvpZkbe5;>2<<>;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`2>6?01?P6(o{l%~k!bel-dwh(te&ndyyQbel>22;7592_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;9>49>6[?/fpe*w`(elg$k~c!sl-gkprXelg7=:0>219V4*aun'xm#`kb/fqn*vk(lf\7f\7fS`kb<0<15>S7'nxm"\7fh mdo,cvk){d%ocxzPmdo?5;7582_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;:78:7X> gsd-vc)jmd%l\7f` |m.flqqYjmd692<<?;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`2<>338Q5)`zo$yj"cjm.epi+uj'me~xRcjm=1=576<]9%l~k }f.ofi*ate'yf#iazt^ofi929:81^<"i}f/pe+hcj'nyf"~c dnww[hcj4=4:>=5Z0.eqb+ta'dof#j}b.ro,`jssWdof080=1:W3+bta&{l$ahc gro-wh)cg|~Tahc35?314>S7'nxm"\7fh mdo,cvk){d%ocxzPmdo?2;463\:$k\7fh!rg-nah)`{d$xa"j`uu]nah:1688;7X> gsd-vc)jmd%l\7f` |m.flqqYjmd6<2??4U1-dvc(un&gna"i|m/qn+air|Vgna1911328Q5)`zo$yj"cjm.epi+uj'me~xRcjm=:=64=R8&myj#|i/lgn+buj&zg$hb{{_lgn8=86:91^<"i}f/pe+hcj'nyf"~c dnww[hcj4049=6[?/fpe*w`(elg$k~c!sl-gkprXelg753?<c:W3+bta&{l$ahc gro-wh)`{dy%k~c|[0_-whu(o{kf"j}bsZ2^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[02^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[03^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[00^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[01^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[06^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[07^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[04^*bp3&zxg=;=k;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[05^*bp3&zxg=;=l;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T1\,piv)`zhg%k~c|[0_-cs2){{f::>m4U1-dvc(un&gna"i|m/qn+buj{'mxa~U>]/qnw*auid$l\7f`}T2\,dr1(tze;=?n5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U<]/eu0+uud8<8o6[?/fpe*w`(elg$k~c!sl-dwhu)ozgxW<S!slq,cwgj&nyf\7fV:R.ft7*vtk9?9h7X> gsd-vc)jmd%l\7f` |m.epiv(`{dyP=P |mr-dvdk)ozgxW8S!gw6-wwj6>:i0Y=!hrg,qb*kbe&mxa#}b/fqnw+atezQ:Q#}bs.eqeh(`{dyP:P hv5,pvi71;j1^<"i}f/pe+hcj'nyf"~c grop*buj{R;V"~c|/fpbi+atezQ<Q#iy4/qqh404k2_;#j|i.sd,i`k(ozg%\7f`!hslq-cvktS8W%\7f`} gscn*buj{R2V"jx;.rpo535d3\:$k\7fh!rg-nah)`{d$xa"i|mr,dwhu\9T$xa~!hr`o-cvktS0W%k{:!ssn2262<]9%l~k }f.ofi*ate'yf#j}bs/qn5*auid$l\7f`}T0\,dr1(tze;=?85Z0.eqb+ta'dof#j}b.ro,cvkt&zg:#j|nm/epiv]68T$lz9 |rm3570=R8&myj#|i/lgn+buj&zg$k~c|.ro2+btfe'mxa~U>1\,dr1(tze;=?85Z0.eqb+ta'dof#j}b.ro,cvkt&zg:#j|nm/epiv]6:T$lz9 |rm3570=R8&myj#|i/lgn+buj&zg$k~c|.ro2+btfe'mxa~U>3\,dr1(tze;=?85Z0.eqb+ta'dof#j}b.ro,cvkt&zg:#j|nm/epiv]6<T$lz9 |rm3570=R8&myj#|i/lgn+buj&zg$k~c|.ro2+btfe'mxa~U>5\,dr1(tze;=?85Z0.eqb+ta'dof#j}b.ro,cvkt&zg:#j|nm/epiv]6>T$lz9 |rm3570=R8&myj#|i/lgn+buj&zg$k~c|.ro2+btfe'mxa~U>7\,dr1(tze;=?95Z0.eqb+ta'dof#j}b.ro,cvkt&zg:#j|nm/epiv]6U'm}8#}}l0400>S7'nxm"\7fh mdo,cvk){d%l\7f`}!sl3,cwgj&nyf\7fV<R.ft7*vtk9?9?7X> gsd-vc)jmd%l\7f` |m.epiv(te8%l~lc!grop_6[)o\7f>%\7f\7fb>6268Q5)`zo$yj"cjm.epi+uj'nyf\7f#}b1.eqeh(`{dyP8P hv5,pvi71;=1^<"i}f/pe+hcj'nyf"~c grop*vk6'nxja#i|mrY6Y+aq<'yy`<8<4:W3+bta&{l$ahc gro-wh)`{dy%\7f`? gscn*buj{R<V"jx;.rpo53533\:$k\7fh!rg-nah)`{d$xa"i|mr,pi4)`zhg%k~c|[6_-cs2){{f::>:4U1-dvc(un&gna"i|m/qn+buj{'yf="i}al,dwhu\0T$lz9 |rm3571=R8&myj#|i/lgn+buj&zg$k~c|.ro2+btfe'mxa~U6]/eu0+uud8<986[?/fpe*w`(elg$k~c!sl-plcrXkfdeinz30?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:6878>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2>1?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:6:78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2>3?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:6<78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2>5?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:6>78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2>7?07?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:66;>0Y=!hrg,qb*kbe&mxa#}b/rjepZehfgohx1<1259V4*aun'xm#`kb/fqn*vk({al\7fSnaandaw8685<2_;#j|i.sd,i`k(ozg%\7f`!|hgv\gjhimj~783<;;T2,cw`)zo%fi`!hsl,pi*uon}Uhcc`jcu>6:72<]9%l~k }f.ofi*ate'yf#~fit^aljkcd|5<5>95Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<6<10>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;078?7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy26>018Q5)`zo$yj"|nm^pg[`h6;2_;#j|i.sd,vdkX\7f{Unb<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#\7f}{bmi,vaYu{}Uj\7f\7fkh_e02g>S7'nxm"\7fh rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{y\7fSnabb03f?P6(o{l%~k!}su`oo*tcW{y\7fS\7fkh<1<2a>S7'nxm"\7fh rrvahn)ulVxxxR|jg=3=5a=R8&myj#|i/sqwfim(zmUy\7fyQ}ef]35a=R8&myj#|i/sqwfim(zmUy\7fyQ}ef]25a=R8&myj#|i/sqwfim(\7f{Uy\7fyQnssgd65=R8&myj#|i/sqwfim(\7f{Uy\7fyQnssgd[a7582_;#j|i.sd,vvredb%|~R||t^cpv`aXl;k0Y^K]_@NJEVe<]ZOYS[G\ICNF7>PDK01]EHYPTXRF5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE:<6VPCNPF[LHAGVFB_Y84Xe`\Ma`<PmbT\\7fgbVdppmjh682RoaRCnjnpUawungg;;7Ujb_LkmkwPbzzcdbn5nllmppZcjx}si7lbborv\bpjk>2hjof{\7fd:`bgnswWdkygh|<;bnh`>bf|hUhcx`{(1+g?agsiVidycz'1(f8`drfWje~by&=)e9geqgXkf\7fex%=&d:fbpdYdg|d\7f$9'i;ecweZeh}g~787>17:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)79gmk.5!?1oec&<)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk.?!?1oec&6)79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;1<l`d7=808;ekm840912nbb1?8:1<4?aoi48=5:6jfn=3=2>bnf585:6jfn=1=2>bnf5>5:6jfn=7=2>bnf5<5:6jfn=5=2>bnf525:6jfn=;=3>bh}}";%:5kotv+5,><lf\7f\7f$<>&8:flqq.69 20hb{{(00*<>bh}}":?$64dnww,42.02ndyy&>5(:8`jss 8<"46j`uu*23,1<lf\7f\7f$?'8;emvp-5.?2ndyy&;)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$94dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=2l5kotv?52<7611ocxz316<4?air|5;5;6j`uu>1:2=cg|~7?394dnww81803me~x1;17:flqq:16>1ocxz37?58`jss414<7iazt=;=<>ccao8eki;4elrw}7><n`ldSjkaescwkwYq<V8',Na}efgm$<(5&9>bSd~=4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(35?uthoVof|ywPtipfwm:3294:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6j2zycjQjmqvz[qnumzbTm~}jru*1-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(2+2f>vugnUna}zv_ujqavnXizyn~y&;)0f8twi`Wlg{xtQ{hsgplZgt{lx\7f094?>0`8twi`Wlg{xtQ{hsgplZhboh~n$='>b:rqkbYbey~rSyf}erj\j`af|l":%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb ;#:n6~}of]fiur~W}byi~fPndebp`.4!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,1/6l2zycjQjmqvz[qnumzbTbhintd>7>58>3yxdkRhzlm30?uthoVl~`aQ{hsgpl-6.9:1{~biPftno[qnumzb#=$?<;qplcZ`rdeU\7fd\7fk|h)0*56=wzfmTjxbc_ujqavn/; ;87}|`g^dvhiYs`{oxd%:&149svjaXn|fgSyf}erj?0?699h1{~biPftno[qnumzbTm~}jru*3-4g<x{elSk{cl^vkv`uoWhyxi\7fz'1(3b?uthoVl~`aQ{hsgplZgt{lx\7f$?'>a:rqkbYa}efTxe|jsi]bwvcu|!9"=l5\7frne\bpjkW}byi~fParqfvq.3!8i0|\7fah_gwohZrozlycSl}|esv?0?699h1{~biPftno[qnumzbTbhintd*3-4g<x{elSk{cl^vkv`uoWgolmyk'1(3b?uthoVl~`aQ{hsgplZhboh~n$?'>a:rqkbYa}efTxe|jsi]mabgsm!9"=l5\7frne\bpjkW}byi~fPndebp`.3!8i0|\7fah_gwohZrozlycSckhaug?0?69>2xoSnbd119q`Zbf|hUhcx`{(1+24>tcWmk\7fmRm`uov+5,773{nThlzn_bmvjq.5!8:0~iQkauc\gjsi|!9"==5}d^fbpdYdg|d\7f$9'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>7>5823{nTic84re]qwq5<zz~<7~lftdpq0>uu{};o7yc\7f/^ad+}okagrTjdh`_0:{77(iof30ycjjrgnls0=qieco:6y}_`ah2>quWjf`==5xr^fbpdYdg|d\7f$='>0:uq[agsiVidycz'1(33?rtXlh~jSnaznu*1-46<\7f{UomyoPcnwmp-5.991|~Rjnt`]`kphs =#:>6y}_ecweZeh}g~787>15:uq[`h13~xT~~z>1:zjhlh\7fWocmcR?7x201f>~nd`dsSkgio^3;|64Xn`ldSjkaescwkwYq<V8Tt~zP1|BCt0ba3IJs>>65F;695~U5i3;mi765120;a<b=:<k:nv`>a782?k7f?3>0(<o;:0;f?xU513;mi765120;a<b=:<k:n6];4;037?6=9:83i4j524c2`>U5138;?7>5120;a<b=:<k:i6j=4483>4<6sZ8j6<hj:98277>b1m099l?m;%3b6?4782|_m94?:082>gg|[;k1=kk58;306=c>l38>m<l4$0;g>13<^8k>6?uz4e82?p2b291v(5k5d:`100<72;k1?7<n{I3:f>\5;38pn7o5}%c5>7223-;j>7<?0:X2e6<5s;:;6?>?:|k176<722c98>4?::m144<722e:jk4?::k2ef<722c9?84?::m2a7<72-3;6<h<;o:e>5=<g8o:6=4+9182b6=i0o0:76a>e183>!?728l87c6i:398k4ba290/5=4>f29m<c<432e:hh4?:%;3>4`43g2m6954o0fg>5<#190:j>5a8g86?>i6lj0;6)7?:0d0?k>a2?10c<jm:18'=5<6n:1e4k48;:m2ad<72-3;6<h<;o:e>==<g8o26=4+9182b6=i0o0276a>e983>!?728l87c6i:`98k4c0290/5=4>f29m<c<e32e:i;4?:%;3>4`43g2m6n54o0g6>5<#190:j>5a8g8g?>i6m=0;6)7?:0d0?k>a2l10c<k<:18'=5<6n:1e4k4i;:m2`d<72-3;6<h<;o:e>46<3f;o57>5$8295c5<f1l1=<54i0`g>5<#190:oh5a8g83?>o6jj0;6)7?:0af?k>a2810e<lm:18'=5<6kl1e4k4=;:k2fd<72-3;6<mj;o:e>6=<a8h26=4+9182g`=i0o0?76g>b983>!?728in7c6i:498m4d0290/5=4>cd9m<c<132c:n;4?:%;3>4eb3g2m6:54i0a6>5<#190:oh5a8g8;?>o6k=0;6)7?:0af?k>a2010e<m<:18'=5<6kl1e4k4n;:k2g7<72-3;6<mj;o:e>g=<a8i:6=4+9182g`=i0o0h76g>c183>!?728in7c6i:e98m4da290/5=4>cd9m<c<b32c:nh4?:%;3>4eb3g2m6k54i0`6>5<#190:oh5a8g824>=n9k>1<7*60;3`a>h?n3;:76l>9b83>4<729qC=4l4$`495<e<g1n1<75rb2c94?7=83:pD<7m;%c5>6g<g:31<75rb3094?0>21o1nluG18`8^75=9?qn6<<5148e>40=980i6l4>3;37>46=9=0::7l5148e>d<6;3;96<?5118f>x"f>38?:6*;2;1;?!7f:38;<6*79;:`?j7f03:17d<<4;29?l47i3:1(4>521`8j=`=821b>=750;&:4?47j2d3j7?4;h03<?6=,0:1>=l4n9d96>=n:9=1<7*60;03f>h?n3907d<?6;29 <6=:9h0b5h54:9l64>=831b>>950;9l66g=831b>?=50;&:4?45<2d3j7>4;h016?6=,0:1>?:4n9d95>=n:;;1<7*60;010>h?n3807d<=0;29 <6=:;>0b5h53:9j64`=83.2<7<=4:l;b?2<3`88?7>5;n031?6=3f8;87>5;h3bf?6=3f88<7>5$829664<f1l1<65`23d94?">8388>6`7f;38?j45m3:1(4>52208j=`=:21d>?j50;&:4?44:2d3j7=4;n01g?6=,0:1>><4n9d90>=n:8:1<7*60;025>h?n3:07d<?f;29 <6=:8;0b5h51:9j65c=83.2<7<>1:l;b?4<3`8;h7>5$829647<f1l1?65f21a94?">838:=6`7f;68?l43;3:17b<;1;29 <6=:=80b5h50:9l616=83.2<7<;2:l;b?7<3f88j7>5$829614<f1l1>65`22g94?">838?>6`7f;18?j44l3:1(4>52508j=`=<21d>=?50;9l5c`=831b>>m50;9j64b=83.2<7<>e:l;b?6<3`8:o7>5$82964c<f1l1=65f20`94?">838:i6`7f;08?l46i3:1(4>520g8j=`=;21b><750;&:4?46m2d3j7:4;h036?6=3`;jo7>5;h070?6=3f88:7>5;n00f?6=3f8857>5;h001?6=3f;n>7>5$8295c5<f1l1<65`1d394?">83;m?6`7f;38?j7b83:1(4>51g18j=`=:21d=ih50;&:4?7a;2d3j7=4;n3ga?6=,0:1=k=4n9d90>=h9mn1<7*60;3e7>h?n3?07b?kc;29 <6=9o90b5h56:9l5ad=83.2<7?i3:l;b?1<3f;nm7>5$8295c5<f1l1465`1d;94?">83;m?6`7f;;8?j7b03:1(4>51g18j=`=i21d=h950;&:4?7a;2d3j7l4;n3f2?6=,0:1=k=4n9d9g>=h9l?1<7*60;3e7>h?n3n07b?j4;29 <6=9o90b5h5e:9l5`5=83.2<7?i3:l;b?`<3f;om7>5$8295c5<f1l1==54o0f:>5<#190:j>5a8g825>=n9kn1<7*60;3`a>h?n3:07d?mc;29 <6=9jo0b5h51:9j5gd=83.2<7?le:l;b?4<3`;im7>5$8295fc<f1l1?65f1c;94?">83;hi6`7f;68?l7e03:1(4>51bg8j=`==21b=o950;&:4?7dm2d3j784;h3a2?6=,0:1=nk4n9d93>=n9j?1<7*60;3`a>h?n3207d?l4;29 <6=9jo0b5h59:9j5f5=83.2<7?le:l;b?g<3`;h>7>5$8295fc<f1l1n65f1b394?">83;hi6`7f;a8?l7d83:1(4>51bg8j=`=l21b=oh50;&:4?7dm2d3j7k4;h3aa?6=,0:1=nk4n9d9b>=n9k?1<7*60;3`a>h?n3;;76g>b583>!?728in7c6i:038?j7f13:17d?na;29?l4513:1(4>523c8j=`=821b>?650;&:4?45i2d3j7?4;h013?6=,0:1>?o4n9d96>=n:;<1<7*60;01e>h?n3907d<=5;29 <6=:;k0b5h54:9l5g7=83.2<7?m2:l;b?6<3f;i<7>5$8295g4<f1l1=65`1`d94?">83;i>6`7f;08?j7fm3:1(4>51c08j=`=;21d=lj50;&:4?7e:2d3j7:4;n3a7?6=3`8::7>5$829641<f1l1<65f20794?">838:;6`7f;38?l46<3:1(4>52058j=`=:21b><=50;&:4?46?2d3j7=4;h026?6=,0:1><94n9d90>=n:;h1<75m1`294?7=83:p(l853`9K5<`<@83i7b=6:188yg7>83:1?7>50z&b2?573A;2j6F>9c9K64=#<90:7d<;:188m7d=831d5?4?::\7fa5<?=8391<7>t$`4975=O90l0D<7m;I02?!27281b>94?::k1f?6=3f396=44}c3;b?6=;3:1<v*n6;13?M7>n2B:5o5G209'05<63`8?6=44i3`94?=h1;0;66sm18594?3=83:p(l85329K5<`<@83i7E<>;%63>4=n:=0;66g=6;29?l4e2900e4?50;9l=7<722wi=4650;194?6|,h<1?=5G18d8L4?e3A8:7):?:09j61<722c9n7>5;n;1>5<<uk;3i7>55;294~"f>3987E?6f:J2=g=O:81/8=4>;h07>5<<a;<1<75f2c83>>o>93:17b7=:188yg7>>3:187>50z&b2?553A;2j6F>9c9'05<63`8?6=44i3`94?=n180;66a62;29?xd61<0;694?:1y'e3<4:2B:5k5G18`8 16=92c987>5;h0a>5<<a0;1<75`9383>>{emk0;694?:1y'e3<4:2B:5k5G18`8 16=?2c987>5;h0a>5<<a0;1<75`9383>>{emj0;694?:1y'e3<4:2B:5k5G18`8 16=?2c987>5;h0a>5<<a0;1<75`9383>>{enh0;694?:1y'e3<4:2B:5k5G18`8 16=92c987>5;h0a>5<<a0;1<75`9383>>{enk0;694?:1y'e3<4:2B:5k5G18`8 16=?2c987>5;h0a>5<<a0;1<75`9383>>{en00;694?:1y'e3<4:2B:5k5G18`8 16=92c987>5;h0a>5<<a0;1<75`9383>>{ek00;684?:1y'e3<4;2B:5k5G18`8 16=?2c987>5;h05>5<<a;h1<75f9083>>i>:3:17pllc;291?6=8r.j:7=>;I3:b>N61k1/8=4>;h07>5<<a;<1<75f2983>>o5j3:17b7=:188ygef290>6=4?{%c5>67<@83m7E?6b:&74?7<a;>1<75f2783>>o503:17d<m:188k<4=831vnnl50;794?6|,h<1?<5G18d8L4?e3->;6<5f2583>>o5>3:17d<7:188m7d=831d5?4?::\7faf0<72<0;6=u+a7805>N61o1C=4l4$5295>o5<3:17d<9:188m7>=831b>o4?::m:6?6=3thi:7>55;294~"f>39:7E?6f:J2=g=#<90:7d<;:188m70=831b>54?::k1f?6=3f396=44}c`4>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zjk21<7;50;2x d0=;81C=4h4H0;a?!27281b>94?::k12?6=3`836=44i3`94?=h1;0;66smb883>0<729q/m;4<1:J2=c=O90h0(9>51:k10?6=3`8=6=44i3:94?=n:k0;66a62;29?xddl3:197>50z&b2?563A;2j6F>9c9'05<63`8?6=44i3494?=n:10;66g=b;29?j?52900qojn:186>5<7s-k=6>=4H0;e?M7>j2.?<794i3694?=n:?0;66g=b;29?l?62900c4<50;9~fad=83?1<7>t$`4976=O90l0D<7m;%63>2=n:=0;66g=6;29?l4e2900e4?50;9l=7<722wihn4?:483>5}#i?08?6F>9g9K5<d<,=:1;6g=4;29?l412900e?l50;9j=4<722e2>7>5;|`g`?6==3:1<v*n6;10?M7>n2B:5o5+4184?l432900e?850;9j6g<722c2=7>5;n;1>5<<uknn6=4::183\7f!g12:90D<7i;I3:f>"383=0e?:50;9j63<722c9n7>5;h;2>5<<g081<75rb04;>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f41629026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8=96=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<9<:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb057>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f41229026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8==6=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<98:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb05;>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f41>29026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8<26=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<8n:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb04a>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f40d29026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8<o6=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<8j:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb04e>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f41729026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8?=6=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<;i:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb043>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f40629026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8<96=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<8<:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb047>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f40229026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8<=6=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<88:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb074>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f43?29026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8?26=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<;n:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb07a>5<>290;w)o9:`78L4?a3A;2n6*;0;08m4b=831b=h4?::k2b?6=3`8;6=44i3494?=n:>0;66g=b;29?j?52900c4=50;9~f43d29026=4?{%c5>d3<@83m7E?6b:&74?4<a8n1<75f1d83>>o6n3:17d<?:188m70=831b>:4?::k1f?6=3f396=44o8194?=zj8?o6=46:183\7f!g12h?0D<7i;I3:f>"38380e<j50;9j5`<722c:j7>5;h03>5<<a;<1<75f2683>>o5j3:17b7=:188k<5=831vn<;j:18:>5<7s-k=6l;4H0;e?M7>j2.?<7<4i0f94?=n9l0;66g>f;29?l472900e?850;9j62<722c9n7>5;n;1>5<<g091<75rb00:>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj8836=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb004>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj88=6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb006>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj88?6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb000>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj8896=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb012>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj89;6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb00e>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj88n6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb00g>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj88h6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb00a>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj88j6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb002>5<2290;w)o9:238L4?a3A;2n6*;0;38m72=831b>;4?::k1<?6=3`8i6=44o8094?=zj88;6=4::183\7f!g12:;0D<7i;I3:f>"383;0e?:50;9j63<722c947>5;h0a>5<<g081<75rb0;1>5<4290;w)o9:548L4?a3A;2n6*;0;a8m6e=831b?i4?::m;f?6=3tho=7>55;294~"f>3>27E?6f:J2=g=#<90:56g<c;29?l5c2900e>k50;9j7c<722e3n7>5;|`bf?6==3:1<v*n6;6:?M7>n2B:5o5+4182=>o4k3:17d=k:188m6c=831b?k4?::m;f?6=3thni7>55;294~"f>3>27E?6f:J2=g=#<908;6g<c;29?l5c2900e>k50;9j7c<722e3n7>5;|`e4?6=<3:1<v*n6;6;?M7>n2B:5o5+4182b>o4k3:17d=k:188m6c=831d4o4?::\7fab4<72<0;6=u+a787=>N61o1C=4l4$5291>o4k3:17d=k:188m6c=831b?k4?::m;f?6=3thm>7>55;294~"f>3>27E?6f:J2=g=#<9087d=l:188m6b=831b?h4?::k0b?6=3f2i6=44}cd0>5<2290;w)o9:5;8L4?a3A;2n6*;0;0e?l5d2900e>j50;9j7`<722c8j7>5;n:a>5<<ukn86=4<:183\7f!g12=<0D<7i;I3:f>"383;h7d=l:188m6b=831d4o4?::\7fafa<72:0;6=u+a7872>N61o1C=4l4$5295f=n;j0;66g<d;29?j>e2900qook:180>5<7s-k=6984H0;e?M7>j2.?<7?l;h1`>5<<a:n1<75`8c83>>{el=0;684?:1y'e3<312B:5k5G18`8 16=:l1b?n4?::k0`?6=3`9n6=44i2d94?=h0k0;66smag83>0<729q/m;4;9:J2=c=O90h0(9>52d9j7f<722c8h7>5;h1f>5<<a:l1<75`8c83>>{em;0;694?:1y'e3<302B:5k5G18`8 16=;=1b?n4?::k0`?6=3`9n6=44o9`94?=zjl91<7=50;2x d0=<?1C=4h4H0;a?!272>1b?n4?::k0`?6=3f2i6=44}cg7>5<2290;w)o9:5;8L4?a3A;2n6*;0;;8m6e=831b?i4?::k0a?6=3`9m6=44o9`94?=zjh=1<7=50;2x d0=<?1C=4h4H0;a?!272;h0e>m50;9j7a<722e3n7>5;|`210<72=0;6=u+a787<>N61o1C=4l4$5296f=n;j0;66g<d;29?l5b2900c5l50;9~f434290?6=4?{%c5>1><@83m7E?6b:&74?4d3`9h6=44i2f94?=n;l0;66a7b;29?xd6=80;694?:1y'e3<302B:5k5G18`8 16=:j1b?n4?::k0`?6=3`9n6=44o9`94?=zj8>m6=4;:183\7f!g12=20D<7i;I3:f>"3838h7d=l:188m6b=831b?h4?::m;f?6=3th:8i4?:583>5}#i?0?46F>9g9K5<d<,=:1>n5f3b83>>o4l3:17d=j:188k=d=831vn<:m:187>5<7s-k=6964H0;e?M7>j2.?<7<l;h1`>5<<a:n1<75f3d83>>i?j3:17pl>4883>1<729q/m;4;8:J2=c=O90h0(9>52b9j7f<722c8h7>5;h1f>5<<g1h1<75rb064>5<3290;w)o9:5:8L4?a3A;2n6*;0;0`?l5d2900e>j50;9j7`<722e3n7>5;|`200<72=0;6=u+a787<>N61o1C=4l4$5296f=n;j0;66g<d;29?l5b2900c5l50;9~f424290?6=4?{%c5>1><@83m7E?6b:&74?4d3`9h6=44i2f94?=n;l0;66a7b;29?xd6<80;694?:1y'e3<302B:5k5G18`8 16=:j1b?n4?::k0`?6=3`9n6=44o9`94?=zj89m6=4;:183\7f!g12=20D<7i;I3:f>"3838h7d=l:188m6b=831b?h4?::m;f?6=3th:?i4?:583>5}#i?0?46F>9g9K5<d<,=:1>n5f3b83>>o4l3:17d=j:188k=d=831vn<=m:187>5<7s-k=6964H0;e?M7>j2.?<7<l;h1`>5<<a:n1<75f3d83>>i?j3:17pl>3883>1<729q/m;4;8:J2=c=O90h0(9>52b9j7f<722c8h7>5;h1f>5<<g1h1<75rb014>5<3290;w)o9:5:8L4?a3A;2n6*;0;0`?l5d2900e>j50;9j7`<722e3n7>5;|`270<72=0;6=u+a787<>N61o1C=4l4$5296f=n;j0;66g<d;29?l5b2900c5l50;9~f454290?6=4?{%c5>1><@83m7E?6b:&74?4d3`9h6=44i2f94?=n;l0;66a7b;29?xdb83:1?7>50z&b2?213A;2j6F>9c9'05<d3`9h6=44i2f94?=h0k0;66smdg83>6<729q/m;4;6:J2=c=O90h0(9>5c:k0g?6=3`9o6=44o9`94?=zjh21<7;50;2x d0=<01C=4h4H0;a?!272?1b?n4?::k0`?6=3`9n6=44i2d94?=h0k0;66smd183>0<729q/m;4;9:J2=c=O90h0(9>5169j7f<722c8h7>5;h1f>5<<a:l1<75`8c83>>{ejk0;684?:1y'e3<312B:5k5G18`8 16=9>1b?n4?::k0`?6=3`9n6=44i2d94?=h0k0;66sma`83>0<729q/m;4;9:J2=c=O90h0(9>5169j7f<722c8h7>5;h1f>5<<a:l1<75`8c83>>{em<0;694?:1y'e3<3k2B:5k5G18`8m6e=831b?i4?::kb7?6=3f2i6=44}cg5>5<2290;w)o9:5;8L4?a3A;2n6*;0;06?l5d2900e>j50;9j7`<722c8j7>5;n:a>5<<uko<6=4;:183\7f!g12=20D<7i;I3:f>"3838o7d=l:188m6b=831b?h4?::m;f?6=3thhj7>52;294~"f>3>:7E?6f:J2=g=n;k0;66a7b;29?xdei3:1>7>50z&b2?263A;2j6F>9c9j7g<722e3n7>5;|`b=?6=:3:1<v*n6;62?M7>n2B:5o5f3c83>>i?j3:17pl>a083>4<729q/m;4>9b9K5<`<@83i7b6k:188ygdb290>6=4?{%c5>1?<@83m7E?6b:&74?7f3`9h6=44i2f94?=n;l0;66g<f;29?j>e2900qoli:186>5<7s-k=6974H0;e?M7>j2.?<7?m;h1`>5<<a:n1<75f3d83>>o4n3:17b6m:188yge7290?6=4?{%c5>1e<@83m7E?6b:k0g?6=3`9o6=44i`194?=h0k0;66smc083>0<729q/m;4;9:J2=c=O90h0(9>5349j7f<722c8h7>5;h1f>5<<a:l1<75`8c83>>{ek;0;684?:1y'e3<312B:5k5G18`8 16=<2c8o7>5;h1g>5<<a:o1<75f3g83>>i?j3:17pll3;290?6=8r.j:7:l;I3:b>N61k1b?n4?::k0`?6=3`k86=44o9`94?=zjm81<7850;2x d0=<h1C=4h4H0;a?!2728k0e>m50;9j7a<722c8i7>5;h1e>5<<g=91<75`8c83>>{eij0;6;4?:1y'e3<3i2B:5k5G18`8 16=9h1b?n4?::k0`?6=3`9n6=44i2d94?=h<:0;66a7b;29?xdfm3:187>50z&b2?203A;2j6F>9c9'05<5j2c8o7>5;h1g>5<<g=91<75`8c83>>{emo0;684?:1y'e3<3j2B:5k5G18`8 16=;?1b?n4?::k0`?6=3`9n6=44i2d94?=h<:0;66smbb83>3<729q/m;4;a:J2=c=O90h0(9>5199j7f<722c8h7>5;h1f>5<<a:l1<75`4283>>i?j3:17p}>ab83>05|V8kh70?60;07?87>138?70?7f;07?87>?38?70?68;07?87?m38?70?66;07?87>=38?70km:3689`e=:=16jl4=4:?ef?4334l26?:4=b;961=:kj09863la;07?8ee2;>01o;5259>f3<5<27i;7<;;<`;>72<5k31>952ce810>;ci38?70jm:3689ae=:=16hi4=4:?ga?4334;=47<8;<345?4034;<>7<8;<347?4034;<87<8;<341?4034;<:7<8;<343?4034;<47<8;<34=?4034;=57<8;<35e?4034;=n7<8;<35g?4034;=h7<8;<35a?4034;=j7<8;<344?4034;>:7<8;<36b?4034;=<7<8;<355?4034;=>7<8;<357?4034;=87<8;<351?4034;=:7<8;<353?4034;>;7<8;<36<?4034;>57<8;<36e?4034;>n7<8;<36g?4034;>h7<8;<36a?4034;957<;;<31<?4334;9;7<;;<312?4334;997<;;<310?4334;9?7<;;<316?4334;8=7<;;<304?4334;9j7<;;<31a?4334;9h7<;;<31g?4334;9n7<;;<31e?4334;9=7<;;<314?433ty9?>4?:cy]665<5o;1?n52e580b>;cn39o70o7:2f89a6=;o16no4<f:?be?5a34kn6>m4=dd97f=:jj08i6s|22394?7asW;i?6P>a89]66d<V;927S?n8:\173=Y:9>0R?=n;_031>X5911U=o?4^0`3?[7fn2T:mh5Q1`f8Z7263W8?<6P=3g9]66c<V;9o7S<<0:\16c=Y:;o0R?<k;_01g>;6i908563>9681f>;60l09n63>9781f>;61<09n63ib;0a?xu5;<0;6>uQ227894?020;01<6j:838yv7an3:1>vP>fg9>af<>:2wx>9=50;6xZ72434k<6>m4=d297a=:i108o6s|21394?4|V;::70hn:808yv7a<3:1>vP>e39>57?=1;1v\7f<h=:181\7f[7b927:>5462:\7fp5c7=838pR<k?;<313??53ty:j=4?:3y]5a`<588=64<4}r3fb?6=:rT:hh521379=7=z{8on6=4={_3g`>;6:=02>6s|1df94?4|V8nh70?=3;;1?xu6mj0;6?uQ1e`894452080q~?id;296~X6mh16=>?5939~w4`d2909wS?j9:?275<>:2wx=kl50;0xZ4c?34;9j77=;|q2bd<72;qU=h94=00f><4<uz;m57>52z\2a3=:9;n15?5rs0d;>5<5sW;n963>2b8:6>{t9o=1<7<t^0g7?875j3397p}>f783>7}Y9l901<<n:808yv7a=3:1>vP>d`9>577=1;1v\7f<km:181\7f[7c127:>=462:\7fp5f`=838pR<lk;<344?4e3ty:oi4?:3y]5ge<58<m6?l4}r3`g?6=:rT:no5217g96g=z{8ii6=4={_3ae>;6>m09n6s|1bc94?4|V8h270?9c;0a?xu6k00;6?uQ1c:8940e2;h0q~?l8;296~X6j>16=;o52c9~w4e02909wS?m6:?22<<5j2wx=i650;0xZ4e234;<57<m;|q2`2<72;qU=n:4=05;>7d<uz;o:7>52z\2g6=:9>=1>o5rs0f6>5<5sW;h>63>7781f>{t9m>1<7<t^0a2?870=38i7p}>d283>7}Y9j:01<9;:3`8yv7c:3:1>vP>bg9>525=:k1v\7f<j>:181\7f[7em27:;?4=b:\7fp5a6=838pR<l:;<345?4e3ty:o;4?:3y]5g2<58<36?l4}r3;b?6=;r7:5=4=b:?2<c<>:27:4h4=6:\7fp5<6=838p1<7?:80894?52:i0q~?68;297~;61009n63>96812>;61102>6s|18;94?7|583264<4}r3;a?6=;r7:4k4=b:?2<`<>:27:5?4<d:\7fp5<1=838p1<78:80894??2;h0q~?61;297~;61?02=63>948:5>;61;03n6s|18694?71s4;2:77=;<ga><7<5li15<52f`8:5>;aj33:70h6:8389f?=1816on4=8:?`e?4?34ii6?64=c796==:j?09463m7;0;?8d?2;201o75299>ga<5027om77>;<fa><7<5mi15<52de8:5>;cm33:70h?:2g8yv7>;3:1=>u21879=7=:9;31>55213:96==:9;=1>55213496==:9;?1>55213696==:9;91>55213096==:9:;1>55212296==:9;l1>55213g96==:9;n1>55213a96==:9;h1>55213c96==:9;;1>55213296==z{l;1<7=t=d`96g=:mj09n63j5;:a?xubj3:1ov3jb;;1?8`62:l01ih53b9>e=<4m27o<7=l;<`a>6e<5hk1?n52e780`>;b?39h70oj:2f89``=;l16nn4<c:\7fpaa<72:q6jl4=b:?e=?4e34l865l4}rda>5<5s4li64<4=g297a=z{o31<7;t=g;9=7=:n908o63n7;1g?8c72:i01l653g9~wfc=83;;w0m6:3489fe=:?16ol4=6:?`f?4134h>6?84=c4963=:j>09:63m8;05?8d>2;<01nj5279>`d<5>27on7<9;<f`>70<5mn1>;52dd812>;f032i7p}64;296~;d138i70ln:9`8yve3290<w0m6:8089c4=;o16no4<e:?ae?5e34hn6>h4=cd97c=:jj08h6s|9e83>7}:kj09n63l0;:a?xud?3:19v3lc;;1?8`52:i01n>5a29>g4<4m27h>7=j;|q:3?6=:r7hm7<m;<`a>=d<uzi>6=49{<ab><4<5o81?h52bc80`>;em39n70li:2g89ge=;o1v\7f4o50;0x9fd=:k16ni47b:\7fpg3<72>q6oo462:?e6?5c34ho6>j4=cg97a=:jo08h63l1;1g?8e52:n0q~7::181\7f8d22;h01l758c9~wg6=832p1o;5939>eg<4l27n>7=j;<g7>6c<5hk1?h52a880f>;fk39n70ki:2f8yv??2909w0l9:3`89dg=0k1v\7fo?50;5x9g0=1;16mo4<f:?fa?5a34o96>j4=d697a=:ih08h63nc;1g?xu>j3:1>v3m7;0a?8ge21h0q~l=:185\7f8d020801ll53d9>a`<4m27n87=l;<g5>6`<5hi1?n5rs8g94?4|5k21>o52ae8;f>{tj:0;6;u2b98:6>;bm39o70ok:2f89d`=;j16i>4<d:?f2?5b3tyj=7>52z?a=?4e34km65l4}r`7>5<2s4h264<4=dg97f=:io08j63j2;1`?8c42:i0q~o?:181\7f8ec2;h01n=58c9~wf>=839p1nj5939>b4<4l27h?7o<;|q:2?6=:r7om7<m;<ae>=d<uzn>6=4=9z?ge??534;=47?k;<345?7c34;<>7?k;<347?7c34;<87?k;<341?7c34;<:7?k;<343?7c34;<47?k;<34=?7c34;=57?k;<35e?7c34;=n7?k;<35g?7c34;=h7?k;<35a?7c34;=j7?k;<344?7c34;>:7?k;<36b?7c34;=<7?k;<355?7c34;=>7?k;<357?7c34;=87?k;<351?7c34;=:7?k;<353?7c34;>;7?k;<36<?7c34;>57?k;<36e?7c34;>n7?k;<36g?7c34;>h7?k;<36a?7c34n:6>j4=e297`=:ko08n63k2;1f?xu>13:1>v3kb;0a?8b721h0q~j9:181<~;cj33970?98;3f?87093;n70?82;3f?870;3;n70?84;3f?870=3;n70?86;3f?870?3;n70?88;3f?87013;n70?99;3f?871i3;n70?9b;3f?871k3;n70?9d;3f?871m3;n70?9f;3f?87083;n70?:6;3f?872n3;n70?90;3f?87193;n70?92;3f?871;3;n70?94;3f?871=3;n70?96;3f?871?3;n70?:7;3f?87203;n70?:9;3f?872i3;n70?:b;3f?872k3;n70?:d;3f?872m3;n70j>:2d89a6=;m16h?4<d:\7fp=f<72;q6hn4=b:?g5?>e3tyo;7>526y>`f<>:27::54>f:?234<6n27:;?4>f:?236<6n27:;94>f:?230<6n27:;;4>f:?232<6n27:;54>f:?23<<6n27::44>f:?22d<6n27::o4>f:?22f<6n27::i4>f:?22`<6n27::k4>f:?235<6n27:9;4>f:?21c<6n27::=4>f:?224<6n27::?4>f:?226<6n27::94>f:?220<6n27::;4>f:?222<6n27:9:4>f:?21=<6n27:944>f:?21d<6n27:9o4>f:?21f<6n27:9i4>f:?21`<6n27o=7=j;<f1>6e<uz3m6=4={<fg>7d<5m914o5rse:94?40s4no64<4=04;>76<58=:6?>4=051>76<58=86?>4=057>76<58=>6?>4=055>76<58=<6?>4=05;>76<58=26?>4=04:>76<58<j6?>4=04a>76<58<h6?>4=04g>76<58<n6?>4=04e>76<58=;6?>4=075>76<58?m6?>4=043>76<58<:6?>4=041>76<58<86?>4=047>76<58<>6?>4=045>76<58<<6?>4=074>76<58?36?>4=07:>76<58?j6?>4=07a>76<58?h6?>4=07g>76<58?n6?>4=e197a=:l=08o6s|a383>7}:ll09n63k4;:a?xuc13:1=9u2dd8:6>;c<39m70?:5;1`?872;39h70?:1;1`?873n39h70?;d;1`?873j39h70?;9;1`?873?39h70?;5;1`?873;39h70?;1;1`?874n39h70?<d;1`?874j39h70?<9;1`?874?39h70?<5;1`?874;39h7p}>8e83>73|58<36?84=052>70<58=96?84=050>70<58=?6?84=056>70<58==6?84=054>70<58=36?84=05:>70<58<26?84=04b>70<58<i6?84=04`>70<58<o6?84=04f>70<58<m6?84=053>70<58?=6?84=07e>70<58<;6?84=042>70<58<96?84=040>70<58<?6?84=046>70<58<=6?84=044>70<58?<6?84=07;>70<58?26?84=07b>70<58?i6?84=07`>70<58?o6?84=07f>70<5l:14o5rsga94?4|58<364<4=010>6b<uz;<m7>52z?22=<>;27:9;4=b:\7fp553=838p1<9>:80894222:n0q~?73;296~;6?802?63>5g81f>{t99<1<7<t=051><4<58><6>j4}r3;0?6=:r7:;?463:?225<5j2wx==950;0x941420801<:6:2f8yv7?=3:1>v3>728:7>;6>809n6s|11:94?4|58=?64<4=06a>6b<uz;3:7>52z?231<>;27::?4=b:\7fp55?=838p1<9::808942c2:n0q~?77;296~;6?<02?63>6281f>{t99k1<7<t=055><4<58>m6>j4}r3;<?6=:r7:;;463:?221<5j2wx==l50;0x941020801<;>:2f8yv7?13:1>v3>768:7>;6><09n6s|11a94?4|58=364<4=070>6b<uz;3m7>52z?23=<>;27::;4=b:\7fp55b=838p1<96:80894322:n0q~?7b;296~;6?002?63>6681f>{tnm0;6?u217;9=7=:9:?1?i5rs05a>5<5s4;=577<;<363?4e3tymi7>52z?22d<>:27:?:4<d:\7fp52e=838p1<8n:818943?2;h0q~hi:181\7f871j33970?<9;1g?xu6?m0;6?u217`9=6=:9<31>o5rs023>5<5s4;=o77=;<30f?5c3ty:;h4?:3y>53e=1:16=8o52c9~w4662909w0?9d;;1?874l39o7p}>7g83>7}:9?n15>5214`96g=z{8:96=4={<35a??534;8j7=k;|q2<5<72;q6=;k5929>50e=:k1v\7f<><:181\7f871n33970?;1;1g?xu6080;6?u217d9=6=:9<n1>o5rs027>5<5s4;<<77=;<377?5c3ty:4?4?:3y>526=1:16=8k52c9~w46b2909w0?:6;;1?874;39n7p}>1683>7}:9<l15?5215797`=z{8;36=4={<354??534;?;7=j;|q25<<72;q6=;?5939>51?=;l1v\7f<?n:181\7f871:33970?;b;1f?xu69k0;6?u21719=7=:9=n1?h5rs03`>5<5s4;=877=;<37b?5b3ty:=i4?:3y>533=1;16=8?53d9~w47b2909w0?96;;1?872;39n7p}>1g83>7}:9?=15?5214797`=z{8:m6=4={<363??534;897=j;|q255<72;q6=865939>561=;l1v\7f<?>:181\7f872133970?<9;1f?xu69;0;6?u214c9=7=:9:h1?h5rs030>5<5s4;>n77=;<30`?5b3ty:=94?:3y>50e=1;16=>h53d9~w4722909w0?:d;;1?873939n7p}>1783>7}:9<o15?5215197`=z{82h6=4>3z?26<<5>27:>54=6:?262<5>27:>;4=6:?260<5>27:>94=6:?266<5>27:>?4=6:?274<5>27:?=4=6:?26c<5>27:>h4=6:?26a<5>27:>n4=6:?26g<5>27:>l4=6:?264<5>27:>=4=6:?gb?>e3ty:8?4?:3y>57?=:k16=9=58c9~w4272909w0?=8;0a?873932i7p}>3d83>7}:9;=1>o5212d9<g=z{89h6=4={<312?4e34;8h76m;|q27d<72;q6=?;52c9>56d=0k1v\7f<=7:181\7f875<38i70?<9;:a?xu6;?0;6?u213196g=:9:=14o5rs017>5<5s4;9>7<m;<301?>e3ty:994?:3y>567=:k16=8;58c9~w4352909w0?<0;0a?872;32i7p}>5183>7}:9;l1>o521439<g=z{8>n6=4={<31a?4e34;?j76m;|q20f<72;q6=?j52c9>51b=0k1v\7f<:n:181\7f875k38i70?;b;:a?xu6<10;6?u213`96g=:9=314o5rs065>5<5s4;9m7<m;<373?>e3ty:894?:3y>577=:k16=9;58c9~w4552909w0?=0;0a?874;32i7p};f;29e~;c939h70j;:2f89d`=;m16nh4<c:?ab?5d34i:6>m4=b097f=:l;08j63nc;1e?8gb21h0q~;i:181\7f8ge2:i01lk5429~wc0=838p1hk58c9>ac<4n2wxj54?:3y>b5<?j27m?7=k;|q65?6=;r7m=7=j;<c4>=d<5l?1m>5rsg694?4|5o;14o52f280a>{tn<0;6?u2f38;f>;a;39m7p}i7;296~;a;39h70ki:518yv3c2909w0j<:2a89a4=<:1v\7f;>50;0x9gb=;j16nn4;3:\7fp1`<72;q6mi4<c:?bg?243ty=o7>52z?g0?5b34n965l4}r4a>5<5s4km6>k4=`a9<g=z{l21<7<t=d09<g=:m?08o6s|e`83>7}:m:03n63j7;1g?xub13:1>v3j4;:a?8c02:o0q~;::181\7f8c22:i01h858c9~w00=838p1h;53e9>a2<?j2wx9:4?:0y>5d7=0m1v\7f8750;0x9gc=0k16o=4<d:\7fp1d<72;q6nk47b:?`4?5d3ty=h7>53z?`5?5a34i96>h4=ca9<g=z{<h1<7<t=b39<g=:k:08h6s|5b83>7}:k;03n63l3;1`?x{t9hi1<7<t^0c`?84528kh7)?6a;45?xu6ko0;6?uQ1cf8974=9kn0(<7n:628yv7dl3:1>vP>bb9>67<6jj1/=4o5729~w4ed2909wS?mb:?16?7ej2.:5l48e:\7fp5fd=838pR<ln;<01>4df3-;2m767;|q2gd<72;qU=o74=3095g?<,83j68>4}r3`=?6=:rT:n5522382f==#90k19?5rs0a;>5<5sW;i;63=2;3a3>"61h0>?6s|1b594?4|V8h=70<=:0`5?!7>i3??7p}>d983>7}Y9j?01?<51b78 4?f2<20q~?k7;296~X6k=16>?4>c59'5<g=>81v\7f<j9:181\7f[7d;279>7?l3:&2=d<1:2wx=i;50;0xZ4e534896<m=;%3:e?043ty:h94?:3y]5f7<5;81=n?4$0;b>32<uz;o?7>52z\2g5=::;0:o=5+18c920=z{8n96=4={_3ab>;5:3;ij6*>9`853>{t9m;1<7<t^0`f?84528hn7)?6a;4;?xu6l90;6?uQ1c78974=9k?0(<7n:7;8yv7d>3:1>vP>b59>67<6j=1/=4o56`9~w7542909wS<<3:?16?44;2.:5l49e:\7fp663=838pR?=:;<01>7523-;2m78i;|q106<72;qU>9=4=309615<,83j6:?4}r3e0?6=:rT:i?522382a7=#90k1;?5rs0d1>5<5sW;n=63=2;3f5>"61h0<86s|1g394?4|V8o;70<=:0g3?!7>i3=>7p}>f183>7}Y9ml01?<51ed8 4?f2><0q~?jf;296~X6ll16>?4>dd9'5<g=?>1v\7f<kj:181\7f[7cl279>7?kd:&2=d<002wx=hj50;0xZ4bd34896<jl;%3:e?1>3ty:in4?:3y]5ad<5;81=il4$0;b>2g<uz;mh7>52z\2ad=::;0:il5+18c93g=z{8lh6=4={_3f=>;5:3;n56*>9`84g>{t9oh1<7<t^0g;?84528o37)?6a;5g?xu6nh0;6?uQ1d58974=9l=0(<7n:6d8yv7a13:1>vP>e79>67<6m?1/=4o5819~w4`?2909wS?j5:?16?7b=2.:5l471:\7fp5c1=838pR<k;;<01>4c33-;2m76=;|q2b3<72;qU=h=4=3095`5<,83j65=4}r3e1?6=:rT:hl522382`d=#90k1495rs0ga>5<5sW;o563=2;3g=>"61h0396s|1gd94?4|V8lm70<=:0de?!7>i32=7p}=0083>7}Y:9;01?<52138 4?f21=0qp`;fc83>7}O90h0qc:ic;296~N61k1vb9hk:181\7fM7>j2we8kk50;0xL4?e3td?jk4?:3yK5<d<ug?;<7>52zJ2=g=zf<::6=4={I3:f>{i=981<7<tH0;a?xh28:0;6?uG18`8yk37<3:1>vF>9c9~j0622909wE?6b:\7fm150=838pD<7m;|l642<72;qC=4l4}o73<?6=:rB:5o5rn42:>5<5sA;2n6sa51c94?4|@83i7p`:0c83>7}O90h0qc;?c;296~N61k1vb8>k:181\7fM7>j2we9=k50;0xL4?e3td><k4?:3yK5<d<ug?:<7>52zJ2=g=zf<;:6=4={I3:f>{i=881<7<tH0;a?xh29:0;6?uG18`8yk36<3:1>vF>9c9~j0722909wE?6b:\7fm140=838pD<7m;|l652<72;qC=4l4}o72<?6=:rB:5o5rn43:>5<5sA;2n6sa50c94?4|@83i7p`:1c83>7}O90h0qc;>c;296~N61k1vb8?k:181\7fM7>j2we9<k50;0xL4?e3td>=k4?:3yK5<d<ug?9<7>52zJ2=g=zf<8:6=4={I3:f>{i=;81<7<tH0;a?xh2::0;6?uG18`8yk35<3:1>vF>9c9~j124290:wE?6b:\7fm03e=83;pD<7m;|l72a<728qC=4l4}o65a?6=9rB:5o5rn54e>5<6sA;2n6sa46294?7|@83i7p`;7083>4}O90h0qc:82;295~N61k1vb99<:182\7fM7>j2we8::50;3xL4?e3td?;84?:0yK5<d<ug><:7>51zJ2=g=zf==<6=4>{I3:f>{i<>21<7?tH0;a?xh3?00;6<uG18`8yk20i3:1=vF>9c9~j11e290:wE?6b:\7fm02e=83;pD<7m;|l73a<728qC=4l4}o64a?6=9rB:5o5rn55e>5<6sA;2n6sa49294?7|@83i7p`;8083>4}O90h0qc:72;295~N61k1vb96<:182\7fM7>j2we85:50;3xL4?e3td?484?:0yK5<d<ug>3:7>51zJ2=g=zf=2<6=4>{I3:f>{i<121<7?tH0;a?xh3000;6<uG18`8yk2?i3:1=vF>9c9~j1>e290:wE?6b:\7fm0=e=83;pD<7m;|l7<a<728qC=4l4}o6;a?6=9rB:5o5rn5:e>5<6sA;2n6sa48294?7|@83i7p`;9083>4}O90h0qc:62;295~N61k1vb97<:182\7fM7>j2we84:50;3xL4?e3td?584?:0yK5<d<ug>2:7>51zJ2=g=zf=3<6=4>{I3:f>{i<021<7?tH0;a?xh3100;6<uG18`8yk2>i3:1=vF>9c9~j1?e290:wE?6b:\7fm0<e=83;pD<7m;|l7=a<728qC=4l4}o6:a?6=9rB:5o5rn5;e>5<6sA;2n6sa4`294?7|@83i7p`;a083>4}O90h0qc:n2;295~N61k1vb9o<:182\7fM7>j2we8l:50;3xL4?e3td?m84?:0yK5<d<ug>j:7>51zJ2=g=zf=k<6=4>{I3:f>{i<h21<7?tH0;a?xh3i00;6<uG18`8yk2fi3:1=vF>9c9~j1ge290:wE?6b:\7fm0de=83;pD<7m;|l7ea<728qC=4l4}o6ba?6=9rB:5o5rn5ce>5<6sA;2n6sa4c294?7|@83i7p`;b083>4}O90h0qc:m2;295~N61k1vb9l<:182\7fM7>j2we8o:50;3xL4?e3td?n84?:0yK5<d<ug>i:7>51zJ2=g=zf=h<6=4>{I3:f>{i<k21<7?tH0;a?xh3j00;6<uG18`8yk2ei3:1=vF>9c9~j1de290:wE?6b:\7fm0ge=83;pD<7m;|l7fa<728qC=4l4}o6aa?6=9rB:5o5rn5`e>5<6sA;2n6sa4b294?7|@83i7p`;c083>4}O90h0qc:l2;295~N61k1vb9m<:182\7fM7>j2we8n:50;3xL4?e3td?o84?:0yK5<d<ug>h:7>51zJ2=g=zf=i<6=4>{I3:f>{i<j21<7?tH0;a?xh3k00;6<uG18`8yk2di3:1=vF>9c9~j1ee290:wE?6b:\7fm0fe=83;pD<7m;|l7ga<728qC=4l4}o6`a?6=9rB:5o5rn5ae>5<6sA;2n6sa4e294?7|@83i7p`;d083>4}O90h0qc:k2;295~N61k1vb9j<:182\7fM7>j2we8i:50;3xL4?e3td?h84?:0yK5<d<ug>o:7>51zJ2=g=zf=n<6=4>{I3:f>{i<m21<7?tH0;a?xh3l00;6<uG18`8yk2ci3:1=vF>9c9~j1be290:wE?6b:\7fm0ae=83;pD<7m;|l7`a<728qC=4l4}o6ga?6=9rB:5o5rn5fe>5<6sA;2n6sa4d294?7|@83i7p`;e083>4}O90h0qc:j2;295~N61k1vb9k<:182\7fM7>j2we8h:50;3xL4?e3td?i84?:0yK5<d<ug>n:7>51zJ2=g=zf=o<6=4>{I3:f>{i<l21<7?tH0;a?xh3m00;6<uG18`8yk2bi3:1=vF>9c9~j1ce290:wE?6b:\7fm0`e=83;pD<7m;|l7aa<728qC=4l4}o6fa?6=9rB:5o5rn5ge>5<6sA;2n6sa4g294?7|@83i7p`;f083>4}O90h0qc:i2;295~N61k1vb9h<:182\7fM7>j2we8k:50;3xL4?e3td?j84?:0yK5<d<ug>m:7>51zJ2=g=zf=l<6=4>{I3:f>{i<o21<7?tH0;a?xh3n00;6<uG18`8yk2ai3:1=vF>9c9~yx{GHJq>hk4<65cabgdzHIHp<pNOPz\7fCD
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$20e\7f41<,[o}e~g`n;"2*447&;:%>-*>;1684567<2:;<<:401210>6F80;0=>5>.131?4>=AGZ^X7jfn=34>586<2;36B[[PTV9`jss48=1<3:421130>45L;;08k5;vmz74c`69=2&==:4681@5`=12@D[YY4\7frne\ahvsqV~c~h}g<483:73<>3CE\XZ5psmd[`kw|pU\7fd\7fk|h^cpw`ts4<0;2?;46;KMTPR=x{elShc\7ftx]wlwct`Vdnklzj<483:4b<>3CE\XZ5psmd[cskdV~c~h}g<483:72<>3CE\XZ5psmd[cskdV~c~h}g_`qpawr;=3:5>959:HLSQQ<wzfmTjxbc_ujqavnXflmjxh2::1<2<>0=G\^[YY4kauc\gjsi|5?1<3?m;78LQQVR\3xoSio{a^alqkr;=3:5=o59:NWWTPR=\7f{UomyoPcnwmp93=87>0:NML1:57?25BN=1<4H?=;6D7?2@AM=1<JKH=;927?=678=13<=?>;808E54<I880M?<4A208E<?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC43JF@?6MCR89@KHKN\]OO;6M]E@VF@4=C:2O?86KNC968B476<2L=<4:4F@D;0>@D?=80JI:4FEAF7>@CX=1MH]K=;GG7?C@?8=1MJHH;;GDEA0=Aieyn=6I<;FLG5>O53@:97D?=;H01?L5>3@DBX^ZNTD18MKP43@EI>6B@6:NLEACC?2FDKDMNL59OQQ433E__?;5CUU1\H1=K]]>=7A[[4^F5?ISS<VF>7@[WF478IP^A>j1Fmga}Vdppmjhd3Dcec\7fXjrrklj6=I8890B<>;;O3331=I99287C?>3:L266=I9:90B<:<;O367>H6>:1E=:=4N0:0?K7><2D:5<<4N318J7643G8:?6@=229M662<F;>>86@=4768J720<2D985:4N36:7>H5==1E>8>;;O0651=I:<8?7C<:359M60233G8>995A2447?K42?=1E>86;;O06=1=I:?:?7C<9159M63433G8=?95A2767?K41==1E>;8;;O0531=I:?2?7C<9959M62633G8<=95A2607?K40;=1E>::;;O0411=I:><?7C<8759M62>33G8<595A2927?K4?9=1E>5;;;O0;31=I:13?7C<6159M6<533G82895A2877?K4>>=1E>49;;O0:<1=I:03?7C=?129M1<4<F?90B;><;O420>H19890B;=<;O456>H0;2D<:>5A7618J2>43G=2>6@73:L;46=I0890B5<<;O:07>H?<:1E48=4N940?K>0;2D34>5A8808J<5<F0:87C7>3:L:66=I1:90B4:<;O;6b>HEWK_X\D@PPSMSW2=IM]]D^F?4O39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_<;P36=>Tb{|f\7f0=0n;Sgpqir;87;j7_k|umv?4;4>3[oxyaz31?c8V`urd}6:2<o4Rdqvhq:66;30^h}zlu>1:d=Umz\7fgx1<11`9Qavsk|585>45]erwop959i2Xn\7fxb{<2<2e>Tb{|f\7f0>0=9:Pfwpjs4=4j7_k|umv?0;7f3[oxyaz34?0:?Wct}e~793o4Rdqvhq:268k0^h}zlu>6:77<[?1XCX\LE69PVIE6>L>0XT^J289V4*aun'xm#jb>.mqplcr(EqeySn`{r^gm[Wct}e~:?>5Z0.eqb+ta'nf:"a}|hgv,g5)Jkf\7fexRm`uov\|jt;87;:SD@Y_10g?P6(o{l%~k!hl0,owvna|&i;#@m`uov\gjsi|Vrd~1?1100f?P6(o{l%~k!hl0,owvna|&i;#@m`uov\gjsi|Vrd~1<11031`>S7'nxm"\7fh gm3-hvuon}%h<"Clotlw[firf}Usc\7f2=>001`>S7'nxm"\7fh gm3-hvuon}%h<"Clotlw[firf}Usc\7f2<>031a>S7'nxm"\7fh gm3-hvuon}%h<"Clotlw[firf}Usc\7f2;>0326a=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|34?316a=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|34?306a=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|34?376c=R8&myj#|i/fn2*iut`o~$o=!BcnwmpZeh}g~Ttb|34?3\b0573\:$k\7fh!rg-dh4(k{zbmx"m?/LalqkrXkf\7fexRv`r=6=5Z`29:;0Y=!hrg,qb*ak9'fx\7feh{/b2,Ifirf}Uhcx`{_ymq8186Wo?T<?h4U1-dvc(un&mg=#b|sidw+f6(Eje~byQlotlw[}iu4=4:Sk8<0:W3+bta&{l$ka?!lrqkbq)d8&Ghcx`{_bmvjqY\7fg{6>2<?=9013?P6(o{l%~k!hl0,owvna|&i;#@m`uov\gjsi|Vrd~1;1100:664<]9%l~k }f.eo5+jt{al\7f#n> MbmvjqYdg|d\7fSua}<4<257?Xn<8n7X> gsd-vc)`d8$g\7f~fit.a3+Heh}g~Tob{at^zlv9399828>6[?/fpe*w`(oe;%`~}gfu-`4*Kdg|d\7fSnaznu]{kw:26888S^Y?2d9V4*aun'xm#jb>.mqplcr(k9%Fob{at^alqkrXpfx793?65208Q5)`zo$yj"ic1/npwm`s'j:$Anaznu]`kphsWqey080>94]PS5553\:$k\7fh!rg-dh4(k{zbmx"m?/LalqkrXkf\7fexRv`r=7=5Zkfx::9<6[?/fpe*w`(oe;%`~}gfu-`4*eh}g~7<3<?;T2,cw`)zo%l`< csrjep*e7'je~by2>>328Q5)`zo$yj"ic1/npwm`s'j:$ob{at=0=65=R8&myj#|i/fn2*iut`o~$o=!lotlw868582_;#j|i.sd,ci7)dzycjy!l0.alqkr;<78;7X> gsd-vc)`d8$g\7f~fit.a3+firf}6>2<h4U1-dvc(un&mg=#b|sidw+f6(kf\7fexR>>f:W3+bta&{l$ka?!lrqkbq)d8&idyczP10d8Q5)`zo$yj"ic1/npwm`s'j:$ob{at^02b>S7'nxm"\7fh gm3-hvuon}%h<"m`uov\74`<]9%l~k }f.eo5+jt{al\7f#n> cnwmpZ26n2_;#j|i.sd,ci7)dzycjy!l0.alqkrX=:90Y=!hrg,qb*ak9'fx\7feh{/b3,Ifirf}Uhcx`{_ymq85869VCEZR>=d:W3+bta&{l$ka?!lrqkbq)d9&Ghcx`{_bmvjqY\7fg{6:2<?=d:W3+bta&{l$ka?!lrqkbq)d9&Ghcx`{_bmvjqY\7fg{692<?=f:W3+bta&{l$ka?!lrqkbq)d9&Ghcx`{_bmvjqY\7fg{682<?=13g8Q5)`zo$yj"ic1/npwm`s'j;$Anaznu]`kphsWqey0>0>200f?P6(o{l%~k!hl0,owvna|&i:#@m`uov\gjsi|Vrd~1=11231a>S7'nxm"\7fh gm3-hvuon}%h="Clotlw[firf}Usc\7f2<>01175=R8&myj#|i/fn2*iut`o~$o<!BcnwmpZeh}g~Ttb|33?30[c35m2_;#j|i.sd,ci7)dzycjy!l1.O`kphsWje~byQwos>7:476:m1^<"i}f/pe+bj6&eyxdkz c0-Ngjsi|VidyczPxnp?0;75:m1^<"i}f/pe+bj6&eyxdkz c0-Ngjsi|VidyczPxnp?0;74:o1^<"i}f/pe+bj6&eyxdkz c0-Ngjsi|VidyczPxnp?0;7Xn<8n7X> gsd-vc)`d8$g\7f~fit.a2+Heh}g~Tob{at^zlv9399899i6[?/fpe*w`(oe;%`~}gfu-`5*Kdg|d\7fSnaznu]{kw:268<:>h5Z0.eqb+ta'nf:"a}|hgv,g4)Jkf\7fexRm`uov\|jt;=7;=?>>4U1-dvc(un&mg=#b|sidw+f7(Eje~byQlotlw[}iu4<4::>QI319V4*aun'xm#jb>.mqplcr(k8%Fob{at^alqkrXpfx793?93^E06>S7'nxm"\7fh gm3-hvuon}%h="Clotlw[firf}Usc\7f2:>0]neu47;81^<"i}f/pe+bj6&eyxdkz c0-Ngjsi|VidyczPxnp?1;7Xehz=>=5Z0.eqb+ta'nf:"a}|hgv,g4)dg|d\7f0=0=0:W3+bta&{l$ka?!lrqkbq)d9&idycz31?03?P6(o{l%~k!hl0,owvna|&i:#naznu>1:76<]9%l~k }f.eo5+jt{al\7f#n? cnwmp959:91^<"i}f/pe+bj6&eyxdkz c0-`kphs4=49<6[?/fpe*w`(oe;%`~}gfu-`5*eh}g~793?i;T2,cw`)zo%l`< csrjep*e6'je~byQ?1g9V4*aun'xm#jb>.mqplcr(k8%hcx`{_03e?P6(o{l%~k!hl0,owvna|&i:#naznu]15c=R8&myj#|i/fn2*iut`o~$o<!lotlw[67a3\:$k\7fh!rg-dh4(k{zbmx"m>/bmvjqY39o1^<"i}f/pe+bj6&eyxdkz c0-`kphsW<;h7X> gsd-vc)`d8$g\7f~fit.ampwYbf:>0Y=!hrg,qb*ak9'fx\7feh{/bpg+Heh}g~Tob{at^zlv96998UBB[Q?2d9V4*aun'xm#jb>.mqplcr(k{n$Anaznu]`kphsWqey0<0>13g8Q5)`zo$yj"ic1/npwm`s'jxo#@m`uov\gjsi|Vrd~1<1100e?P6(o{l%~k!hl0,owvna|&iyh"Clotlw[firf}Usc\7f2<>0326`=R8&myj#|i/fn2*iut`o~$o\7fj MbmvjqYdg|d\7fSua}<2<267c<]9%l~k }f.eo5+jt{al\7f#n|k/LalqkrXkf\7fexRv`r=6=574b3\:$k\7fh!rg-dh4(k{zbmx"m}d.O`kphsWje~byQwos>6:474:2_;#j|i.sd,ci7)dzycjy!lre-Ngjsi|VidyczPxnp?1;76WZ];><5Z0.eqb+ta'nf:"a}|hgv,gwb(kf\7fex1>1209V4*aun'xm#jb>.mqplcr(k{n$ob{at=3=64=R8&myj#|i/fn2*iut`o~$o\7fj cnwmp949:81^<"i}f/pe+bj6&eyxdkz csf,gjsi|595><5Z0.eqb+ta'nf:"a}|hgv,gwb(kf\7fex1:1209V4*aun'xm#jb>.mqplcr(k{n$ob{at=7=65=R8&myj#|i/fn2*iut`o~$o\7fj cnwmpZ6582_;#j|i.sd,ci7)dzycjy!lre-`kphsW88;7X> gsd-vc)`d8$g\7f~fit.aq`*eh}g~T>?>4U1-dvc(un&mg=#b|sidw+ftc'je~byQ<219V4*aun'xm#jb>.mqplcr(k{n$ob{at^614>S7'nxm"\7fh gm3-hvuon}%h~i!lotlw[0463\:$k\7fh!rg-dh4(k{zbmx"|nm^pg[`hXa88:7X> gsd-vc)`d8$g\7f~fit.pbiZquWldTe<<7;T2,cw`)zo%l`< csrjep*tt|z%ym`Qjmqvz[fijj;30Y=!hrg,qb*ak9'fx\7feh{/sqww*tfeVof|ywPcnoa67g<]9%l~k }f.eo5+jt{al\7f#\7f}{s.pbiZcjx}sTobcm260f?P6(o{l%~k!hl0,owvna|&xxx~!}al]fiur~Wjefn?9PSV21e>S7'nxm"\7fh gm3-hvuon}%y\7fy} r`o\ahvsqVidao:62`9V4*aun'xm#jb>.mqplcr(zz~x#\7fob_dosp|Ydgdh=;?j4U1-dvc(un&mg=#b|sidw+wus{&xjaRkbpu{\gjkeWdk{=?k4U1-dvc(un&mg=#b|sidw+wus{&xjaRkbpu{\gjkeWdk{=><j;T2,cw`)zo%l`< csrjep*tt|z%ym`Qjmqvz[fijjVgj|<8=8:W3+bta&{l$ka?!lrqkbq)u{}y$~lcPelrw}Z`eW`8>7X> gsd-vc)`d8$g\7f~fit.pppv)uidUna}zv_h04?P6(o{l%~k!hl0,owvna|&}xx~!}al]eqijXkfgi>45Z0.eqb+ta'nf:"a}|hgv,svrt'{kfSk{cl^alig7?:01^<"i}f/pe+bj6&eyxdkz wrvp+wgjWo\7fg`Rm`mc616<=R8&myj#|i/fn2*iut`o~${~z|/scn[cskdVidao;>2e9V4*aun'xm#jb>.mqplcr(\7fz~x#\7fob_gwohZehek?:S^Y?299V4*aun'xm#jb>.mqplcr(\7fz~x#\7fob_gwohZehek=9h6[?/fpe*w`(oe;%`~}gfu-twqu(zhgTjxbc_bmnfZkfx8<9o6[?/fpe*w`(oe;%`~}gfu-twqu(zhgTjxbc_bmnfZkfx:8h7X> gsd-vc)`d8$g\7f~fit.uppv)uidUmyabPcnoa[hgw?;=0Y=!hrg,qb*ak9'fx\7feh{/vqww*tfeVl~`aQib^k10>S7'nxm"\7fh gm3-hvuon}%|\7fy} r`o\bpjkW`887X> gsd-vc)`d8$g\7f~fit.uppv)pzVxxxRj>269V4*aun'xm#`kb/fqn*vk(Ed\7fsSiazt^ofiZ4Xn<827X> gsd-vc)jmd%l\7f` |m.Onq}Ycg|~TahcP2^d6[54e3\:$k\7fh!rg-nah)`{d$xa"Cbuy]gkprXelgT>Rh:_1]E6g=R8&myj#|i/lgn+buj&zg$A`{w_emvpZkbeV8Tj8Q?_F0:?P6(o{l%~k!bel-dwh(te&GfyuQkotv\i`kX:Vl>S<<n;T2,cw`)zo%fi`!hsl,pi*Kj}qUocxzPmdo\6Z`2W8:9o6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z77WO8h7X> gsd-vc)jmd%l\7f` |m.Onq}Ycg|~TahcP2^d6[46XO;k0Y=!hrg,qb*kbe&mxa#}b/Lov|Zbh}}Ufi`Q=_g7\544d3\:$k\7fh!rg-nah)`{d$xa"Cbuy]gkprXelgT>Rh:_03\B7e<]9%l~k }f.ofi*ate'yf#@czx^flqqYjmdU9Sk;P10]D6d=R8&myj#|i/lgn+buj&zg$A`{w_emvpZkbeV8Tj8Q>23a8Q5)`zo$yj"cjm.epi+uj'Dg~tRj`uu]nahY5Wo?T=?QI2b9V4*aun'xm#`kb/fqn*vk(Ed\7fsSiazt^ofiZ4Xn<U:>RI=a:W3+bta&{l$ahc gro-wh)Je|rThb{{_lgn[7Ya=V;8>n5Z0.eqb+ta'dof#j}b.ro,Ihs\7fWme~xRcjm^0\b0Y6;VL9o6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z74WN8j7X> gsd-vc)jmd%l\7f` |m.Onq}Ycg|~TahcP2^d6[425k2_;#j|i.sd,i`k(ozg%\7f`!Bmtz\`jssWdofS?Qi5^37[C4d3\:$k\7fh!rg-nah)`{d$xa"Cbuy]gkprXelgT>Rh:_06\C7g<]9%l~k }f.ofi*ate'yf#@czx^flqqYjmdU9Sk;P140`?P6(o{l%~k!bel-dwh(te&GfyuQkotv\i`kX:Vl>S<;PF3a8Q5)`zo$yj"cjm.epi+uj'Dg~tRj`uu]nahY5Wo?T=8QH2`9V4*aun'xm#`kb/fqn*vk(Ed\7fsSiazt^ofiZ4Xn<U::?m4U1-dvc(un&gna"i|m/qn+HkrpVndyyQbel]1[c3X9?UM>n5Z0.eqb+ta'dof#j}b.ro,Ihs\7fWme~xRcjm^0\b0Y6>VM9n6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z7XN;h0Y=!hrg,qb*kbe&mxa#}b/Lov|Zbh}}Ufi`Q=_g7\5ZA512_;#j|i.sd,i`k(ozg%\7f`!Bmtz\`jssWdofS?Qi5^01f>S7'nxm"\7fh mdo,cvk){d%FaxvPdnww[hcjW;Um9R<PF3`8Q5)`zo$yj"cjm.epi+uj'Dg~tRj`uu]nahY5Wo?T>RI=9:W3+bta&{l$ahc gro-wh)Je|rThb{{_lgn[7Ya=V99n6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z5XN;h0Y=!hrg,qb*kbe&mxa#}b/Lov|Zbh}}Ufi`Q=_g7\7ZA512_;#j|i.sd,i`k(ozg%\7f`!Bmtz\`jssWdofS?Qi5^61f>S7'nxm"\7fh mdo,cvk){d%FaxvPdnww[hcjW;Um9R:PF3`8Q5)`zo$yj"cjm.epi+uj'Dg~tRj`uu]nahY5Wo?T8RI=9:W3+bta&{l$ahc gro-wh)Je|rThb{{_lgn[7Ya=V?9n6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z3XN;h0Y=!hrg,qb*kbe&mxa#}b/Lov|Zbh}}Ufi`Q=_g7\1ZA512_;#j|i.sd,i`k(ozg%\7f`!Bmtz\`jssWdofS?Qi5^41f>S7'nxm"\7fh mdo,cvk){d%FaxvPdnww[hcjW;Um9R8PF3`8Q5)`zo$yj"cjm.epi+uj'Dg~tRj`uu]nahY5Wo?T:RI=9:W3+bta&{l$ahc gro-wh)Je|rThb{{_lgn[7Ya=V=9n6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z1XN;h0Y=!hrg,qb*kbe&mxa#}b/Lov|Zbh}}Ufi`Q=_g7\3ZA512_;#j|i.sd,i`k(ozg%\7f`!Bmtz\`jssWdofS?Qi5^:1f>S7'nxm"\7fh mdo,cvk){d%FaxvPdnww[hcjW;Um9R6PF3`8Q5)`zo$yj"cjm.epi+uj'Dg~tRj`uu]nahY5Wo?T4RI=9:W3+bta&{l$ahc gro-wh)Je|rThb{{_lgn[7Ya=V39n6[?/fpe*w`(elg$k~c!sl-Nip~Xlf\7f\7fS`kb_3]e1Z?XN;h0Y=!hrg,qb*kbe&mxa#}b/Lov|Zbh}}Ufi`Q=_g7\=ZA512_;#j|i.sd,i`k(ozg%\7f`!Bmtz\`jssWdofS?Qi5^D1=>S7'nxm"\7fh mdo,cvk){d%FaxvPdnww[hcjW;Um9RI=2:W3+bta&{l$ahc gro-wh)cg|~T>i2?>=2=66=R8&myj#|i/lgn+buj&zg$hb{{_3f?4;:687887X> gsd-vc)jmd%l\7f` |m.flqqY5l5:50<?1229V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j30?>26;443\:$k\7fh!rg-nah)`{d$xa"j`uu]1`9694895>>5Z0.eqb+ta'dof#j}b.ro,`jssW;n7<32>4?00?P6(o{l%~k!bel-dwh(te&ndyyQ=d=2=8439::1^<"i}f/pe+hcj'nyf"~c dnww[7b;876::3<<;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1>1<05=67=R8&myj#|i/lgn+buj&zg$hb{{_3f?4;:66;80Y=!hrg,qb*kbe&mxa#}b/emvpZ4c4947>3<=;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1>1<2<16>S7'nxm"\7fh mdo,cvk){d%ocxzP2e>3:929:;1^<"i}f/pe+hcj'nyf"~c dnww[7b;876>2?<4U1-dvc(un&gna"i|m/qn+air|V8o0=036?01?P6(o{l%~k!bel-dwh(te&ndyyQ=d=2=8285:2_;#j|i.sd,i`k(ozg%\7f`!kotv\6a:76525>?5Z0.eqb+ta'dof#j}b.ro,`jssW;n7<326>308Q5)`zo$yj"cjm.epi+uj'me~xR<k<0<?4;443\:$k\7fh!rg-nah)`{d$xa"j`uu]1`97948:5>>5Z0.eqb+ta'dof#j}b.ro,`jssW;n7=32>1?00?P6(o{l%~k!bel-dwh(te&ndyyQ=d=3=8449::1^<"i}f/pe+hcj'nyf"~c dnww[7b;976:?3<<;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1?1<06=66=R8&myj#|i/lgn+buj&zg$hb{{_3f?5;:6=7887X> gsd-vc)jmd%l\7f` |m.flqqY5l5;50<81229V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j31?>23;453\:$k\7fh!rg-nah)`{d$xa"j`uu]1`9794849>6[?/fpe*w`(elg$k~c!sl-gkprX:m6:21<1239V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j31?>0:74<]9%l~k }f.ofi*ate'yf#iazt^0g848;<7897X> gsd-vc)jmd%l\7f` |m.flqqY5l5;5080=2:W3+bta&{l$ahc gro-wh)cg|~T>i2>>=4=67=R8&myj#|i/lgn+buj&zg$hb{{_3f?5;:06;80Y=!hrg,qb*kbe&mxa#}b/emvpZ4c484743<=;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1?1<8<16>S7'nxm"\7fh mdo,cvk){d%ocxzP2e>1:969::1^<"i}f/pe+hcj'nyf"~c dnww[7b;:76:<3<<;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1<1<03=66=R8&myj#|i/lgn+buj&zg$hb{{_3f?6;:6:7887X> gsd-vc)jmd%l\7f` |m.flqqY5l5850<=1229V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j32?>20;443\:$k\7fh!rg-nah)`{d$xa"j`uu]1`94948?5>>5Z0.eqb+ta'dof#j}b.ro,`jssW;n7>32>6?00?P6(o{l%~k!bel-dwh(te&ndyyQ=d=0=8419:;1^<"i}f/pe+hcj'nyf"~c dnww[7b;:76:2?<4U1-dvc(un&gna"i|m/qn+air|V8o0?032?01?P6(o{l%~k!bel-dwh(te&ndyyQ=d=0=8685:2_;#j|i.sd,i`k(ozg%\7f`!kotv\6a:565>5>?5Z0.eqb+ta'dof#j}b.ro,`jssW;n7>32:>308Q5)`zo$yj"cjm.epi+uj'me~xR<k<3<?2;453\:$k\7fh!rg-nah)`{d$xa"j`uu]1`9494>49>6[?/fpe*w`(elg$k~c!sl-gkprX:m692161239V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j32?>::74<]9%l~k }f.ofi*ate'yf#iazt^0g868;87887X> gsd-vc)jmd%l\7f` |m.flqqY5l5950<>1229V4*aun'xm#`kb/fqn*vk(lf\7f\7fS?j33?>25;443\:$k\7fh!rg-nah)`{d$xa"j`uu]1`9594885>>5Z0.eqb+ta'dof#j}b.ro,`jssW;n7?32>3?00?P6(o{l%~k!bel-dwh(te&ndyyQ=d=1=8429::1^<"i}f/pe+hcj'nyf"~c dnww[7b;;76:93<<;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1=1<04=66=R8&myj#|i/lgn+buj&zg$hb{{_3f?7;:6?7897X> gsd-vc)jmd%l\7f` |m.flqqY5l5950<0=2:W3+bta&{l$ahc gro-wh)cg|~T>i2<>=0=67=R8&myj#|i/lgn+buj&zg$hb{{_3f?7;:46;80Y=!hrg,qb*kbe&mxa#}b/emvpZ4c4:4783<=;T2,cw`)zo%fi`!hsl,pi*bh}}U9h1=1<4<16>S7'nxm"\7fh mdo,cvk){d%ocxzP2e>0:909:;1^<"i}f/pe+hcj'nyf"~c dnww[7b;;76<2?<4U1-dvc(un&gna"i|m/qn+air|V8o0>038?01?P6(o{l%~k!bel-dwh(te&ndyyQ=d=1=8<86l2_;#j|i.sd,i`k(ozg%\7f`!kotv\mZ66l2_;#j|i.sd,i`k(ozg%\7f`!kotv\mZ76m2_;#j|i.sd,i`k(ozg%\7f`!kotv\mZ779l1^<"i}f/pe+hcj'nyf"~c dnww[lY698o0Y=!hrg,qb*kbe&mxa#}b/emvpZoX9;;n7X> gsd-vc)jmd%l\7f` |m.flqqYnW89:i6[?/fpe*w`(elg$k~c!sl-gkprXaV;?=h5Z0.eqb+ta'dof#j}b.ro,`jssW`U:9<k4U1-dvc(un&gna"i|m/qn+air|VcT=;?j;T2,cw`)zo%fi`!hsl,pi*bh}}UbS<9>d:W3+bta&{l$ahc gro-wh)cg|~TeR<>d:W3+bta&{l$ahc gro-wh)cg|~TeR=>d:W3+bta&{l$ahc gro-wh)cg|~TeR:>d:W3+bta&{l$ahc gro-wh)cg|~TeR;>d:W3+bta&{l$ahc gro-wh)cg|~TeR8>d:W3+bta&{l$ahc gro-wh)cg|~TeR9>d:W3+bta&{l$ahc gro-wh)cg|~TeR6>d:W3+bta&{l$ahc gro-wh)cg|~TeR7=0:W3+bta&{l$ahc gro-wh)cg|~Tahc30?02?P6(o{l%~k!bel-dwh(te&ndyyQbel>24;463\:$k\7fh!rg-nah)`{d$xa"j`uu]nah:6978:7X> gsd-vc)jmd%l\7f` |m.flqqYjmd6:>3<>;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`2>3?02?P6(o{l%~k!bel-dwh(te&ndyyQbel>20;463\:$k\7fh!rg-nah)`{d$xa"j`uu]nah:6=78:7X> gsd-vc)jmd%l\7f` |m.flqqYjmd6::3<>;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`2>7?03?P6(o{l%~k!bel-dwh(te&ndyyQbel>2:76<]9%l~k }f.ofi*ate'yf#iazt^ofi949:91^<"i}f/pe+hcj'nyf"~c dnww[hcj4:49<6[?/fpe*w`(elg$k~c!sl-gkprXelg783<?;T2,cw`)zo%fi`!hsl,pi*bh}}Ufi`2:>328Q5)`zo$yj"cjm.epi+uj'me~xRcjm=4=65=R8&myj#|i/lgn+buj&zg$hb{{_lgn828582_;#j|i.sd,i`k(ozg%\7f`!kotv\i`k;078;7X> gsd-vc)jmd%l\7f` |m.flqqYjmd622>m4U1-dvc(un&gna"i|m/qn+buj{'mxa~U>]/qnw*auid$l\7f`}T0\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>0\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>1\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>2\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>3\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>4\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>5\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>6\,dr1(tze;=?i5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>7\,dr1(tze;=?n5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV?R.rop+btfe'mxa~U>]/eu0+uud8<8o6[?/fpe*w`(elg$k~c!sl-dwhu)ozgxW<S!slq,cwgj&nyf\7fV<R.ft7*vtk9?9h7X> gsd-vc)jmd%l\7f` |m.epiv(`{dyP=P |mr-dvdk)ozgxW>S!gw6-wwj6>:i0Y=!hrg,qb*kbe&mxa#}b/fqnw+atezQ:Q#}bs.eqeh(`{dyP8P hv5,pvi71;j1^<"i}f/pe+hcj'nyf"~c grop*buj{R;V"~c|/fpbi+atezQ>Q#iy4/qqh404k2_;#j|i.sd,i`k(ozg%\7f`!hslq-cvktS8W%\7f`} gscn*buj{R<V"jx;.rpo535d3\:$k\7fh!rg-nah)`{d$xa"i|mr,dwhu\9T$xa~!hr`o-cvktS>W%k{:!ssn226e<]9%l~k }f.ofi*ate'yf#j}bs/epiv]6U'yf\7f"i}al,dwhu\0T$lz9 |rm357f=R8&myj#|i/lgn+buj&zg$k~c|.fqnw^7Z&zgx#j|nm/epiv]>U'm}8#}}l040g>S7'nxm"\7fh mdo,cvk){d%l\7f`}!grop_7[){dy$k\7fob.fqnw^6Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_46Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_47Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_44Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_45Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_42Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_43Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_40Z&n|?"~|c171g?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_41Z&n|?"~|c171`?P6(o{l%~k!bel-dwh(te&mxa~ hslqX6X(tez%l~lc!grop_4[)o\7f>%\7f\7fb>62a8Q5)`zo$yj"cjm.epi+uj'nyf\7f#i|mrY1Y+uj{&mym` hslqX6X(`~=$x~a?93b9V4*aun'xm#`kb/fqn*vk(ozgx"j}bsZ0^*vkt'nxja#i|mrY0Y+aq<'yy`<8<c:W3+bta&{l$ahc gro-wh)`{dy%k~c|[3_-whu(o{kf"j}bsZ6^*bp3&zxg=;=l;T2,cw`)zo%fi`!hsl,pi*atez$l\7f`}T2\,piv)`zhg%k~c|[4_-cs2){{f::>m4U1-dvc(un&gna"i|m/qn+buj{'mxa~U=]/qnw*auid$l\7f`}T6\,dr1(tze;=?n5Z0.eqb+ta'dof#j}b.ro,cvkt&nyf\7fV<R.rop+btfe'mxa~U8]/eu0+uud8<8o6[?/fpe*w`(elg$k~c!sl-dwhu)ozgxW?S!slq,cwgj&nyf\7fV6R.ft7*vtk9?9h7X> gsd-vc)jmd%l\7f` |m.epiv(`{dyP>P |mr-dvdk)ozgxW4S!gw6-wwj6>:i0Y=!hrg,qb*kbe&mxa#}b/fqnw+atezQ8Q#}bs.eqeh(`{dyP<P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:<P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:=P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:>P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:?P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:8P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:9P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ::P hv5,pvi71;m1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:;P hv5,pvi71;j1^<"i}f/pe+hcj'nyf"~c grop*buj{R9V"~c|/fpbi+atezQ:Q#iy4/qqh404k2_;#j|i.sd,i`k(ozg%\7f`!hslq-cvktS:W%\7f`} gscn*buj{R8V"jx;.rpo535d3\:$k\7fh!rg-nah)`{d$xa"i|mr,dwhu\;T$xa~!hr`o-cvktS:W%k{:!ssn226e<]9%l~k }f.ofi*ate'yf#j}bs/epiv]4U'yf\7f"i}al,dwhu\<T$lz9 |rm357f=R8&myj#|i/lgn+buj&zg$k~c|.fqnw^5Z&zgx#j|nm/epiv]2U'm}8#}}l040g>S7'nxm"\7fh mdo,cvk){d%l\7f`}!grop_6[){dy$k\7fob.fqnw^0Z&n|?"~|c171`?P6(o{l%~k!bel-dwh(te&mxa~ hslqX7X(tez%l~lc!grop_2[)o\7f>%\7f\7fb>62a8Q5)`zo$yj"cjm.epi+uj'nyf\7f#i|mrY0Y+uj{&mym` hslqX<X(`~=$x~a?93b9V4*aun'xm#`kb/fqn*vk(ozgx"j}bsZ1^*vkt'nxja#i|mrY:Y+aq<'yy`<8<4:W3+bta&{l$ahc gro-wh)`{dy%\7f`? gscn*buj{R:V"jx;.rpo53523\:$k\7fh!rg-nah)`{d$xa"i|mr,pi4)`zhg%k~c|[02^*bp3&zxg=;=:;T2,cw`)zo%fi`!hsl,pi*atez$xa<!hr`o-cvktS8;V"jx;.rpo53523\:$k\7fh!rg-nah)`{d$xa"i|mr,pi4)`zhg%k~c|[00^*bp3&zxg=;=:;T2,cw`)zo%fi`!hsl,pi*atez$xa<!hr`o-cvktS89V"jx;.rpo53523\:$k\7fh!rg-nah)`{d$xa"i|mr,pi4)`zhg%k~c|[06^*bp3&zxg=;=:;T2,cw`)zo%fi`!hsl,pi*atez$xa<!hr`o-cvktS8?V"jx;.rpo53523\:$k\7fh!rg-nah)`{d$xa"i|mr,pi4)`zhg%k~c|[04^*bp3&zxg=;=:;T2,cw`)zo%fi`!hsl,pi*atez$xa<!hr`o-cvktS8=V"jx;.rpo53533\:$k\7fh!rg-nah)`{d$xa"i|mr,pi4)`zhg%k~c|[0_-cs2){{f::>:4U1-dvc(un&gna"i|m/qn+buj{'yf="i}al,dwhu\:T$lz9 |rm3571=R8&myj#|i/lgn+buj&zg$k~c|.ro2+btfe'mxa~U<]/eu0+uud8<886[?/fpe*w`(elg$k~c!sl-dwhu){d;$k\7fob.fqnw^2Z&n|?"~|c1717?P6(o{l%~k!bel-dwh(te&mxa~ |m0-dvdk)ozgxW8S!gw6-wwj6>:>0Y=!hrg,qb*kbe&mxa#}b/fqnw+uj9&mym` hslqX2X(`~=$x~a?9359V4*aun'xm#`kb/fqn*vk(ozgx"~c>/fpbi+atezQ<Q#iy4/qqh404<2_;#j|i.sd,i`k(ozg%\7f`!hslq-wh7(o{kf"j}bsZ:^*bp3&zxg=;=;;T2,cw`)zo%fi`!hsl,pi*atez$xa<!hr`o-cvktS0W%k{:!ssn2272<]9%l~k }f.ofi*ate'yf#~fit^aljkcd|5:5>85Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<02=60=R8&myj#|i/lgn+buj&zg$\7feh{_bmmj`es48;5>85Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<00=60=R8&myj#|i/lgn+buj&zg$\7feh{_bmmj`es4895>85Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<06=60=R8&myj#|i/lgn+buj&zg$\7feh{_bmmj`es48?5>85Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<04=60=R8&myj#|i/lgn+buj&zg$\7feh{_bmmj`es48=5>85Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<0:=60=R8&myj#|i/lgn+buj&zg$\7feh{_bmmj`es4835>95Z0.eqb+ta'dof#j}b.ro,wm`sWjeebhm{<0<11>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;:94996[?/fpe*w`(elg$k~c!sl-plcrXkfdeinz320<11>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;:;4996[?/fpe*w`(elg$k~c!sl-plcrXkfdeinz322<11>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;:=4996[?/fpe*w`(elg$k~c!sl-plcrXkfdeinz324<11>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;:?4996[?/fpe*w`(elg$k~c!sl-plcrXkfdeinz326<11>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;:14996[?/fpe*w`(elg$k~c!sl-plcrXkfdeinz328<10>S7'nxm"\7fh mdo,cvk){d%xdkzPcnlmafr;:78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2<0?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:4978>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2<2?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:4;78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2<4?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:4=78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2<6?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:4?78>7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2<8?06?P6(o{l%~k!bel-dwh(te&ycjyQloolfgq:4178?7X> gsd-vc)jmd%l\7f` |m.qkbqYdggdnoy2<>378Q5)`zo$yj"cjm.epi+uj'zbmxRm`nog`p9276;?0Y=!hrg,qb*kbe&mxa#}b/rjepZehfgohx1:>>378Q5)`zo$yj"cjm.epi+uj'zbmxRm`nog`p9256;?0Y=!hrg,qb*kbe&mxa#}b/rjepZehfgohx1:<>378Q5)`zo$yj"cjm.epi+uj'zbmxRm`nog`p9236;?0Y=!hrg,qb*kbe&mxa#}b/rjepZehfgohx1::>378Q5)`zo$yj"cjm.epi+uj'zbmxRm`nog`p9216;?0Y=!hrg,qb*kbe&mxa#}b/rjepZehfgohx1:8>378Q5)`zo$yj"cjm.epi+uj'zbmxRm`nog`p92?6;?0Y=!hrg,qb*kbe&mxa#}b/rjepZehfgohx1:6>368Q5)`zo$yj"cjm.epi+uj'zbmxRm`nog`p929:<1^<"i}f/pe+hcj'nyf"~c sidw[fiifli\7f08>1249V4*aun'xm#`kb/fqn*vk({al\7fSnaandaw8079:<1^<"i}f/pe+hcj'nyf"~c sidw[fiifli\7f08<1249V4*aun'xm#`kb/fqn*vk({al\7fSnaandaw8059:=1^<"i}f/pe+hcj'nyf"~c sidw[fiifli\7f080=4:W3+bta&{l$ahc gro-wh)t`o~Tob`aebv?2;433\:$k\7fh!rg-nah)`{d$xa"}gfu]`kkhbk}6<2?:4U1-dvc(un&gna"i|m/qn+vna|Vidbcklt=:=61=R8&myj#|i/lgn+buj&zg$\7feh{_bmmj`es404:?6[?/fpe*w`(zhgT~iQjn018Q5)`zo$yj"|nm^uq[`h6l2_;#j|i.sd,vvredb%yhR||t^cpv`a582_;#j|i.sd,vvredb%yhR||t^cpv`aXl88;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo><m4U1-dvc(un&xxxobd/sf\vvrXkfgi=i5Z0.eqb+ta'{y\7fnae re]qwqYdgdh:=h5Z0.eqb+ta'{y\7fnae re]qwqYumn6;2<k4U1-dvc(un&xxxobd/sf\vvrXzlm7=3?k;T2,cw`)zo%y\7fylck.pg[wusW{olS=?k;T2,cw`)zo%y\7fylck.pg[wusW{olS<?k;T2,cw`)zo%y\7fylck.uq[wusWhyyij<?;T2,cw`)zo%y\7fylck.uq[wusWhyyijQk1328Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg^f1e>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK46<PVID^HQFNGM\HLUS>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjhd3hffc~zPelrw}g=fddexxRhzlm48fdel}yn0nlmduq]newmbz:1h`fj4d`vb[firf}";%i5kauc\gjsi|!;"h6jnt`]`kphs ;#o7io{a^alqkr/; n0hlzn_bmvjq.3!m1omyoPcnwmp-3.n2njxlQlotlw80<76>1ondzjrs48`lh/8 <0hd`'1(58`lh/99#<7iga(03*3>bnf!;9%:5kio*27,1<l`d#=9'8;ekm,43.?2nbb%?9)69gmk.6? <0hd`'2(48`lh/; <0hd`'4(48`lh/= <0hd`'6(48`lh/? <0hd`'8(48`lh/1 <0hd`30?58`lh;994<7iga<03=3>bnf5;92:5kio>27;1<l`d7=908;ekm8439?2nbb1?9>89gmk:6?3:5;6jfn=34:3=cag6:2;5kio>1:3=cag682;5kio>7:3=cag6>2;5kio>5:3=cag6<2;5kio>;:3=cag622:5kotv+4,1<lf\7f\7f$<'7;emvp-77!11ocxz'10+;?air|!;9%55kotv+56/?3me~x%?;)99gkpr/9<#37iazt)35-==cg|~#=:'8;emvp-4.?2ndyy&<)69gkpr/< =0hb{{(4+4?air|!<";6j`uu*4-2=cg|~#4$94dnww,</03me~x1>18:flqq:68720hb{{<03=<>bh}}6:>364dnww845902ndyy2>4?:8`jss48?546j`uu>22;g<lf\7f\7f0<950?:8`jss48=5;6j`uu>2:2=cg|~7>394dnww86803me~x1:17:flqq:26>1ocxz36?58`jss4>4<7iazt=:=3>bh}}62255jdhd1jbb23lg{xt<7;gkekZabflxjxb|Pv5]1(%Ehzlmnb-7!2/27mZow:=1myab8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pU\7fd\7fk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(35?uthoVof|ywPtipfwm:2294:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6j2zycjQjmqvz[qnumzbTm~}jru*1-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(2+2f>vugnUna}zv_ujqavnXizyn~y&;)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$8'>d:rqkbYbey~rSyf}erj\evubz}6>6=0>b:rqkbYbey~rSyf}erj\j`af|l";%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb 8#:n6~}of]fiur~W}byi~fPndebp`.5!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,6/6j2zycjQjmqvz[qnumzbTbhintd*7-4d<x{elShc\7ftx]wlwct`Vdnklzj(4+2`>vugnUna}zv_ujqavnXflmjxh2::1<:?uthoVl~`a?<;qplcZ`rdeU\7fd\7fk|h)2*56=wzfmTjxbc_ujqavn/9 ;87}|`g^dvhiYs`{oxd%<&129svjaXn|fgSyf}erj+7,743yxdkRhzlm]wlwct`!>"=>5\7frne\bpjkW}byi~f'5(36?uthoVl~`aQ{hsgpl93=87;j7}|`g^dvhiYs`{oxdRo|sdpw,5/6i2zycjQiumn\pmtb{aUj\7f~k}t)3*5d=wzfmTjxbc_ujqavnXizyn~y&=)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#?$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts =#:m6~}of]eqijX|axn\7feQnsrgqp-3.9j1{~biPftno[qnumzbTm~}jru>6>586i2zycjQiumn\pmtb{aUeijo{e)2*5d=wzfmTjxbc_ujqavnXflmjxh&>)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#>$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb :#:m6~}of]eqijX|axn\7feQaefcwa-2.9h1{~biPftno[qnumzbTbhintd*6-4e<x{elSk{cl^vkv`uoWgolmyk35;2=2>tcWjf`==5}d^fbpdYdg|d\7f$='>0:pg[agsiVidycz'1(33?wbXlh~jSnaznu*1-46<zmUomyoPcnwmp-5.991yhRjnt`]`kphs =#:<6|k_ecweZeh}g~#9$??;sf\`drfWje~by2?>008vaYci}kTob{at=794;3<zmUnb;5}d^ppp6=u{}=0\7fog{esp7?vtt|8n0x`~ _be,|ljnfqUmekaP19z50+h`g01~bik}fmmt1>pfd`n=7z|Pabi5?rtXkea:<6y}_ecweZeh}g~#<$??;vp\`drfWje~by&>)028swYci}kTob{at)0*55=pzVnjxlQlotlw,6/682}ySio{a^alqkr/< ;;7z|Pd`vb[firf}">%<<4ws]geqgXkf\7fex1;50?78swYbf?1|~R||t038|ljnfqUmekaP19z507d<p`fbbuQiigm\5=~1<VlbjbQheogqeqiuW\7f>T>Rv|t^3~DEv>?11KLu=>f;D90?7|[::1>ik57;306=c>l38>mn;tn3:6>4=i:1<186*=82813a=z[;l1>ik57;306=c>l38>mn;4S5a96`5=83;8>5k6d;06ef1<[;l1>h=50;306=c>l38>mn64d20g>5<628qX?=4=dd84>4550l3o6?;nc49'6=7=:l:0zYj6:182>4<6;1qX?=4=dd84>4550l3o6?;nc49'62e=<m1]>5:52zw62?7<}<=1<6s+c18g?g55l3:1>l4<:3cxL71f3S8j6?u6:98~ ad=;;n0(?6>:3g3?_4?:38p>h>52d29yl56i3:17d==b;29?j4b93:17b<kf;29?l4?j3:17d=>c;29?j4d:3:1(n<52e18jf7=821d>n?50;&`6?4c;2dh=7?4;n0`4?6=,j81>i=4nb396>=h:kl1<7*l2;0g7>hd93907b<me;29 f4=:m90bn?54:9l6gb=83.h>7<k3:l`5?3<3f8io7>5$b096a5<fj;1:65`2c`94?"d:38o?6`l1;58?j4di3:1(n<52e18jf7=021d>n750;&`6?4c;2dh=774;n0`<?6=,j81>i=4nb39e>=h:j=1<7*l2;0g7>hd93h07b<l6;29 f4=:m90bn?5c:9l6f3=83.h>7<k3:l`5?b<3f8h87>5$b096a5<fj;1i65`2b194?"d:38o?6`l1;d8?j4ei3:1(n<52e18jf7=9910c?l6:18'g7<5l:1eo<4>1:9j6<b=83.h>7<ne:l`5?6<3`82o7>5$b096dc<fj;1=65f28`94?"d:38ji6`l1;08?l4>i3:1(n<52`g8jf7=;21b>4750;&`6?4fm2dh=7:4;h0:<?6=,j81>lk4nb391>=n:0=1<7*l2;0ba>hd93<07d<66;29 f4=:ho0bn?57:9j6d3=83.h>7<ne:l`5?><3`8j87>5$b096dc<fj;1565f2`194?"d:38ji6`l1;c8?l4f:3:1(n<52`g8jf7=j21b>l?50;&`6?4fm2dh=7m4;h0b4?6=,j81>lk4nb39`>=n:0l1<7*l2;0ba>hd93o07d<6e;29 f4=:ho0bn?5f:9j6<3=83.h>7<ne:l`5?7732c9594?:%a1>7gb3gi:6<?4;c04f?6=93:1<vF=7`9'`g<5?k1dnk4?::\7fa07<7280;6=uG26c8 ad=<;1d8<4?::\7fa6<<72>81io4>39yK62g<R;k1=;ui:01953<683;<6<<59;:951<6=3;:6<;5168:>40=99036<:512826?762o0v(il533g8 1g=<91/>5?52d28 gd=jl1d>5950;9j74d=831b>hl50;&`6?4bk2dh=7>4;h0fe?6=,j81>hm4nb395>=n:l31<7*l2;0fg>hd93807d<j8;29 f4=:li0bn?53:9j6`1=83.h>7<jc:l`5?2<3`8n:7>5$b096`e<fj;1965`2g`94?=n;8o1<75`33394?=n;921<7*l2;13=>hd93:07d=?7;29 f4=;930bn?51:9j750=83.h>7=?9:l`5?4<3`9;97>5$b0975?<fj;1?65f31694?"d:39;56`l1;68?l57;3:1(n<531;8jf7==21b?<o50;9l6`3=831d>h:50;9j6=g=831d?<950;&`6?5612dh=7>4;n122?6=,j81?<74nb395>=h;8?1<7*l2;12=>hd93807b=>4;29 f4=;830bn?53:9l745=83.h>7=>9:l`5?2<3f9:>7>5$b0974?<fj;1965f2g094?"d:38m?6`l1;28?l4a93:1(n<52g18jf7=921b>k>50;&`6?4a;2dh=7<4;h0fb?6=,j81>k=4nb397>=n:lo1<7*l2;0e7>hd93>07d<jd;29 f4=:o90bn?55:9j77d=831d??750;&`6?55i2dh=7>4;n11<?6=,j81??o4nb395>=h;;=1<7*l2;11e>hd93807b==6;29 f4=;;k0bn?53:9l773=83.h>7==a:l`5?2<3f9987>5$b0977g<fj;1965`2d394?=h:ml1<75f33194?=n;9;1<7*l2;136>hd93:07d=?0;29 f4=;980bn?51:9j6c`=83.h>7=?2:l`5?4<3`8mi7>5$b09754<fj;1?65f2gf94?"d:39;>6`l1;68?l4ak3:1(n<53108jf7==21b>h<50;9j6=d=831b??m50;9l74b=831d??<50;9l776=831b?<m50;9l6f4=83.h>7<k3:l`5?6<3f8h=7>5$b096a5<fj;1=65`2b294?"d:38o?6`l1;08?j4en3:1(n<52e18jf7=;21d>ok50;&`6?4c;2dh=7:4;n0a`?6=,j81>i=4nb391>=h:ki1<7*l2;0g7>hd93<07b<mb;29 f4=:m90bn?57:9l6fg=83.h>7<k3:l`5?><3f8h57>5$b096a5<fj;1565`2b:94?"d:38o?6`l1;c8?j4d?3:1(n<52e18jf7=j21d>n850;&`6?4c;2dh=7m4;n0`1?6=,j81>i=4nb39`>=h:j>1<7*l2;0g7>hd93o07b<l3;29 f4=:m90bn?5f:9l6gg=83.h>7<k3:l`5?7732e9n44?:%a1>7b43gi:6<?4;h0:`?6=,j81>lk4nb394>=n:0i1<7*l2;0ba>hd93;07d<6b;29 f4=:ho0bn?52:9j6<g=83.h>7<ne:l`5?5<3`8257>5$b096dc<fj;1865f28:94?"d:38ji6`l1;78?l4>?3:1(n<52`g8jf7=>21b>4850;&`6?4fm2dh=794;h0b1?6=,j81>lk4nb39<>=n:h>1<7*l2;0ba>hd93307d<n3;29 f4=:ho0bn?5a:9j6d4=83.h>7<ne:l`5?d<3`8j=7>5$b096dc<fj;1o65f2`294?"d:38ji6`l1;f8?l4>n3:1(n<52`g8jf7=m21b>4k50;&`6?4fm2dh=7h4;h0:1?6=,j81>lk4nb3955=<a;3?6=4+c381e`=ik80:=65`29:94?=n:131<75f31d94?"d:39:<6`l1;28?l57m3:1(n<53028jf7=921b?=j50;&`6?5682dh=7<4;h13g?6=,j81?<>4nb397>=n;9h1<7*l2;124>hd93>07d=?a;29 f4=;8:0bn?55:9l6<7=83.h>7<62:l`5?6<3f82<7>5$b096<4<fj;1=65`29d94?"d:382>6`l1;08?j4?m3:1(n<52808jf7=;21d>5j50;&`6?4>:2dh=7:4;n0;g?6=,j81>4<4nb391>=h:091<75f2g;94?"d:38mm6`l1;28?l4a03:1(n<52gc8jf7=921b>k950;&`6?4ai2dh=7<4;h0e2?6=,j81>ko4nb397>=n:o?1<7*l2;0ee>hd93>07d<i4;29 f4=:ok0bn?55:9j747=831i>:h50;394?6|,mh18?5G26g8L71f3f>:6=44}c05b?6=;3:1<v*kb;1;?M40m2B9;l5G299'0=<63`8i6=44i2394?=hk=0;66sm26:94?5=83:p(il5399K62c<@;=j7E<7;%6;>4=n:k0;66g<1;29?je32900qo<9e;297?6=8r.on7=7;I04a>N5?h1C>55+4982?l4e2900e>?50;9lg1<722wi>:850;794?6|,mh1?o5G26g8L71f3A837):7:09j6g<722c9o7>5;h12>5<<aj91<75`c583>>{e:>=1<7=50;2x ad=;11C>:k4H35b?M4?3->36<5f2c83>>o493:17bm;:188yg41l3:197>50z&gf?5e3A8<i6F=7`9K6==#<10:7d<m:188m7e=831b?<4?::k`7?6=3fi?6=44}c041?6=<3:1<v*kb;1b?M40m2B9;l5+4982?l4e2900e>?50;9jg6<722eh87>5;|`131<72=0;6=u+dc80e>N5?l1C>:o4$5:95>o5j3:17d=>:188mf5=831do94?::\7fa56b=83>1<7>t$e`97d=O:>o0D?9n;%6;>3=n:k0;66g<1;29?le42900cn:50;9~f45b290?6=4?{%fa>6g<@;=n7E<8a:&7<?0<a;h1<75f3083>>od;3:17bm;:188yg7313:187>50z&gf?5f3A8<i6F=7`9'0=<63`8i6=44i2394?=nk:0;66al4;29?xd6<h0;694?:1y'`g<4i2B9;h5G26c8 1>=>2c9n7>5;h12>5<<aj91<75`c583>>{e9=21<7:50;2x ad=;h1C>:k4H35b?!2?281b>o4?::k05?6=3`i86=44ob694?=zj8;<6=4::183\7f!be2:h0D?9j;I04e>"303<0e?l50;9j6f<722c8=7>5;ha0>5<<gj>1<75rb03b>5<2290;w)jm:2;8L71b3A8<m6*;8;38m7d=831b>n4?::k1a?6=3`9:6=44ob694?=zj8;36=4::183\7f!be2:30D?9j;I04e>"303;0e?l50;9j6f<722c9i7>5;h12>5<<gj>1<75rb03:>5<2290;w)jm:2;8L71b3A8<m6*;8;38m7d=831b>n4?::k1a?6=3`9:6=44ob694?=zj8;i6=4::183\7f!be2:30D?9j;I04e>"303;0e?l50;9j6f<722c9i7>5;h12>5<<gj>1<75rb03`>5<2290;w)jm:2;8L71b3A8<m6*;8;38m7d=831b>n4?::k1a?6=3`9:6=44ob694?=zjo21<7;50;2x ad=;01C>:k4H35b?!2?281b>o4?::k1g?6=3`8n6=44i2394?=hk=0;66smf883>0<729q/ho4<9:J13`=O:>k0(9651:k1f?6=3`8h6=44i3g94?=n;80;66al4;29?xdai3:197>50z&gf?5>3A8<i6F=7`9'0=<63`8i6=44i3a94?=n:l0;66g<1;29?je32900qohm:186>5<7s-ni6>74H35f?M40i2.?47?4i3`94?=n:j0;66g=e;29?l562900cn:50;9~fce=83?1<7>t$e`97<=O:>o0D?9n;%6;>4=n:k0;66g=c;29?l4b2900e>?50;9lg1<722wiji4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`26f<72<0;6=u+dc80f>N5?l1C>:o4$5:92>o5j3:17d<l:188m67=831bo>4?::m`0?6=3th:>i4?:483>5}#lk08n6F=7d9K62g<,=21:6g=b;29?l4d2900e>?50;9jg6<722eh87>5;|`26`<72<0;6=u+dc80f>N5?l1C>:o4$5:92>o5j3:17d<l:188m67=831bo>4?::m`0?6=3th:>k4?:483>5}#lk08n6F=7d9K62g<,=21:6g=b;29?l4d2900e>?50;9jg6<722eh87>5;|`275<72<0;6=u+dc80f>N5?l1C>:o4$5:92>o5j3:17d<l:188m67=831bo>4?::m`0?6=3th:?<4?:483>5}#lk08n6F=7d9K62g<,=21:6g=b;29?l4d2900e>?50;9jg6<722eh87>5;|`166<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5:j0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9>i4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=2d83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`16c<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5;90;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9?<4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=3383><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`176<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5;=0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9>94?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=2483><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`163<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5:>0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9>54?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=2883><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`16d<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5:k0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th:ii4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl>f783><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`2b2<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd6n10;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th:j44?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl>f`83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`2bg<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd6nj0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th:ji4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl>fd83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`2a`<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd6mo0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th:j=4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl>f083><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`2b7<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd6n:0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th:j94?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl>f483><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`2bc<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5810;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9<44?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=0`83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`14g<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd58j0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9<i4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=0d83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`14c<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5990;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9<=4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=0083><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`147<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd58:0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9<94?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=0483><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`143<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd58>0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9=<4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=1`83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`15g<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd59j0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9=i4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=1d83><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`15c<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd5:90;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9><4?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=2383><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`157<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd59:0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9=94?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=1483><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`153<7200;6=u+dc8ge>N5?l1C>:o4$5:96>o5;3:17d<;:188m73=831b>;4?::k1g?6=3`8o6=44i2394?=hk=0;66al5;29?xd59>0;644?:1y'`g<ci2B9;h5G26c8 1>=:2c9?7>5;h07>5<<a;?1<75f2783>>o5k3:17d<k:188m67=831do94?::m`1?6=3th9=54?:883>5}#lk0om6F=7d9K62g<,=21>6g=3;29?l432900e?;50;9j63<722c9o7>5;h0g>5<<a:;1<75`c583>>id=3:17pl=1883><<729q/ho4ka:J13`=O:>k0(9652:k17?6=3`8?6=44i3794?=n:?0;66g=c;29?l4c2900e>?50;9lg1<722eh97>5;|`2`7<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:h<4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2`5<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:ok4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2g`<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:oi4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2gf<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:oo4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2`d<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:h44?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2`=<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:h:4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2`3<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:h84?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2`1<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:h>4?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`2gd<72<0;6=u+dc80=>N5?l1C>:o4$5:95>o5j3:17d<l:188m7c=831b?<4?::m`0?6=3th:o44?:483>5}#lk0856F=7d9K62g<,=21=6g=b;29?l4d2900e?k50;9j74<722eh87>5;|`134<72:0;6=u+dc87a>N5?l1C>:o4$5:9g>o3<3:17d:::188kgb=831vn<<?:186>5<7s-ni68?4H35f?M40i2.?47?m;h67>5<<a=?1<75f4783>>o3?3:17blk:188yg7783:197>50z&gf?363A8<i6F=7`9'0=<6j2c?87>5;h66>5<<a=<1<75f4683>>iel3:17pl>4583>0<729q/ho4:1:J13`=O:>k0(9653d9j01<722c?97>5;h65>5<<a==1<75`be83>>{e9=:1<7:50;2x ad=<o1C>:k4H35b?!2?2;?0e9:50;9j00<722c?:7>5;n`g>5<<uk;?=7>55;294~"cj3?:7E<8e:J13d=#<10?7d:;:188m13=831b8;4?::k73?6=3fho6=44}c307?6=;3:1<v*kb;6f?M40m2B9;l5+498`?l232900e9;50;9lfa<722wi=?<50;194?6|,mh18h5G26g8L71f3->36?<4i5694?=n<<0;66amd;29?xdb93:197>50z&gf?363A8<i6F=7`9'0=<4=2c?87>5;h66>5<<a=<1<75f4683>>iel3:17plj3;291?6=8r.on7;>;I04a>N5?h1/854>e:k70?6=3`>>6=44i5494?=n<>0;66amd;29?xdb>3:187>50z&gf?333A8<i6F=7`9j01<722c?97>5;hf;>5<<gkn1<75rbd694?3=83:p(il5509K62c<@;=j7):7:328m12=831b884?::k72?6=3`><6=44ocf94?=zjl?1<7;50;2x ad==81C>:k4H35b?!2?2:1b894?::k71?6=3`>=6=44i5594?=hjm0;66sme983>1<729q/ho4:4:J13`=O:>k0e9:50;9j00<722co47>5;n`g>5<<uko26=4;:183\7f!be2<?0D?9j;I04e>o3<3:17d:::188ma>=831dni4?::\7fa56>=8391<7>t$e`90`=O:>o0D?9n;%6;>67<a=>1<75f4483>>iel3:17pl>3883>0<729q/ho4:1:J13`=O:>k0(965329j01<722c?97>5;h65>5<<a==1<75`be83>>{e99;1<7:50;2x ad=<o1C>:k4H35b?!2?28i0e9:50;9j00<722c?:7>5;n`g>5<<uk;;;7>55;294~"cj3?:7E<8e:J13d=#<108;6g;4;29?l222900e9850;9j02<722eih7>5;|`24=<72<0;6=u+dc865>N5?l1C>:o4$5:971=n<=0;66g;5;29?l212900e9950;9lfa<722wi==750;694?6|,mh1995G26g8L71f3`>?6=44i5794?=nl10;66amd;29?xd68h0;6>4?:1y'`g<3m2B9;h5G26c8 1>=901b894?::k71?6=3fho6=44}c33f?6==3:1<v*kb;72?M40m2B9;l5+4986?l232900e9;50;9j03<722c?;7>5;n`g>5<<uk;;>7>55;294~"cj3?:7E<8e:J13d=#<109<6g;4;29?l222900e9850;9j02<722eih7>5;|`243<72<0;6=u+dc865>N5?l1C>:o4$5:97c=n<=0;66g;5;29?l212900e9950;9lfa<722wii?4?:483>5}#lk0>=6F=7d9K62g<,=21?k5f4583>>o3=3:17d:9:188m11=831dni4?::\7faaa<72:0;6=u+dc87a>N5?l1C>:o4$5:974=n<=0;66g;5;29?jdc2900qo?;b;290?6=8r.on7;;;I04a>N5?h1b894?::k71?6=3`n36=44ocf94?=zj8=m6=4;:183\7f!be2=l0D?9j;I04e>"303997d:;:188m13=831b8;4?::ma`?6=3th:4=4?:583>5}#lk0?j6F=7d9K62g<,=21??5f4583>>o3=3:17d:9:188kgb=831vn<:l:187>5<7s-ni68:4H35f?M40i2c?87>5;h66>5<<am21<75`be83>>{e9=n1<7:50;2x ad=<o1C>:k4H35b?!2?2:80e9:50;9j00<722c?:7>5;n`g>5<<uk;?i7>54;294~"cj3>m7E<8e:J13d=#<108>6g;4;29?l222900e9850;9lfa<722wi=9h50;694?6|,mh1995G26g8L71f3`>?6=44i5794?=nl10;66amd;29?xd6><0;694?:1y'`g<3n2B9;h5G26c8 1>=;;1b894?::k71?6=3`>=6=44ocf94?=zj8<=6=4;:183\7f!be2=l0D?9j;I04e>"303997d:;:188m13=831b8;4?::ma`?6=3th:::4?:583>5}#lk0>86F=7d9K62g<a=>1<75f4483>>oc03:17blk:188yg7103:187>50z&gf?2a3A8<i6F=7`9'0=<4:2c?87>5;h66>5<<a=<1<75`be83>>{e9?31<7:50;2x ad=<o1C>:k4H35b?!2?2:80e9:50;9j00<722c?:7>5;n`g>5<<uk;=m7>54;294~"cj3??7E<8e:J13d=n<=0;66g;5;29?lb?2900coj50;9~f40e290?6=4?{%fa>1`<@;=n7E<8a:&7<?553`>?6=44i5794?=n<?0;66amd;29?xd6>j0;694?:1y'`g<3n2B9;h5G26c8 1>=;;1b894?::k71?6=3`>=6=44ocf94?=zj8<o6=4;:183\7f!be2<>0D?9j;I04e>o3<3:17d:::188ma>=831dni4?::\7fa53c=83>1<7>t$e`90c=O:>o0D?9n;%6;>64<a=>1<75f4483>>o3>3:17blk:188yg71n3:187>50z&gf?2a3A8<i6F=7`9'0=<4:2c?87>5;h66>5<<a=<1<75`be83>>{e9>:1<7:50;2x ad===1C>:k4H35b?l232900e9;50;9j`=<722eih7>5;|`234<72=0;6=u+dc87b>N5?l1C>:o4$5:977=n<=0;66g;5;29?l212900coj50;9~f415290?6=4?{%fa>1`<@;=n7E<8a:&7<?553`>?6=44i5794?=n<?0;66amd;29?xd6?:0;694?:1y'`g<2<2B9;h5G26c8m12=831b884?::kg<?6=3fho6=44}c340?6=<3:1<v*kb;6e?M40m2B9;l5+49806>o3<3:17d:::188m10=831dni4?::\7fa523=83>1<7>t$e`90c=O:>o0D?9n;%6;>64<a=>1<75f4483>>o3>3:17blk:188yg70>3:187>50z&gf?333A8<i6F=7`9j01<722c?97>5;hf;>5<<gkn1<75rb054>5<3290;w)jm:5d8L71b3A8<m6*;8;11?l232900e9;50;9j03<722eih7>5;|`23=<72=0;6=u+dc87b>N5?l1C>:o4$5:977=n<=0;66g;5;29?l212900coj50;9~f41>290?6=4?{%fa>02<@;=n7E<8a:k70?6=3`>>6=44ie:94?=hjm0;66sm16c94?2=83:p(il54g9K62c<@;=j7):7:208m12=831b884?::k72?6=3fho6=44}c34f?6=<3:1<v*kb;6e?M40m2B9;l5+49806>o3<3:17d:::188m10=831dni4?::\7fa52e=83>1<7>t$e`911=O:>o0D?9n;h67>5<<a=?1<75fd983>>iel3:17pl>7e83>1<729q/ho4;f:J13`=O:>k0(965339j01<722c?97>5;h65>5<<gkn1<75rb05f>5<3290;w)jm:5d8L71b3A8<m6*;8;11?l232900e9;50;9j03<722eih7>5;|`215<72=0;6=u+dc860>N5?l1C>:o4i5694?=n<<0;66gk8;29?jdc2900qo?:1;290?6=8r.on7:i;I04a>N5?h1/854<2:k70?6=3`>>6=44i5494?=hjm0;66sm14094?2=83:p(il54g9K62c<@;=j7):7:208m12=831b884?::k72?6=3fho6=44}c367?6=<3:1<v*kb;77?M40m2B9;l5f4583>>o3=3:17dj7:188kgb=831vn<;;:187>5<7s-ni69h4H35f?M40i2.?47==;h67>5<<a=?1<75f4783>>iel3:17pl>5483>1<729q/ho4;f:J13`=O:>k0(965339j01<722c?97>5;h65>5<<gkn1<75rb075>5<3290;w)jm:468L71b3A8<m6g;4;29?l222900ei650;9lfa<722wi=8950;694?6|,mh18k5G26g8L71f3->36><4i5694?=n<<0;66g;6;29?jdc2900qo?:8;290?6=8r.on7:i;I04a>N5?h1/854<2:k70?6=3`>>6=44i5494?=hjm0;66sm14;94?2=83:p(il5559K62c<@;=j7d:;:188m13=831bh54?::ma`?6=3th:9l4?:583>5}#lk0?j6F=7d9K62g<,=21??5f4583>>o3=3:17d:9:188kgb=831vn<;m:187>5<7s-ni69h4H35f?M40i2.?47==;h67>5<<a=?1<75f4783>>iel3:17pl>5b83>1<729q/ho4:4:J13`=O:>k0e9:50;9j00<722co47>5;n`g>5<<uk;>h7>54;294~"cj3>m7E<8e:J13d=#<108>6g;4;29?l222900e9850;9lfa<722wi=8k50;694?6|,mh18k5G26g8L71f3->36><4i5694?=n<<0;66g;6;29?jdc2900qo?:f;290?6=8r.on7;;;I04a>N5?h1b894?::k71?6=3`n36=44ocf94?=zj8<;6=4;:183\7f!be2=l0D?9j;I04e>"303997d:;:188m13=831b8;4?::ma`?6=3th::<4?:583>5}#lk0?j6F=7d9K62g<,=21??5f4583>>o3=3:17d:9:188kgb=831vn<8=:187>5<7s-ni68:4H35f?M40i2c?87>5;h66>5<<am21<75`be83>>{e9?91<7:50;2x ad=<o1C>:k4H35b?!2?2:80e9:50;9j00<722c?:7>5;n`g>5<<uk;=87>54;294~"cj3>m7E<8e:J13d=#<108>6g;4;29?l222900e9850;9lfa<722wiih4?:583>5}#lk0?j6F=7d9K62g<,=21=k5f4583>>o3=3:17d:9:188kgb=831vn<<<:186>5<7s-ni68?4H35f?M40i2.?47=:;h67>5<<a=?1<75f4783>>o3?3:17blk:188yg74:3:1?7>50z&gf?2b3A8<i6F=7`9'0=<d3`>?6=44i5794?=hjm0;66smdb83>0<729q/ho4:1:J13`=O:>k0(965b:k70?6=3`>>6=44i5494?=n<>0;66amd;29?xdb83:197>50z&gf?363A8<i6F=7`9'0=<6j2c?87>5;h66>5<<a=<1<75f4683>>iel3:17pl>1g83>0<729q/ho4:1:J13`=O:>k0(965e:k70?6=3`>>6=44i5494?=n<>0;66amd;29?xdan3:197>50z&gf?363A8<i6F=7`9'0=<b3`>?6=44i5794?=n<?0;66g;7;29?jdc2900qojj:186>5<7s-ni68?4H35f?M40i2.?47k4i5694?=n<<0;66g;6;29?l202900coj50;9~f``=83?1<7>t$e`914=O:>o0D?9n;%6;>6=n<=0;66g;5;29?l212900e9950;9lfa<722wi=>850;794?6|,mh19<5G26g8L71f3->3695f4583>>o3=3:17d:9:188m11=831dni4?::\7fa`c<72<0;6=u+dc865>N5?l1C>:o4$5:95d=n<=0;66g;5;29?l212900e9950;9lfa<722wi=9<50;794?6|,mh19<5G26g8L71f3->36>84i5694?=n<<0;66g;6;29?l202900coj50;9~f443290?6=4?{%fa>1`<@;=n7E<8a:&7<?403`>?6=44i5794?=n<?0;66amd;29?xd68j0;694?:1y'`g<2<2B9;h5G26c8m12=831b884?::kg<?6=3fho6=44}c33`?6==3:1<v*kb;72?M40m2B9;l5+4980`>o3<3:17d:::188m10=831b8:4?::ma`?6=3th:<h4?:483>5}#lk0>=6F=7d9K62g<,=21?;5f4583>>o3=3:17d:9:188m11=831dni4?::\7fa54c=8381<7>t$e`90<=O:>o0D?9n;h60>5<<gkn1<75rbgg94?4=83:p(il5489K62c<@;=j7d:<:188kgb=831vnij50;094?6|,mh1845G26g8L71f3`>86=44ocf94?=zj;2;6=4>:183\7f!be2;=i7E<8e:J13d=hjo0;66sm11194?3=83:p(il5509K62c<@;=j7):7:0f8m12=831b884?::k72?6=3`><6=44ocf94?=zj8:?6=4::183\7f!be2<;0D?9j;I04e>"3038:7d:;:188m13=831b8;4?::k73?6=3fho6=44}c331?6=<3:1<v*kb;77?M40m2B9;l5f4583>>o3=3:17dj7:188kgb=831vnho50;794?6|,mh19<5G26g8L71f3->36<64i5694?=n<<0;66g;6;29?l202900coj50;9~f`d=83?1<7>t$e`914=O:>o0D?9n;%6;>60<a=>1<75f4483>>o3>3:17d:8:188kgb=831vnhm50;694?6|,mh1995G26g8L71f3`>?6=44i5794?=nl10;66amd;29?xd6;<0;694?:1y'`g<282B9;h5G26c8 1>=;j1b894?::k71?6=3`>=6=44o5`94?=zj88:6=49:183\7f!be2<80D?9j;I04e>"303;o7d:;:188m13=831b8;4?::k73?6=3f>i6=44ocf94?=zjo:1<7:50;2x ad==91C>:k4H35b?!2?2:i0e9:50;9j00<722c?:7>5;n6a>5<<uk;8;7>55;294~"cj3?87E<8e:J13d=#<108i6g;4;29?l222900e9850;9j02<722e?n7>5;|`206<72<0;6=u+dc867>N5?l1C>:o4$5:9e>o3<3:17d:::188m10=831b8:4?::m7f?6=3th:>84?:483>5}#lk0>?6F=7d9K62g<,=21=l5f4583>>o3=3:17d:9:188m11=831d8o4?::\7fp6=d=83=jwS<7b:?12c<5j279;54=b:?12`<5j279;;4=b:?132<5j279:i4=b:?130<5j279;94=b:?27a<5j27:?h4=b:?20<<5j27:8l4=b:?20=<5j27:=:4=b:?25d<5j27:=54=b:?25<<5j27:=o4=b:?25f<5j27m47<m;<d:>7d<5ok1>o52fc81f>;ak38i70hk:3`8944d2;h01<<k:3`8944b2;h01<<i:3`894572;h01<=>:3`897442;n01?<l:3f8974c2;n01?<j:3f8974a2;n01?=?:3f897562;n01?==:3f897542;n01?=;:3f897432;n01?<::3f897412;n01?<8:3f8974?2;n01?<6:3f8974f2;n01?<m:3f894cc2;n01<h9:3f894`02;n01<h7:3f894`>2;n01<hn:3f894`e2;n01<hl:3f894`c2;n01<hj:3f894cb2;n01<ki:3f894`72;n01<h>:3f894`52;n01<h<:3f894`32;n01<h::3f894`a2;n01?>7:3f8976>2;n01?>n:3f8976e2;n01?>l:3f8976c2;n01?>j:3f8976a2;n01???:3f897672;n01?>>:3f897652;n01?><:3f897632;n01?>::3f897612;n01?>8:3f897762;n01??n:3f8977e2;n01??l:3f8977c2;n01??j:3f8977a2;n01?<?:3f897462;n01?<=:3f897752;n01??<:3f897732;n01??::3f897712;n01??8:3f8977?2;n01??6:3f894b52;h01<j>:3`894b72;h01<mi:3`894eb2;h01<mk:3`894ed2;h01<mm:3`894bf2;h01<j6:3`894b?2;h01<j8:3`894b12;h01<j::3`894b32;h01<j<:3`894ef2;h01<m6:3`8yv56i3:1ovP<1`9>512=<?16ii4;4:?277<3=27oo7:9;<32b?2034lm6994=eg902=:lo0?:63>36872>;6<:0?;63>24872>{t;821<7<={_0:7>X5011U??<4^203?[4??2T8=i5Q2d68Z6463W8n96P=fc9]6<7<V;3;7S<7f:\1<`=Y:1n0R?6l;_11=>X4:11U??94^205?[55=2T8>95Q3058Z6713W9:96P<159]745<V:;970<8f;62?840>39:70<9d;12?840=39:70<84;12?873i39:7p}<1b83>6}Y;8i01?99:b18970c2j90q~<kf;296~X5lo16=>k5c59~w64e290>wS==b:?204<3<27:?>4;5:?27=<3<27oo7:;;|q1a4<72;qU>h?4=06:>f2<uz8o87>52z\1g7=:9m81o95rs3f1>5<5sW8h=63>d08`0>{t:m;1<7<t^3a3?87c83i?7p}=d183>7}Y:kl01<mi:b68yv4dn3:1>vP=bd9>5fc=k=1v\7f?mj:181\7f[4el27:oi4l4:\7fp6fb=838pR?ll;<3`g?e33ty9on4?:3y]6gd<58ii6n:4}r0g`?6=:rT9ol521ec9g1=z{;nh6=4={_0`=>;6l00h86s|2e`94?4|V;i370?k8;a7?xu5lh0;6?uQ2b5894b02j>0q~<k9;296~X5k?16=i85c59~w7b?2909wS<l5:?2`0<d<2wx>i950;0xZ7e334;o87m;;|q1`3<72;qU>n=4=0f0>f2<uz8o97>52z\1fd=:9jk1o95rs3aa>5<5sW8i563>c88`0>{t:hl1<7<t^3;g?845j39:7p}=ae83>7}Y:0i01?<n:238yv4fk3:1>vP=9c9>67?=;81v\7f?om:181\7f[4>i279>54<1:\7fp6dg=838pR?76;<013?563ty9m44?:3y]6<><5;8=6>?4}r0b<?6=:rT95:52237974=z{;k<6=4={_0:2>;5:=08=6s|2c:94?4|V;k>70<<4;12?xu5j>0;6?uQ2`6897542:;0q~<m6;296~X5i:16>><5309~w7d22909wS<n2:?174<492wx>o:50;0xZ7g63488<7=>;|q1f6<72;qU>l>4=30e>67<uz8i>7>52z\1=c=::;o1?<5rs3`2>5<5sW82i63=2e805>{t:k:1<7<t^3;6?845k39:7p}=a783>7}Y:0>01?<<:238yv41m3:1?v3=6g805>;5>l0h863=6e81g>{t:?l1<7<t=34e>f2<5;=:69:4}r043?6=;r79;54<1:?133<5k279;:4l4:\7fp62>=83;p1?97:b68yv41l3:1?v3=6d805>;5>m0h863=70871>{t:><1<7<t=355>f2<5;=<6>?4}r044?6=;r79;84l3:?131<d;279;<4md:\7fp625=83;2w0<85;a7?874l3i870?<e;a0?87313i870?;a;a0?87303i870?>7;a0?876i38n70?>8;0f?876138n70?>b;0f?876k38n70h7:3g89c?=:l16jl4=e:?ef?4b34lh6?k4=gf96`=:9;i1o>5213f9g6=:9;o1o>5213d9g6=:9::1o>521239g6=:9=:18;5rs351>5<6;r79;94l4:?2`7<5m27:h<4=e:?2`5<5m27:ok4=e:?2g`<5m27:oi4=e:?2gf<5m27:oo4=e:?2`d<5m27:h44=e:?2`=<5m27:h:4=e:?2`3<5m27:h84=e:?2`1<5m27:h>4=e:?2gd<5m27:o44=e:\7fp562=839p1<=k:238945b2:;01<=6:cf8yv74l3:1ov3>3e8`0>;6<=0?;63>38870>;bl3>>70?<2;67?8bd2==01<?i:5689c`=<=16hh4;4:?gb?2334;??7:;;<311?233ty:?k4?:2y>51?=;816=965309>514=jm1v\7f<:n:181\7f873i3i?70?;0;66?xu6<10;6;u215:9g1=:9=:18952153903=:9:91895212:900=:lj0?96s|10f94?74s4;:;7<l;<32e?4d34;:47<l;<32=?4d34;:n7<l;<32g?4d34l36?m4=g;96f=:nh09o63ib;0`?8`d2;i01kj52b9>57e=:j16=?j52b9>57c=:j16=?h52b9>566=:j16=>?52b9>`f<el2wxo;4?:3y>541=;816jh4md:\7fp547=833p1<?8:b6894672=?01<>>:56894652=<01<>9:5489c`=<?16jh4;3:?246<3=27:<94;5:\7fpgc<72;q6=<o5309>553=jm1v\7f<?;:184\7f876i3i?70??7;67?87703><70??a;67?877>3>>70??d;67?877=3n37p}l9;296~;69108=63if;`g?xu69;0;65u210:9g1=:99:18:52113900=:99818852114902=:no0?963>02872>;68=0?:6s|cb83>7}:9831?<521129fa=z{8;86=48{<32=?e334;;<7:9;<335?2134;;>7:;;<332?2334;;?7:8;<330?203tyo>7>52z?25g<4927:<44md:\7fp543=83<p1<?m:b6894262=?01<>6:e:8946f2=?01<>m:578946c2=?0q~j::181\7f876k39:70??c;`g?xu69?0;69u210a9g1=:9=;18:5211`901=:99i1h55rsb594?4|5o21?<52de8a`>{tn;0;6nu2f98`0>;b;3>?70k;:5489`3=<?16i?4;6:?fa?2134o;69;4=eg903=:9:<18952dg871>;cl3>870h?:548yvef2909w0h6:2389ac=jm1v\7fk=50;`x9c?=k=16i>4;5:?f0?2234o>69;4=d0902=:ml0?963j0;64?8bb2=?01<=9:5489a`=<>16j=4;5:\7fpga<72;q6jl4<1:?f4?dc3tym87>5az?ee?e334o:69;4=d1903=:m=0?863j5;67?8c52=>01hk5459>a5<3>27:?;4;5:?e4?233tyo<7>52z?ef?5634o:6oj4}rd6>5<?s4li6n:4=d3902=:m:0?;63j8;f;?8c52=?01hh5479>ad<3>27:?:4;4:\7fp`6<72;q6jn4<1:?f=?dc3tym:7>58z?eg?e334;?87:;;<g:>a><5ll18852e`870>;bj3><70?<5;66?874?3>>7p}k6;296~;al39:70kl:cf8yv`0290<w0hk:b6894232=?01hh5459>ad<3=27nn7:;;<301?2334;8;7:8;|q`<?6=:r7:>n4<1:?25`<el2wx=?850;6g\7f875k3i?70<=3;00?845k38870<=d;00?845m38870<=f;00?844838870<<1;00?844:38870<<3;00?844<38870<=4;00?845=38870<=6;00?845?38870<=8;00?845138870<=a;00?845j38870?jd;00?87a>38870?i7;00?87a038870?i9;00?87ai38870?ib;00?87ak38870?id;00?87am38870?je;00?87bn38870?i0;00?87a938870?i2;00?87a;38870?i4;00?87a=38870?if;00?847038870<?9;00?847i38870<?b;00?847k38870<?d;00?847m38870<?f;00?846838870<?0;00?847938870<?2;00?847;38870<?4;00?847=38870<?6;00?847?38870<>1;00?846i38870<>b;00?846k38870<>d;00?846m38870<>f;00?845838870<=1;00?845:38870<>2;00?846;38870<>4;00?846=38870<>6;00?846?38870<>8;00?846138870?=0;66?876n3>=70?>e;60?87593>=7p}lb;296~;6:m08=63>1g8a`>{t9;=1<7:l{<31`?e33489?7<;;<01g?433489h7<;;<01a?433489j7<;;<004?433488=7<;;<006?433488?7<;;<000?43348987<;;<011?433489:7<;;<013?43348947<;;<01=?433489m7<;;<01f?4334;nh7<;;<3e2?4334;m;7<;;<3e<?4334;m57<;;<3ee?4334;mn7<;;<3eg?4334;mh7<;;<3ea?4334;ni7<;;<3fb?4334;m<7<;;<3e5?4334;m>7<;;<3e7?4334;m87<;;<3e1?4334;mj7<;;<03<?43348;57<;;<03e?43348;n7<;;<03g?43348;h7<;;<03a?43348;j7<;;<024?43348;<7<;;<035?43348;>7<;;<037?43348;87<;;<031?43348;:7<;;<033?43348:=7<;;<02e?43348:n7<;;<02g?43348:h7<;;<02a?43348:j7<;;<014?433489=7<;;<016?43348:>7<;;<027?43348:87<;;<021?43348::7<;;<023?43348:47<;;<02=?4334;9<7:8;<32b?2234;9=7::;|q`a?6=:r7:>h4<1:?265<el2wx=?650;6a\7f875m3i?70<=3;06?845k38>70<=d;06?845m38>70<=f;06?844838>70<<1;06?844:38>70<<3;06?844<38>70<=4;06?845=38>70<=6;06?845?38>70<=8;06?845138>70<=a;06?845j38>70?jd;06?87a>38>70?i7;06?87a038>70?i9;06?87ai38>70?ib;06?87ak38>70?id;06?87am38>70?je;06?87bn38>70?i0;06?87a938>70?i2;06?87a;38>70?i4;06?87a=38>70?if;06?847038>70<?9;06?847i38>70<?b;06?847k38>70<?d;06?847m38>70<?f;06?846838>70<?0;06?847938>70<?2;06?847;38>70<?4;06?847=38>70<?6;06?847?38>70<>1;06?846i38>70<>b;06?846k38>70<>d;06?846m38>70<>f;06?845838>70<=1;06?845:38>70<>2;06?846;38>70<>4;06?846=38>70<>6;06?846?38>70<>8;06?846138>70?=0;65?87593>?7p}k1;296~;6:o08=63>238a`>{t9;31<7:l{<31b?e33489?7<9;<01g?413489h7<9;<01a?413489j7<9;<004?413488=7<9;<006?413488?7<9;<000?41348987<9;<011?413489:7<9;<013?41348947<9;<01=?413489m7<9;<01f?4134;nh7<9;<3e2?4134;m;7<9;<3e<?4134;m57<9;<3ee?4134;mn7<9;<3eg?4134;mh7<9;<3ea?4134;ni7<9;<3fb?4134;m<7<9;<3e5?4134;m>7<9;<3e7?4134;m87<9;<3e1?4134;mj7<9;<03<?41348;57<9;<03e?41348;n7<9;<03g?41348;h7<9;<03a?41348;j7<9;<024?41348;<7<9;<035?41348;>7<9;<037?41348;87<9;<031?41348;:7<9;<033?41348:=7<9;<02e?41348:n7<9;<02g?41348:h7<9;<02a?41348:j7<9;<014?413489=7<9;<016?41348:>7<9;<027?41348:87<9;<021?41348::7<9;<023?41348:47<9;<02=?4134;9>7::;<317?2234;997::;|qg0?6=:r7:?=4<1:?266<el2wx=?o50;04\7f87483i?70?8f;67?87?83>?70?;d;67?873m3>?70?95;67?871>3>?70?98;67?87113>?70?9b;67?871k3>?70?9e;67?871n3>?70?81;67?870:3>?70?84;67?870=3>?70?87;67?87003>?70?8a;67?870j3>?70?8d;67?870m3>?70?:1;67?872:3>?70?:4;67?872=3>?70?:7;67?87203>?70?:a;67?872j3>?70?:d;67?872m3>?70?90;67?87193>?70?93;67?871<3>?70?=3;64?875=3><7p}k7;296~;6;808=63>258a`>{t9;h1<7?;{<305?e334;?n7j7;<37g?b?34;?j7j7;<353?b?34;=m7j7;<35`?b?34;<<7j7;<347?b?34;<:7j7;<34=?b?34;<o7j7;<364?b?34;>?7j7;<362?b?34;>57j7;<36g?b?34;>j7j7;<356?b?34;987:;;|q12f<72=3p1?<<:3a8974d2;i01?<k:3a8974b2;i01?<i:3a897572;i01?=>:3a897552;i01?=<:3a897532;i01?<;:3a897422;i01?<9:3a897402;i01?<7:3a8974>2;i01?<n:3a8974e2;i01<kk:3a894`12;i01<h8:3a894`?2;i01<h6:3a894`f2;i01<hm:3a894`d2;i01<hk:3a894`b2;i01<kj:3a894ca2;i01<h?:3a894`62;i01<h=:3a894`42;i01<h;:3a894`22;i01<hi:3a8976?2;i01?>6:3a8976f2;i01?>m:3a8976d2;i01?>k:3a8976b2;i01?>i:3a897772;i01?>?:3a897662;i01?>=:3a897642;i01?>;:3a897622;i01?>9:3a897602;i01??>:3a8977f2;i01??m:3a8977d2;i01??k:3a8977b2;i01??i:3a897472;i01?<>:3a897452;i01??=:3a897742;i01??;:3a897722;i01??9:3a897702;i01??7:3a8977>2;i01<=<:cf8yv7?93:1>v3=228`0>;6?o0?96s|22794?4|5;886n;4=0gg>67<uz;3m7>52z?16f<d<27:;l4;5:\7fp616=838p1?<l:b7894`12:;0q~?7b;296~;5:m0h863>7e871>{t:=h1<7<t=30g>f3<58l<6>?4}r3;g?6=:r79>h4l4:?214<3=2wx>8850;0x974b2j?01<h7:238yv7?l3:1>v3=2g8`0>;6==0?96s|27394?4|5;8m6n;4=0d:>67<uz;3i7>52z?175<d<27:9:4;5:\7fp630=838p1?=?:b7894`f2:;0q~?7f;296~;5;80h863>5`871>{t:?=1<7<t=312>f3<58li6>?4}r3:4?6=:r79??4l4:?21a<3=2wx>;650;0x97552j?01<hl:238yv7>93:1>v3=328`0>;6>90?96s|27;94?4|5;986n;4=0dg>67<uz;2>7>52z?171<d<27::>4;5:\7fp63g=838p1?=;:b7894`b2:;0q~?72;296~;5:=0h863>4e871>{t::<1<7<t=307>f3<58on6>?4}r3;7?6=:r79>84l4:?220<3=2wx>>950;0x97422j?01<ki:238yv7?<3:1>v3=278`0>;6>10?96s|22:94?4|5;8=6n;4=0d3>67<uz;397>52z?162<d<27::o4;5:\7fp66?=838p1?<8:b7894`62:;0q~?76;296~;5:10h863>6d871>{t::k1<7<t=30;>f3<58l96>?4}r3;3?6=:r79>44l4:?234<3=2wx>>l50;0x974>2j?01<h<:238yv7?03:1>v3=2`8`0>;6?=0?96s|22a94?4|5;8j6n;4=0d7>67<uz;357>52z?16g<d<27:;:4;5:\7fp66b=838p1?<m:b7894`22:;0q~?63;296~;6mm0h863>7g872>{t::o1<7<t=0gg>f3<58lm6>?4}r3:g?6=:r7:j;4l4:?23d<3>2wx>>h50;0x94`12j?01?>7:238yv7>l3:1>v3>f68`0>;6?m0?:6s|25394?4|58l<6n;4=32:>67<uz;2i7>52z?2b=<d<27:9<4;6:\7fp614=838p1<h7:b78976f2:;0q~?6f;296~;6n00h863>55872>{t:=91<7<t=0d:>f3<5;:i6>?4}r3b4?6=:r7:jl4l4:?212<3>2wx>9:50;0x94`f2j?01?>l:238yv7f93:1>v3>fc8`0>;6=h0?:6s|25794?4|58li6n;4=32g>67<uz;j>7>52z?2bf<d<27:9i4;6:\7fp610=838p1<hl:b78976b2:;0q~?n3;296~;6nm0h863>61872>{t:==1<7<t=0dg>f3<5;:m6>?4}r3b0?6=:r7:jh4l4:?226<3>2wx>9650;0x94`b2j?01???:238yv7><3:1>v3>ed8`0>;6<m0?:6s|25;94?4|58on6n;4=323>67<uz;297>52z?2ac<d<27::84;6:\7fp61g=838p1<ki:b7897662:;0q~?66;296~;6n90h863>69872>{t:=i1<7<t=0d3>f3<5;:96>?4}r3:3?6=:r7:j<4l4:?22g<3>2wx>9j50;0x94`62j?01?><:238yv7>03:1>v3>f38`0>;6>l0?:6s|25g94?4|58l96n;4=327>67<uz;257>52z?2b6<d<27:;<4;6:\7fp61`=838p1<h<:b7897622:;0q~?6a;296~;6n=0h863>75872>{t:<:1<7<t=0d7>f3<5;:=6>?4}r3:f?6=:r7:j84l4:?232<3>2wx>8?50;0x94`22j?01?>8:238yv7f=3:1>v3>fg8`0>;6090?96s|24094?4|58lm6n;4=332>67<uz;ji7>52z?14=<d<27:;o4;5:\7fp605=838p1?>7:b78977f2:;0q~?nf;296~;5800h863>7d871>{t:<>1<7<t=32:>f3<5;;i6>?4}r3a4?6=:r79<l4l4:?217<3=2wx>8;50;0x976f2j?01??l:238yv7e93:1>v3=0c8`0>;6=<0?96s|24594?4|5;:i6n;4=33g>67<uz;i>7>52z?14f<d<27:954;5:\7fp60>=838p1?>l:b78977b2:;0q~?m3;296~;58m0h863>5c871>{t:<31<7<t=32g>f3<5;;m6>?4}r3a0?6=:r79<h4l4:?21`<3=2wx>8o50;0x976b2j?01?<?:238yv7e=3:1>v3=0g8`0>;6>80?96s|24`94?4|5;:m6n;4=302>67<uz;i:7>52z?155<d<27::94;5:\7fp60e=838p1???:b7897452:;0q~?n6;296~;5890h863>4d871>{t:<n1<7<t=323>f3<5;;96>?4}r3b3?6=:r79<<4l4:?223<3=2wx>8k50;0x97662j?01??<:238yv7f03:1>v3=038`0>;6>00?96s|24d94?4|5;:96n;4=337>67<uz;j57>52z?146<d<27::n4;5:\7fp636=838p1?><:b7897722:;0q~?na;296~;58=0h863>6g871>{t:?81<7<t=327>f3<5;;=6>?4}r3bf?6=:r79<84l4:?237<3=2wx>;=50;0x97622j?01??8:238yv7fk3:1>v3=078`0>;6?<0?96s|27694?4|5;:=6n;4=33;>67<uz;jh7>52z?142<d<27:;54;5:\7fp633=838p1?>8:b78977>2:;0q~?m7;296~;5980h863>81872>{t9j:1<7<t=33b>f2<58=i6984}r3`5?6=:r79=o4l4:?23`<3>2wx=n<50;0x977d2j>01<;=:548yv7d;3:1>v3=1e8`0>;6=<0?:6s|1b694?4|5;;n6n:4=07;>10<uz;h97>52z?15c<d<27:9o4;6:\7fp5f0=838p1?<?:b68943b2=<0q~?l7;296~;5:80h863>60872>{t9j21<7<t=301>f2<58<?6984}r3a<?6=:r79=?4l4:?20`<3>2wx=o750;0x97742j>01<89:548yv7ei3:1>v3=158`0>;6>00?:6s|1c`94?4|5;;>6n:4=04`>10<uz;io7>52z?153<d<27::k4;6:\7fp5gb=838p1??8:b6894152=<0q~?me;296~;5910h863>74872>{t9kl1<7<t=33:>f2<58=36984}r05f?6=9:q6=i<52b9>5a7=:j16=i>52b9>5f`=:j16=nk52b9>5fb=:j16=nm52b9>5fd=:j16=io52b9>5a?=:j16=i652b9>5a1=:j16=i852b9>5a3=:j16=i:52b9>5a5=:j16=no52b9>5f?=:j16=><5be9~w4c42909w0?k2;12?870>3ho7p}>e383>7}:9m;1?<521619fa=z{8o:6=4={<3g4?5634;<<7lk;|q2a5<72;q6=nh5309>53b=jm1v\7f<ji:181\7f87dm39:70?9a;`g?xu6ll0;6?u21bf974=:9?=1ni5rs0fg>5<5s4;ho7=>;<37b?dc3ty:hn4?:3y>5fd=;816=9m5be9~w4cd2909w0?ka;12?871:3ho7p}>ec83>7}:9m31?<5214d9fa=z{8oj6=4={<3g<?5634;>o7lk;|q2a<<72;q6=i95309>50?=jm1v\7f<k7:181\7f87c>39:70?:6;`g?xu6m>0;6?u21e7974=:9<91ni5rs0g5>5<5s4;o87=>;<364?dc3ty:i84?:3y>5a5=;816=:m5be9~w4c32909w0?la;12?87013ho7p}>dc83>7}:9j31?<5215`9fa=z{<21<7?={<314?2334;;<7:;;<g2>12<5l<1h552e5873>;b=3><70??7;66?87703>>70??b;65?877:3><70kk:cf894442=>01h>5459>55c=<=16===5459>552=<=16in4k8:?264<3?2wx=9850;0x94232kn01<:=:578yv73?3:1>v3>418a`>;6<;0?86s|15794?4|58>:6oj4=060>10<uz3h6=4={<316?2334;9=7:m;|qb4?6=:r7n=7:9;<fe>gb<uz3o6=4={<g0>gb<5l<1885rs8g94?3|5l<18952e38a`>;6<;0?;63jb;66?874=3>=7p}j6;296~;b>3ho70k6:578yv?a2909w0k;:cf89`>=<<1v\7fl?50;0x9`3=jm16i54;4:\7fpa2<72;q6i54md:?f=?233ty:?n4?:3y>56>=jm16=>75449~w45e2909w0?<9;65?874>3ho7p}>3`83>7}:9:318:5212790g=z{??1<7=t=022>gb<58:<6994=02f>13<uzk?6=4:{<333?2134;;47:;;<33f?2034;;:7lk;<377?223ty>m7>52z?242<el27:<44;5:\7fp35<72:q6==65479>554=jm16==j5479~w0d=838p1<>7:cf8946>2=>0q~?>0;296~;68h0ih63>0d873>{t99l1<7=t=02a>gb<58:o6994=02f>10<uz<i6=4={<37f?2334;<j7lk;|q5g?6=:r7:8o4;5:?2<5<el2wx:i4?:3y>51e=<=16=9j5be9~w3c=838p1<:l:578942b2kn0q~8i:181\7f873n3>?70?95;`g?xu093:1>v3>4g871>;6>?0ih6s|7383>7}:9?=1895217:9fa=z{>91<7<t=044>13<58<26oj4}r57>5<5s4;=m7:;;<35f?dc3ty<97>52z?22d<3=27::n4md:\7fp33<72;q6=;j5459>53c=jm1v\7f:950;0x940c2=?01<8i:cf8yv1?2909w0?80;67?87093ho7p}89;296~;6?90?963>738a`>{t?h0;6?u2161901=:9>>1ni5rs6`94?4|58=869;4=056>gb<uz=h6=4={<342?2334;<;7lk;|q4`?6=:r7:;;4;5:?23=<el2wx;h4?:3y>52?=<=16=:o5be9~w2`=838p1<96:578941e2kn0q~6?:181\7f870k3>?70?8d;`g?xu?93:1>v3>7b871>;6?l0ih6s|8383>7}:9<:189521439fa=z{191<7<t=073>13<58?96oj4}r:7>5<5s4;>?7:;;<360?dc3ty397>52z?216<3=27:984md:\7fp<3<72;q6=885459>501=jm1v\7f5950;0x94312=?01<;7:cf8yv>?2909w0?:9;67?872i3ho7p}79;296~;6=00?963>5c8a`>{t0h0;6?u214a901=:9<n1ni5rs9`94?4|58?h69;4=07f>gb<uz2h6=4={<36b?2334;=<7lk;|q;`?6=:r7:9k4;5:?224<el2wx4h4?:3y>534=<=16=;=5be9~w=`=838p1<8=:57894032kn0q~7?:181\7f8cb2kn01ho5469~w3>=839p1<<<:54894432=?01<<>:cf8yv?62909w0ki:5589c6=<k1v\7fk?50;0x9``=jm16io4;6:\7fp=7<72;q6=>85469>561=<k1v\7f4=50;0x94252=<01<:<:5`8yv?32909w0?=4;65?875=3>i7p}65;296~;68j0?863>0e8a`>{t1?0;6?u211a900=:99o1ni5rs8594?7|5;2;6oh4}r;;>5<5s4;;?7lk;<331?223ty257>52z?241<el27:<84;4:\7fp=d<72;q6il4md:?fg?223ty2n7>52z?ff?dc34oh69:4}|q1<g<72;qU>5l4=3;96=d<,;=26;84}r0bb?6=:rT95i522881=a=#:>315h5rs3cg>5<5sW82o63=9;0:g>"5?00j86s|2`a94?4|V;3i70<6:3;a?!4013k27p}=ac83>7}Y:0k01?7528c8 71>2k>0q~<na;296~X51016>44=989'62?==01v\7f?o6:181\7f[4>027957<68:&13<<2k2wx>l650;0xZ7?034826?78;%04=?3c3ty9m:4?:3y]6<0<5;31>484$35:>0c<uz8i47>52z\1e0=::009m85+26;91c=z{;h<6=4={_0b0>;5138j86*=78854>{t:k<1<7<t^3c0?84>2;k87)<89;42?xu5j<0;6?uQ2`0897?=:h80(?96:708yv4e<3:1>vP=a09>6<<5i81/>:75629~w7d42909wS<n0:?1=?4f82.9;4494:\7fp6g4=838pR?7i;<0:>7?a3-8<5788;|q1f4<72;qU>4k4=3;96<c<,;=26;64}r0a4?6=:rT958522881=0=#:>31:45rs3c5>5<5sW82863=9;0:0>"5?00=m6s|30c94?4|V:;j70<6:23b?!4013k;7p}<1b83>7}Y;8i01?7530a8 71>2h80q~==b;296~X4:k16>44<2c9'62?=i:1v\7f?j;:181\7f[4d:27957<l2:&13<<f=2wx>i<50;0xZ7e634826?m>;%04=?g13ty9h<4?:3y]6f6<5;31>n>4$35:>d1<uz8o<7>52z\1fc=::009nk5+26;9e==z{;im6=4={_0aa>;5138ii6*=788be>{t:jo1<7<t^3`g?84>2;ho7)<89;ca?xu5km0;6?uQ2ca897?=:ki0(?96:`a8yv4dk3:1>vP=bc9>6<<5jk1/>:75ae9~w7bc2909wS<la:?1=?4di2.9;44ne:\7fp6ae=838pR?m6;<0:>7e>3-8<57oi;|q1`g<72;qU>n64=3;96f><,;=26o>4}r0ge?6=:rT9o:522881g2=#:>31n<5rs3f:>5<5sW8h:63=9;0`2>"5?00i>6s|2e:94?4|V;i>70<6:3a6?!4013h87p}=d683>7}Y:j>01?752b68 71>2k?0q~<k6;296~X5k:16>44=c29'62?=j?1v\7f?j::181\7f[4ei27957<ma:&13<<e?2wx>nl50;0xZ7d>34826?l6;%04=?d?3ty9hk4?:3y]6a`<5;31>ih4$35:>g?<uz8n=7>52z\1a4=::009i<5+26;9fd=zug2::7>52zJ13d=zf1;<6=4={I04e>{i0821<7<tH35b?xh?900;6?uG26c8yk>6i3:1>vF=7`9~j=7e2909wE<8a:\7fm<4e=838pD?9n;|l;5a<72;qC>:o4}o:2a?6=:rB9;l5rn93e>5<5sA8<m6sa83294?4|@;=j7p`72083>7}O:>k0qc6=2;296~N5?h1vb5<<:181\7fM40i2we4?:50;0xL71f3td3>84?:3yK62g<ug29:7>52zJ13d=zf18<6=4={I04e>{i0;21<7<tH35b?xh?:00;6?uG26c8yk>5i3:1>vF=7`9~j=4e2909wE<8a:\7fm<7e=838pD?9n;|l;6a<72;qC>:o4}o:1a?6=:rB9;l5rn90e>5<5sA8<m6sa82294?4|@;=j7p`73083>7}O:>k0qc6<2;296~N5?h1vb5=<:181\7fM40i2we4>:50;0xL71f3td3?84?:3yK62g<ug28:7>52zJ13d=zf19<6=4={I04e>{i0:21<7<tH35b?xh?;00;6?uG26c8yk>4i3:1>vF=7`9~j=5e2909wE<8a:\7fm<6e=838pD?9n;|l;7a<72;qC>:o4}o:0a?6=:rB9;l5rn91e>5<5sA8<m6sa6d294?7|@;=j7p`80883>4}O:>k0qc9?a;295~N5?h1vb:>m:182\7fM40i2we;=m50;3xL71f3td<<i4?:0yK62g<ug=;i7>51zJ13d=zf>:m6=4>{I04e>{i?8:1<7?tH35b?xh0980;6<uG26c8yk16:3:1=vF=7`9~j274290:wE<8a:\7fm342=83;pD?9n;|l450<728qC>:o4}o522?6=9rB9;l5rn634>5<6sA8<m6sa70:94?7|@;=j7p`81883>4}O:>k0qc9>a;295~N5?h1vb:?m:182\7fM40i2we;<m50;3xL71f3td<=i4?:0yK62g<ug=:i7>51zJ13d=zf>;m6=4>{I04e>{i?;:1<7?tH35b?xh0:80;6<uG26c8yk15:3:1=vF=7`9~j244290:wE<8a:\7fm372=83;pD?9n;|l460<728qC>:o4}o512?6=9rB9;l5rn604>5<6sA8<m6sa73:94?7|@;=j7p`82883>4}O:>k0qc9=a;295~N5?h1vb:<m:182\7fM40i2we;?m50;3xL71f3td<>i4?:0yK62g<ug=9i7>51zJ13d=zf>8m6=4>{I04e>{i?::1<7?tH35b?xh0;80;6<uG26c8yk14:3:1=vF=7`9~j254290:wE<8a:\7fm362=83;pD?9n;|l470<728qC>:o4}o502?6=9rB9;l5rn614>5<6sA8<m6sa72:94?7|@;=j7p`83883>4}O:>k0qc9<a;295~N5?h1vb:=m:182\7fM40i2we;>m50;3xL71f3td<?i4?:0yK62g<ug=8i7>51zJ13d=zf>9m6=4>{I04e>{i?=:1<7?tH35b?xh0<80;6<uG26c8yk13:3:1=vF=7`9~j224290:wE<8a:\7fm312=83;pD?9n;|l400<728qC>:o4}o572?6=9rB9;l5rn664>5<6sA8<m6sa75:94?7|@;=j7p`84883>4}O:>k0qc9;a;295~N5?h1vb::m:182\7fM40i2we;9m50;3xL71f3td<8i4?:0yK62g<ug=?i7>51zJ13d=zf>>m6=4>{I04e>{i?<:1<7?tH35b?xh0=80;6<uG26c8yk12:3:1=vF=7`9~j234290:wE<8a:\7fm302=83;pD?9n;|l410<728qC>:o4}o562?6=9rB9;l5rn674>5<6sA8<m6sa74:94?7|@;=j7p`85883>4}O:>k0qc9:a;295~N5?h1vb:;m:182\7fM40i2we;8m50;3xL71f3td<9i4?:0yK62g<ug=>i7>51zJ13d=zf>?m6=4>{I04e>{i??:1<7?tH35b?xh0>80;6<uG26c8yk11:3:1=vF=7`9~j204290:wE<8a:\7fm332=83;pD?9n;|l420<728qC>:o4}o552?6=9rB9;l5rn644>5<6sA8<m6sa77:94?7|@;=j7p`86883>4}O:>k0qc99a;295~N5?h1vb:8m:182\7fM40i2we;;m50;3xL71f3td<:i4?:0yK62g<ug==i7>51zJ13d=zf><m6=4>{I04e>{i?>:1<7?tH35b?xh0?80;6<uG26c8yk10:3:1=vF=7`9~j214290:wE<8a:\7fm322=83;pD?9n;|l430<728qC>:o4}o542?6=9rB9;l5rn654>5<6sA8<m6sa76:94?7|@;=j7p`87883>4}O:>k0qc98a;295~N5?h1vb:9m:182\7fM40i2we;:m50;3xL71f3td<;i4?:0yK62g<ug=<i7>51zJ13d=zf>=m6=4>{I04e>{i?1:1<7?tH35b?xh0080;6<uG26c8yk1?:3:1=vF=7`9~j2>4290:wE<8a:\7fm3=2=83;pD?9n;|l4<0<728qC>:o4}o5;2?6=9rB9;l5rn6:4>5<6sA8<m6sa79:94?7|@;=j7p`88883>4}O:>k0qc97a;295~N5?h1vb:6m:182\7fM40i2we;5m50;3xL71f3td<4i4?:0yK62g<ug=3i7>51zJ13d=zf>2m6=4>{I04e>{i?0:1<7?tH35b?xh0180;6<uG26c8yk1>:3:1=vF=7`9~j2?4290:wE<8a:\7fm3<2=83;pD?9n;|l4=0<728qC>:o4}o5:2?6=9rB9;l5rn6;4>5<6sA8<m6sa78:94?7|@;=j7p`89883>4}O:>k0qc96a;295~N5?h1vb:7m:182\7fM40i2we;4m50;3xL71f3td<5i4?:0yK62g<ug=2i7>51zJ13d=zf>3m6=4>{I04e>{i?h:1<7?tH35b?xh0i80;6<uG26c8yk1f:3:1=vF=7`9~j2g4290:wE<8a:\7fm3d2=83;pD?9n;|l4e0<728qC>:o4}o5b2?6=9rB9;l5rn6c4>5<6sA8<m6sa7`:94?7|@;=j7p`8a883>4}O:>k0qc9na;295~N5?h1vb:om:182\7fM40i2we;lm50;3xL71f3td<mi4?:0yK62g<ug=ji7>51zJ13d=zf>km6=4>{I04e>{i?k:1<7?tH35b?xh0j80;6<uG26c8yk1e:3:1=vF=7`9~j2d4290:wE<8a:\7fm3g2=83;pD?9n;|l4f0<728qC>:o4}o5a2?6=9rB9;l5rn6`4>5<6sA8<m6sa7c:94?7|@;=j7p`8b883>4}O:>k0qc9ma;295~N5?h1vb:lm:182\7fM40i2we;om50;3xL71f3td<ni4?:0yK62g<ug=ii7>51zJ13d=zf>hm6=4>{I04e>{i?j:1<7?tH35b?xh0k80;6<uG26c8yk1d:3:1=vF=7`9~j2e4290:wE<8a:\7fm3f2=83;pD?9n;|l4g0<728qC>:o4}o5`2?6=9rB9;l5rn6a4>5<6sA8<m6sa7b:94?7|@;=j7p`8c883>4}O:>k0qc9la;295~N5?h1vb:mm:182\7fM40i2we;nm50;3xL71f3td<oi4?:0yK62g<ug=hi7>51zJ13d=zf>im6=4>{I04e>{i?m:1<7?tH35b?xh0l80;6<uG26c8yk1c:3:1=vF=7`9~j2b4290:wE<8a:\7fm3a2=83;pD?9n;|l4`0<728qC>:o4}o5g2?6=9rB9;l5rn6f4>5<6sA8<m6sa7e:94?7|@;=j7p`8d883>4}O:>k0qc9ka;295~N5?h1vb:jm:182\7fM40i2we;im50;3xL71f3td<hi4?:0yK62g<ug=oi7>51zJ13d=zf>nm6=4>{I04e>{i?l:1<7?tH35b?xh0m80;6<uG26c8yk1b:3:1=vF=7`9~j2c4290:wE<8a:\7fm3`2=83;pD?9n;|l4a0<728qC>:o4}o5f2?6=9rB9;l5rn6g4>5<6sA8<m6sa7d:94?7|@;=j7p`8e883>4}O:>k0qc9ja;295~N5?h1vb:km:182\7fM40i2we;hm50;3xL71f3td<ii4?:0yK62g<ug=ni7>51zJ13d=zf>om6=4>{I04e>{i?o:1<7?tH35b?xh0n80;6<uG26c8yk1a:3:1=vF=7`9~j2`4290:wE<8a:\7fm3c2=83;pD?9n;|l4b0<728qC>:o4}o5e2?6=9rB9;l5rn6d4>5<6sA8<m6sa7g:94?7|@;=j7p`8f883>4}O:>k0qc9ia;295~N5?h1vb:hm:182\7fM40i2we;km50;3xL71f3td<ji4?:0yK62g<ug=mi7>51zJ13d=zf>lm6=4>{I04e>{i09:1<7?tH35b?xh?880;6<uG26c8yk>7:3:1=vF=7`9~j=64290:wE<8a:\7fm<52=83;pD?9n;|l;40<728qC>:o4}o:32?6=9rB9;l5rn924>5<6sA8<m6sa81:94?7|@;=j7p`70883>4}O:>k0qc6?a;295~N5?h1vb5>m:182\7fM40i2we4=m50;3xL71f3td3<i4?:0yK62g<ug2;i7>51zJ13d=zf1:m6=4>{I04e>{i08:1<7?tH35b?xh?980;6<uG26c8yk>6:3:1=vF=7`9~j=74290:wE<8a:\7fm<42=83;pD?9n;|l;50<728qC>:o4}|\7f~DEE|1>215io66725yEFEs9wKL]ur@A
\ No newline at end of file
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$213\7f4g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0127?56?8=1;4LK;;1;344=6;2;%<<:4148JJUSS2HNO^L2>4;2=51=6=3CE\XZ5AEFQF973294:>6?6:HLSQQ<cag6:47>11592=?IR\Y__6iazt=3;>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0g80?OIX\^1|\7fah_dosp|Ys`{oxd1=50?06?1<NFY__6}|`g^gntq\7fX|axn\7feQnsrgqp95=878>794FNQWW>uthoVof|ywPtipfwmYimnk\7fi1=50?3g?1<NFY__6}|`g^dvhiYs`{oxd1=50?07?1<NFY__6}|`g^dvhiYs`{oxdRo|sdpw86<76;>087GAPTV9twi`Wo\7fg`Rzgrdqk[kc`i}o7?7>11397>JSSX\^1HB^N<283:44<<3E^X][[:EMSF95=87;3794@UURVP?bf|hUhcx`{<283:4d<<3E^X][[:sf\`drfWje~by2<:1<2f>2=G\^[YY4xr^fbpdYdg|d\7f0>4?>g97ri~38ol:=96"1108117<>=1=9>>;;7;527=1I=1=MLO;;7DE21=0;LL?7:;>0594FAC531:975<>;868=567<23;<4<4A368E736<2KJ5;64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE975601JHI\N<01=f>GCL[K7=94?>89B@ATF48>546OKDSC?5;><IMNYM1<18:CG@WG;;720MIJ]A=6=<>GCL[K79364AEFQE90902KOH_O37?:8EABUI52546OKDSC?=;><IMNYN1>19:CG@WD;99427LJKRC>25;?<IMNYN1?=>89B@ATE4895n6OKDS@?51<7601JHI\M<06=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;><IMNYN1818:CG@WD;?720MIJ]B=:=<>GCL[H753:4AG00=>GTQGIT^HI<;CW1<>DR[VCEJB?4C59@41633J>8=l5LARAB@@OIIh1HM^MNDDKMFg=DIZIJHHAZT@`8GDUDIMODYYL=;BG26>EOMJAT@DMJNRG\P\VB:2IB86MCK@68GIME;2IG^45LOLOJPQCC9=1HC_KPGDLFVDRNGGUBBKA8;BPFEQCC92N?7IL=439GM3=CAH6;2:5KI@>24;1<L@K7=<08;EKB8449?2NBM1?<>69GMD:6<7=0HDO314<4?AOF48<5;6JFA=34:2=CAH6:4394DHC?5<813MCJ0<08;EKB8769?2NBM1<>>69GMD:5:7=0HDO322<4?AOF4;>5;6JFA=06:2=CAH69:394DHC?62803MCJ0?617:FJE94>6?1OEL2=>69GMD:48730HDO33083:2=CAH68=384DHC?7;0<L@K78384DHC?1;0<L@K7:384DHC?3;0<L@K74384DHC?=;0<L@H7<394DH@?55803MCI0<?17:FJF9756>1OEO2>3?58@LD;9=4<7IGM<07=3>BNJ5;=2:5KIC>23;1<L@H7=508;EKA84?9>2NBN1?17:FJF9476>1OEO2=1?58@LD;:;4<7IGM<31=3>BNJ58?2:5KIC>11;1<L@H7>;08;EKA8719?2NBN1<7>69GMG:517<0HDL32?58@LD;;9427IGM<2394;1<L@H7?<09;EKA86813MCI0909;EKA80813MCI0;09;EKA82813MCI0509;EKA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;1<L@ZI0=08;EKSF979?2NB\O2=>89GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;1<LFK7=<08;EMB8449?2NDM1?<>69GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;0<LFK78384DNC?1;0<LFK7:384DNC?3;0<LFK74384DNC?=;1<LFKT^HI9;EMA85803MEI0<>17:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;1<LFH7=:08;EMA84>9?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1<LFH7>808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86843LDJ?6KAB59E72733OKM>85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H:4FG560>@A0J>0JKH95:Dbhvc63N90KCJ>;H08M54<A880E?<4I2:8MKOSXV:;46GAIUR\44><AGC_\R>=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451<AGC_S=?8;HLJPZ65?2CEEYQ?369JJLRX8==0ECG[_174?LHN\V:=;6GAIU]332=NF@^T<594IOKW[5?03@DBXR>N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551<AGC_S<?8;HLJPZ75?2CEEYQ>369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651<AGC_S??8;HLJPZ45?2CEEYQ=369JJLRX:==0ECG[_374?LHN\V8=;6GAIU]132=NF@^T>594IOKW[7?03@DBXR<N7:KMMQY5J>1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751<AGC_S>?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN45FNHV\BLJB;2CEZ<<4IOTFVQYDDBUOCLQ]EF31?LHQM[^TOAEPDN@\V@A43@EI>6BF2:NL2>JHIMOO;6B@GHABH1=K]]8?7A[[379OQQ5XD=1GYY:9;MWW0ZJ?3D_GXROAD49NQ]E^=2G^TK;l;LcikwPbzzcdbn5BiomqR`ttafd97C?<;O337>H69=1E=<?<;O317>H6;:1E=9:4N0627>H6=:1E=;=4N050?K7?;2D:5?5A229M655<F;;87C<=3:L176=I:=80B>=4N250?K5?;2D85>5A4108J05<F<:87C;>3:L666=I=<90B87=;O40?K07;2D==>5A6318J3543G<??6@9529M235<F?=87C873:L5=7=I?:1E;==4N630?K15;2D<?>5A7518J2343G==?6@8729M3=5<F>397C6<;O:37>H?9:1E4?=4N910?K>1:2D2j6@M_CWPTLHXX[E[_:5AEUULVN2<FFDN=6A=;NI2?U2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA6=WZL;0];5]AL@22c=UIDUNTYKASHMM[D`<ZHGTIUZJNRKLJZDd3[OJHRYFDUJ\Ef=UMHNT[DJ[H^@5?WC@KLK=7_KHCD@2?V><[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPD0`?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at3f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{13g8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{110g?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at50g?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at61a?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^zlv96998UBB[Q?349V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?5;76;?1^<"i}f/pe+bj7&{n$k\7f}|.ff`*ae(lj%Fob{at^alqkrXpfx7>3?>1278Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_ymq8786::?0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWqey0>0>1358Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,gjsi|V:9;6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\571<]9%l~k }f.eo4+tc'nxx\7f#ikc/f`+ae(kf\7fexR<=7:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX;;n0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^llp5679;o0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^llp56798827X> gsd-vc)`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l563\:$k\7fh!rg-dh5(ul&my\7f~ }suq,vdkXmdz\7fuRhm_h]nq}67899?7X> gsd-vc)`d9$yh"i}sr,qwqu(zhgTi`~{y^da[lYhz9:;<>?982:8Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}0123740?WZ];?55Z0.eqb+ta'nf;"\7fj gsqp*wus{&xjaRkbpu{\bgYnWfx;<=><17:\WR74:2_;#j|i.sd,ci6)zm%l~~}!rrvp+wgjWlg{xtQib^k\kw67899>??5Z0.eqb+ta'nf;"\7fj gsqp*wus{&xjaRkbpu{\bgYnWfx;<=>92208Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}01233<513\:$k\7fh!rg-dh5(ul&my\7f~ }suq,vdkXmdz\7fuRhm_h]lv5678>3T_Z><2:W3+bta&{l$ka>!re-dvvu)zz~x#\7fob_dosp|YajVcTc\7f>?01::63=R8&myj#|i/fn3*wb(o{yx"\7f}{s.pbiZcjx}sTe<j4U1-dvc(un&mg<#|k/scn[wbXmgUb=?=4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov10>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{6368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr>;;1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:47XAG\T<?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;97;:>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:568;9o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv95998;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|5:5=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3f?P6(o{l%~k!hl1,q`*twf}x$ob{at^22a>S7'nxm"\7fh gm2-va)uxg~y#naznu]25`=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\64c<]9%l~k }f.eo4+tc'{zex\7f!lotlw[6453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64949>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1?1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>1:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;;78:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S=<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_002?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[7463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W:9m7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq5:5?k5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7=3=i;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzex\7fQ\7fae]b`a6789Uhu1<13g9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.Ob`aYcaolT|cz}_qcg[dbc89:;Snw33?63?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>2:16<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp949<91^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4:4?<6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~783:?;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzex\7fQ\7fae]b`a6789Usc\7f2>>528Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=0=05=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq868382_;#j|i.sd,ci6)\7f{%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;<78m7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvfl5;5>k5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhn7>3<i;T2,cw`)zo%l`= xr.etwv(`xo$|~}h dhde[uhszVzjh1=12g9V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|lj34?0f?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,`l`aWyd\7f~R~nd^31a>S7'nxm"\7fh gm2-sw)`\7fzy%k}h!wsre+aoanVzex\7fQ\7fae]16`=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\77c<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)caolT|cz}_qcg[1503\:$k\7fh!rg-dh5(pz&m|\7f~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<1?1369V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.fjbcYwf}xT|ljPaef3456;:79<7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=1=72=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\eab789:783<n;T2,cw`)zo%l`= xr.etwv(`xo$|~}h psmd[cskdVc8>6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#}|`g^dvhiYnWd\7fs<=>9349V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.rqkbYa}efTeRczx123245?;<1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&zycjQiumn\mZkrp9:;:<;<389V4*aun'xm#jb?.vp,crut&nzm"z|\7ff.rqkbYa}efTeRczx1232434WZ];?85Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"~}of]eqijXaVg~t=>?605471=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^ov|567>=9886[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#}|`g^dvhiYnWd\7fs<=>97115?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,twi`Wo\7fg`RgPmtz345008VL8:6[?/fpe*w`(oe:%{\7f!hwrq-cu`)\7f{zm#}|`g^dvhiYnWd\7fs<=>971]D77=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^llp5679:90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%{~biPftno[lYig}:;<<?=a:W3+bta&{l$ka>!ws-dsvu)oyl%{\7f~i/scn[wbXmgUb>l5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"|nm^uq[`hXa:30Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%yhR~ats]tvZoi~Vzjh1>1_lcm`6g<]9%l~k }f.eo4+qu'n}x\7f#i\7ff/uqtc)ulVzex\7fQxr^kmrZvfl5:5S`oad01`?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,swYwf}xT|a{|1^rb`Z6Xign;<=>>1348Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.flqqYn9>8=7X> gsd-vc)`d9$|~"ixsr,twqu(k8%ocxzPi4112>S7'nxm"\7fh gm2-sw)`\7fzy%{~z|/b3,`jssW`?>>=5Z0.eqb+ta'nf;"z| gvqp*rus{&ida}?=7:W3+bta&{l$ka>!ws-dsvu)\7fz~x#\7fob_`dvhiYaj;o0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUjjxbc_g`\kw67899:7X> gsd-vc)`d9$|~"ixsr,twqu(zhgTmk{cl^da[jt789::<9=?;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZ`eWfx;<=>>3268Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]bbpjkWohTc\7f>?013;[VQ7;91^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVkmyabPfc]lv5678=28<6[?/fpe*w`(oe:%{\7f!hwrq-svrt'{kfSlhzlm]efZiu89:;;5=?;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZ`eWfx;<=>623:8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXkfgi>l5Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]`khdXN;k0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[B4?3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,vdkXn|fgSklPi378Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXa;>0Y=!hrg,qb*ak8'}y#jy|s/uppv)pzVxxxRj>1e9V4*aun'xm#jb?.vp,vdkX\7f{UnbRg>229V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f??:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov561=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}38>6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv96998UBB[Q?2b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{6:2<?=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz585=<<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4:4:=<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0=0>f:W3+bta&{l$ka>!ws-ttkru'je~by2>>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<3<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>0:4c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[57b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ76m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY59l1^<"i}f/pe+bj7&~x${}`{r.alqkrX;;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><0<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8682??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T??<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18485:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2<>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\477<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a4X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T>??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<P3368Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_omw4566>o1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]7U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY;o7X> gsd-vc)u{}hgg"|k_sqw[duumn8;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo=?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj=1b9V4*aun'xm#\7f}{bmi,vaYu{}Uhc`l>d:W3+bta&{l$~~zmlj-q`Ztt|Vidao?>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1<11e9V4*aun'xm#\7f}{bmi,vaYu{}UyijQ=1e9V4*aun'xm#\7f}{bmi,swYu{}Uj\7f\7fkh219V4*aun'xm#\7f}{bmi,swYu{}Uj\7f\7fkh_e314>S7'nxm"\7fh rrvahn)pzVxxxRo|rde\`77d3\:$k\7fh!rg-qwqdkc&}yS\7f}{_bmnf4b<]9%l~k }f.pppgjl'~xT~~zPcnoa54c<]9%l~k }f.pppgjl'~xT~~zPrde?5;7c3\:$k\7fh!rg-qwqdkc&}yS\7f}{_sgd[4g<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=f>QUA]OTJD\\Tb9TVLRBWDEOIROl;VPJP@YJGMOTNi5XRHVF[ROC\AUJh6Y]IUG\SLBS@VH:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAK=0T^ZPGOF4?]USW_IHn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i\7f}foo33?]bjWDkac\7fXjrrklj46<PmgTAd``rWgqwliik2kgab}{_dosp|d<iegd\7fyQiumn5?ggdc|zo7oolktr\idtlm{90oaek;ecweZeh}g~#<$j4d`vb[firf}":%i5kauc\gjsi|!8"h6jnt`]`kphs :#o7io{a^alqkr;87n0hlzn_bmvjq:66m1omyoPcnwmp949n2njxlQlotlw86<76m1omyoPcnwmp959?2nieyk}r79gmk.7!?1oec&>)69gmk.68 =0hd`'10+4?aoi 88";6jfn)30-2=cag":8$94dhl+50/03mce$<8&7:fjj-70!>1oec&>8(48`lh/: <0hd`'3(48`lh/< <0hd`'5(48`lh/> <0hd`'7(48`lh/0 <0hd`'9(48`lh;87=0hd`311<4?aoi48;5;6jfn=31:2=cag6:?394dhl?51803mce0<;17:fjj9716>1oec2>7?;8`lh;910;2:5kio>2<;0<l`d7=384dhl?6;0<l`d7?384dhl?0;0<l`d79384dhl?2;0<l`d7;384dhl?<;0<l`d75394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,><lf\7f\7f$<9&8:flqq.60 =0hb{{(3+4?air|!9";6j`uu*7-2=cg|~#9$94dnww,3/03me~x%9&7:flqq.?!>1ocxz'9(58`jss49437iazt=33:==cg|~7=<07;emvp975611ocxz312<;?air|5;?255kotv?508?3me~x1?9>99gkpr;9>4j7iazt=3;>58?3me~x1?7>69gkpr;97=0hb{{<3<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{02>`nnfUlick}aumq[s2X:%*Seagax!ALV@&@mgoymya} 02-5+64W`z886hzlm58mkrXkea37cilbtko`==h~lxm`byn;qplcZcjx}s:86~}of]fiur~W}byi~f'0(37?uthoVof|ywPtipfwm.6!8>0|\7fah_dosp|Ys`{oxd%<&159svjaXmdz\7fuRzgrdqk,6/6>2zycjQjmqvz[qnumzb7?7>11c9svjaXmdz\7fuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5\7frne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-5.9m1{~biPelrw}ZrozlycSl}|esv?7?699k1{~biPelrw}ZrozlycSckhaug+4,7e3yxdkRkbpu{\pmtb{aUeijo{e)3*5g=wzfmTi`~{y^vkv`uoWgolmyk'2(3a?uthoVof|ywPtipfwmYimnk\7fi%=&1e9svjaXmdz\7fuRzgrdqk[kc`i}o7?7>19:rqkbYa}ef:?6~}of]eqijX|axn\7fe&?)018twi`Wo\7fg`Rzgrdqk,4/6;2zycjQiumn\pmtb{a"9%<=4psmd[cskdV~c~h}g(2+27>vugnUmyabPtipfwm:76890|\7fah_gwohZrozlyc0<0>3:rqkbYa}efTxe|jsi>1:43<x{elSk{cl^vkv`uo4:0;2<=4psmd[cskdV~c~h}g<2<2e>vugnUmyabPtipfwmYf{zoyx%>&1`9svjaXn|fgSyf}erj\evubz}":%<o4psmd[cskdV~c~h}g_`qpawr/: ;j7}|`g^dvhiYs`{oxdRo|sdpw,6/6k2zycjQiumn\pmtb{aUj\7f~k}t=194;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnk\7fi%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;h7}|`g^dvhiYs`{oxdR`jg`vf86<76?1yhRmck028vaYci}kTob{at)2*55=ulVnjxlQlotlw,4/682xoSio{a^alqkr/: ;;7\7fjPd`vb[firf}"8%<>4re]geqgXkf\7fex1>1139q`Zbf|hUhcx`{<283:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus:;1\7fa}!Pcf-{mioipVlbjbQ>9y35[jdrn'dlc45znegqbiip=2|j`dj9;vp\efm13~xToae>0:uq[agsiVidycz'0(33?rtXlh~jSnaznu*2-46<\7f{UomyoPcnwmp-4.991|~Rjnt`]`kphs :#:>6y}_ecweZeh}g~7?7>15:uq[`h13~xT~~z>6:zjhlh\7fWocmcR?6x04\kgsa;=1seagax^djbjY61q;=Sblzf-djbjY`mgoymya}_w6\6)HHFL&ECCK<b29{mioipVlbjbQ>9y35[jdrn%lbjbQheogqeqiuW\7f>T>!ul_icp[lhsWjf`1="l_icp[vtt|4:'oR|k_gpfu87+kVbj\7fR|k_ecweZeh}g~6<!mPdhl\slbs`4;2 nQgar]tvZbf|hUhcx`{=1.`[cskdVlgmj}Prrv\rdj:9%iTe`~celgmpdrnggU\7fu}k20-a\qvcXmdhnhikk_sgd95*dW~xTj\7fk~=0.`[pubWme~xR||t<2/gZsillxm`byPlnu>4)eX`hyTc{k}fmmt95*dWyxn`bok_mcwake~58&hSiazt^uj`qn:90&hS\7fjPddrwl871$jUcm~Qznegqbiip59&hSeo|_`nnkvrXn|fg1="l_icp[wus58&hSio{a^alqkrX\7f`n\7fd0:#c^jbwZquWhi`1="l_tqf[`ed59&hSnabmnl\giidc4;'oRy}_`ah[iip59&hS\7fjPpovq[roc|a7? nQzsd]escrXlh~jSnaznu?3(fYoizUj``a|t^gntq\7f:8%iT~iQkauc\gjsi|V}bhyf24-a\kscunee|Saax=1.`[rtXxg~ySzgkti?7(fYwzfmTi`~{y^vzt`;7$jU|~Rjnt`]`kphsW~coxe3;,b]sv`jhimUyij}20-a\`jssW{y\7fS{oc=1.`[mgtWmk\7fmRm`uov>5)eXx{elSk{cl^vkv`uoWgolmykPv`n>56*dW~xThh~{h<35(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>7)eXx{elShc\7ftx]wlwct`Vkx\7fh|{_wco97*dWakxS{ocie?3(fYwzfmTjxbc_ujqavnXizyn~yQyam?20)eheyeeiczPn`of9}okagrTjdh`_0;{53Yhj|l'oRxnlhf\hjq:8%iT|\7fgb_gkekZr~xl7>=?v<6-a\twi`Wo\7fg`Rzvpd?0(fYjmdeyuRzvpd?2(x573qcgecvPfhdl[4?\7f9?UdnxhPfhdl[bcim{k\7fc\7fQy4^0\|vrX9tJK|8>=;AB{776=N3>1=v]98;0f=?>=9:8oill521g67~h50h0:7c<7b;68 7>?2;297p]96;0f=?>=9:8oill521g67>U6>m09ih4?:011``ge2;:n985\6781a`<72899hhom:32f13=c;;i1<7?51zQ5<?4b1321=><ke``965c2;2|_=n750;395??fsZ<36?k6:98277bbik09<h;<;%046?71m2\9444={t343?7<}8=36=5r$0`b>74<j:8h6=4<5;1970}O:>:0V8654z3b>f<e28l1q)?le;11g>"50>09io5`31294?=n;8h1<75f33c94?=h:li1<75`2dc94?=n;9>1<7*>bb804==i9kh1<65f31194?"6jj08<55a1c`95>=n;981<7*>bb804==i9kh1>65f31394?"6jj08<55a1c`97>=n:0:1<75f30f94?=h:ji1<7*>bb81``=i9kh1<65`2b`94?"6jj09hh5a1c`95>=h:jk1<7*>bb81``=i9kh1>65`2b;94?"6jj09hh5a1c`97>=h:j21<7*>bb81``=i9kh1865`2b594?"6jj09hh5a1c`91>=h:j<1<7*>bb81``=i9kh1:65`2b794?"6jj09hh5a1c`93>=h:j>1<7*>bb81``=i9kh1465`2e694?"6jj09hh5a1c`9=>=h:m91<7*>bb81``=i9kh1m65`2e094?"6jj09hh5a1c`9f>=h:m;1<7*>bb81``=i9kh1o65`2e294?"6jj09hh5a1c`9`>=h:jl1<7*>bb81``=i9kh1i65`2bg94?"6jj09hh5a1c`9b>=h:jn1<7*>bb81``=i9kh1==54o3a0>5<#9ki1>ik4n0`a>47<3f8h>7>5$0``>7bb3g;in7?=;:k1e0<72-;io7<m7:l2fg<732c9m94?:%3ag?4e?2d:no4>;:k1e6<72-;io7<m7:l2fg<532c9m?4?:%3ag?4e?2d:no4<;:k1e4<72-;io7<m7:l2fg<332c9m=4?:%3ag?4e?2d:no4:;:k1=c<72-;io7<m7:l2fg<132c95h4?:%3ag?4e?2d:no48;:k1=a<72-;io7<m7:l2fg<?32c9mi4?:%3ag?4e?2d:no46;:k1ef<72-;io7<m7:l2fg<f32c9mo4?:%3ag?4e?2d:no4m;:k1ed<72-;io7<m7:l2fg<d32c9m44?:%3ag?4e?2d:no4k;:k1e=<72-;io7<m7:l2fg<b32c9m:4?:%3ag?4e?2d:no4i;:k1e3<72-;io7<m7:l2fg<6821b>4m50;&2ff<5j>1e=ol51098m7?e290/=om52c58j4de28807b<64;29 4dd2;337c?mb;28?j4>;3:1(<ll:3;;?k7ej3;07b<62;29 4dd2;337c?mb;08?j4>93:1(<ll:3;;?k7ej3907o<81;295?6=8rB9;=5+1bg9627<g8h26=44}c321?6=93:1<vF=719'5fc=98?0c<?;:188yg30290=>78k:8cxL7173S?36<8t1682f?472821><4>a;a9f?7d28l1=44>f;02>f<583;36o4>c;3a>4g=900:;7s+1bg977`<,8<j6<?<;%42>64c3-<<6><j;%3a0?7e02e94n4?::k05f<722c9j94?:%3ag?4a=2d:no4?;:k1b6<72-;io7<i5:l2fg<632c9j?4?:%3ag?4a=2d:no4=;:k1b4<72-;io7<i5:l2fg<432e8<=4?::k05c<722e8>?4?::k04a<72-;io7=?e:l2fg<732c8<n4?:%3ag?57m2d:no4>;:k04g<72-;io7=?e:l2fg<532c8<l4?:%3ag?57m2d:no4<;:k05g<722e9ik4?::m1b5<722c94k4?::m05=<72-;io7=>a:l2fg<732e8=:4?:%3ag?56i2d:no4>;:m053<72-;io7=>a:l2fg<532e8=84?:%3ag?56i2d:no4<;:k1b<<72-;io7<ia:l2fg<732c9j54?:%3ag?4ai2d:no4>;:k1b2<72-;io7<ia:l2fg<532c9j;4?:%3ag?4ai2d:no4<;:k06d<722e8>54?:%3ag?5512d:no4?;:m062<72-;io7==9:l2fg<632e8>;4?:%3ag?5512d:no4=;:m060<72-;io7==9:l2fg<432e9in4?::m1ad<722c8<94?:%3ag?5702d:no4?;:k046<72-;io7=?8:l2fg<632c8<?4?:%3ag?5702d:no4=;:k044<72-;io7=?8:l2fg<432c8>94?::k1aa<722c95=4?::k06g<722e8=h4?::m066<722e8><4?::k05a<722e9on4?:%3ag?4cm2d:no4?;:m1gg<72-;io7<ke:l2fg<632e9ol4?:%3ag?4cm2d:no4=;:m1g<<72-;io7<ke:l2fg<432e9o54?:%3ag?4cm2d:no4;;:m1g2<72-;io7<ke:l2fg<232e9o;4?:%3ag?4cm2d:no49;:m1g0<72-;io7<ke:l2fg<032e9o94?:%3ag?4cm2d:no47;:m1`1<72-;io7<ke:l2fg<>32e9h>4?:%3ag?4cm2d:no4n;:m1`7<72-;io7<ke:l2fg<e32e9h<4?:%3ag?4cm2d:no4l;:m1`5<72-;io7<ke:l2fg<c32e9ok4?:%3ag?4cm2d:no4j;:m1g`<72-;io7<ke:l2fg<a32e9oi4?:%3ag?4cm2d:no4>0:9l6f5=83.:nn4=dd9m5gd=9810c?m=:18'5ge=:mo0b<lm:008?l4f=3:1(<ll:3`4?k7ej3:07d<n4;29 4dd2;h<7c?mb;38?l4f;3:1(<ll:3`4?k7ej3807d<n2;29 4dd2;h<7c?mb;18?l4f93:1(<ll:3`4?k7ej3>07d<n0;29 4dd2;h<7c?mb;78?l4>n3:1(<ll:3`4?k7ej3<07d<6e;29 4dd2;h<7c?mb;58?l4>l3:1(<ll:3`4?k7ej3207d<nd;29 4dd2;h<7c?mb;;8?l4fk3:1(<ll:3`4?k7ej3k07d<nb;29 4dd2;h<7c?mb;`8?l4fi3:1(<ll:3`4?k7ej3i07d<n9;29 4dd2;h<7c?mb;f8?l4f03:1(<ll:3`4?k7ej3o07d<n7;29 4dd2;h<7c?mb;d8?l4f>3:1(<ll:3`4?k7ej3;;76g=9b83>!7ek38i;6`>bc825>=n:0h1<7*>bb81f2=i9kh1=?54o3:g>5<<a;2n6=44i231>5<#9ki1?<=4n0`a>5=<a:;:6=4+1ca9745<f8hi6<54i233>5<#9ki1?<=4n0`a>7=<a::m6=4+1ca9745<f8hi6>54o3;7>5<#9ki1>464n0`a>5=<g;386=4+1ca96<><f8hi6<54o3;1>5<#9ki1>464n0`a>7=<g;3:6=4+1ca96<><f8hi6>54i3df>5<#9ki1>kh4n0`a>5=<a;lo6=4+1ca96c`<f8hi6<54i3d`>5<#9ki1>kh4n0`a>7=<a;li6=4+1ca96c`<f8hi6>54o3;b>5<<a:;?6=44b3:6>5<6290;w)?le;321>N50=1C>:>4o037>5<<uk83:7>51;294~"6kl09;<5G2968L7173f;i57>5;|`126<72:0;6=u+1bg955d<@;2?7E<80:J62>"6::0:7d;6:188m3?=831d=ok50;9~f70f29086=4?{%3`a?77j2B9495G2628L00<,8886<5f5883>>o113:17b?me;29?xd5>;0;6>4?:1y'5fc=99h0D?6;;I044>N2>2.:>>4>;h7:>5<<a?31<75`1cg94?=zj;<36=4::183\7f!7dm3;;j6F=859K626<@<<0(<<<:09j1<<722c=<7>5;h4:>5<<a8ho6=44o0`f>5<<uk8=57>53;294~"6kl0:<o5G2968L7173A?=7)?=3;38m0?=831b:44?::m2f`<722wi>;?50;794?6|,8in6<>i;I0;0>N5?91C9;5+13195>o213:17d8?:188m3?=831b=oj50;9l5gc=831vn?88:187>5<7s-;hi7??e:J1<1=O:>:0(<<<:09j1<<722c=57>5;h3a`?6=3f;ii7>5;|`12`<72=0;6=u+1bg955c<@;2?7E<80:&266<63`?26=44i7;94?=n9kn1<75`1cg94?=zj8nm6=4;:183\7f!7dm3;;i6F=859K626<,8886:5f5883>>o113:17d?md;29?j7em3:17pl>e883>1<729q/=nk511g8L7>33A8<<6*>2284?l3>2900e;750;9j5gb=831d=ok50;9~f4`a290>6=4?{%3`a?77l2B9495G2628 444281b944?::k54?6=3`<>6=44i7;94?=h9ko1<75rb323>5<2290;w)?le;33`>N50=1C>:>4$000>4=n=00;66g90;29?l022900e;750;9l5gc=831vn?>>:186>5<7s-;hi7??d:J1<1=O:>:0(<<<:09j1<<722c=<7>5;h46>5<<a?31<75`1cg94?=zj;:96=4::183\7f!7dm3;;h6F=859K626<,8886<5f5883>>o183:17d8::188m3?=831d=ok50;9~f73e290>6=4?{%3`a?77l2B9495G2628 444281b944?::k54?6=3`<>6=44i7;94?=h9ko1<75rb37`>5<2290;w)?le;33`>N50=1C>:>4$000>4=n=00;66g90;29?l022900e;750;9l5gc=831vn?;k:186>5<7s-;hi7??d:J1<1=O:>:0(<<<:09j1<<722c=<7>5;h46>5<<a?31<75`1cg94?=zj;?n6=4::183\7f!7dm3;;h6F=859K626<,8886<5f5883>>o183:17d8::188m3?=831d=ok50;9~f731290>6=4?{%3`a?77l2B9495G2628 444281b944?::k54?6=3`<>6=44i7;94?=h9ko1<75rb376>5<2290;w)?le;33`>N50=1C>:>4$000>4=n=00;66g90;29?l022900e;750;9l5gc=831vn?;;:186>5<7s-;hi7??d:J1<1=O:>:0(<<<:09j1<<722c=<7>5;h46>5<<a?31<75`1cg94?=zj;?86=4::183\7f!7dm3;;j6F=859K626<,8886:5f5883>>o183:17d86:188m4dc2900c<lj:188yg43l3:197>50z&2g`<68m1C>5:4H353?!75;3;0e8750;9j25<722c=97>5;h4:>5<<g8hn6=44}c07f?6==3:1<v*>cd824a=O:1>0D?9?;%317?7<a<31<75f6183>>o1=3:17d86:188k4db2900qo<;c;291?6=8r.:oh4>0g9K6=2<@;=;7)?=3;58m0?=831b:=4?::k5=?6=3`;ih7>5;n3aa?6=3th:j:4?:483>5}#9jo1==h4H3:7?M4082.:>>48;h7:>5<<a?:1<75f6883>>o6jm0;66a>bd83>>{e:=o1<7;50;2x 4eb28:o7E<74:J135=#9;91=6g:9;29?l072900e;;50;9j2<<722e:nh4?::\7fa5a?=83?1<7>t$0af>46c3A8386F=719'575=92c>57>5;h43>5<<a??1<75f6883>>i6jl0;66sm1ec94?3=83:p(<mj:02g?M4?<2B9;=5+13195>o213:17d8?:188m33=831b:44?::m2f`<722wi=il50;794?6|,8in6<>k;I0;0>N5?91/=?=51:k6=?6=3`<;6=44i7794?=n>00;66a>bd83>>{e9mi1<7;50;2x 4eb28:o7E<74:J135=#9;91=6g:9;29?l072900e;;50;9j2<<722e:nh4?::\7fa5cg=83?1<7>t$0af>46c3A8386F=719'575=92c>57>5;h43>5<<a??1<75f6883>>i6jl0;66sm1g:94?3=83:p(<mj:02g?M4?<2B9;=5+13195>o213:17d8?:188m33=831b:44?::m2f`<722wi=k750;794?6|,8in6<>k;I0;0>N5?91/=?=51:k6=?6=3`<;6=44i7794?=n>00;66a>bd83>>{e:::1<7:50;2x 4eb28:n7E<74:J135=#9;91=6g:9;29?l0>2900e<lk:188k4db2900qo<<c;290?6=8r.:oh4>0d9K6=2<@;=;7)?=3;38m0?=831b:44?::k2fa<722e:nh4?::\7fa66d=83>1<7>t$0af>46b3A8386F=719'575=92c>57>5;h4:>5<<a8ho6=44o0`f>5<<uk88h7>54;294~"6kl0:<h5G2968L7173-;9?794i4;94?=n>00;66g>be83>>i6jl0;66sm21g94?5=83:p(<mj:3:0?M4?<2B9;=5f6383>>o6>k0;66a>b683>>{e:9n1<7=50;2x 4eb2;287E<74:J135=n>;0;66g>6c83>>i6j>0;66sm21494?2=83:p(<mj:056?M4?<2B9;=5f6383>>o1j3:17d?l6;29?j7e?3:17pl=0b83>6<729q/=nk52918L7>33A8<<6g92;29?l71j3:17b?m7;29?xd58<0;694?:1y'5fc=9>?0D?6;;I044>o1:3:17d8m:188m4e12900c<l8:188yg47j3:1?7>50z&2g`<50:1C>5:4H353?l052900e<8m:188k4d02900qo<?4;290?6=8r.:oh4>749K6=2<@;=;7d8=:188m3d=831b=n850;9l5g1=831vn?><:187>5<7s-;hi7?85:J1<1=O:>:0e;<50;9j2g<722c:o;4?::m2f2<722wi>?750;194?6|,8in6<9;;I0;0>N5?91b=<950;9j54>=831d=;m50;9~f771290?6=4?{%3`a?77k2B9495G2628 444281b944?::k51?6=3`<26=44o0`f>5<<uk8:97>54;294~"6kl0:<n5G2968L7173-;9?7?4i4;94?=n><0;66g99;29?j7em3:17pl=1583>1<729q/=nk511a8L7>33A8<<6*>2282?l3>2900e;;50;9j2<<722e:nh4?::\7fa645=83>1<7>t$0af>46d3A8386F=719'575=92c>57>5;h46>5<<a?31<75`1cg94?=zj;;i6=4::183\7f!7dm3;;j6F=859K626<,8886<5f5883>>o183:17d86:188m4dc2900c<lj:188yg4503:187>50z&2g`<68j1C>5:4H353?!75;3;0e8750;9j20<722c=57>5;n3aa?6=3th9>:4?:583>5}#9jo1==m4H3:7?M4082.:>>4>;h7:>5<<a??1<75f6883>>i6jl0;66sm27a94?5=83:p(<mj:04e?M4?<2B9;=5+131957=n98=1<75f10:94?=h9k=1<75rb346>5<4290;w)?le;35b>N50=1C>:>4$000>44<a8;<6=44i03;>5<<g8h<6=44}c070?6=;3:1<v*>cd822c=O:1>0D?9?;%317?433`;:;7>5;h32<?6=3f;i;7>5;|`2b5<72:0;6=u+1bg953`<@;2?7E<80:&266<5<2c:=:4?::k25=<722e:n:4?::\7fa613=83>1<7>t$0af>4173A8386F=719'575=:>1b=<950;9j54>=831b=<750;9l5g1=831vn<h>:187>5<7s-;hi7?80:J1<1=O:>:0(<<<:358m4702900e<?7:188m47>2900c<l8:188yg43>3:197>50z&2g`<6?;1C>5:4H353?!75;3837d?>7;29?l7603:17d?>9;29?l76i3:17b?m7;29?xd6n;0;684?:1y'5fc=9>80D?6;;I044>"6::0946g>1683>>o6910;66g>1883>>o69h0;66a>b683>>{e:;<1<7=50;2x 4eb28<m7E<74:J135=#9;91:45f10594?=n9821<75`1c594?=zj8n36=4::183\7f!7dm3;<>6F=859K626<,8886>:4i034>5<<a8;36=44i03:>5<<a8;j6=44o0`4>5<<uk88i7>53;294~"6kl0::k5G2968L7173-;9?7j4i034>5<<a8;36=44o0`4>5<<uk89=7>55;294~"6kl0:;?5G2968L7173-;9?7<m;h323?6=3`;:47>5;h32=?6=3`;:m7>5;n3a3?6=3th9=i4?:483>5}#9jo1=:<4H3:7?M4082.:>>4<3:k252<722c:=54?::k25<<722c:=l4?::m2f2<722wi>?>50;694?6|,8in6<9?;I0;0>N5?91/=?=5229j541=831b=<650;9j54?=831d=o950;9~f4c3290?6=4?{%3`a?7082B9495G2628 4442;o0e<?8:188m47?2900e<?6:188k4d02900qo?j5;291?6=8r.:oh4>739K6=2<@;=;7)?=3;12?l76?3:17d?>8;29?l7613:17d?>a;29?j7e?3:17pl=3283>1<729q/=nk51628L7>33A8<<6*>22806>o69>0;66g>1983>>o6900;66a>b683>>{e::?1<7;50;2x 4eb28=97E<74:J135=#9;91?<5f10594?=n9821<75f10;94?=n98k1<75`1c594?=zj;9=6=4::183\7f!7dm3;<>6F=859K626<,8886>?4i034>5<<a8;36=44i03:>5<<a8;j6=44o0`4>5<<uk89o7>53;294~"6kl0::k5G2968L7173-;9?7<i;h323?6=3`;:47>5;n3a3?6=3th:il4?:283>5}#9jo1=;h4H3:7?M4082.:>>4k;h323?6=3`;:47>5;n3a3?6=3th:i54?:483>5}#9jo1=:<4H3:7?M4082.:>>4>089j541=831b=<650;9j54?=831b=<o50;9l5g1=831vn?<j:186>5<7s-;hi7?82:J1<1=O:>:0(<<<:228m4702900e<?7:188m47>2900e<?n:188k4d02900qo<=b;297?6=8r.:oh4>6g9K6=2<@;=;7)?=3;4:?l76?3:17d?>8;29?j7e?3:17pl=3383>0<729q/=nk51608L7>33A8<<6*>228254=n98=1<75f10:94?=n9831<75f10c94?=h9k=1<75rb0g0>5<4290;w)?le;35b>N50=1C>:>4$000>72<a8;<6=44i03;>5<<g8h<6=44}c3f5?6==3:1<v*>cd8237=O:1>0D?9?;%317?76:2c:=:4?::k25=<722c:=44?::k25d<722e:n:4?::\7fa651=8391<7>t$0af>40a3A8386F=719'575=:o1b=<950;9j54>=831d=o950;9~f76?29086=4?{%3`a?71n2B9495G2628 4442;l0e<?8:188m47?2900c<l8:188yg4713:1?7>50z&2g`<6>o1C>5:4H353?!75;38m7d?>7;29?l7603:17b?m7;29?xd59o0;684?:1y'5fc=9>80D?6;;I044>"6::09o6g>1683>>o6910;66g>1883>>o69h0;66a>b683>>{e:9k1<7=50;2x 4eb28<m7E<74:J135=#9;91>k5f10594?=n9821<75`1c594?=zj8n<6=4::183\7f!7dm3;<>6F=859K626<,8886;o4i034>5<<a8;36=44i03:>5<<a8;j6=44o0`4>5<<uk8887>55;294~"6kl0:;?5G2968L7173-;9?7?>0:k252<722c:=54?::k25<<722c:=l4?::m2f2<722wi=ik50;794?6|,8in6<9=;I0;0>N5?91/=?=5249j541=831b=<650;9j54?=831b=<o50;9l5g1=831vn<j::186>5<7s-;hi7?82:J1<1=O:>:0(<<<:348m4702900e<?7:188m47>2900e<?n:188k4d02900qo?j7;291?6=8r.:oh4>739K6=2<@;=;7)?=3;7a?l76?3:17d?>8;29?l7613:17d?>a;29?j7e?3:17pl>d783>0<729q/=nk51608L7>33A8<<6*>2281e>o69>0;66g>1983>>o6900;66g>1`83>>i6j>0;66sm23094?2=83:p(<mj:055?M4?<2B9;=5f10594?=n9821<75f1b494?=h9k=1<75rb300>5<2290;w)?le;346>N50=1C>:>4$000>0=n98=1<75f10:94?=n9831<75f10c94?=h9k=1<75rb307>5<2290;w)?le;346>N50=1C>:>4$000>46?3`;:;7>5;h32<?6=3`;:57>5;h32e?6=3f;i;7>5;|`17=<72=0;6=u+1bg9520<@;2?7E<80:k252<722c:=54?::k2g3<722e:n:4?::\7fa66?=83?1<7>t$0af>4153A8386F=719'575==h1b=<950;9j54>=831b=<750;9j54g=831d=o950;9~f75f290>6=4?{%3`a?70:2B9495G2628 4442<?0e<?8:188m47?2900e<?6:188m47f2900c<l8:188yg43;3:1>7>50z&2g`<6>>1C>5:4H353?l76>3:17b?m7;29?xd6mo0;6?4?:1y'5fc=9?=0D?6;;I044>o69?0;66a>b683>>{e9m>1<7<50;2x 4eb28<<7E<74:J135=n98<1<75`1c594?=zj;<;6=4l2;294~"6kl0:nk5G2968L7173S?36nu6:`8f>c<6;3;?6<;517824?7628n1=h4rne;94>haj3:0(<8;:39'533=:2.:=o4<;%32g?5<,8;o6>5+10g97>"69o087)?=0;18 4e028:j7)?la;08 4432:1/=?;53:&263<43-;9;7=4$00;>6=#9;31?6*>2`80?!75j390(<<l:29'57b=;2.:>h4<;%31b?5<,89;6>5+12397>"6;;087)?<3;18 4532:1/=>;53:&273<43-;8;7=4$01;>6=#9:31?6*>3`80?!74j390(<=l:29'56b=;2.:?h4<;%30b?5<,8>;6>5+15397>"6<;087)?;3;18 4232:1/=9;53:&203<43-;?;7=4$06;>6=#9=31?6*>4`80?!73j390(<:l:29'51b=;2.:8h4<;%37b?5<,8?;6>5+14397>"6=;087)?:3;18 4332:1/=8;53:&213<43-;>;7=4$07;>6=#9<31?6*>5`80?!72j390(<;l:29'50b=;2.:9h4<;%36b?5<,8<;6>5+17397>"6>;087)?93;18 40128h=7)?98;33e>"6>00:<l5+1b295g0<,8i:6<l9;o3`6?433g;h?7<;;%3`<?7e>2.:><4<;%316?5<,;=n6?9k;%04b?40l2d94=4=4:l1<4<5<2.:oo4=;h7`>5<<a<n1<75f6283>>o1<3:17d??6;29?l7d<3:17d?l5;29?l77?3:17d?lc;29?l7dl3:17b;j:188k0`=831b?o4?:%3ag?5f3g;in7>4;h1:>5<#9ki1?l5a1c`95>=n;10;6)?mc;1b?k7ej3807d=8:18'5ge=;h1e=ol53:9j73<72-;io7=n;o3af?2<3`>?6=4+1ca97d=i9kh1965f4283>!7ek39j7c?mb;48?l25290/=om53`9m5gd=?21b8<4?:%3ag?5f3g;in764;h63>5<#9ki1?l5a1c`9=>=n;o0;6)?mc;1b?k7ej3k07d=j:18'5ge=;h1e=ol5b:9j7a<72-;io7=n;o3af?e<3`9h6=4+1ca97d=i9kh1h65f3483>!7ek39j7c?mb;g8?l2e290/=om54`9m5gd=821b844?:%3ag?2f3g;in7?4;h6;>5<#9ki18l5a1c`96>=n<>0;6)?mc;6b?k7ej3907d:9:18'5ge=<h1e=ol54:9j11<72-;io7:n;o3af?3<3`?86=4+1ca90d=i9kh1:65f5383>!7ek3>j7c?mb;58?l36290/=om54`9m5gd=021b9=4?:%3ag?2f3g;in774;h6e>5<#9ki18l5a1c`9e>=n<l0;6)?mc;6b?k7ej3h07d:k:18'5ge=<h1e=ol5c:9j0f<72-;io7:n;o3af?b<3`>>6=4+1ca90d=i9kh1i65f8483>!7ek32?7c?mb;28?l>4290/=om5859m5gd=921b4<4?:%3ag?>33g;in7<4;h:3>5<#9ki1495a1c`97>=n?o0;6)?mc;:7?k7ej3>07d9j:18'5ge=0=1e=ol55:9j3a<72-;io76;;o3af?0<3`=h6=4+1ca9<1=i9kh1;65f7c83>!7ek32?7c?mb;:8?l1f290/=om5859m5gd=121b;44?:%3ag?>33g;in7o4;h5;>5<#9ki1495a1c`9f>=n??0;6)?mc;:7?k7ej3i07d9::18'5ge=0=1e=ol5d:9j31<72-;io76;;o3af?c<3`=86=4+1ca9<1=i9kh1j65f7383>!7ek32?7c?mb;33?>o093:1(<ll:968j4de28;07d9?:18'5ge=0=1e=ol51398m3`=83.:nn474:l2fg<6;21b:h4?:%3ag?>33g;in7?;;:k5`?6=,8hh65:4n0`a>43<3`2h6=4+1ca9<1=i9kh1=;54i9`94?"6jj0386`>bc823>=n0h0;6)?mc;:7?k7ej3;376g79;29 4dd21>0b<lm:0;8?l>?290/=om5859m5gd=9h10e5950;&2ff<?<2d:no4>b:9j<3<72-;io76;;o3af?7d32c3>7>5$0``>=2<f8hi6<j4;h54>5<#9ki1495a1c`95`=<a?i1<7*>bb8;0>h6jk0:j65fa783>!7ek3k>7c?mb;28?lg3290/=om5a49m5gd=921bm?4?:%3ag?g23g;in7<4;hc2>5<#9ki1m85a1c`97>=ni90;6)?mc;c6?k7ej3>07d7i:18'5ge=i<1e=ol55:9j=`<72-;io7o:;o3af?0<3`3o6=4+1ca9e0=i9kh1;65f9b83>!7ek3k>7c?mb;:8?l?e290/=om5a49m5gd=121b5l4?:%3ag?g23g;in7o4;h;:>5<#9ki1m85a1c`9f>=n1>0;6)?mc;c6?k7ej3i07d79:18'5ge=i<1e=ol5d:9j=0<72-;io7o:;o3af?c<3`3?6=4+1ca9e0=i9kh1j65f9283>!7ek3k>7c?mb;33?>o>:3:1(<ll:`78j4de28;07d7>:18'5ge=i<1e=ol51398m<6=83.:nn4n5:l2fg<6;21b4k4?:%3ag?g23g;in7?;;:k;a?6=,8hh6l;4n0`a>43<3`ko6=4+1ca9e0=i9kh1=;54i`a94?"6jj0j96`>bc823>=nik0;6)?mc;c6?k7ej3;376gna;29 4dd2h?0b<lm:0;8?lg>290/=om5a49m5gd=9h10el650;&2ff<f=2d:no4>b:9je2<72-;io7o:;o3af?7d32cj?7>5$0``>d3<f8hi6<j4;h;;>5<#9ki1m85a1c`95`=<a1n1<7*>bb8b1>h6jk0:j65fb383>!7ek3h:7c?mb;28?ld7290/=om5b09m5gd=921bmk4?:%3ag?d63g;in7<4;hcf>5<#9ki1n<5a1c`97>=nj>0;6)?mc;`5?k7ej3:07dl::18'5ge=j?1e=ol51:9jf1<72-;io7l9;o3af?4<3`h86=4+1ca9f3=i9kh1?65f26594?"6jj09;;5a1c`94>=n:>?1<7*>bb8133=i9kh1=65f26694?"6jj09;;5a1c`96>=n:>91<7*>bb8133=i9kh1?65f26a94?"6jj09;o5a1c`94>=n:>k1<7*>bb813g=i9kh1=65f26;94?"6jj09;o5a1c`96>=n:>21<7*>bb813g=i9kh1?65`d083>!7ek3n;7c?mb;28?jea290/=om5d19m5gd=921doi4?:%3ag?b73g;in7<4;na`>5<#9ki1h=5a1c`97>=hkk0;6)?mc;f3?k7ej3>07bmn:18'5ge=l91e=ol55:9lg<<72-;io7j?;o3af?0<3fi36=4+1ca9`5=i9kh1;65`c683>!7ek3n;7c?mb;:8?je1290/=om5d19m5gd=121do84?:%3ag?b73g;in7o4;na7>5<#9ki1h=5a1c`9f>=hk;0;6)?mc;f3?k7ej3i07bm>:18'5ge=l91e=ol5d:9lg5<72-;io7j?;o3af?c<3fhm6=4+1ca9`5=i9kh1j65`bd83>!7ek3n;7c?mb;33?>iel3:1(<ll:e28j4de28;07bll:18'5ge=l91e=ol51398kgd=83.:nn4k0:l2fg<6;21dnl4?:%3ag?b73g;in7?;;:ma=?6=,8hh6i>4n0`a>43<3fn36=4+1ca9`5=i9kh1=;54oe594?"6jj0o<6`>bc823>=hl?0;6)?mc;f3?k7ej3;376ak5;29 4dd2m:0b<lm:0;8?jb3290/=om5d19m5gd=9h10ci=50;&2ff<c82d:no4>b:9l`7<72-;io7j?;o3af?7d32ehi7>5$0``>a6<f8hi6<j4;na0>5<#9ki1h=5a1c`95`=<gk21<7*>bb8g4>h6jk0:j65`f283>!7ek3l97c?mb;28?j`6290/=om5f39m5gd=921dik4?:%3ag?`53g;in7<4;ngf>5<#9ki1j?5a1c`97>=hmm0;6)?mc;d1?k7ej3>07bkl:18'5ge=n;1e=ol55:9lag<72-;io7h=;o3af?0<3foj6=4+1ca9b7=i9kh1;65`e883>!7ek3l97c?mb;:8?jc?290/=om5f39m5gd=121di:4?:%3ag?`53g;in7o4;ng5>5<#9ki1j?5a1c`9f>=hm=0;6)?mc;d1?k7ej3i07bk<:18'5ge=n;1e=ol5d:9la7<72-;io7h=;o3af?c<3fo:6=4+1ca9b7=i9kh1j65`e183>!7ek3l97c?mb;33?>icn3:1(<ll:g08j4de28;07bjj:18'5ge=n;1e=ol51398kab=83.:nn4i2:l2fg<6;21dhn4?:%3ag?`53g;in7?;;:mgf?6=,8hh6k<4n0`a>43<3flj6=4+1ca9b7=i9kh1=;54og;94?"6jj0m>6`>bc823>=hn10;6)?mc;d1?k7ej3;376ai7;29 4dd2o80b<lm:0;8?j`1290/=om5f39m5gd=9h10ck;50;&2ff<a:2d:no4>b:9lb1<72-;io7h=;o3af?7d32em<7>5$0``>c4<f8hi6<j4;ng6>5<#9ki1j?5a1c`95`=<gmk1<7*>bb8e6>h6jk0:j65`11294?"6jj0mj6`>bc83?>iam3:1(<ll:gd8j4de2810ckj50;&2ff<an2d:no4=;:meg?6=,8hh6kh4n0`a>6=<g8:>6=4+1ca9552<f8hi6=54o020>5<#9ki1==:4n0`a>4=<g8:96=4+1ca9552<f8hi6?54o022>5<#9ki1==:4n0`a>6=<uk89h7>55;294~"6kl0:;>5G2968L7173-;9?7=>;h323?6=3`;:47>5;h32=?6=3`;:m7>5;n35g?6=3th9?:4?:483>5}#9jo1=:=4H3:7?M4082.:>>4;;h323?6=3`;:47>5;h32=?6=3`;:m7>5;n35g?6=3th:i?4?:483>5}#9jo1=:=4H3:7?M4082.:>>4=9:k252<722c:=54?::k25<<722c:=l4?::m22f<722wi><k50;794?6|,8in6<9<;I0;0>N5?91/=?=56:k252<722c:=54?::k25<<722c:=l4?::m22f<722wi=h850;694?6|,8in6<9>;I0;0>N5?91/=?=52e9j541=831b=<650;9j54?=831d=;m50;9~w7?72908<vP=919>635==016>;o5589>634==016>;65589>63?==016>;?5589>631==016>;k5589>5a`==016=h75589>5c`==016>=>5589>657==016>=<5589>60d==016>8m5589>60b==016>8k5589>600==016>8;5589>602==016>8=5589>61b==016>9l5589>61e==016=k95589>61c==016=i75589>5ag==016=il5589>5ae==016=ko5589>5c>==016=k75589>666==016>>m5589>66d==016>>j5589>640==016><;5589>642==016><=5589>64d==016>?65589>671==016>;>5629>636=>=1v\7f>?m:18:\7f[56j27:i94>169>5`g=98=01?<m:034?844<3;:;63>dd825<=:9m?1=<o4=0f5>47>348857?>7:\7fp74?=83<owS<6a:\1<a=Y;;90R><>;_0;g>X49l1U>hh4^201?[4a82T8>55Q3358Z6413W9996P<199]741<V:;=7S=>5:?1<0<69=16>;65689>637=>016>;95689>63c=>016>>j5689>655=9j<01?8?:4a897072<n01?8?:0a7?84183;h963=6182gf=::?:1=nj4=343>6d<5;<;6>74=343>6><5;<;6>94=343>60<5;<;69:4=343>6`<5;<;6>k4=343>6b<5;<;6>m4=343>63<5;<;69l4=343>1?<5;<;6964=343>11<5;<;6984=343>02<5;<;69h4=343>1c<5;<;69j4=343>1e<5;<;69;4=343>=3<5;<;65=4=343>=7<5;<;65>4=343>2d<5;<;6:o4=343>2?<5;<;6:<4=343>27<5;<;6:>4=343>=g<5;<;6574=343>=><5;<;6l84=343>d2<5;<;6l<4=343>d7<5;<;6l>4=343><`<5;<;64k4=343><b<5;<;64m4=343><d<5;<;64o4=343><?<5;<;6494=343><0<5;<;64;4=343><2<5;<;64=4=343><4<5;<;64?4=343><6<5;<;65h4=343>=c<5;<;6lj4=343>de<5;<;6ll4=343>dg<5;<;6l74=343>d><5;<;6l94=343>d5<5;<;6464=343>=b<5;<;6o<4=343>g6<5;<;6lh4=343>dc<5;<;6o94=343>g3<5;<;6o:4=343>g5<5;<;6?9l;<054?40i279:=4=789>636=:>20q~=>d;297~X49m16>;651cf8970628ho7p}=e`83>7}Y:lk01<k6:0`f?xu4:h0;6;uQ33c8975b28;<70<<4;32=>;6ll0:=l521d59541<5;926<?7;|q1af<72;qU>hm4=31`>4db3ty8<=4?:3y]756<5;;i6<lj;|q1=<<72:qU>4:4=0f`>4db34;o47?>7:\7fp6<1=83>pR?7<;<3gf?7em27:h54>199>5a1=98k0q~<66;291~X51;16=io51cg894b028;270?k5;32<>;6l?0:=l5rs3;6>5<1sW82=63>d882f`=:9m=1=<94=0f6>47034;o:7?>8:?2`1<69?1v\7f?ji:181\7f[4dk279:=4jd:\7fp6ab=838pR?mm;<054?cd3ty9hn4?:3y]6fg<5;<;6hl4}r0gf?6=:rT9o4522729ad=z{;nj6=4={_0`<>;5>90n:6s|2e;94?4|V;i<70<90;g7?xu5l10;6?uQ2b4897072l90q~<k7;296~X5k<16>;>5e39~w7b12909wS<l4:?125<b92wx>h650;0xZ7b3348=<7jk;|q1a2<72;qU>i=4=343>ae<uz8n:7>52z\1`7=::?:1ho5rs3g6>5<5sW8o=63=618ee>{t:l>1<7<t^3f3?84183l27p}=e283>7}Y:jl01?8?:g78yv4b:3:1>vP=cd9>636=n=1v\7f?k>:181\7f[4dl279:=4i0:\7fp6`6=838pR?m<;<054?c23ty9h84?:3y]6f4<5;<;6io4}r0a<?6=:rT9m85227293c=z{;h=6=4={_0b0>;5>90<i6s|2c794?4|V;k870<90;5g?xu5j=0;6?uQ2`0897072>i0q~<m3;296~X5i816>;>5799~w7d52909wS<n0:?125<0>2wx>o?50;0xZ7?a348=<79:;|q1f5<72;qU>4k4=343>22<uz8jj7>52z\1=a=::?:1;>5rs3a2>5<5sW8jh63=6185b>{t:j:1<7<t^3c`?84183<n7p}=bg83>7}Y:hh01?8?:7f8yv4em3:1>vP=a`9>636=0j1v\7f?lk:181\7f[4f1279:=47b:\7fp6ge=838pR?o7;<054?>03ty9no4?:3y]6d1<5;<;6584}r0ae?6=:rT9m;522729<7=z{;h26=4={_0:g>;5>90<;6s|2`g94?4|V;3i70<90;4`?xu4800;69uQ3168977c28;<70<=3;32e>;5:=0:=55rs224>5<3sW9;?63=22825<=::;>1=<94=33f>4703ty8<;4?:2y]754<5;8:6<?8;<02b?76?2wx?=;50;1xZ6663489=7?>8:?15c<6911v\7f<96:180\7f84?>3;i563=02856>;5>90:<;5rs341>5<4s48=?786;<056?7em279:<490:\7fp635=838p1?8<:0`f?841=3;:;6s|27;94?5|5;<j6;74=34;>36<5;<26<lj;|q12d<72;q6>;o51cg8970d28;<7p}=6083>6}::?81:45227395gc<5;<>6<?7;|q12=<72:q6>;651cg8970>2?301?8l:03;?xu5>=0;6?u227595gb<5;<>6<l8;|q123<72oq6>;951cg894ba28ho70?j9;3a`>;6no0=963=01851>;5880=963=03851>;6n>0:ni521e;920=:9mk1:8521e`920=:9mi1:8521gc920=:9o21:8521g;920=z{;<i6=4={<05a?7el279:n4>b69~w70c290:mv3=6d82f`=::<h1:85224a920=::<n1:85224g920=::<<1:852247920=::<>1:85224195gb<5;>o6;;4=36a>33<5;>h6<lk;<07a?023488<7?md:?17f<6jm16>>l51cf8975c28ho70<>6;46?846=3<>70<>4;46?846;3<>70<>b;3a`>;5:10=963=26851>;5;:0:=45222c954g<uz;n<7>53z?2`c<1127:i4499:?2a=<6j>1v\7f<ji:18:\7f87cn3;ii63>e`825==:9l21=<94=30a>47?348887?>a:?2``<69116=i;510;894b128;<70<<9;32e>{t9jl1<7ht=0de>36<5;:;6;>4=322>36<5;:96;>4=0d4>36<58lj6;>4=0d;>36<58l26;>4=304>3?<58n36<?6;<3fe?7e?27:h:4>199>66g=98301?8?:024?844?3;:m6s|1g194?1|58lm6;74=0d4>4db34;m<7?>8:?2b4<69016=k<510;894c028;j70?jf;322>{t9oh1<78t=0de>4db348897?>9:?16f<69116>=9510:897072<:01<k=:034?xu6n=0;6;u221292<=:9o21=ok4=0d3>47034;m=7?>8:?2b7<69116=h;51058yv7ak3:1:v3=0182f`=:::<1=<o4=30f>47?348;47?>8:?125<2927:i?4>189~w4`2290>w0<?1;4:?87a13;ii63>f08252=:9o81=<o4=0g7>47?3ty:ji4?:7y>657=9ko01?=9:03;?87b;3;:463=08825==::?:19?5223f954g<uz;m:7>54z?147<1127:jl4>bd9>5c4=98=01<k::03:?xu6nl0;6;u221095gc<5;9>6<?7;<3f5?760279<l4>199>636==:16>?j510:8yv42n3:1=8u224`925=::<i1:=5224f925=::<o1:=52244925=::<?1:=52246925=::<91:=5225f925=::=h1:=5225a925=::=o1:=5223;9541<5;836;74=31f>4d034;n47?>8:?125<5?>16>;>5267897072;=?70<90;047>;5;>0:=45rs36e>5<2s48>n786;<067?7em279<949b:?16f<69>16>=951058yv42?3:18v3=5c82f`=:9l=1=<64=343>16<58o96<?7;|q115<72<q6>8m5689>602=9ko01?>::7`8974b28;<70<?8;323>{t:<21<7:t=37`>4db34;n97?>8:?125<3927:i?4>1`9~w736290>w0<:d;4:?842=3;ii63=0785f>;5800:=:5223f954?<uz8>57>54z?11a<6jl16=h:510;894c428;<70<90;61?xu5=;0;69u224g92<=::<<1=ok4=32b>4703489h7?>7:\7fp60g=83>p1?;j:0`f?87b=3;:m63>e08252=::?:18>5rs36b>5<3s48>:786;<07a?7em2798;4>169>663=98=0q~<;9;291~;5=<0=563=4e82f`=::=?1=<94=365>47>3488:7?>7:\7fp61>=83<p1?;;:7;8972d28hn70<;4;323>;5<<0:=552254954g<5;9=6<?6;|q102<72>q6>8=5689>61d=9ko01?:;:03;?843=3;:563=47825==:::?1=<o4=360>4713ty98<4?:3y>61b=>016>9;51c58yv44n3:1>v3=4c85=>;5<:0:n:5rs363>5<5s48?o786;<070?7e?2wx=hl50;0x94`02?301<ki:0`4?xu5<;0;6?u225g92<=::=<1=o94}r3g`?6==r7:h4490:?2`d<1827:ho490:?2`f<1827:hh4>b69~w4b72909w0?k9;4:?87c<3;i;6s|1e094?4|58nj6;74=0f6>4d03ty:h>4?:3y>5ad=>016=i951c58yv7c93:1>v3>db85=>;6l10:n:5rs0gf>5<5s4;mm786;<3e6?7e?2wx=hm50;0x94`?2?301<h?:0`4?xu6mm0;6?u21g;92<=:9o;1=o94}r005?6=:r79?=499:?177<6j>1v\7f?=?:180\7f84483;ii63=278252=:::91=<94}r00<?6=;r79?n499:?17g<11279?54>b69~w75e290=w0<<b;3aa>;5;l0:=552226954><58nn6<?8;<3f3?761279?54>c79~w75c290>w0<<d;3aa>;5:?0:=5522329541<5;986<?7;<00e?7602wx>=850;0x976b2?801?>9:0`4?xu58h0;6?u221g953d<5;:j6<l8;|q15d<72;q6>=k51c5897712?30q~<?5;297~;58m0=>63=07856>;58<0:n:5rs32:>5<4s48;h7?9b:?143<6k?16>=751c58yv4613:1>v3=0e82f2=::8?1:45rs327>5<4s48;o78=;<031?05348;87?m7:\7fp65>=839p1?>l:04a?847=3;h:63=0982f2=z{;;36=4={<03g?7e?279=9499:\7fp655=839p1?>m:70897632?801?><:0`4?xu58>0;6>u221`953d<5;:?6<m9;<033?7e?2wx><950;0x976e28h<70<>3;4:?xu5:h0;6?u221192g=::;31=;m4}r01=?6=:r79>44>199>67d=9k=0q~<>2;290~;59?0:nh5220f954g<5;886<?8;<010?7612wx><?50;6x977228hn70<=3;32<>;5:=0:=l5220g954><uz8:<7>53z?151<6jl16>??510c8977a28;27p}=0g83>6}::891=ok4=302>47>348:j7?>a:\7fp673=838p1??m:728974128h<7p}=1b83>7}::8h1:45223295g1<uz8947>52z?16=<6jl16><j510;8yv45?3:1>v3=2682f`=::8n1=<64}r3:5?6=:r7:h54>1`9>5a0=9k=0q~<=1;296~;5:80:n:5223095f0<uz8:h7>52z?15a<6j>16><k510c8yv46m3:1>v3=21825==::8o1=;m4}r016?6=:r79>=4>189>674=9k=0q~?j4;296~;6m=0:n:521d49541<uz;n97>52z?2a0<6j>16=h8510;8yv44;3:1>v3=3282f2=:::81=<64}r001?6=:r79?84>b69>661=9820q~<<6;296~;5;?0:n:522259541<uz89o7>52z?16f<6j>16>?k510;8yv7b>3:1>v3>e9825<=:9l<1=;m4}r34b?6=;r7:i54>1`9>5`7=9k=01?=n:034?xu5:m0;6?u223g954g<5;8o6<8l;|q16c<72:q6>?k51c58975528;270<<9;32=>{t9091<7<t=311>470348887?m7:\7fp661=838p1?==:03b?844?3;=o6s|1`094?4|58o86<l8;<3f5?7612wx=5m50;0x94c628;j70?j2;35g>{t9k91<7<t=33e>4d0348:i7?>9:\7fp52e=838p1<k8:0`4?87b>3;:46s|16g94?4|5;896<?8;<017?7e?2wx=5>50;0x974528;370<=4;3a3>{t91;1<7<t=31;>470348857?m7:\7fp5=4=838p1?=7:03;?844i3;i;6srs3;3>5<5sW82<63:7;0:4>"5>o0:4;5rs3`;>5<5sW8j963:7;0b1>"5>o0:5:5rs3`5>5<5sW8j863:7;0b0>"5>o0:m?5rs3`6>5<5sW8j?63:7;0b7>"5>o0:mi5rs3`7>5<5sW8j>63:7;0b6>"5>o0:n>5rs3`0>5<5sW8j=63:7;0b5>"5>o0:;l5rs3`1>5<5sW8j<63:7;0b4>"5>o0:;o5rs3`2>5<5sW82j63:7;0:b>"5>o0:;i5rs3`3>5<5sW82i63:7;0:a>"5>o0:;h5rs3ce>5<5sW82h63:7;0:`>"5>o0:;k5rs3a2>5<5sW8jh63:7;0b`>"5>o0:4<5rs3a3>5<5sW8jo63:7;0bg>"5>o0:4?5rs3`e>5<5sW8jn63:7;0bf>"5>o0:4>5rs3`f>5<5sW8jm63:7;0be>"5>o0:495rs3`g>5<5sW8j563:7;0b=>"5>o0:485rs3``>5<5sW8j463:7;0b<>"5>o0:4:5rs3`a>5<5sW8j;63:7;0b3>"5>o0:455rs3`b>5<5sW8j:63:7;0b2>"5>o0:445rs3`:>5<5sW82o63:7;0:g>"5>o0:4l5rs3cf>5<5sW82n63:7;0:f>"5>o0:4o5rs22:>5<5sW9;863:7;130>"5>o0:4i5rs224>5<5sW9;?63:7;137>"5>o0:4h5rs225>5<5sW9;>63:7;136>"5>o0:4k5rs226>5<5sW9;=63:7;135>"5>o0:5=5rs23a>5<5sW9:n63:7;12f>"5>o0:5?5rs23g>5<5sW9:h63:7;12`>"5>o0:595rs20b>5<5sW99m63:7;11e>"5>o0:585rs3;:>5<5sW82863:7;0:0>"5>o0:5;5rs3;4>5<5sW82?63:7;0:7>"5>o0:555rs3;5>5<5sW82>63:7;0:6>"5>o0:545rs3;6>5<5sW82=63:7;0:5>"5>o0:5l5rs3fe>5<5sW8ho63:7;0`g>"5>o0:5o5rs3fg>5<5sW8hn63:7;0`f>"5>o0:5n5rs3f`>5<5sW8hm63:7;0`e>"5>o0:5i5rs3fa>5<5sW8h563:7;0`=>"5>o0:5h5rs3fb>5<5sW8h463:7;0`<>"5>o0:5k5rs3f:>5<5sW8h;63:7;0`3>"5>o0:m=5rs3f;>5<5sW8h:63:7;0`2>"5>o0:m<5rs3f4>5<5sW8h963:7;0`1>"5>o0:m>5rs3f5>5<5sW8h863:7;0`0>"5>o0:m95rs3g;>5<5sW8o863:7;0g0>"5>o0:m85rs3g4>5<5sW8o?63:7;0g7>"5>o0:m;5rs3g5>5<5sW8o>63:7;0g6>"5>o0:m:5rs3g6>5<5sW8o=63:7;0g5>"5>o0:m55rs3g7>5<5sW8o<63:7;0g4>"5>o0:m45rs3g0>5<5sW8hj63:7;0`b>"5>o0:ml5rs3g1>5<5sW8hi63:7;0`a>"5>o0:mo5rs3g2>5<5sW8hh63:7;0``>"5>o0:mn5rs3g3>5<5sW8h?63:7;0`7>"5>o0:mh5rs3f6>5<5sW8h>63:7;0`6>"5>o0:mk5rs3gb>5<5sW8nm63:7;0fe>"5>o0:n=5rs3g`>5<5sW8no63:7;0fg>"5>o0:n<5rs223>5<5sW9;<63:7;134>"5>o0:n?5r}o607?6=:rB9;=5rn517>5<5sA8<<6sa42794?4|@;=;7p`;3783>7}O:>:0qc:<7;296~N5?91vb9=7:181\7fM4082we8>750;0xL7173td??l4?:3yK626<ug>8n7>52zJ135=zf=9h6=4={I044>{i<:n1<7<tH353?xh3;l0;6?uG2628yk24n3:1>vF=719~j1272909wE<80:\7fm017=838pD?9?;|l707<72;qC>:>4}o677?6=:rB9;=5rn567>5<5sA8<<6sa45794?4|@;=;7p`;4783>7}O:>:0qc:;7;296~N5?91vb9:7:181\7fM4082we89750;0xL7173td?8l4?:3yK626<ug>?n7>52zJ135=zf=>h6=4={I044>{i<=n1<7<tH353?xh3<l0;6?uG2628yk23n3:1>vF=719~j1372909wE<80:\7fm007=838pD?9?;|l717<72;qC>:>4}o667?6=:rB9;=5rn577>5<5sA8<<6sa44794?4|@;=;7p`;5783>7}O:>:0qc::7;296~N5?91vb9;7:181\7fM4082we88750;0xL7173td?9l4?:3yK626<ug>>n7>52zJ135=zf=?h6=4={I044>{i<<n1<7<tH353?xh3=l0;6?uG2628yk22n3:1>vF=719~j1072909wE<80:\7fm037=838pD?9?;|l727<72;qC>:>4}o657?6=:rB9;=5rn547>5<5sA8<<6sa47794?4|@;=;7p`;6783>7}O:>:0qc:97;296~N5?91vb>7m:182\7fM4082we?nh50;3xL7173td8h=4?:0yK626<ug9o=7>51zJ135=zf:n96=4>{I044>{i;m91<7?tH353?xh4l=0;6<uG2628yk5c=3:1=vF=719~j6b1290:wE<80:\7fm7a1=83;pD?9?;|l0`=<728qC>:>4}o1g=?6=9rB9;=5rn2fb>5<6sA8<<6sa3e`94?7|@;=;7p`<db83>4}O:>:0qc=kd;295~N5?91vb>jj:182\7fM4082we?ih50;3xL7173td8i=4?:0yK626<ug9n=7>51zJ135=zf:o96=4>{I044>{i;l91<7?tH353?xh4m=0;6<uG2628yk5b=3:1=vF=719~j6c1290:wE<80:\7fm7`1=83;pD?9?;|l0a=<728qC>:>4}o1f=?6=9rB9;=5rn2gb>5<6sA8<<6sa3d`94?7|@;=;7p`<eb83>4}O:>:0qc=jd;295~N5?91vb>kj:182\7fM4082we?hh50;3xL7173td8j=4?:0yK626<ug9m=7>51zJ135=zf:l96=4>{I044>{i;o91<7?tH353?xh4n=0;6<uG2628yk5a=3:1=vF=719~j6`1290:wE<80:\7fm7c1=83;pD?9?;|l0b=<728qC>:>4}o1e=?6=9rB9;=5rn2db>5<6sA8<<6sa3g`94?7|@;=;7p`<fb83>4}O:>:0qc=id;295~N5?91vb>hj:182\7fM4082we?kh50;3xL7173td?<=4?:0yK626<ug>;=7>51zJ135=zf=:96=4>{I044>{i<991<7?tH353?xh38=0;6<uG2628yk27=3:1=vF=719~j161290:wE<80:\7fm051=83;pD?9?;|l74=<728qC>:>4}o63=?6=9rB9;=5rn52b>5<6sA8<<6sa41`94?7|@;=;7p`;0b83>4}O:>:0qc:?d;295~N5?91vb9>j:182\7fM4082we8=h50;3xL7173td?==4?:0yK626<ug>:=7>51zJ135=zf=;96=4>{I044>{i<891<7?tH353?xh39=0;6<uG2628yk26=3:1=vF=719~j171290:wE<80:\7fm041=83;pD?9?;|l75=<728qC>:>4}o62=?6=9rB9;=5rn53b>5<6sA8<<6sa40`94?7|@;=;7p`;1b83>4}O:>:0qc:>d;295~N5?91vb9?j:182\7fM4082we8<h50;3xL7173td?>=4?:0yK626<ug>9=7>51zJ135=zf=896=4>{I044>{i<;91<7?tH353?xh3:=0;6<uG2628yk25=3:1=vF=719~j141290:wE<80:\7fm071=83;pD?9?;|l76=<728qC>:>4}o61=?6=9rB9;=5rn50b>5<6sA8<<6sa43`94?7|@;=;7p`;2b83>4}O:>:0qc:=d;295~N5?91vb9<j:182\7fM4082we8?h50;3xL7173td??=4?:0yK626<ug>8=7>51zJ135=zf=996=4>{I044>{zutJKOv;?2;0;5g0c>:wKLOu?}ABS\7fxFG
\ No newline at end of file
--- /dev/null
+--------------------------------------------------------------------------------
+-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.
+--------------------------------------------------------------------------------
+-- ____ ____
+-- / /\/ /
+-- /___/ \ / Vendor: Xilinx
+-- \ \ \/ Version: K.39
+-- \ \ Application: netgen
+-- / / Filename: xilinx_fifo_19x16_obuf.vhd
+-- /___/ /\ Timestamp: Wed Oct 19 15:47:43 2011
+-- \ \ / \
+-- \___\/\___\
+--
+-- Command : -intstyle ise -w -sim -ofmt vhdl /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.ngc /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.vhd
+-- Device : 4vlx40ff1148-10
+-- Input file : /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.ngc
+-- Output file : /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.vhd
+-- # of Entities : 1
+-- Design Name : xilinx_fifo_19x16_obuf
+-- Xilinx : /opt/xilinx/ISE10.1/ISE
+--
+-- Purpose:
+-- This VHDL netlist is a verification model and uses simulation
+-- primitives which may not represent the true implementation of the
+-- device, however the netlist is functionally correct and should not
+-- be modified. This file cannot be synthesized and should only be used
+-- with supported simulation tools.
+--
+-- Reference:
+-- Development System Reference Guide, Chapter 23
+-- Synthesis and Simulation Design Guide, Chapter 6
+--
+--------------------------------------------------------------------------------
+
+
+-- synthesis translate_off
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+use UNISIM.VPKG.ALL;
+
+entity xilinx_fifo_19x16_obuf is
+ port (
+ prog_full : out STD_LOGIC;
+ rd_en : in STD_LOGIC := 'X';
+ wr_en : in STD_LOGIC := 'X';
+ full : out STD_LOGIC;
+ empty : out STD_LOGIC;
+ clk : in STD_LOGIC := 'X';
+ rst : in STD_LOGIC := 'X';
+ prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ dout : out STD_LOGIC_VECTOR ( 18 downto 0 );
+ din : in STD_LOGIC_VECTOR ( 18 downto 0 );
+ data_count : out STD_LOGIC_VECTOR ( 3 downto 0 )
+ );
+end xilinx_fifo_19x16_obuf;
+
+architecture STRUCTURE of xilinx_fifo_19x16_obuf is
+ signal BU2_N16 : STD_LOGIC;
+ signal BU2_N15 : STD_LOGIC;
+ signal BU2_N141 : STD_LOGIC;
+ signal BU2_N13 : STD_LOGIC;
+ signal BU2_N111 : STD_LOGIC;
+ signal BU2_N9 : STD_LOGIC;
+ signal BU2_N3 : STD_LOGIC;
+ signal BU2_N7 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092_145 : STD_LOGIC;
+ signal BU2_N51 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53_142 : STD_LOGIC;
+ signal BU2_N14 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_140 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17_139 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078_138 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048_137 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013_136 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062_135 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035_134 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_133 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_132 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138_131 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643_130 : STD_LOGIC;
+ signal BU2_N5 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_128 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_127 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not0001 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand_120 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand1 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count6 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count3 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count9 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count10 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count7 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count4 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count1 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count9 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count3 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count6 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66 : STD_LOGIC;
+ signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000 : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_wr_rst_comb : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_rd_rst_comb : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_wr_rst_asreg_60 : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_rd_rst_asreg_59 : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2_58 : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57 : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2_56 : STD_LOGIC;
+ signal BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55 : STD_LOGIC;
+ signal BU2_N1 : STD_LOGIC;
+ signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
+ signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_31_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_30_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_29_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_28_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_27_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_26_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_25_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_24_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_23_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_22_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_21_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_20_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_19_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_18_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_17_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_16_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_15_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_14_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_13_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_12_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_11_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_10_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_9_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_8_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_7_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_6_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_5_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_4_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_3_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_2_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_1_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_0_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_31_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_30_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_29_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_28_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_23_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_22_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_21_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_15_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_14_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_13_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_7_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_6_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_5_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_3_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_2_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_1_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_0_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_3_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_2_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_1_UNCONNECTED : STD_LOGIC;
+
+ signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_0_UNCONNECTED : STD_LOGIC;
+
+ signal din_2 : STD_LOGIC_VECTOR ( 18 downto 0 );
+ signal prog_full_thresh_3 : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal NlwRenamedSig_OI_data_count : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal dout_4 : STD_LOGIC_VECTOR ( 18 downto 0 );
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad : STD_LOGIC_VECTOR ( 4 downto 1 );
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000 : STD_LOGIC_VECTOR ( 4 downto 1 );
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut : STD_LOGIC_VECTOR ( 4 downto 1 );
+ signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_count : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_count_d2 : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal BU2_U0_grf_rf_gl0_wr_wpntr_count_d1 : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal BU2_U0_grf_rf_gl0_rd_rpntr_count_d1 : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal BU2_U0_grf_rf_gl0_rd_rpntr_count : STD_LOGIC_VECTOR ( 3 downto 0 );
+ signal BU2_U0_grf_rf_rstblk_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 1 );
+ signal BU2_U0_grf_rf_rstblk_rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 2 );
+ signal BU2_rd_data_count : STD_LOGIC_VECTOR ( 0 downto 0 );
+begin
+ prog_full_thresh_3(3) <= prog_full_thresh(3);
+ prog_full_thresh_3(2) <= prog_full_thresh(2);
+ prog_full_thresh_3(1) <= prog_full_thresh(1);
+ prog_full_thresh_3(0) <= prog_full_thresh(0);
+ dout(18) <= dout_4(18);
+ dout(17) <= dout_4(17);
+ dout(16) <= dout_4(16);
+ dout(15) <= dout_4(15);
+ dout(14) <= dout_4(14);
+ dout(13) <= dout_4(13);
+ dout(12) <= dout_4(12);
+ dout(11) <= dout_4(11);
+ dout(10) <= dout_4(10);
+ dout(9) <= dout_4(9);
+ dout(8) <= dout_4(8);
+ dout(7) <= dout_4(7);
+ dout(6) <= dout_4(6);
+ dout(5) <= dout_4(5);
+ dout(4) <= dout_4(4);
+ dout(3) <= dout_4(3);
+ dout(2) <= dout_4(2);
+ dout(1) <= dout_4(1);
+ dout(0) <= dout_4(0);
+ din_2(18) <= din(18);
+ din_2(17) <= din(17);
+ din_2(16) <= din(16);
+ din_2(15) <= din(15);
+ din_2(14) <= din(14);
+ din_2(13) <= din(13);
+ din_2(12) <= din(12);
+ din_2(11) <= din(11);
+ din_2(10) <= din(10);
+ din_2(9) <= din(9);
+ din_2(8) <= din(8);
+ din_2(7) <= din(7);
+ din_2(6) <= din(6);
+ din_2(5) <= din(5);
+ din_2(4) <= din(4);
+ din_2(3) <= din(3);
+ din_2(2) <= din(2);
+ din_2(1) <= din(1);
+ din_2(0) <= din(0);
+ data_count(3) <= NlwRenamedSig_OI_data_count(3);
+ data_count(2) <= NlwRenamedSig_OI_data_count(2);
+ data_count(1) <= NlwRenamedSig_OI_data_count(1);
+ data_count(0) <= NlwRenamedSig_OI_data_count(0);
+ VCC_0 : VCC
+ port map (
+ P => NLW_VCC_P_UNCONNECTED
+ );
+ GND_1 : GND
+ port map (
+ G => NLW_GND_G_UNCONNECTED
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079 : LUT3_L
+ generic map(
+ INIT => X"80"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035_134,
+ I1 => BU2_N111,
+ I2 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062_135,
+ LO => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_140
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153 : LUT4_L
+ generic map(
+ INIT => X"0900"
+ )
+ port map (
+ I0 => prog_full_thresh_3(2),
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3),
+ I2 => BU2_N9,
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138_131,
+ LO => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_132
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or00003168_SW0 : LUT4_L
+ generic map(
+ INIT => X"6FF6"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0),
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0),
+ I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1),
+ I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1),
+ LO => BU2_N3
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092 : LUT4_L
+ generic map(
+ INIT => X"0080"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078_138,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048_137,
+ I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ I3 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ LO => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092_145
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53 : LUT4_L
+ generic map(
+ INIT => X"9009"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3),
+ I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2),
+ I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2),
+ LO => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53_142
+ );
+ BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP : RAMB16
+ generic map(
+ DOA_REG => 0,
+ DOB_REG => 0,
+ INIT_A => X"000000000",
+ INIT_B => X"000000000",
+ INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ SRVAL_A => X"000000000",
+ INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INIT_FILE => "NONE",
+ INVERT_CLK_DOA_REG => FALSE,
+ INVERT_CLK_DOB_REG => FALSE,
+ RAM_EXTENSION_A => "NONE",
+ RAM_EXTENSION_B => "NONE",
+ READ_WIDTH_A => 36,
+ READ_WIDTH_B => 36,
+ SIM_COLLISION_CHECK => "NONE",
+ INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
+ WRITE_MODE_A => "WRITE_FIRST",
+ WRITE_MODE_B => "WRITE_FIRST",
+ WRITE_WIDTH_A => 36,
+ WRITE_WIDTH_B => 36,
+ SRVAL_B => X"000000000"
+ )
+ port map (
+ CASCADEINA => BU2_rd_data_count(0),
+ CASCADEINB => BU2_rd_data_count(0),
+ CLKA => clk,
+ CLKB => clk,
+ ENA => BU2_N1,
+ REGCEA => BU2_rd_data_count(0),
+ REGCEB => BU2_rd_data_count(0),
+ ENB => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ SSRA => BU2_rd_data_count(0),
+ SSRB => BU2_rd_data_count(0),
+ CASCADEOUTA =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTA_UNCONNECTED,
+ CASCADEOUTB =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTB_UNCONNECTED,
+ ADDRA(14) => BU2_rd_data_count(0),
+ ADDRA(13) => BU2_rd_data_count(0),
+ ADDRA(12) => BU2_rd_data_count(0),
+ ADDRA(11) => BU2_rd_data_count(0),
+ ADDRA(10) => BU2_rd_data_count(0),
+ ADDRA(9) => BU2_rd_data_count(0),
+ ADDRA(8) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3),
+ ADDRA(7) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2),
+ ADDRA(6) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1),
+ ADDRA(5) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0),
+ ADDRA(4) => BU2_rd_data_count(0),
+ ADDRA(3) => BU2_rd_data_count(0),
+ ADDRA(2) => BU2_rd_data_count(0),
+ ADDRA(1) => BU2_rd_data_count(0),
+ ADDRA(0) => BU2_rd_data_count(0),
+ ADDRB(14) => BU2_rd_data_count(0),
+ ADDRB(13) => BU2_rd_data_count(0),
+ ADDRB(12) => BU2_rd_data_count(0),
+ ADDRB(11) => BU2_rd_data_count(0),
+ ADDRB(10) => BU2_rd_data_count(0),
+ ADDRB(9) => BU2_rd_data_count(0),
+ ADDRB(8) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3),
+ ADDRB(7) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2),
+ ADDRB(6) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1),
+ ADDRB(5) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0),
+ ADDRB(4) => BU2_rd_data_count(0),
+ ADDRB(3) => BU2_rd_data_count(0),
+ ADDRB(2) => BU2_rd_data_count(0),
+ ADDRB(1) => BU2_rd_data_count(0),
+ ADDRB(0) => BU2_rd_data_count(0),
+ DIA(31) => BU2_rd_data_count(0),
+ DIA(30) => BU2_rd_data_count(0),
+ DIA(29) => BU2_rd_data_count(0),
+ DIA(28) => BU2_rd_data_count(0),
+ DIA(27) => din_2(18),
+ DIA(26) => din_2(17),
+ DIA(25) => din_2(16),
+ DIA(24) => din_2(15),
+ DIA(23) => BU2_rd_data_count(0),
+ DIA(22) => BU2_rd_data_count(0),
+ DIA(21) => BU2_rd_data_count(0),
+ DIA(20) => din_2(14),
+ DIA(19) => din_2(13),
+ DIA(18) => din_2(12),
+ DIA(17) => din_2(11),
+ DIA(16) => din_2(10),
+ DIA(15) => BU2_rd_data_count(0),
+ DIA(14) => BU2_rd_data_count(0),
+ DIA(13) => BU2_rd_data_count(0),
+ DIA(12) => din_2(9),
+ DIA(11) => din_2(8),
+ DIA(10) => din_2(7),
+ DIA(9) => din_2(6),
+ DIA(8) => din_2(5),
+ DIA(7) => BU2_rd_data_count(0),
+ DIA(6) => BU2_rd_data_count(0),
+ DIA(5) => BU2_rd_data_count(0),
+ DIA(4) => din_2(4),
+ DIA(3) => din_2(3),
+ DIA(2) => din_2(2),
+ DIA(1) => din_2(1),
+ DIA(0) => din_2(0),
+ DIB(31) => BU2_rd_data_count(0),
+ DIB(30) => BU2_rd_data_count(0),
+ DIB(29) => BU2_rd_data_count(0),
+ DIB(28) => BU2_rd_data_count(0),
+ DIB(27) => BU2_rd_data_count(0),
+ DIB(26) => BU2_rd_data_count(0),
+ DIB(25) => BU2_rd_data_count(0),
+ DIB(24) => BU2_rd_data_count(0),
+ DIB(23) => BU2_rd_data_count(0),
+ DIB(22) => BU2_rd_data_count(0),
+ DIB(21) => BU2_rd_data_count(0),
+ DIB(20) => BU2_rd_data_count(0),
+ DIB(19) => BU2_rd_data_count(0),
+ DIB(18) => BU2_rd_data_count(0),
+ DIB(17) => BU2_rd_data_count(0),
+ DIB(16) => BU2_rd_data_count(0),
+ DIB(15) => BU2_rd_data_count(0),
+ DIB(14) => BU2_rd_data_count(0),
+ DIB(13) => BU2_rd_data_count(0),
+ DIB(12) => BU2_rd_data_count(0),
+ DIB(11) => BU2_rd_data_count(0),
+ DIB(10) => BU2_rd_data_count(0),
+ DIB(9) => BU2_rd_data_count(0),
+ DIB(8) => BU2_rd_data_count(0),
+ DIB(7) => BU2_rd_data_count(0),
+ DIB(6) => BU2_rd_data_count(0),
+ DIB(5) => BU2_rd_data_count(0),
+ DIB(4) => BU2_rd_data_count(0),
+ DIB(3) => BU2_rd_data_count(0),
+ DIB(2) => BU2_rd_data_count(0),
+ DIB(1) => BU2_rd_data_count(0),
+ DIB(0) => BU2_rd_data_count(0),
+ DIPA(3) => BU2_rd_data_count(0),
+ DIPA(2) => BU2_rd_data_count(0),
+ DIPA(1) => BU2_rd_data_count(0),
+ DIPA(0) => BU2_rd_data_count(0),
+ DIPB(3) => BU2_rd_data_count(0),
+ DIPB(2) => BU2_rd_data_count(0),
+ DIPB(1) => BU2_rd_data_count(0),
+ DIPB(0) => BU2_rd_data_count(0),
+ WEA(3) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ WEA(2) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ WEA(1) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ WEA(0) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ WEB(3) => BU2_rd_data_count(0),
+ WEB(2) => BU2_rd_data_count(0),
+ WEB(1) => BU2_rd_data_count(0),
+ WEB(0) => BU2_rd_data_count(0),
+ DOA(31) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_31_UNCONNECTED,
+ DOA(30) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_30_UNCONNECTED,
+ DOA(29) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_29_UNCONNECTED,
+ DOA(28) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_28_UNCONNECTED,
+ DOA(27) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_27_UNCONNECTED,
+ DOA(26) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_26_UNCONNECTED,
+ DOA(25) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_25_UNCONNECTED,
+ DOA(24) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_24_UNCONNECTED,
+ DOA(23) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_23_UNCONNECTED,
+ DOA(22) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_22_UNCONNECTED,
+ DOA(21) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_21_UNCONNECTED,
+ DOA(20) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_20_UNCONNECTED,
+ DOA(19) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_19_UNCONNECTED,
+ DOA(18) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_18_UNCONNECTED,
+ DOA(17) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_17_UNCONNECTED,
+ DOA(16) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_16_UNCONNECTED,
+ DOA(15) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_15_UNCONNECTED,
+ DOA(14) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_14_UNCONNECTED,
+ DOA(13) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_13_UNCONNECTED,
+ DOA(12) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_12_UNCONNECTED,
+ DOA(11) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_11_UNCONNECTED,
+ DOA(10) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_10_UNCONNECTED,
+ DOA(9) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_9_UNCONNECTED,
+ DOA(8) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_8_UNCONNECTED,
+ DOA(7) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_7_UNCONNECTED,
+ DOA(6) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_6_UNCONNECTED,
+ DOA(5) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_5_UNCONNECTED,
+ DOA(4) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_4_UNCONNECTED,
+ DOA(3) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_3_UNCONNECTED,
+ DOA(2) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_2_UNCONNECTED,
+ DOA(1) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_1_UNCONNECTED,
+ DOA(0) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_0_UNCONNECTED,
+ DOB(31) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_31_UNCONNECTED,
+ DOB(30) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_30_UNCONNECTED,
+ DOB(29) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_29_UNCONNECTED,
+ DOB(28) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_28_UNCONNECTED,
+ DOB(27) => dout_4(18),
+ DOB(26) => dout_4(17),
+ DOB(25) => dout_4(16),
+ DOB(24) => dout_4(15),
+ DOB(23) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_23_UNCONNECTED,
+ DOB(22) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_22_UNCONNECTED,
+ DOB(21) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_21_UNCONNECTED,
+ DOB(20) => dout_4(14),
+ DOB(19) => dout_4(13),
+ DOB(18) => dout_4(12),
+ DOB(17) => dout_4(11),
+ DOB(16) => dout_4(10),
+ DOB(15) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_15_UNCONNECTED,
+ DOB(14) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_14_UNCONNECTED,
+ DOB(13) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_13_UNCONNECTED,
+ DOB(12) => dout_4(9),
+ DOB(11) => dout_4(8),
+ DOB(10) => dout_4(7),
+ DOB(9) => dout_4(6),
+ DOB(8) => dout_4(5),
+ DOB(7) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_7_UNCONNECTED,
+ DOB(6) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_6_UNCONNECTED,
+ DOB(5) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_5_UNCONNECTED,
+ DOB(4) => dout_4(4),
+ DOB(3) => dout_4(3),
+ DOB(2) => dout_4(2),
+ DOB(1) => dout_4(1),
+ DOB(0) => dout_4(0),
+ DOPA(3) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_3_UNCONNECTED,
+ DOPA(2) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_2_UNCONNECTED,
+ DOPA(1) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_1_UNCONNECTED,
+ DOPA(0) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_0_UNCONNECTED,
+ DOPB(3) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_3_UNCONNECTED,
+ DOPB(2) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_2_UNCONNECTED,
+ DOPB(1) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_1_UNCONNECTED,
+ DOPB(0) =>
+NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_0_UNCONNECTED
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_0_11_INV_0 : INV
+ port map (
+ I => NlwRenamedSig_OI_data_count(0),
+ O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count1
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_0_11_INV_0 : INV
+ port map (
+ I => BU2_U0_grf_rf_gl0_rd_rpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_0_11_INV_0 : INV
+ port map (
+ I => BU2_U0_grf_rf_gl0_wr_wpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_G : LUT4
+ generic map(
+ INIT => X"AF23"
+ )
+ port map (
+ I0 => BU2_N14,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106,
+ I2 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ I3 => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ O => BU2_N16
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_F : LUT4
+ generic map(
+ INIT => X"C040"
+ )
+ port map (
+ I0 => rd_en,
+ I1 => wr_en,
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1,
+ I3 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ O => BU2_N15
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb : MUXF5
+ port map (
+ I0 => BU2_N15,
+ I1 => BU2_N16,
+ S => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_G : LUT4
+ generic map(
+ INIT => X"F371"
+ )
+ port map (
+ I0 => prog_full_thresh_3(2),
+ I1 => prog_full_thresh_3(3),
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4),
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3),
+ O => BU2_N141
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_F : LUT4
+ generic map(
+ INIT => X"08AE"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4),
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3),
+ I2 => prog_full_thresh_3(2),
+ I3 => prog_full_thresh_3(3),
+ O => BU2_N13
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670 : MUXF5
+ port map (
+ I0 => BU2_N13,
+ I1 => BU2_N141,
+ S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643_130,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_133
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_2_111 : LUT4
+ generic map(
+ INIT => X"73EF"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ I1 => NlwRenamedSig_OI_data_count(0),
+ I2 => rd_en,
+ I3 => NlwRenamedSig_OI_data_count(1),
+ O => BU2_N5
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_SW0 : LUT4
+ generic map(
+ INIT => X"C431"
+ )
+ port map (
+ I0 => wr_en,
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0),
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105,
+ I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0),
+ O => BU2_N111
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_1_11 : LUT4
+ generic map(
+ INIT => X"6966"
+ )
+ port map (
+ I0 => NlwRenamedSig_OI_data_count(0),
+ I1 => NlwRenamedSig_OI_data_count(1),
+ I2 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ I3 => rd_en,
+ O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count4
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not00011 : LUT4
+ generic map(
+ INIT => X"6530"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105,
+ I1 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ I2 => rd_en,
+ I3 => wr_en,
+ O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000018_SW0 : LUT4
+ generic map(
+ INIT => X"FF75"
+ )
+ port map (
+ I0 => rd_en,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105,
+ I2 => wr_en,
+ I3 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ O => BU2_N51
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_2_12 : LUT4
+ generic map(
+ INIT => X"DB24"
+ )
+ port map (
+ I0 => NlwRenamedSig_OI_data_count(0),
+ I1 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ I2 => NlwRenamedSig_OI_data_count(1),
+ I3 => NlwRenamedSig_OI_data_count(2),
+ O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count7
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_4_Q : LUT2
+ generic map(
+ INIT => X"9"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(4)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_SW0 : LUT4
+ generic map(
+ INIT => X"7BDE"
+ )
+ port map (
+ I0 => prog_full_thresh_3(1),
+ I1 => prog_full_thresh_3(0),
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2),
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1),
+ O => BU2_N9
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_3_Q : LUT2
+ generic map(
+ INIT => X"9"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(3)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_2_Q : LUT2
+ generic map(
+ INIT => X"9"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_1_Q : LUT2
+ generic map(
+ INIT => X"9"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(1)
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or00003168 : LUT4
+ generic map(
+ INIT => X"FFF6"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3),
+ I2 => BU2_N7,
+ I3 => BU2_N3,
+ O => BU2_N14
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or00003168_SW1 : LUT2
+ generic map(
+ INIT => X"6"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2),
+ O => BU2_N7
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000104 : LUT4
+ generic map(
+ INIT => X"FF8C"
+ )
+ port map (
+ I0 => BU2_N51,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013_136,
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1,
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092_145,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_and000011 : LUT2
+ generic map(
+ INIT => X"D"
+ )
+ port map (
+ I0 => rd_en,
+ I1 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand_120
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i55 : LUT4
+ generic map(
+ INIT => X"9000"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1),
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17_139,
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53_142,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000089 : LUT4
+ generic map(
+ INIT => X"FAF2"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ I2 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_140,
+ I3 => BU2_N14,
+ O => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000
+ );
+ BU2_U0_grf_rf_gl0_rd_ram_rd_en_i1 : LUT2
+ generic map(
+ INIT => X"2"
+ )
+ port map (
+ I0 => rd_en,
+ I1 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66,
+ O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17 : LUT2
+ generic map(
+ INIT => X"9"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17_139
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078 : LUT4
+ generic map(
+ INIT => X"9009"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(2),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2),
+ I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1),
+ I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078_138
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048 : LUT4
+ generic map(
+ INIT => X"9009"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(3),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3),
+ I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0),
+ I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048_137
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013 : LUT3
+ generic map(
+ INIT => X"A2"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106,
+ I2 => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013_136
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062 : LUT4
+ generic map(
+ INIT => X"9009"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1),
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1),
+ I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count(3),
+ I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3),
+ O => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062_135
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035 : LUT3
+ generic map(
+ INIT => X"82"
+ )
+ port map (
+ I0 => rd_en,
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(2),
+ I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2),
+ O => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035_134
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006177 : LUT3
+ generic map(
+ INIT => X"54"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_132,
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_133,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138 : LUT4
+ generic map(
+ INIT => X"A251"
+ )
+ port map (
+ I0 => prog_full_thresh_3(3),
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_128,
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_127,
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138_131
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643 : LUT4
+ generic map(
+ INIT => X"7510"
+ )
+ port map (
+ I0 => prog_full_thresh_3(1),
+ I1 => prog_full_thresh_3(0),
+ I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1),
+ I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643_130
+ );
+ BU2_U0_grf_rf_gl0_wr_ram_wr_en_i1 : LUT2
+ generic map(
+ INIT => X"2"
+ )
+ port map (
+ I0 => wr_en,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105,
+ O => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_3_11 : LUT4
+ generic map(
+ INIT => X"AA96"
+ )
+ port map (
+ I0 => NlwRenamedSig_OI_data_count(3),
+ I1 => NlwRenamedSig_OI_data_count(2),
+ I2 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ I3 => BU2_N5,
+ O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count10
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not00011 : LUT2
+ generic map(
+ INIT => X"D"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106,
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not0001
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_3_11 : LUT4
+ generic map(
+ INIT => X"6AAA"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(3),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1),
+ I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0),
+ I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count(2),
+ O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count9
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_3_11 : LUT4
+ generic map(
+ INIT => X"6AAA"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(3),
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0),
+ I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count(2),
+ I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1),
+ O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count9
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_2_11 : LUT3
+ generic map(
+ INIT => X"6A"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(2),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1),
+ I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count6
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_2_11 : LUT3
+ generic map(
+ INIT => X"6A"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(2),
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1),
+ I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count6
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_1_11 : LUT2
+ generic map(
+ INIT => X"6"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1),
+ I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count3
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_1_11 : LUT2
+ generic map(
+ INIT => X"6"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1),
+ I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0),
+ O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count3
+ );
+ BU2_U0_grf_rf_rstblk_rd_rst_comb1 : LUT2
+ generic map(
+ INIT => X"4"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2_56,
+ I1 => BU2_U0_grf_rf_rstblk_rd_rst_asreg_59,
+ O => BU2_U0_grf_rf_rstblk_rd_rst_comb
+ );
+ BU2_U0_grf_rf_rstblk_wr_rst_comb1 : LUT2
+ generic map(
+ INIT => X"4"
+ )
+ port map (
+ I0 => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2_58,
+ I1 => BU2_U0_grf_rf_rstblk_wr_rst_asreg_60,
+ O => BU2_U0_grf_rf_rstblk_wr_rst_comb
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i : FDC
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_128
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i : FDC
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_127
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i : FDPE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not0001,
+ D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006,
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => prog_full
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_1 : FDC
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(1),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_2 : FDC
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(2),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_3 : FDC
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(3),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_4 : FDC
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(4),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand : MULT_AND
+ port map (
+ I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand_120,
+ LO => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand1
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_0_Q : MUXCY
+ port map (
+ CI => BU2_N1,
+ DI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand1,
+ S => BU2_rd_data_count(0),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(0)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_1_Q : MUXCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(0),
+ DI => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0),
+ S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(1),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(1)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_1_Q : XORCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(0),
+ LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(1),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(1)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_2_Q : MUXCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(1),
+ DI => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1),
+ S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(2),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_2_Q : XORCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(1),
+ LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(2),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_3_Q : MUXCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(2),
+ DI => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2),
+ S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(3),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(3)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_3_Q : XORCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(2),
+ LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(3),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(3)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_4_Q : XORCY
+ port map (
+ CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(3),
+ LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(4),
+ O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(4)
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1 : FDP
+ generic map(
+ INIT => '1'
+ )
+ port map (
+ C => clk,
+ D => BU2_rd_data_count(0),
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i : FDP
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104,
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_i : FDP
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104,
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => full
+ );
+ BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb : FDP
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000,
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_2 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count6,
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(2)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_1 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count3,
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(1)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_3 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count9,
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(3)
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_3 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count10,
+ Q => NlwRenamedSig_OI_data_count(3)
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_2 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count7,
+ Q => NlwRenamedSig_OI_data_count(2)
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_1 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count4,
+ Q => NlwRenamedSig_OI_data_count(1)
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_0 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count1,
+ Q => NlwRenamedSig_OI_data_count(0)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_3 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count9,
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(3)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_0 : FDPE
+ generic map(
+ INIT => '1'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count,
+ PRE => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(0)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_1 : FDPE
+ generic map(
+ INIT => '1'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count3,
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(1)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_0 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count,
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(0)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_2 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count6,
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_0 : FDPE
+ generic map(
+ INIT => '1'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count(0),
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_1 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count(1),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_2 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count(2),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_3 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count(3),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_3 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_2 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_1 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1)
+ );
+ BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_0 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001,
+ CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1),
+ D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0),
+ Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_3 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_count(3),
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_2 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_count(2),
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_1 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_count(1),
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1)
+ );
+ BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_0 : FDCE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count,
+ CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ D => BU2_U0_grf_rf_gl0_rd_rpntr_count(0),
+ Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0)
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_i : FDP
+ generic map(
+ INIT => '1'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000,
+ PRE => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ Q => empty
+ );
+ BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i : FDP
+ generic map(
+ INIT => '1'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000,
+ PRE => BU2_U0_grf_rf_rstblk_rd_rst_reg(2),
+ Q => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66
+ );
+ BU2_U0_grf_rf_rstblk_wr_rst_reg_1 : FDP
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_rd_data_count(0),
+ PRE => BU2_U0_grf_rf_rstblk_wr_rst_comb,
+ Q => BU2_U0_grf_rf_rstblk_wr_rst_reg(1)
+ );
+ BU2_U0_grf_rf_rstblk_rd_rst_reg_2 : FDP
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_rd_data_count(0),
+ PRE => BU2_U0_grf_rf_rstblk_rd_rst_comb,
+ Q => BU2_U0_grf_rf_rstblk_rd_rst_reg(2)
+ );
+ BU2_U0_grf_rf_rstblk_rd_rst_asreg : FDPE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55,
+ D => BU2_rd_data_count(0),
+ PRE => rst,
+ Q => BU2_U0_grf_rf_rstblk_rd_rst_asreg_59
+ );
+ BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1 : FD
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_rstblk_wr_rst_asreg_60,
+ Q => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57
+ );
+ BU2_U0_grf_rf_rstblk_wr_rst_asreg : FDPE
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ CE => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57,
+ D => BU2_rd_data_count(0),
+ PRE => rst,
+ Q => BU2_U0_grf_rf_rstblk_wr_rst_asreg_60
+ );
+ BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1 : FD
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_rstblk_rd_rst_asreg_59,
+ Q => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55
+ );
+ BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2 : FD
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57,
+ Q => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2_58
+ );
+ BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2 : FD
+ generic map(
+ INIT => '0'
+ )
+ port map (
+ C => clk,
+ D => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55,
+ Q => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2_56
+ );
+ BU2_XST_VCC : VCC
+ port map (
+ P => BU2_N1
+ );
+ BU2_XST_GND : GND
+ port map (
+ G => BU2_rd_data_count(0)
+ );
+
+end STRUCTURE;
+
+-- synthesis translate_on
--- /dev/null
+##############################################################
+#
+# Xilinx Core Generator version K.39
+# Date: Wed Oct 19 13:47:43 2011
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = False
+SET asysymbol = False
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = False
+SET designentry = VHDL
+SET device = xc4vlx40
+SET devicefamily = virtex4
+SET flowvendor = Other
+SET formalverification = False
+SET foundationsym = False
+SET implementationfiletype = Ngc
+SET package = ff1148
+SET removerpms = False
+SET simulationfiles = Structural
+SET speedgrade = -10
+SET verilogsim = False
+SET vhdlsim = True
+# END Project Options
+# BEGIN Select
+SELECT Fifo_Generator family Xilinx,_Inc. 4.2
+# END Select
+# BEGIN Parameters
+CSET almost_empty_flag=false
+CSET almost_full_flag=false
+CSET component_name=xilinx_fifo_19x16_obuf
+CSET data_count=true
+CSET data_count_width=4
+CSET dout_reset_value=0
+CSET empty_threshold_assert_value=2
+CSET empty_threshold_negate_value=3
+CSET enable_ecc=false
+CSET enable_int_clk=false
+CSET fifo_implementation=Common_Clock_Block_RAM
+CSET full_flags_reset_value=1
+CSET full_threshold_assert_value=14
+CSET full_threshold_negate_value=13
+CSET input_data_width=19
+CSET input_depth=16
+CSET output_data_width=19
+CSET output_depth=16
+CSET overflow_flag=false
+CSET overflow_sense=Active_High
+CSET performance_options=Standard_FIFO
+CSET programmable_empty_type=No_Programmable_Empty_Threshold
+CSET programmable_full_type=Single_Programmable_Full_Threshold_Input_Port
+CSET read_clock_frequency=1
+CSET read_data_count=false
+CSET read_data_count_width=4
+CSET reset_pin=true
+CSET reset_type=Asynchronous_Reset
+CSET underflow_flag=false
+CSET underflow_sense=Active_High
+CSET use_dout_reset=false
+CSET use_embedded_registers=false
+CSET use_extra_logic=false
+CSET valid_flag=false
+CSET valid_sense=Active_High
+CSET write_acknowledge_flag=false
+CSET write_acknowledge_sense=Active_High
+CSET write_clock_frequency=1
+CSET write_data_count=false
+CSET write_data_count_width=4
+# END Parameters
+GENERATE
+# CRC: febfada4
+
--- /dev/null
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$5c7\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1:30?4(79o1:<7GAPTV9twi`Wlg{xtQ{hsgpl9?=878=7<>5IORVP?vugnUna}zv_ujqavnXizyn~y26:1<12>772@D[YY4\7frne\ahvsqV~c~h}g_ogdeqc;13:5=h5>0;KMTPR=x{elSk{cl^vkv`uo400;2?;4118JJUSS2yxdkRhzlm]wlwct`Vkx\7fh|{<883:73<990BB][[:qplcZ`rdeU\7fd\7fk|h^lfcdrb400;2<74118LQQVR\3njxlQlotlw8<<768i0==4@UURVP?tcWmk\7fmRm`uov?=?699j1:<7AZTQWW>rtXlh~jSnaznu>:>586<2;>6D@_UU8B@ATF48>1<3?;;079MKVR\3KOH_L31583:44<910BB][[:ekm841=87;?7<65OTVSQQ<cg|~7=:4?>09157=4:3CE\XZ5DHC?74<76880??4FNQWW>AOE4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87;08<?44;KMTPR=L@ZJ0>4?>0380?OIX\^1HD^M<283:46<<3CE\XZ5WDC?7?69991?6D@_UU8TAG:4294:>6:5OTVSQQ<CGYK7?7>11397>JSSX\^1HB^M<283:c=3~er?<kh>15:.557<>;1=59599847?<671;12:95667;;?DBCZH6;245NDEPB846912KOH_O310<:?DBCZH6:>374AEFQE9746k1JHI\N<0694;?<IMNYM1?;>99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828?3HNO^L27>99B@ATF40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;?<IMNYN1?<>c9B@ATE48>1<374AEFQF973611JHI\M<0<;?DBCZK69255NDEPA868?3HNO^O2;>99B@ATE4<437LJKRC>5:==FLMXI0:07;@FGVG:?611JHI\M<8<:?DU^FJUYIJ=4BT0;?GSTW@DMC<5La:ABWFGCM@DJm6MNSBCGALHEj2IJ_NOKENWWEg=DIZIJHHAZTC08G@4<K@>0OAEN4:AOOG5<KEX27NABMHVWAA1<K[OJXHJ>;E08@L0<L@K7<394DHC?55803MCJ0<?17:FJE9756>1OEL2>3?58@LG;9=4<7IGN<07=3>BNI5;=2:5KI@>23;1<L@K7=508;EKB84?9>2NBM1?17:FJE9476>1OEL2=1?58@LG;:;4<7IGN<31=3>BNI58?2:5KI@>11;1<L@K7>;08;EKB8719?2NBM1<7>69GMD:517<0HDO32?58@LG;;9427IGN<2394;1<L@K7?<09;EKB86813MCJ0909;EKB80813MCJ0;09;EKB82813MCJ0509;EKB8<813MCI0=08;EKA8469?2NBN1?>>69GMG:6:7=0HDL312<4?AOE48>5;6JFB=36:2=CAK6::394DH@?52803MCI0<617:FJF97>6?1OEO2>>69GMG:587=0HDL320<4?AOE4;85;6JFB=00:2=CAK698394DH@?60803MCI0?817:FJF9406>1OEO2=8?58@LD;:04=7IGM<3<4?AOE4::556JFB=12>5803MCI0>?16:FJF959>2NBN1:16:FJF939>2NBN1816:FJF919>2NBN1616:FJF9?9?2NB\L2?>69GMUG;97=0HD^N<3<:?AOWI591<394DHRB86803MC[N1>17:FJTG:66>1OE]L32?;8@LVE4:0;2:5KIQ@?7;0<LFK7<394DNC?55803MEJ0<?17:FLE9756>1OCL2>3?58@JG;9=4<7IAN<07=3>BHI5;=2:5KO@>23;1<LFK7=508;EMB84?9>2NDM1?17:FLE9476>1OCL2=1?58@JG;:;4<7IAN<31=3>BHI58?2:5KO@>11;1<LFK7>;08;EMB8719?2NDM1<7>69GKD:517<0HBO32?58@JG;;9427IAN<2394;1<LFK7?<09;EMB86813MEJ0909;EMB80813MEJ0;09;EMB82813MEJ0509;EMB8<803MEJS_KH6:FLF969?2NDN1??>69GKG:697=0HBL313<4?AIE4895;6J@B=37:2=CGK6:9394DN@?53803MEI0<917:FLF97?6>1OCO2>9?48@JD;97=0HBL321<4?AIE4;;5;6J@B=01:2=CGK69?394DN@?61803MEI0?;17:FLF9416>1OCO2=7?58@JD;:14<7IAM<3;=2>BHJ585;6J@B=13:<=CGK68=7>17:FLF9566?1OCO2<>79GKG:36?1OCO2:>79GKG:16?1OCO28>79GKG:?6?1OCO26>69GKGYUMN=0HB^N<1<4?AIWI5;5;6J@P@>1:<=CGYK7?7>17:FLTD:46>1OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959;2OEM>5JNC68B7@7=2LJ@^K=;GF0?CBD<2LOOH=4FER7?CBWM=1MJ?>:;Gcow`7<O:1LBI<4I108M44<A;80E>64IOKWTZ6702CEEY^P00:8MKOSXV:946GAIUR\46><AGC_\R>;8:KMMQVX8<20ECG[P^25<>OIA]ZT<:74IOKWWQGSM>1BBDZP0158MKOSW9;<7D@FT^213>OIA]U;?:5FNHV\411<AGC_S=;8;HLJPZ61?2CEEYQ?769JJLRX81=0ECG[_1;4?LHN\V:J;6GAIU]3F2=NF@^T<N94IOKW[5B03@DBXR>J7:KMMQY7N>1BBDZP1158MKOSW8;<7D@FT^313>OIA]U:?:5FNHV\511<AGC_S<;8;HLJPZ71?2CEEYQ>769JJLRX91=0ECG[_0;4?LHN\V;J;6GAIU]2F2=NF@^T=N94IOKW[4B03@DBXR?J7:KMMQY6N>1BBDZP2158MKOSW;;<7D@FT^013>OIA]U9?:5FNHV\611<AGC_S?;8;HLJPZ41?2CEEYQ=769JJLRX:1=0ECG[_3;4?LHN\V8J;6GAIU]1F2=NF@^T>N94IOKW[7B03@DBXR<J7:KMMQY5N>1BBDZP3158MKOSW:;<7D@FT^113>OIA]U8?:5FNHV\711<AGC_S>;8;HLJPZ51?2CEEYQ<769JJLRX;1=0ECG[_2;4?LHN\V9J;6GAIU]0F2=NF@^T?N94IOKW[6B03@DBXR=J7:KMMQY4N?1BBDZPA79JJLRXJ01BBDZPFHNF57=NF_OYXRMCK^FLEZTBO880ECXJRU]@HNYCGKUYIJ=4IN@1?IO53EE=7AANDDF4?II@AJKG86BZT068HPR5>2F^X?QC4:NVP60<D\^8SA:4LTV72>JR\=UG96CZXB[`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O30?K77<2D:<9:4N0260>H68?>0B<>84:L24=2<F8:2?6@>159M54633G;:=95A1007?K76;=1E=<:;;O3211=I98<?7C?>759M54>33G;:5>5A1368J447<2D:><:4N0010>H6::>0B<<83:L276=I9=90B<;<;O357>H6?:1E=5=4N0;0?K47;2D9=>5A2318J7553G<97C9=;O:0?K>3;2D39>5A8618J=?53G387C7?f:LA[GSTX@DT\_A_S69MAQQHZB>0BB@J1:M1?JM63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q79QEHD6>o1YM@QJXUGMWLIIWHl0^LCPEYVFJVOHFVHh7_KND^UJ@QNXIj1YILJPWHFWLZD13[OLOHO9;SGDG@D63Z20_LXJD@VB56=TADUHCABFSHMM[FNBKB<0_B[]CD58WWPFDVK<7^\YAM]A0>UTZH>0_^\M4:VZT@553\:$k\7fh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?012\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd\7f~Ril_ymq4566W[oxyaz>339V4*aun'xm#jmw.bnh|*K\7fg{UyhR~ats]dgZ~hz9:;>R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc\7f>?02]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3452XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:>S_k|umv277=R8&myj#|i/fa{*fjlp&Gsc\7fQ}d^rmpwY`kVrd~=>?6^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2342YUmz\7fgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt7892T^h}zlu306>S7'nxm"\7fh gbz-gim\7f'Drd~Ry}_qlwvZadWqey<=>?_Sgpqir6;;1^<"i}f/pe+be\7f&jf`t"Cwos]tvZvi|{UloRv`r1235ZTb{|f\7f=><4U1-dvc(un&mht#mcky-N|jtX\7f{U{by|Pgb]{kw678;UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<==PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0127[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzex\7fQhc^zlv567=VXn\7fxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{\7fQ\7fnup\cfY\7fg{:;<;Q]erwop4553\:$k\7fh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?015\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd\7f~Ril_ymq456?W[oxyaz>209V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn=2=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj979:81^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1=1209V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn=6=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj939:81^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnf5<5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb191209V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn=:=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj9?9:91^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS<<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P2328Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]065=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ2582_;#j|i.sd,cf~)keas#\7fjPpovq[goiW<8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^:14>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_ckm[<413\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\flhXpfx;<=>=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45679;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc\7f>?0004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123671<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<??=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4564:>1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?3305?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789>9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0127570<]9%l~k }f.e`|+ekcq%yhR~ats]amkY\7fg{:;<8<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34536:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?63a8Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678?;:S^Y?2b9V4*aun'xm#jmw.bnh|*tcWyd\7f~Rlfn^zlv567>8;T_Z?=c:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq456198UX[?<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34505:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZdnfVrd~=>?7358Q5)`zo$yj"ilx/aoo})ulVzex\7fQmio]{kw678>;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012;62=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;4<<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2?>328Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc=3=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`878582_;#j|i.sd,cf~)keas#\7fjPpovq[be;;78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6?2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1;1219V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril<7<14>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa?3;473\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cf:?6;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k535=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS=?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ>1g9V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfY29o1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ6279V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_`qqab:76;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi31?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4;49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=1=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6?2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?1;413\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{ol0;0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc919:?1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyij27>348Q5)`zo$yj"ilx/aoo})ulVzex\7fQhc^cpv`a;178>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_106?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W88>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_306?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W:8>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_506?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W<8>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_706?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W>8>7X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_906?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W0827X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3?4;4>3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?31?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7>3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;;7827X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3?0;4>3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?35?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7:3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;?7827X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3?<;4>3\:$k\7fh!rg-dg}(ddbr$~iQ\7fnup\cfYf{{olSi?39?0;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T<?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y6:11^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWhyyijQk1^01<>S7'nxm"\7fh gbz-gim\7f'{nT|cz}_fa\evtboVn:S><7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X<;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]66==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R8=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W>837X> gsd-vc)`kq$h`fv re]sjqtXojUj\7f\7fkh_e3\<7><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q6249V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_ymq4567:<1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWqey<=>>249V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_ymq4565:<1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWqey<=><249V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_ymq4563:<1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWqey<=>:249V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_ymq4561:<1^<"i}f/pe+be\7f&jf`t"|k_qlwvZadWqey<=>8249V4*aun'xm#jmw.bnh|*tcWyd\7f~Ril_ymq456?:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf5:5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1?1209V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn=0=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj959:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf5>5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1;1209V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn=4=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj919:81^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnf525><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb171219V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^214>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[4473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhX:;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU8>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR:=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_403?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pbhl\276<]9%l~k }f.e`|+ekcq%|~R~ats]amkY0:91^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfV29<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS4<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34565?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>?1348Q5)`zo$yj"ilx/aoo})pzVzex\7fQmio]{kw67888<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?013263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;>?94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234775>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=><269V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv567;;8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01613>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[}iu89:?=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2340403\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhXpfx;<=;>279V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv567>;i0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?0732[VQ7:j1^<"i}f/pe+be\7f&jf`t"y}_qlwvZdnfVrd~=>?603\WR75k2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>910]PS7403\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\flhXpfx;<=8=279V4*aun'xm#jmw.bnh|*quWyd\7f~Rlfn^zlv567?;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc\7f>?06312>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_ckm[}iu89:3>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123<4473\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cf:76;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg939:91^<"i}f/pe+be\7f&jf`t"y}_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7;3<?;T2,cw`)zo%lou lljz,swYwf}xTkn27>328Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc=;=5c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[57a3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfY69o1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadW;;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU8=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS9?i;T2,cw`)zo%lou lljz,swYwf}xTknQ:1g9V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_73e?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]45c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[=7a3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfY>:?1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWhyyij2?>348Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh<3<12>S7'nxm"\7fh gbz-gim\7f'~xT|cz}_fa\evtbo595>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>7:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm793<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8385>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk191279V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqab:?6;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi39?06?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`W98>7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_006?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`W;8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_206?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`W=8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_406?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`W?8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_606?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`W18>7X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_80:?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;7<3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;97827X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_e3?6;4>3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?33?0:?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;783<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;=7827X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_e3?2;4>3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?37?0:?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;743<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;17837X> gsd-vc)`kq$h`fv ws]sjqtXojUj\7f\7fkh_e3\47><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q>299V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_`qqabYc9V8946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[64?3\:$k\7fh!rg-dg}(ddbr${\7fQ\7fnup\cfYf{{olSi?P43:8Q5)`zo$yj"ilx/aoo})pzVzex\7fQhc^cpv`aXl8U>>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z0502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_60;?P6(o{l%~k!hcy,`hn~(\7f{U{by|Pgb]bwwc`Wm;T4?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y>:<1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWqey<=>?249V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_ymq4566:<1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWqey<=>=249V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_ymq4564:<1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWqey<=>;249V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_ymq4562:<1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWqey<=>9249V4*aun'xm#jmw.bnh|*quWyd\7f~Ril_ymq4560:<1^<"i}f/pe+be\7f&jf`t"y}_qlwvZadWqey<=>7289V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/bcqv|hb|5:5>45Z0.eqb+ta'nf;"\7fj gscp*wus{&i;#no}rxlfp979:01^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'jky~t`jt=0=6<=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+fguzpdnx1=12g9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+bkrp'mfW?S!glq-iv4a3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1>1229V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/w3?5;443\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)q9585>>5Z0.eqb+ta'nf;"\7fj gscp*wus{&i;#{?33?00?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-u5929:k1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e7'\7f;T<Road123444e3\:$k\7fh!rg-dh5(ul&mym~ }suq,g5)q9V;Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a3+s7X:Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$y\7fy} c1-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m?/w3\0Zgil9:;<<<6;T2,cw`)zo%l`= }d.eqev(u{}y$o<!laspzj`r;87827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm\7f|vndv?5;4>3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz32?0:?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-`ewt~fl~7?3<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&{n$k\7fo|.sqww*e6'\7f;7<3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=3=66=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+s7;:7887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1=1229V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/w3?0;4e3\:$k\7fh!rg-dh5(ul&mym~ }suq,g4)q9V:Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"\7f}{s.a2+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$y\7fy} c0-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y\7f\7f"m>/w3\7Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^6\ekb789::>=5Z0.eqb+ta'nf;"\7fj gscp*wus{&ida}>=0:W3+bta&{l$ka>!re-dvdu)zz~x#nabp00:?P6(o{l%~k!hl1,q`*auiz$y\7fy} r`o\ahvsqVliSd=?;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?0238Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTeRa}0123570<]9%l~k }f.eo4+tc'nxj\7f#||tr-qehYbey~rSd<9;T2,cw`)zo%l`= }d.eqlc(u`o%ym`Qyamkg[a75m2_;#j|i.sd,ci6)zm%l~eh!rid,vdkX~hfbhRj>_`lg4567:o1^<"i}f/pe+bj7&{n$k\7ffi.sje+wgjW\7fkgeiQk1^cm`56788;o7X> gsd-vc)`d9$yh"|nm^pg[`hXa8887X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq75<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex?<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw772<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu710>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr?:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by7=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4949o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az858Xz}827X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?5;4d3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw31?]qp7?<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot2=>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp692R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq595>n5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}959W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8185k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<5<\vq4>3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSnw35?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs793Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6=2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:16Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}919:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=5=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<9<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir050Pru0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0=0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5;5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:56;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?7;4f3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<5<1e>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vrd~1;12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqY\7fg{6=2?o4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\|jt;?78j7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_ymq8=85i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRv`r=;=6a=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Usc\7f26>^pw5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?4;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9799o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;:7;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|595=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~783?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1;11g9V4*aun'xm#jb?.sf,vuhsz&idycz36?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=5=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?<;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9?99l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX88o0Y=!hrg,qb*ak8'xo#\7f~ats-`kphsW8;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V8:i6[?/fpe*w`(oe:%~i!}povq+firf}U8=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T8<k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS8?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR8>e:W3+bta&{l$ka>!re-qtkru'je~byQ81d9V4*aun'xm#jb?.sf,vuhsz&idyczP80g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_801?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>5:74<]9%l~k }f.eo4+tc'{zex\7f!lotlw[a7;?7897X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:050=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=;=64=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y7:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U:><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q=209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]064=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y3:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U>><5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<Q9209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]464=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4Y?:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U2>45Z0.eqb+ta'nf;"z| gvcp*rus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&~x$kzo|.vqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= xr.etev(p{}y$o<!hmtz-ch]6U'mf\7f#c|2g9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW9S!glq-iv443\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g4)q95:5>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?31?00?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-u5949::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'\7f;7?3<<;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1=6=6g=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|\7fy} c0-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m>/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1^1\ekb789::>o5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?P4^cm`56788827X> gsd-vc)`d9$|~"ixar,twqu(k;%hm\7f|vndv?4;4>3\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz31?0:?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-`ewt~fl~7>3<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf\7f#c|2g9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;87887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1?1229V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m=/w3?6;443\:$k\7fh!rg-dh5(pz&m|m~ xsuq,g7)q9595>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?34?0a?P6(o{l%~k!hl1,tv*apiz$|\7fy} c3-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"m=/w3\5Zgil9:;<<<m;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1^0\ekb789::>o5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?P3^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=R:Paof34566:91^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;9<6[?/fpe*w`(oe:%{\7f!hw`q-svrt'jef|?<7;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[cdXa;?0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi3a8Q5)`zo$yj"ic0/uq+bqf{'}xx~!}al]eqijXaVey<=>?2e9V4*aun'xm#jb?.vp,crgt&~y\7f\7f"|nm^dvhiYnWfx;<=>>1e9V4*aun'xm#jb?.vp,vdkX\7f{UnbRg>1g9V4*aun'xm#jb?.vp,vdkX\7f{UnbRg>_000?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by?=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp7433\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7f??:4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov761=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}?986[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at707?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz7259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq?512_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRmv<1<1g>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0=0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;97Uyx?74U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\g|:56;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>1:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;=78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5=5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4149o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az8=8Xz}8j7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_ymq8585i2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fexRv`r=3=6d=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Usc\7f2=>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4=49m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv939:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>5:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|37?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz535>i5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:>6Vx\7f=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7<3?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1?11g9V4*aun'xm#jb?.vp,suhsz&idycz32?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=1=5c=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov?0;7a3\:$k\7fh!rg-dh5(pz&}{by| cnwmp9399o1^<"i}f/pe+bj7&~x${}`{r.alqkr;>7;m7X> gsd-vc)`d9$|~"y\7fnup,gjsi|5=5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~743?i;T2,cw`)zo%l`= xr.usjqt(kf\7fex1711d9V4*aun'xm#jb?.vp,suhsz&idyczP00g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_03f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^02a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]05`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\04c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[07b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ06m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY09l1^<"i}f/pe+bj7&~x${}`{r.alqkrX08o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW0897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=3=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><5<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g5939:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86=2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?37?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28=85:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc9535><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q?209V4*aun'xm#jb?.vp,suhsz&idyczPd0]264=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y5:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U8><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q;209V4*aun'xm#jb?.vp,suhsz&idyczPd0]664=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4Y1:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U<><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q7209V4*aun'xm#jb?.vp,suhsz&idyczPd0]:67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`7:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87=3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=<3<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g6959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6?2?<4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi<35?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18385:2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqYc:5=5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?27>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?=;463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb5W98:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9S<<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_302?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[6463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb5W=8:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9S8<>;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj=_702?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[2463\:$k\7fh!rg-dh5(pz&}{by| cnwmpZb5W18:7X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn9S48i;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W=S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_129V4*aun'xm#\7fob_sf\ak743\:$k\7fh!rg-qehYpzVoe=i5Z0.eqb+ta'{y\7fnae re]qwqYf{{ol>=5Z0.eqb+ta'{y\7fnae re]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*tcW{y\7fSnabb0f8Q5)`zo$yj"||tcnh+wbXzz~Tobcm10g8Q5)`zo$yj"||tcnh+wbXzz~T~hi31?3f?P6(o{l%~k!}su`oo*tcW{y\7fS\7fkh<3<2`>S7'nxm"\7fh rrvahn)ulVxxxR|jg^32`>S7'nxm"\7fh rrvahn)ulVxxxR|jg^02`>S7'nxm"\7fh rrvahn)pzVxxxRo|rde14>S7'nxm"\7fh rrvahn)pzVxxxRo|rde\`4473\:$k\7fh!rg-qwqdkc&}yS\7f}{_`qqabYc:8i0Y=!hrg,qb*tt|kf`#z|Prrv\gjke9m1^<"i}f/pe+wusjea${\7fQ}su]`khd69l1^<"i}f/pe+wusjea${\7fQ}su]qab:768o0Y=!hrg,qb*tt|kf`#z|Prrv\v`a;97;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W9;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W8k0Y^K]_@NJEVe<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WD@?4;0<_LH7=384WD@?6;><_LH7?7>16:UFF959j2]YEYKPFHPPPf=PZ@^NS@AKE^C`?RTN\LUFCIKPBe9TVLRBW^COXEQNd:UQMQCX_@N_DRL>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG:;YMQG\0<PZ^GEO94XRV\CKB03QY_S[MLb:Z\GJTBW@DMC<>4X^ALV@YNFOET@D][6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`l;`nnkvrXmdz\7fuo5nllmppZ`rde<0nlmduqf8fdel}yUfm\7fejr29`hnb<lh~jSnaznu*3-a=ci}kTob{at)3*`>bf|hUhcx`{(3+g?agsiVidycz'3(f8`drfWje~by&;)e9geqgXkf\7fex%;&d:fbpdYdg|d\7f$;'k;ecweZeh}g~#;$j4d`vb[firf}"3%i5kauc\gjsi|!3"j6jnt`]`kphs400;2:5kbhvfvw0<l`d#<$84dhl+5,1<l`d#=='8;ekm,47.?2nbb%?=)69gmk.6; =0hd`'15+4?aoi 8?";6jfn)35-2=cag":;$84dhl+6,0<l`d#?$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:<=cag6:;7>17:fjj9706?1oec2>>79gmk:56?1oec2<>79gmk:36?1oec2:>79gmk:16?1oec28>79gmk:?6?1oec26>69gkpr/8 =0hb{{(0+;?air|!;;%55kotv+54/?3me~x%?=)99gkpr/9:#37iazt)37-==cg|~#=8'7;emvp-71!11ocxz'16+4?air|!8";6j`uu*0-2=cg|~#8$94dnww,0/03me~x%8&7:flqq.0!>1ocxz'8(58`jss 0#<7iazt=2=<>bh}}6:<364dnww847902ndyy2>2?:8`jss489546j`uu>20;><lf\7f\7f0<;18:flqq:6>7k0hb{{<0594;><lf\7f\7f0<917:flqq:66>1ocxz32?58`jss4:4<7iazt=6=3>bh}}6>2:5kotv?2;1<lf\7f\7f0:08;emvp9>9?2ndyy26>99f`l`5fnn>7hc\7ftx0;?coagVmnbh|ntnp\r1Y5$)Id~hijn!;-6+63aVc{>95iumn4?lhsWjf`46`hccwjha><g\7foyjaaxa:rqkbYbey~r=95\7frne\ahvsqV~c~h}g(1+20>vugnUna}zv_ujqavn/9 ;?7}|`g^gntq\7fX|axn\7fe&=)068twi`Wlg{xtQ{hsgpl-5.9=1{~biPelrw}Zrozlyc$9'>4:rqkbYbey~rSyf}erj+1,733yxdkRkbpu{\pmtb{a"=%<:4psmd[`kw|pU\7fd\7fk|h)5*51=wzfmTi`~{y^vkv`uo 1#:86~}of]fiur~W}byi~f'9(35?uthoVof|ywPtipfwm:>294:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6j2zycjQjmqvz[qnumzbTm~}jru*1-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(2+2f>vugnUna}zv_ujqavnXizyn~y&;)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$8'>b:rqkbYbey~rSyf}erj\evubz}"=%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts >#:n6~}of]fiur~W}byi~fParqfvq.?!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,</6l2zycjQjmqvz[qnumzbTm~}jru>:>586j2zycjQjmqvz[qnumzbTbhintd*3-4d<x{elShc\7ftx]wlwct`Vdnklzj(0+2f>vugnUna}zv_ujqavnXflmjxh&=)0`8twi`Wlg{xtQ{hsgplZhboh~n$>'>b:rqkbYbey~rSyf}erj\j`af|l"?%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb <#:n6~}of]fiur~W}byi~fPndebp`.1!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,2/6j2zycjQjmqvz[qnumzbTbhintd*;-4d<x{elShc\7ftx]wlwct`Vdnklzj(8+2`>vugnUna}zv_ujqavnXflmjxh26:1<:?uthoVl~`a?<;qplcZ`rdeU\7fd\7fk|h)2*56=wzfmTjxbc_ujqavn/9 ;87}|`g^dvhiYs`{oxd%<&129svjaXn|fgSyf}erj+7,743yxdkRhzlm]wlwct`!>"=>5\7frne\bpjkW}byi~f'5(30?uthoVl~`aQ{hsgpl-0.9:1{~biPftno[qnumzb#;$?<;qplcZ`rdeU\7fd\7fk|h):*56=wzfmTjxbc_ujqavn/1 ;>7}|`g^dvhiYs`{oxd1750?3b?uthoVl~`aQ{hsgplZgt{lx\7f$='>a:rqkbYa}efTxe|jsi]bwvcu|!;"=l5\7frne\bpjkW}byi~fParqfvq.5!8k0|\7fah_gwohZrozlycSl}|esv+7,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(5+2e>vugnUmyabPtipfwmYf{zoyx%;&1`9svjaXn|fgSyf}erj\evubz}"=%<o4psmd[cskdV~c~h}g_`qpawr/? ;j7}|`g^dvhiYs`{oxdRo|sdpw,=/6i2zycjQiumn\pmtb{aUj\7f~k}t);*5f=wzfmTjxbc_ujqavnXizyn~y26:1<2e>vugnUmyabPtipfwmYimnk\7fi%>&1`9svjaXn|fgSyf}erj\j`af|l":%<o4psmd[cskdV~c~h}g_ogdeqc/: ;j7}|`g^dvhiYs`{oxdR`jg`vf,6/6i2zycjQiumn\pmtb{aUeijo{e)6*5d=wzfmTjxbc_ujqavnXflmjxh&:)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#:$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb >#:m6~}of]eqijX|axn\7feQaefcwa->.9h1{~biPftno[qnumzbTbhintd*:-4e<x{elSk{cl^vkv`uoWgolmyk39;2=2>tcWjf`==5}d^fbpdYdg|d\7f$='>0:pg[agsiVidycz'1(33?wbXlh~jSnaznu*1-46<zmUomyoPcnwmp-5.991yhRjnt`]`kphs =#:<6|k_ecweZeh}g~#9$??;sf\`drfWje~by&9)028vaYci}kTob{at)5*55=ulVnjxlQlotlw,=/682xoSio{a^alqkr/1 ;;7\7fjPd`vb[firf}6;2<<4re]geqgXkf\7fex1750?78vaYbf?1yhR||t29qwq1<{kc\7fi\7f|;;rppp70<|dz$Sni xhnjj}YaaoeThxocpnpw[4>\7f9b$ekb74uoffvcjh\7f<1}magk6:uq[del>2}ySnbd119tvZbf|hUhcx`{(1+24>quWmk\7fmRm`uov+5,773~xThlzn_bmvjq.5!8:0{\7fQkauc\gjsi|!9"==5xr^fbpdYdg|d\7f$9'>0:uq[agsiVidycz'5(33?rtXlh~jSnaznu*5-46<\7f{UomyoPcnwmp-1.991|~Rjnt`]`kphs 1#:<6y}_ecweZeh}g~#5$?=;vp\`drfWje~by26:1<6?rtXmg<0{\7fQ}su3b?}okagrTjdh`_ewbhuiu|V;3t<e<4:zjhlh\7fWocmcRjzamrlvqY60q;`Skgio^efj`tf|fxTz9Q=_yqw[4{GHyk::6NOx5;3>C<328qX994;3286>4550m?i6<99c7ym7a0=92d8h:4;;%1g0?5dl2wX9>4;3286>4550m?i6<99c79P505=<:21<7?<29f6f?70>j=0_8=542:94?74:1n>n7?86b;8`1g5290:6<u\558776<228994i;m:055g3=#;m818>;4vU3b5?6=93;1=8>tS469065==3;8>5j:b;342f0<,:ho6<;;;W1g1?4|}8?o6<5z14g94>{#9081=k5m4`094?4d2:09ovF<bc9Y0=<5s881=<4r$0c5>1g53-9o>7:<5:X0`6<5s=9>69=::|m7=7<722c?4o4?::k7e5<722e??;4?::m771<722c?594?::k7<a<722e?=:4?:%3:0?2502d:5>4?;:m753<72-;287:=8:l2=6<632e?=84?:%3:0?2502d:5>4=;:m751<72-;287:=8:l2=6<432e?=>4?:%3:0?2502d:5>4;;:m757<72-;287:=8:l2=6<232e?=<4?:%3:0?2502d:5>49;:m755<72-;287:=8:l2=6<032e?=k4?:%3:0?2502d:5>47;:m75`<72-;287:=8:l2=6<>32e?=i4?:%3:0?2502d:5>4n;:m75f<72-;287:=8:l2=6<e32e?=o4?:%3:0?2502d:5>4l;:m75d<72-;287:=8:l2=6<c32e?=44?:%3:0?2502d:5>4j;:m75=<72-;287:=8:l2=6<a32e?<k4?:%3:0?2502d:5>4>0:9l05c=83.:594;299m5<5=9810e>h=:18'5<2=<990b<7<:198m6`6290/=4:54118j4?42810e>h?:18'5<2=<990b<7<:398m6ca290/=4:54118j4?42:10e>kj:18'5<2=<990b<7<:598m6cc290/=4:54118j4?42<10e>kl:18'5<2=<990b<7<:798m6ce290/=4:54118j4?42>10e>hn:18'5<2=<990b<7<:998m6`>290/=4:54118j4?42010e>h7:18'5<2=<990b<7<:`98m6`0290/=4:54118j4?42k10e>h9:18'5<2=<990b<7<:b98m6`2290/=4:54118j4?42m10e>h;:18'5<2=<990b<7<:d98m6`4290/=4:54118j4?42o10e>kn:18'5<2=<990b<7<:028?l5b13:1(<7;:520?k7>;3;:76g;7d83>>d4jj0;6<4?:1yK7gd<,8k=6>ll;n3:5?6=3thmh7>51;294~N4jk1/=l85fe9lbf<722wi8:4?:84957>=9<:pD>lm;[6;>40|>3k1h795e;;957<693h1o765c;g957<c2>0:=7l5a;;9<?0=u-;j:7:n3:&215<aj2.8h?4;349'5=e=90:0c>j7:188m1>d2900e9:;:18'5<2=<=?0b<7<:198m124290/=4:54578j4?42810e9:=:18'5<2=<=?0b<7<:398m126290/=4:54578j4?42:10e9:?:18'5<2=<=?0b<7<:598m15a290/=4:54578j4?42<10e9=j:18'5<2=<=?0b<7<:798m15c290/=4:54578j4?42>10e9=l:18'5<2=<=?0b<7<:998m15e290/=4:54578j4?42010c9;l:188m1>a2900c97=:188m116290/=4:54608j4?42910e99?:18'5<2=<>80b<7<:098m10a290/=4:54608j4?42;10e98j:18'5<2=<>80b<7<:298m10c290/=4:54608j4?42=10e98l:18'5<2=<>80b<7<:498m10e290/=4:54608j4?42?10e98n:18'5<2=<>80b<7<:698m10>290/=4:54608j4?42110e987:18'5<2=<>80b<7<:898m1>e2900c9=n:188k15>2900e>jm:188k1>?290/=4:549c8j4?42910c968:18'5<2=<1k0b<7<:098k1>1290/=4:549c8j4?42;10c96::18'5<2=<1k0b<7<:298k1>3290/=4:549c8j4?42=10c96<:18'5<2=<1k0b<7<:498k1>5290/=4:549c8j4?42?10c96>:18'5<2=<1k0b<7<:698k1>7290/=4:549c8j4?42110c99i:18'5<2=<1k0b<7<:898m12a290/=4:54428j4?42910e9:j:18'5<2=<<:0b<7<:098m12c290/=4:54428j4?42;10e9:l:18'5<2=<<:0b<7<:298m12e290/=4:54428j4?42=10e9:n:18'5<2=<<:0b<7<:498m12>290/=4:54428j4?42?10e9:7:18'5<2=<<:0b<7<:698m120290/=4:54428j4?42110e9:9:18'5<2=<<:0b<7<:898m1g72900c97j:18'5<2=<0l0b<7<:198k1?c290/=4:548d8j4?42810c97l:18'5<2=<0l0b<7<:398k1?e290/=4:548d8j4?42:10c97n:18'5<2=<0l0b<7<:598k1?>290/=4:548d8j4?42<10c977:18'5<2=<0l0b<7<:798k1?0290/=4:548d8j4?42>10c979:18'5<2=<0l0b<7<:998k1?2290/=4:548d8j4?42010c9=9:188k1532900e97;:188m101290/=4:54758j4?42910e98::18'5<2=<?=0b<7<:098m103290/=4:54758j4?42;10e98<:18'5<2=<?=0b<7<:298m105290/=4:54758j4?42=10e98>:18'5<2=<?=0b<7<:498m107290/=4:54758j4?42?10e9;i:18'5<2=<?=0b<7<:698m13b290/=4:54758j4?42110e9;k:18'5<2=<?=0b<7<:898m1502900e>jl:188m1g62900c96j:188k1?42900c97>:188m1>c2900c9?8:18'5<2=<;20b<7<:198k171290/=4:543:8j4?42810c9?::18'5<2=<;20b<7<:398k173290/=4:543:8j4?42:10c9?<:18'5<2=<;20b<7<:598k175290/=4:543:8j4?42<10c9?>:18'5<2=<;20b<7<:798k177290/=4:543:8j4?42>10c9?i:18'5<2=<;20b<7<:998k17b290/=4:543:8j4?42010c9?k:18'5<2=<;20b<7<:`98k17d290/=4:543:8j4?42k10c9?m:18'5<2=<;20b<7<:b98k17f290/=4:543:8j4?42m10c9?6:18'5<2=<;20b<7<:d98k17?290/=4:543:8j4?42o10c9>i:18'5<2=<;20b<7<:028?j27m3:1(<7;:50;?k7>;3;:76g<f383>!7><3>;?6`>9283?>o4n80;6)?64;637>h61:0:76g<f183>!7><3>;?6`>9281?>o4mo0;6)?64;637>h61:0876g<ed83>!7><3>;?6`>9287?>o4mm0;6)?64;637>h61:0>76g<eb83>!7><3>;?6`>9285?>o4mk0;6)?64;637>h61:0<76g<f`83>!7><3>;?6`>928;?>o4n00;6)?64;637>h61:0276g<f983>!7><3>;?6`>928b?>o4n>0;6)?64;637>h61:0i76g<f783>!7><3>;?6`>928`?>o4n<0;6)?64;637>h61:0o76g<f583>!7><3>;?6`>928f?>o4n:0;6)?64;637>h61:0m76g<e`83>!7><3>;?6`>92824>=n;l31<7*>958746=i9091=<54o2f:>5<<a:nj6=44i55`>5<#90>18:j4n0;0>5=<a==i6=4+186902b<f8386<54i55b>5<#90>18:j4n0;0>7=<a==26=4+186902b<f8386>54i55;>5<#90>18:j4n0;0>1=<a==<6=4+186902b<f8386854i555>5<#90>18:j4n0;0>3=<a==>6=4+186902b<f8386:54i557>5<#90>18:j4n0;0>==<a==86=4+186902b<f8386454o2g5>5<#90>1?h94n0;0>5=<g:o>6=4+18697`1<f8386<54o2g7>5<#90>1?h94n0;0>7=<g:o86=4+18697`1<f8386>54o2g1>5<#90>1?h94n0;0>1=<g:o:6=4+18697`1<f8386854o2g3>5<#90>1?h94n0;0>3=<g:nm6=4+18697`1<f8386:54o2ff>5<#90>1?h94n0;0>==<g:no6=4+18697`1<f8386454o2g;>5<<a=?j6=4+186900d<f8386=54i57:>5<#90>188l4n0;0>4=<a=?36=4+186900d<f8386?54i574>5<#90>188l4n0;0>6=<a=?=6=4+186900d<f8386954i576>5<#90>188l4n0;0>0=<a=??6=4+186900d<f8386;54i570>5<#90>188l4n0;0>2=<a=?96=4+186900d<f8386554i572>5<#90>188l4n0;0><=<a==n6=44b2f3>5<6290;w)?n6;dg?M5dn2B8no5`fb83>>{e;m;1<7?50;2x 4g12:hh7E=lf:J0fg=h90;1<75rb2cb>5<4290;w)?n6;d6?M5dn2B8no5G479'55g=92c?57>5;h76>5<<g83=6=44}c1a7?6=;3:1<v*>a78e1>N4ko1C?ol4H548 46f281b844?::k61?6=3f;2:7>5;|`0e<<72:0;6=u+1`49b0=O;jl0D>lm;I65?!77i3;0e9750;9j10<722e:5;4?::\7fa7g7=83?1<7>t$0c5>c?<@:im7E=mb:J72>"68h0:7d:6:188m1c=831b984?::k2=0<722e:5;4?::\7fa7g4=8391<7>t$0c5>c3<@:im7E=mb:J72>"68h0:7d:6:188m03=831d=4850;9~f6g?290>6=4?{%3b2?`>3A9hj6F<bc9K03=#99k1=6g;9;29?l2b2900e8;50;9j5<3=831d=4850;9~f6d7290?6=4?{%3b2?`?3A9hj6F<bc9'55g=92c?57>5;h76>5<<a83>6=44o0;5>5<<uk9jj7>54;294~"6i?0m46F<cg9K7gd<,8:j6<5f4883>>o2=3:17d?65;29?j7>>3:17pl<b883>1<729q/=l85f99K7f`<@:hi7)??a;38m1?=831b984?::k2=0<722e:5;4?::\7fa7g>=83>1<7>t$0c5>c><@:im7E=mb:&24d<63`>26=44i4794?=n90?1<75`18494?=zj;ho6=4;:183\7f!7f>3l37E=lf:J0fg=#99k186g;9;29?l322900e<7::188k4?12900qo<l0;290?6=8r.:m;4i8:J0gc=O;kh0(<>n:59j0<<722c>97>5;h3:1?6=3f;2:7>5;|`1g4<72=0;6=u+1`49b3=O;jl0D>lm;%33e?7<a=31<75f5383>>o2=3:17b?66;29?xd4;m0;694?:1y'5d0=n11C?nh4H2`a?!77i3;0e9750;9j10<722c:584?::m2=3<722wi?>m50;694?6|,8k=6k64H2ae?M5ej2.:<l4>;h6:>5<<a<?1<75f18794?=h90<1<75rb3;g>5<3290;w)?n6;36g>N4ko1C?ol4i5d94?=n=?0;66g>9d83>>i60o0;66sm28a94?2=83:p(<o9:07`?M5dn2B8no5f4g83>>o2>3:17d?6e;29?j7?n3:17pl=9c83>1<729q/=l8514a8L6ea3A9in6g;f;29?l312900e<7j:188k4>a2900qo<6a;290?6=8r.:m;4>5b9K7f`<@:hi7d:i:188m00=831b=4k50;9l5=`=831vn?76:187>5<7s-;j:7?:c:J0gc=O;kh0e9h50;9j13<722c:5h4?::m2<c<722wi>o>50;694?6|,8k=6<;l;I1`b>N4jk1b8k4?::k62?6=3`;2i7>5;n3;b?6=3th9mk4?:583>5}#9h<1=8m4H2ae?M5ej2c?j7>5;h75>5<<a83n6=44o0:e>5<<uk8ji7>54;294~"6i?0:9n5G3bd8L6de3`>m6=44i4494?=n90o1<75`19d94?=zj;ko6=4;:183\7f!7f>3;>o6F<cg9K7gd<a=l1<75f5783>>o61l0;66a>8g83>>{e:hi1<7:50;2x 4g128?h7E=lf:J0fg=n<o0;66g:6;29?l7>m3:17b?7f;29?xd49j0;694?:1y'5d0=9<i0D>mi;I1af>o3n3:17d;9:188m4?b2900c<6i:188yg56j3:187>50z&2e3<6=j1C?nh4H2`a?l2a2900e8850;9j5<c=831d=5h50;9~f67f290?6=4?{%3b2?72k2B8ok5G3c`8m1`=831b9;4?::k2=`<722e:4k4?::\7fa74?=83>1<7>t$0c5>43d3A9hj6F<bc9j0c<722c>:7>5;h3:a?6=3f;3j7>5;|`05=<72=0;6=u+1`4950e<@:im7E=mb:k7b?6=3`?=6=44i0;f>5<<g82m6=44}c11b?6=<3:1<v*>a7821f=O;jl0D>lm;h6e>5<<a<<1<75f18g94?=h91l1<75rb20f>5<3290;w)?n6;36g>N4ko1C?ol4i5d94?=n=?0;66g>9d83>>i60o0;66sm33f94?2=83:p(<o9:07`?M5dn2B8no5f4g83>>o2>3:17d?6e;29?j7?n3:17pl<2b83>1<729q/=l8514a8L6ea3A9in6g;f;29?l312900e<7j:188k4>a2900qo==b;290?6=8r.:m;4>5b9K7f`<@:hi7d:i:188m00=831b=4k50;9l5=`=831vn<ml:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb0aa>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f4ef290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj8i26=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn<m7:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb0a4>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f4e1290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj8i>6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn<m;:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb0a0>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f75f290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;926=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?=7:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb314>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f751290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;9>6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?=;:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb310>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f755290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;9:6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?6m:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb3:b>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f7>>290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;236=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?68:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb3:5>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f7>2290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;2?6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?6<:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb3:1>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f77c290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;;h6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn??m:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb33b>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f77>290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;;36=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn??8:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb335>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f772290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;;?6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?98:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f711290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?9::187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f713290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?9<:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f715290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?9>:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f717290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?8i:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f70b290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?>6:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f76?290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?>8:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f761290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?>::187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f763290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?><:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f765290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?>>:187>5<7s-;j:7h9;I1`b>N4jk1C8;5+11c95>o313:17d;=:188m03=831d=4850;9~f767290?6=4?{%3b2?`13A9hj6F<bc9K03=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn<h::187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb0d7>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f4`4290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj8l96=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn<h>:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb0d3>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f4ca290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj8on6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn<kk:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb0g`>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f704290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;<96=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?8>:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb343>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f73a290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;?n6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?;k:187>5<7s-;j:7h9;I1`b>N4jk1/==o51:k7=?6=3`?96=44i4794?=h90<1<75rb37`>5<3290;w)?n6;d5?M5dn2B8no5+11c95>o313:17d;=:188m03=831d=4850;9~f73e290?6=4?{%3b2?`13A9hj6F<bc9'55g=92c?57>5;h71>5<<a<?1<75`18494?=zj;?j6=4;:183\7f!7f>3l=7E=lf:J0fg=#99k1=6g;9;29?l352900e8;50;9l5<0=831vn?hi:186>5<7s-;j:7h8;I1`b>N4jk1/==o51:k7=?6=3`>n6=44i4094?=n=<0;66a>9783>>{e:oo1<7;50;2x 4g12o=0D>mi;I1af>"68h0:7d:6:188m1c=831b9?4?::k61?6=3f;2:7>5;|`1bf<72<0;6=u+1`49b2=O;jl0D>lm;%33e?7<a=31<75f4d83>>o2:3:17d;::188k4?12900qo<ib;291?6=8r.:m;4i7:J0gc=O;kh0(<>n:09j0<<722c?i7>5;h71>5<<a<?1<75`18494?=zj;lo6=4::183\7f!7f>3l<7E=lf:J0fg=#99k1=6g;9;29?l2b2900e8<50;9j10<722e:5;4?::\7fa6cg=83?1<7>t$0c5>c1<@:im7E=mb:&24d<63`>26=44i5g94?=n=;0;66g:5;29?j7>>3:17pl=f883>0<729q/=l85f69K7f`<@:hi7)??a;38m1?=831b8h4?::k66?6=3`?>6=44o0;5>5<<uk8m;7>55;294~"6i?0m;6F<cg9K7gd<,8:j6<5f4883>>o3m3:17d;=:188m03=831d=4850;9~f7`1290>6=4?{%3b2?`>3A9hj6F<bc9'55g=<2c?57>5;h6f>5<<a<?1<75f18794?=h90<1<75rb3d;>5<2290;w)?n6;d4?M5dn2B8no5+11c95>o313:17d:j:188m04=831b984?::m2=3<722wi>ho50;194?6|,8k=6>mj;I1`b>N4jk1b8k4?::k214<722e:4k4?::\7fa6`?=8391<7>t$0c5>6eb3A9hj6F<bc9j0c<722c:9<4?::m2<c<722wi>ih50;694?6|,8k=6<;l;I1`b>N4jk1b8k4?::k62?6=3`;2i7>5;n3;b?6=3th9i54?:283>5}#9h<1?nk4H2ae?M5ej2c?j7>5;h365?6=3f;3j7>5;|`1`a<72=0;6=u+1`4950e<@:im7E=mb:k7b?6=3`?=6=44i0;f>5<<g82m6=44}c0f3?6=;3:1<v*>a780g`=O;jl0D>lm;h6e>5<<a8?:6=44o0:e>5<<uk8on7>54;294~"6i?0:9n5G3bd8L6de3`>m6=44i4494?=n90o1<75`19d94?=zj;o=6=4<:183\7f!7f>39hi6F<cg9K7gd<a=l1<75f14394?=h91l1<75rb3f:>5<3290;w)?n6;36g>N4ko1C?ol4i5d94?=n=?0;66g>9d83>>i60o0;66sm2d794?5=83:p(<o9:2af?M5dn2B8no5f4g83>>o6=80;66a>8g83>>{e:m=1<7:50;2x 4g128?h7E=lf:J0fg=n<o0;66g:6;29?l7>m3:17b?7f;29?xd5m=0;6>4?:1y'5d0=;jo0D>mi;I1af>o3n3:17d?:1;29?j7?n3:17pl=d483>1<729q/=l8514a8L6ea3A9in6g;f;29?l312900e<7j:188k4>a2900qo<j3;297?6=8r.:m;4<cd9K7f`<@:hi7d:i:188m4362900c<6i:188yg4c;3:187>50z&2e3<6=j1C?nh4H2`a?l2a2900e8850;9j5<c=831d=5h50;9~f7c529086=4?{%3b2?5dm2B8ok5G3c`8m1`=831b=8?50;9l5=`=831vn?j>:187>5<7s-;j:7?:c:J0gc=O;kh0e9h50;9j13<722c:5h4?::m2<c<722wi>h?50;194?6|,8k=6>mj;I1`b>N4jk1b8k4?::k214<722e:4k4?::\7fa6f`=83>1<7>t$0c5>43d3A9hj6F<bc9j0c<722c>:7>5;h3:a?6=3f;3j7>5;|`056<72<0;6=u+1`49b2=O;jl0D>lm;%33e?7<a=31<75f4d83>>o2:3:17d;::188k4?12900qo=>2;291?6=8r.:m;4i7:J0gc=O;kh0(<>n:09j0<<722c?i7>5;h71>5<<a<?1<75`18494?=zj:;:6=4::183\7f!7f>3l<7E=lf:J0fg=#99k1=6g;9;29?l2b2900e8<50;9j10<722e:5;4?::\7fa746=83?1<7>t$0c5>c1<@:im7E=mb:&24d<63`>26=44i5g94?=n=;0;66g:5;29?j7>>3:17pl<0g83>0<729q/=l85f69K7f`<@:hi7)??a;38m1?=831b8h4?::k66?6=3`?>6=44o0;5>5<<uk9;i7>55;294~"6i?0m;6F<cg9K7gd<,8:j6<5f4883>>o3m3:17d;=:188m03=831d=4850;9~f66c290>6=4?{%3b2?`03A9hj6F<bc9'55g=92c?57>5;h6f>5<<a<81<75f5483>>i61?0;66sm31a94?3=83:p(<o9:g58L6ea3A9in6*>0`82?l2>2900e9k50;9j17<722c>97>5;n3:2?6=3th8<o4?:483>5}#9h<1j:5G3bd8L6de3-;;m7?4i5;94?=n<l0;66g:2;29?l322900c<79:188yg57i3:197>50z&2e3<a?2B8ok5G3c`8 46f281b844?::k7a?6=3`?96=44i4794?=h90<1<75rb25`>5<2290;w)?n6;d4?M5dn2B8no5+11c95>o313:17d:j:188m04=831b984?::m2=3<722wi?:l50;794?6|,8k=6k94H2ae?M5ej2.:<l4>;h6:>5<<a=o1<75f5383>>o2=3:17b?66;29?xd4?00;684?:1y'5d0=n>1C?nh4H2`a?!77i3;0e9750;9j0`<722c>>7>5;h76>5<<g83=6=44}c14<?6==3:1<v*>a78e3>N4ko1C?ol4$02b>4=n<00;66g;e;29?l352900e8;50;9l5<0=831vn>9n:186>5<7s-;j:7h8;I1`b>N4jk1/==o51:k7=?6=3`>n6=44i4094?=n=<0;66a>9783>>{e;>=1<7;50;2x 4g12o=0D>mi;I1af>"68h0:7d:6:188m1c=831b9?4?::k61?6=3f;2:7>5;|`033<72<0;6=u+1`49b2=O;jl0D>lm;%33e?7<a=31<75f4d83>>o2:3:17d;::188k4?12900qo=84;291?6=8r.:m;4i9:J0gc=O;kh0(<>n:59j0<<722c?i7>5;h76>5<<a83>6=44o0;5>5<<uk9<?7>55;294~"6i?0m;6F<cg9K7gd<,8:j6<5f4883>>o3m3:17d;=:188m03=831d=4850;9~f612290>6=4?{%3b2?`03A9hj6F<bc9'55g=92c?57>5;h6f>5<<a<81<75f5483>>i61?0;66sm37594?5=83:p(<o9:2af?M5dn2B8no5f4g83>>o6=80;66a>8g83>>{e;?<1<7=50;2x 4g12:in7E=lf:J0fg=n<o0;66g>5083>>i60o0;66sm34a94?2=83:p(<o9:07`?M5dn2B8no5f4g83>>o2>3:17d?6e;29?j7?n3:17pl<6483>6<729q/=l853bg8L6ea3A9in6g;f;29?l7293:17b?7f;29?xd4=h0;694?:1y'5d0=9<i0D>mi;I1af>o3n3:17d;9:188m4?b2900c<6i:188yg51<3:1?7>50z&2e3<4kl1C?nh4H2`a?l2a2900e<;>:188k4>a2900qo=:8;290?6=8r.:m;4>5b9K7f`<@:hi7d:i:188m00=831b=4k50;9l5=`=831vn>8<:180>5<7s-;j:7=le:J0gc=O;kh0e9h50;9j507=831d=5h50;9~f631290?6=4?{%3b2?72k2B8ok5G3c`8m1`=831b9;4?::k2=`<722e:4k4?::\7fa734=8391<7>t$0c5>6eb3A9hj6F<bc9j0c<722c:9<4?::m2<c<722wi?8:50;694?6|,8k=6<;l;I1`b>N4jk1b8k4?::k62?6=3`;2i7>5;n3;b?6=3th8:<4?:283>5}#9h<1?nk4H2ae?M5ej2c?j7>5;h365?6=3f;3j7>5;|`017<72=0;6=u+1`4950e<@:im7E=mb:k7b?6=3`?=6=44i0;f>5<<g82m6=44}c154?6=;3:1<v*>a780g`=O;jl0D>lm;h6e>5<<a8?:6=44o0:e>5<<uk9><7>54;294~"6i?0:9n5G3bd8L6de3`>m6=44i4494?=n90o1<75`19d94?=zj:?m6=4<:183\7f!7f>39hi6F<cg9K7gd<a=l1<75f14394?=h91l1<75rb26f>5<3290;w)?n6;36g>N4ko1C?ol4i5d94?=n=?0;66g>9d83>>i60o0;66sm34g94?5=83:p(<o9:2af?M5dn2B8no5f4g83>>o6=80;66a>8g83>>{e;=i1<7:50;2x 4g128?h7E=lf:J0fg=n<o0;66g:6;29?l7>m3:17b?7f;29?xd4000;684?:1y'5d0=n>1C?nh4H2`a?!77i3;0e9750;9j0`<722c>>7>5;h76>5<<g83=6=44}c1;3?6==3:1<v*>a78e=>N4ko1C?ol4$02b>1=n<00;66g;e;29?l322900e<7::188k4?12900qo=78;291?6=8r.:m;4i7:J0gc=O;kh0(<>n:09j0<<722c?i7>5;h71>5<<a<?1<75`18494?=zj:2j6=4::183\7f!7f>3l<7E=lf:J0fg=#99k1=6g;9;29?l2b2900e8<50;9j10<722e:5;4?::\7fa7=d=83?1<7>t$0c5>c1<@:im7E=mb:&24d<63`>26=44i5g94?=n=;0;66g:5;29?j7>>3:17pl<8d83>0<729q/=l85f69K7f`<@:hi7)??a;38m1?=831b8h4?::k66?6=3`?>6=44o0;5>5<<uk93o7>55;294~"6i?0m;6F<cg9K7gd<,8:j6<5f4883>>o3m3:17d;=:188m03=831d=4850;9~f6>c290>6=4?{%3b2?`03A9hj6F<bc9'55g=92c?57>5;h6f>5<<a<81<75f5483>>i61?0;66sm39d94?3=83:p(<o9:g58L6ea3A9in6*>0`82?l2>2900e9k50;9j17<722c>97>5;n3:2?6=3th85=4?:483>5}#9h<1j:5G3bd8L6de3-;;m7?4i5;94?=n<l0;66g:2;29?l322900c<79:188yg5f<3:197>50z&2e3<a?2B8ok5G3c`8 46f281b844?::k7a?6=3`?96=44i4794?=h90<1<75rb2c0>5<2290;w)?n6;d4?M5dn2B8no5+11c95>o313:17d:j:188m04=831b984?::m2=3<722wi?l<50;794?6|,8k=6k94H2ae?M5ej2.:<l4>;h6:>5<<a=o1<75f5383>>o2=3:17b?66;29?xd4i80;684?:1y'5d0=n>1C?nh4H2`a?!77i3;0e9750;9j0`<722c>>7>5;h76>5<<g83=6=44}c1b4?6==3:1<v*>a78e3>N4ko1C?ol4$02b>4=n<00;66g;e;29?l352900e8;50;9l5<0=831vn>7i:186>5<7s-;j:7h8;I1`b>N4jk1/==o51:k7=?6=3`>n6=44i4094?=n=<0;66a>9783>>{e;0o1<7;50;2x 4g12o=0D>mi;I1af>"68h0:7d:6:188m1c=831b9?4?::k61?6=3f;2:7>5;|`0=a<72<0;6=u+1`49b2=O;jl0D>lm;%33e?7<a=31<75f4d83>>o2:3:17d;::188k4?12900qo=6c;291?6=8r.:m;4i7:J0gc=O;kh0(<>n:09j0<<722c?i7>5;h71>5<<a<?1<75`18494?=zj:3i6=4::183\7f!7f>3l<7E=lf:J0fg=#99k1=6g;9;29?l2b2900e8<50;9j10<722e:5;4?::\7fa7g3=8391<7>t$0c5>4313A9hj6F<bc9'55g=911bjh4?::keb?6=3f;3j7>5;|`0ef<72:0;6=u+1`49500<@:im7E=mb:&24d<602cmi7>5;hde>5<<g82m6=44}c0`7?6=;3:1<v*>a78213=O;jl0D>lm;%33e?7?3`ln6=44igd94?=h91l1<75rb31`>5<4290;w)?n6;362>N4ko1C?ol4$02b>76<aoo1<75ffg83>>i60o0;66sm1bg94?5=83:p(<o9:075?M5dn2B8no5+11c965=nnl0;66gif;29?j7?n3:17pl>b983>6<729q/=l851448L6ea3A9in6*>0`814>oam3:17dhi:188k4>a2900qo?m7;297?6=8r.:m;4>579K7f`<@:hi7)??a;03?l`b2900ekh50;9l5=`=831vn<l9:180>5<7s-;j:7?:6:J0gc=O;kh0(<>n:328mcc=831bjk4?::m2<c<722wi=o;50;194?6|,8k=6<;9;I1`b>N4jk1/==o5219jb`<722cmj7>5;n3;b?6=3th:n94?:283>5}#9h<1=884H2ae?M5ej2.:<l4=0:kea?6=3`lm6=44o0:e>5<<uk;i?7>53;294~"6i?0:9;5G3bd8L6de3-;;m7<?;hdf>5<<aol1<75`19d94?=zj8h96=4<:183\7f!7f>3;>:6F<cg9K7gd<,8:j6?>4igg94?=nno0;66a>8g83>>{e9k;1<7=50;2x 4g128?=7E=lf:J0fg=#99k1>=5ffd83>>oan3:17b?7f;29?xd6j90;6>4?:1y'5d0=9<<0D>mi;I1af>"68h09<6gie;29?l`a2900c<6i:188yg7fn3:1?7>50z&2e3<6=?1C?nh4H2`a?!77i38;7dhj:188mc`=831d=5h50;9~f4gb29086=4?{%3b2?72>2B8ok5G3c`8 46f2;:0ekk50;9jbc<722e:4k4?::\7fa5db=8391<7>t$0c5>4313A9hj6F<bc9'55g=:91bjh4?::keb?6=3f;3j7>5;|`2ef<72:0;6=u+1`49500<@:im7E=mb:&24d<582cmi7>5;hde>5<<g82m6=44}c3bf?6=;3:1<v*>a78213=O;jl0D>lm;%33e?473`ln6=44igd94?=h91l1<75rb0cb>5<4290;w)?n6;362>N4ko1C?ol4$02b>76<aoo1<75ffg83>>i60o0;66sm1`;94?5=83:p(<o9:075?M5dn2B8no5+11c965=nnl0;66gif;29?j7?n3:17pl>a983>6<729q/=l851448L6ea3A9in6*>0`814>oam3:17dhi:188k4>a2900qo?n7;297?6=8r.:m;4>579K7f`<@:hi7)??a;03?l`b2900ekh50;9l5=`=831vn?=j:187>5<7s-;j:7?:8:J0gc=O;kh0(<>n:368mcc=831bjk4?::k245<722e:4k4?::\7fa5a6=83>1<7>t$0c5>43?3A9hj6F<bc9'55g=:=1bjh4?::keb?6=3`;;<7>5;n3;b?6=3th98=4?:483>5}#9h<1=8o4H2ae?M5ej2.:<l4=2:kea?6=3`lm6=44i023>5<<a8::6=44o0:e>5<<uk;o>7>55;294~"6i?0:9l5G3bd8L6de3-;;m7<=;hdf>5<<aol1<75f11294?=n99;1<75`19d94?=zj;>96=4<:183\7f!7f>3;>:6F<cg9K7gd<,8:j6?>4igg94?=nno0;66a>8g83>>{e9m>1<7=50;2x 4g128?=7E=lf:J0fg=#99k1>=5ffd83>>oan3:17b?7f;29?xd5<=0;694?:1y'5d0=9<20D>mi;I1af>"68h0986gie;29?l`a2900e<>?:188k4>a2900qo?k6;290?6=8r.:m;4>599K7f`<@:hi7)??a;07?l`b2900ekh50;9j556=831d=5h50;9~f721290>6=4?{%3b2?72i2B8ok5G3c`8 46f2;80ekk50;9jbc<722c:<=4?::k244<722e:4k4?::\7fa5a>=83?1<7>t$0c5>43f3A9hj6F<bc9'55g=:;1bjh4?::keb?6=3`;;<7>5;h335?6=3f;3j7>5;|`1g1<72:0;6=u+1`49500<@:im7E=mb:&24d<602cmi7>5;hde>5<<g82m6=44}c174?6=;3:1<v*>a78213=O;jl0D>lm;%33e?7?3`ln6=44igd94?=h91l1<75rb21:>5<2290;w)?n6;36e>N4ko1C?ol4$02b>75<aoo1<75ffg83>>o6890;66g>0083>>i60o0;66sm33494?3=83:p(<o9:07b?M5dn2B8no5+11c966=nnl0;66gif;29?l7783:17d??1;29?j7?n3:17pl=b`83>0<729q/=l8514c8L6ea3A9in6*>0`817>oam3:17dhi:188m4672900e<>>:188k4>a2900qo<n7;291?6=8r.:m;4>5`9K7f`<@:hi7)??a;00?l`b2900ekh50;9j556=831b==?50;9l5=`=831vn>=7:186>5<7s-;j:7?:a:J0gc=O;kh0(<>n:318mcc=831bjk4?::k245<722c:<<4?::m2<c<722wi??;50;794?6|,8k=6<;n;I1`b>N4jk1/==o5229jb`<722cmj7>5;h334?6=3`;;=7>5;n3;b?6=3th9n44?:483>5}#9h<1=8o4H2ae?M5ej2.:<l4=3:kea?6=3`lm6=44i023>5<<a8::6=44o0:e>5<<uk8j:7>55;294~"6i?0:9l5G3bd8L6de3-;;m7<<;hdf>5<<aol1<75f11294?=n99;1<75`19d94?=zj:9<6=4::183\7f!7f>3;>m6F<cg9K7gd<,8:j6?=4igg94?=nno0;66g>0183>>o6880;66a>8g83>>{e;;>1<7;50;2x 4g128?j7E=lf:J0fg=#99k1>>5ffd83>>oan3:17d??0;29?l7793:17b?7f;29?xd5j10;684?:1y'5d0=9<k0D>mi;I1af>"68h09?6gie;29?l`a2900e<>?:188m4662900c<6i:188yg4f=3:197>50z&2e3<6=h1C?nh4H2`a?!77i3887dhj:188mc`=831b==>50;9j557=831d=5h50;9~f651290>6=4?{%3b2?72i2B8ok5G3c`8 46f2;90ekk50;9jbc<722c:<=4?::k244<722e:4k4?::\7fa775=83?1<7>t$0c5>43f3A9hj6F<bc9'55g=::1bjh4?::keb?6=3`;;<7>5;h335?6=3f;3j7>5;|`1f2<72<0;6=u+1`4950g<@:im7E=mb:&24d<5;2cmi7>5;hde>5<<a8:;6=44i022>5<<g82m6=44}c0b0?6==3:1<v*>a7821d=O;jl0D>lm;%33e?443`ln6=44igd94?=n99:1<75f11394?=h91l1<75rb216>5<2290;w)?n6;36e>N4ko1C?ol4$02b>75<aoo1<75ffg83>>o6890;66g>0083>>i60o0;66sm33094?3=83:p(<o9:07b?M5dn2B8no5+11c966=nnl0;66gif;29?l7783:17d??1;29?j7?n3:17pl=b783>0<729q/=l8514c8L6ea3A9in6*>0`817>oam3:17dhi:188m4672900e<>>:188k4>a2900qo<n3;291?6=8r.:m;4>5`9K7f`<@:hi7)??a;00?l`b2900ekh50;9j556=831b==?50;9l5=`=831vn?k?:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f7bb29096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn?jl:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f7bf29096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn?j7:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f7b129096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn?j;:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f7b529096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn?j?:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f63c29096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn>;m:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f63>29096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn>;8:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f63229096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn>;<:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f63629096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn>:i:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f62c29096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn?km:181>5<7s-;j:7?:5:J0gc=O;kh0(<>n:018mcc=831d=5h50;9~f60?29096=4?{%3b2?72=2B8ok5G3c`8 46f2890ekk50;9l5=`=831vn<jk:186>5<7s-;j:7?:a:J0gc=O;kh0(<>n:308mcc=831bjk4?::k245<722c:<<4?::m2<c<722wi=ih50;794?6|,8k=6<;n;I1`b>N4jk1/==o5249jb`<722cmj7>5;h334?6=3`;;=7>5;n3;b?6=3th:i<4?:483>5}#9h<1=8o4H2ae?M5ej2.:<l4=2:kea?6=3`lm6=44i023>5<<a8::6=44o0:e>5<<uk8?n7>55;294~"6i?0:9l5G3bd8L6de3-;;m7<=;hdf>5<<aol1<75f11294?=n99;1<75`19d94?=zj;>o6=4::183\7f!7f>3;>m6F<cg9K7gd<,8:j6?;4igg94?=nno0;66g>0183>>o6880;66a>8g83>>{e:=l1<7;50;2x 4g128?j7E=lf:J0fg=#99k1>?5ffd83>>oan3:17d??0;29?l7793:17b?7f;29?xd4;o0;684?:1y'5d0=9<k0D>mi;I1af>"68h0mm6gie;29?l`a2900e<>?:188m4662900c<6i:188yg4en3:197>50z&2e3<6=h1C?nh4H2`a?!77i3l87dhj:188mc`=831b==>50;9j557=831d=5h50;9~f62629086=4?{%3b2?72>2B8ok5G3c`8 46f2820ekk50;9jbc<722e:4k4?::\7fa7d3=83i96=4?{%3b2?7>?2B8ok5G3c`8^1>=krl1==4>4;36>4?=9h0:n7?l:04952<6l3;n6p*>2880?!75i390(<<m:29'57e=;2.:>i4<;%31a?5<,88m6>5+12297>"6;8087)?<2;18 4542:1/=>:53:&270<43-;8:7=4$014>6=#9:21?6*>3880?!74i390(<=m:29'56e=;2.:?i4<;%30a?5<,89m6>5+15297>"6<8087)?;2;18 4242:1/=9:53:&200<43-;?:7=4$064>6=#9=21?6*>4880?!73i390(<:k:0:f?!73j380(<:l:39'554=;2.:<>4<;%330?5<,8:>6>5+11497>"68>087)?;e;d7?!73n3l?7)?68;3;a>"6100:4h5a18c957=i90h1=?5+1`295=c<,8:36>5+11;97>"4k008o55+3bc97f><f:ii6<<4n2a`>44<fj>1<6`j6;28 4?a2o>0(<o=:39'55d=;2.:<n4<;%33`?5<,8:n6>5+11d97>"699087)?>1;18 4752:1/=<=53:&251<43-;:97=4$035>6=#98=1?6*>1980?!761390(<?n:29'54d=;2.:=n4<;%32`?5<,8;n6>5+10d97>"6:9087)?=1;18 4452:1/=?=53:&261<43-;997=4$005>6=#9;=1?6*>2980?!7f;380e9o50;9j0g<722c><7>5;h72>5<<ao;1<75f18a94?=n90n1<75ff383>>o6i=0;66g>a483>>i3k3:17b:k:188m7e=83.:594=b:l2=6<732c9m7>5$0;7>7d<f8386<54i3;94?"61=09n6`>9281?>o503:1(<7;:3`8j4?42:10e?950;&2=1<5j2d:5>4;;:k01?6=,83?6?l4n0;0>0=<a:>1<7*>9581f>h61:0=76g<3;29 4?32;h0b<7<:698m64=83.:594=b:l2=6<?32c8=7>5$0;7>7d<f8386454i2294?"61=09n6`>928b?>o5n3:1(<7;:3`8j4?42k10e?k50;&2=1<5j2d:5>4l;:k1`?6=,83?6?l4n0;0>a=<a;<1<7*>9581f>h61:0n76g<c;29 4?32:h0b<7<:198m6g=83.:594<b:l2=6<632c857>5$0;7>6d<f8386?54i2:94?"61=08n6`>9280?>o4?3:1(<7;:2`8j4?42=10e9;50;&2=1<4j2d:5>4:;:k70?6=,83?6>l4n0;0>3=<a=91<7*>9580f>h61:0<76g;2;29 4?32:h0b<7<:998m17=83.:594<b:l2=6<>32c?<7>5$0;7>6d<f8386l54i2d94?"61=08n6`>928a?>o4m3:1(<7;:2`8j4?42j10e>j50;&2=1<4j2d:5>4k;:k02?6=,83?6>l4n0;0>`=<a>:1<7*>9585b>h61:0;76g9e;29 4?32?l0b<7<:098m3e=83.:5949f:l2=6<532c=n7>5$0;7>3`<f8386>54i7c94?"61=0=j6`>9287?>o113:1(<7;:7d8j4?42<10e;650;&2=1<1n2d:5>49;:k53?6=,83?6;h4n0;0>2=<a?<1<7*>9585b>h61:0376g95;29 4?32?l0b<7<:898m32=83.:5949f:l2=6<f32c=?7>5$0;7>3`<f8386o54i7394?"61=0=j6`>928`?>o183:1(<7;:7d8j4?42m10e8h50;&2=1<1n2d:5>4j;:k6a?6=,83?6;h4n0;0>c=<a<n1<7*>9585b>h61:0:<65f5b83>!7><3<m7c?63;32?>o2j3:1(<7;:7d8j4?428807d;n:18'5<2=>o1e=4=51298m0?=83.:5949f:l2=6<6<21b954?:%3:0?0a3g;2?7?:;:k43?6=,83?6;h4n0;0>40<3`==6=4+18692c=i9091=:54i6794?"61=0=j6`>9282<>=n?=0;6)?64;4e?k7>;3;276g83;29 4?32?l0b<7<:0c8?l15290/=4:56g9m5<5=9k10e:?50;&2=1<1n2d:5>4>c:9j2a<72-;2878i;o3:7?7c32c=>7>5$0;7>3`<f8386<k4;h74>5<#90>1:k5a18195c=<a0;1<7*>958:4>h61:0;76g7f;29 4?320:0b<7<:098m=b=83.:59460:l2=6<532c3o7>5$0;7><6<f8386>54i9`94?"61=02<6`>9287?>o?i3:1(<7;:828j4?42<10e5750;&2=1<>82d:5>49;:k;<?6=,83?64>4n0;0>2=<a1=1<7*>958:4>h61:0376g76;29 4?320:0b<7<:898m=3=83.:59460:l2=6<f32c387>5$0;7><6<f8386o54i9094?"61=02<6`>928`?>o?93:1(<7;:828j4?42m10e5>50;&2=1<>82d:5>4j;:k4b?6=,83?64>4n0;0>c=<a>o1<7*>958:4>h61:0:<65f7e83>!7><33;7c?63;32?>o0k3:1(<7;:828j4?428807d9m:18'5<2=191e=4=51298m2g=83.:59460:l2=6<6<21b;44?:%3:0??73g;2?7?:;:k:<?6=,83?64>4n0;0>40<3`3<6=4+1869=5=i9091=:54i8494?"61=02<6`>9282<>=n1<0;6)?64;;3?k7>;3;276g64;29 4?320:0b<7<:0c8?l?4290/=4:5919m5<5=9k10e4<50;&2=1<>82d:5>4>c:9j<`<72-;2877?;o3:7?7c32c3?7>5$0;7><6<f8386<k4;h5;>5<#90>15=5a18195c=<a0n1<7*>958:g>h61:0;76g6b;29 4?320i0b<7<:098m<g=83.:5946c:l2=6<532c257>5$0;7><e<f8386>54i`094?"61=0j=6`>9283?>of83:1(<7;:`38j4?42810e4h50;&2=1<f92d:5>4=;:k:a?6=,83?6l?4n0;0>6=<a:i96=4+18697f7<f8386=54i2a3>5<#90>1?n?4n0;0>4=<a:hm6=4+18697f7<f8386?54i2`f>5<#90>1?n?4n0;0>6=<a:i<6=4+18697f0<f8386=54i2a6>5<#90>1?n84n0;0>4=<a:i?6=4+18697f0<f8386?54i2a0>5<#90>1?n84n0;0>6=<gki1<7*>958af>h61:0;76ama;29 4?32kh0b<7<:098kg>=83.:594mb:l2=6<532ei;7>5$0;7>gd<f8386>54oc494?"61=0in6`>9287?>ie=3:1(<7;:c`8j4?42<10co:50;&2=1<ej2d:5>49;:ma7?6=,83?6ol4n0;0>2=<gk81<7*>958af>h61:0376am1;29 4?32kh0b<7<:898kg6=83.:594mb:l2=6<f32ejj7>5$0;7>gd<f8386o54o`f94?"61=0in6`>928`?>ifk3:1(<7;:c`8j4?42m10cll50;&2=1<ej2d:5>4j;:mbe?6=,83?6ol4n0;0>c=<gh31<7*>958af>h61:0:<65`a983>!7><3hi7c?63;32?>if?3:1(<7;:c`8j4?428807bo9:18'5<2=jk1e=4=51298kd3=83.:594mb:l2=6<6<21dm94?:%3:0?de3g;2?7?:;:m`7?6=,83?6ol4n0;0>40<3fi96=4+1869fg=i9091=:54ob394?"61=0in6`>9282<>=hk90;6)?64;`a?k7>;3;276amf;29 4?32kh0b<7<:0c8?jdb290/=4:5bc9m5<5=9k10coj50;&2=1<ej2d:5>4>c:9lf<<72-;287lm;o3:7?7c32eji7>5$0;7>gd<f8386<k4;nc0>5<#90>1no5a18195c=<gmo1<7*>958g`>h61:0;76akc;29 4?32mn0b<7<:098kag=83.:594kd:l2=6<532eo57>5$0;7>ab<f8386>54oe:94?"61=0oh6`>9287?>ic?3:1(<7;:ef8j4?42<10ci850;&2=1<cl2d:5>49;:mg1?6=,83?6ij4n0;0>2=<gm>1<7*>958g`>h61:0376ak3;29 4?32mn0b<7<:898ka4=83.:594kd:l2=6<f32eo=7>5$0;7>ab<f8386o54obd94?"61=0oh6`>928`?>idm3:1(<7;:ef8j4?42m10cnj50;&2=1<cl2d:5>4j;:m`g?6=,83?6ij4n0;0>c=<gjh1<7*>958g`>h61:0:<65`c`83>!7><3no7c?63;32?>id13:1(<7;:ef8j4?428807bm7:18'5<2=lm1e=4=51298kf1=83.:594kd:l2=6<6<21do;4?:%3:0?bc3g;2?7?:;:mf1?6=,83?6ij4n0;0>40<3fo?6=4+1869`a=i9091=:54od194?"61=0oh6`>9282<>=hm;0;6)?64;fg?k7>;3;276aj1;29 4?32mn0b<7<:0c8?jc7290/=4:5de9m5<5=9k10cih50;&2=1<cl2d:5>4>c:9l`g<72-;287jk;o3:7?7c32eo<7>5$0;7>ab<f8386<k4;na6>5<#90>1hi5a18195c=<glh1<7*>958fe>h61:0;76aj9;29 4?32lk0b<7<:098k`>=83.:594ja:l2=6<532en;7>5$0;7>`g<f8386>54og294?"61=0nj6`>9283?>ibm3:1(<7;:dd8j4?42810chj50;&2=1<bn2d:5>4=;:mfg?6=,83?6hh4n0;0>6=<uk;om7>53;294~"6i?0:9:5G3bd8L6de3-;;m7<?;hdf>5<<aol1<75`14094?=zj8ni6=4;:183\7f!7f>3;>56F<cg9K7gd<,8:j6??4igg94?=nno0;66g>0183>>i6=;0;66sm1ea94?3=83:p(<o9:07a?M5dn2B8no5+11c967=nnl0;66gif;29?l7783:17d??1;29?j72:3:17pl=4983>6<729q/=l851458L6ea3A9in6*>0`814>oam3:17dhi:188k4352900qo<;9;290?6=8r.:m;4>589K7f`<@:hi7)??a;02?l`b2900ekh50;9j556=831d=8<50;9~f72f290>6=4?{%3b2?72j2B8ok5G3c`8 46f2;80ekk50;9jbc<722c:<=4?::k244<722e:9?4?::\7fp0=d=83>pR96m;<0`7?`a348h87hi;<0ab?`b3ty?444?:8gxZ6c?3W9o56P;929]0<7<V:n37S:7e:\77<=Y<:k0R9;l;_1f2>X4m<1U?h:4^2g0?[5b:2T8i<5Q3d28Z6ba3W9oi6P<de9]0<c<V=3o7S:6c:\7=g=Y<0k0R976;_6:<>X31>1U8484^5;6?[2?02T?4:5Q4948Z1>23W>386P;829]0=4<V=2:7S:70:\73c=:;m:1jn523c3910=:;h2198523c2910=:;hl198523c;910=:;k21985228f913=::0i19;5228`913=::0k19;5228;913=::k:19;522`d913=::ho19;522`f913=::hi19;5230a913=:;8h19;5230c913=:;8319;5230:913=:;;l19;5233g913=:;;n19;5233a913=:;;h19;522ed913=::mn19;522e`913=::m319;522e5913=::m?19;522e1913=::m;19;522bd913=:;<i19;5234c913=:;<219;52344913=:;<>19;52340913=:;<:19;5235g913=:;=i19;523`790d=:;h?18o523`795<e<5:k>6<7k;<1b1?7f<278m84>a49>7d3=:j16?l;52g9>7d3=:l16?l;52e9>7d3=:?16?l;53b9>7d3=;o16?l;53d9>7d3=;m16?l;5379>7d3=?916?l;56d9>7d3=>j16?l;56c9>7d3=>h16?l;5689>7d3=>116?l;5669>7d3=>?16?l;5649>7d3=>=16?l;5629>7d3=>816?l;5619>7d3==o16?l;55d9>7d3=1816?l;58g9>7d3=0m16?l;58b9>7d3=0k16?l;58`9>7d3=0016?l;5899>7d3=0>16?l;5879>7d3=0<16?l;5859>7d3=0;16?l;5809>7d3=0916?l;57g9>7d3=?l16?l;57e9>7d3=?j16?l;57c9>7d3=?h16?l;5789>7d3=1116?l;5969>7d3=1?16?l;5949>7d3=1=16?l;5929>7d3=1;16?l;58d9>7d3=0:16?l;5799>7d3=1m16?l;59c9>7d3=i;16?l;5a19>7d3=1o16?l;59d9>7d3=;j=01>o::2a6?85f=39h863<a480g6=z{=2o6=4<{_6;`>;4j80:58523`:95<3<uz>887>53z\771=::j:1=484=3a0>cc<uz>j<7>54z\7e5=:;=:1jk5232d9b`=:;=;1jk5rs55f>5<3>rT?;h523`c90<=:;h3184523`:90<=:;k:184523`d90<=::kn184522b290<=::j;1845222c90<=:::31845222:90<=:::=1845222490<=:::?1845222690<=:::91845222090<=:::;1845229`90<=::1k1845229;90<=::121845229590<=::1<1845229790<=::1>1845229190<=::181845226590<=::><1845226790<=::>>1845226190<=::>81845226390<=::>:1845227d90<=::?o184521g790<=:9o>184521g190<=:9o8184521g390<=:9o:184521dd90<=:9lo184521df90<=:9li184522gd90<=::oo184522ga90<=::oh184522gf90<=::ok184522g;90<=::o=184522g490<=::o21845230190<=:;881845230390<=:;8:1845231d90<=:;9o1845231f90<=:;9i1845231`90<=:;9k184523`7914=z{=396=4={_6:6>;5k80:5;5rs515>5<5sW>8:63<3e82=3=z{=3?6=4;fz\7=1=:;k9184523c390<=:;k8184523c;90<=:;k21845232f90<=:;:i184521ba90<=:9jh184521bc90<=:9j3184521b:90<=:9j=184521b490<=:9j?184521b690<=:9j91845220f90<=::8i1845220`90<=::8k1845220;90<=::821845220590<=::8<1845220790<=::8>1845221;90<=::921845221590<=::9<1845221790<=::9>1845221190<=::981845221390<=::9:1845227190<=::?81845227390<=::?:1845224d90<=::<o1845224f90<=::<i1845224`90<=::<k1845236a90<=:;>h1845236;90<=:;>21845236c90<=:;>=1845236490<=:;>>1845236190<=:;>?1845239;90<=:;1=1845239:90<=:;1k1845239`90<=:;1o1845239a90<=:;1n1845239d90<=:;0:184523`690<=:;h9184523`090<=:;h;184523`290<=:;0l1845238g90<=:;0n1845238a90<=:;0h184523`7915=z{=826=4={_623>;4i<0nh6s|43594?4|V=;=70=n5;aa?xu3:?0;6?uQ407896g22jk0q~:=5;296~X39=16?l;5c89~w1432909wS:>3:?0e0<d02wx8?=50;0xZ175349j97m8;|q767<72;qU8<?4=2c6>f0<uz>9=7>52z\755=:;h?1i85rs511>5<5sW>:j63<a48f0>{t<:;1<7<t^53f?85f=3oh7p};3183>7}Y<8n01>o::d18yv25n3:1>vP;1b9>7d3=m;1v\7f9<j:181\7f[26j278m84j1:\7fp07b=838pR9?n;<1b1?c73ty?>n4?:3y]04?<5:k>6ih4}r61f?6=:rT?=5523`79`g=z{=8j6=4={_63b>;4i<0o<6s|43294?4|V=:n70=n5;a6?xu38=0;6?uQ3g0896g220k0q~:?2;296~X4n816?l;55e9~w1662909wS=i0:?0e0<2k2wx8=>50;0xZ6ca349j97;m;|q0bc<72;qU?hk4=2c6>0g<uz9mi7>52z\0aa=:;h?1945rs2dg>5<5sW9no63<a486<>{t;oi1<7<t^2ga?85f=3=<7p};0e83>7}Y;ok01>o::648yv27k3:1>vP<f89>7d3=101v\7f9>m:181\7f[5a0278m8485:\7fp05g=838pR>h8;<1b1?133ty?<44?:3y]7c0<5:k>6:=4}r63<?6=:rT8j8523`7937=z{=:<6=4={_1e0>;4i<0<=6s|41494?4|V:l870=n5;4g?xu38<0;6?uQ3dc896g22?80q~=ib;296~X4m016?l;5569~w43a290jw0=k1;3:5>;5100?j63=ab87b>;4910?j63<2c87b>;5m80?j63=cg87b>;4=l0?j63<4b87b>;4i<0m=6s|3`;94?5|5:kj68;4=2c:>4?1349j47:j;|q0ed<72;q6?lo5184896gd2oo0q~=m2;297~;4j:0>963<b087a>;4j;0:5;5rs2`0>5<5s49i?7?66:?0f0<am2wx?l650;1x96g>2<?01>o7:0;5?85fk3lm7p}<b083>6}:;k;1=484=2`1>03<5:h>6kh4}r1bf?6=;r78n=4>949>7d`=90?01>ol:0:e?xu4il0;6<6t=2`3>4?1348ih7?65:?1g5<61<16>n?5539>6c`==;16>kk5539>6ce==;16>kl5539>6cb==;16>ko5539>6c?==;16>k95539>6c0=90?01?h7:40896742<801>?=:40896762<801>??:408966a2<801>>j:408966c2<801>>l:408966e2<801>>n:408yv5fl3:1>4u23`d95<0<5;9j68<4=31:>04<5;9368<4=314>04<5;9=68<4=316>04<5;9?68<4=310>04<5;9968<4=312>04<5;2i68<4=3:b>04<5;2268<4=3:;>04<5;2<68<4=3:5>04<5;2>68<4=3:7>04<5;2868<4=3:1>04<5;=<68<4=355>04<5;=>68<4=357>04<5;=868<4=351>04<5;=:68<4=353>04<5;<m68<4=34f>04<58l>68<4=0d7>04<58l868<4=0d1>04<58l:68<4=0d3>04<58om68<4=0gf>04<58oo68<4=0g`>04<uz9i87>53z?0f<<61<16?o65187896d2282m7p}<b683>77|5:h26<79;<10`?7>=278?n4>949>72e==;16?:l5539>72?==;16?:65539>72g==;16?:95539>720==;16?::5187896142<801>9::40896>>2<801>68:0;6?85?03?970=7a;71?85?j3?970=7e;71?85?k3?970=7d;71?85?n3?970=60;71?85f<3?970=n3;71?85f:3?970=n1;71?85f83?970=6f;71?85>m3?970=6d;71?85>k3?970=6b;71?xu4j?0;6?7t=2`;>4?134;ho7;=;<3`f?3534;hm7;=;<3`=?3534;h47;=;<3`3?3534;h:7;=;<3`1?3534;h87;=;<3`7?35348:h7;=;<02g?35348:n7;=;<02e?35348:57;=;<02<?35348:;7;=;<022?35348:97;=;<020?35348;57;=;<03<?35348;;7;=;<032?35348;97;=;<030?35348;?7;=;<036?35348;=7;=;<034?35348=?7;=;<056?35348==7;=;<054?35348>j7;=;<06a?35348>h7;=;<06g?35348>n7;=;<06e?353ty9nh4?:2y>6gb==<16>n>5549>6g`=91l0q~<md;297~;5jm0:5;522b69b`=::kl1jk5rs3a1>5<5s48h=7;:;<0`7?7?n2wx?>k50;1x965c2<?01>=l:478965a282m7p}<3b83>1}:;:i1=484=263>cc<5:9m6kh4=262>cc<uz8247>52z?1=a<3n2795n4>8g9~w7g52909w0<6d;3:a>;5i>0:4k5rs3`a>5<5s482h7?7f:?1fc<6891v\7f?78:181\7f84>k3>m70<6b;3;b>{t:h;1<7<t=3;`>4?b348j:7?7f:\7fp6<0=838p1?7m:5d897?f282m7p}=a183>7}::0h1=4k4=3c6>4>a3ty9584?:3y>6<g=<o16>47519d8yv4>n3:1>v3=9`82=`=::h>1=5h4}r0:a?6=:r79544>9d9>6d5=91l0q~<nb;296~;5j90?j63=ag82<c=z{;h>6=4={<0a4?7>m279nl4>8g9~w7dd2909w0<m0;3;b>;5jo0:<<5rs3cb>5<5s48jj7:i;<0ba?7?n2wx>o:50;0x97ga283n70<m9;3;b>{t:h31<7<t=3cf>1`<5;ko6<6i;|q1f6<72;q6>lk518g897d?282m7p}=a983>7}::hn18k522`a95=`<uz8i>7>52z?1ea<61l16>o9519d8yv4e93:1>v3=ab82=`=::k<1=5h4}r123?6=:r78=n4;f:?05g<60o1v\7f><>:181\7f856k3;2i63<2782<c=z{:9j6=4={<12g?7?n278?k4>009~w6712909w0=>b;6e?856i3;3j6s|33294?4|5:;i6<7j;<111?7?n2wx?<;50;0x967f2=l01>?6:0:e?xu49o0;6?u230c95<c<5:8?6<6i;|q051<72;q6?<754g9>74>=91l0q~=>e;296~;4900:5h5233195=`<uz9:h7>52z?05=<61l16??<519d8yv55i3:1>v3<2g87b>;4:l0:4k5rs217>5<5s499j7?6e:?07<<60o1v\7f>=m:181\7f855n3;3j63<3g8245=z{:826=4={<11a?2a3499h7?7f:\7fp765=838p1><j:0;f?85403;3j6s|33:94?4|5:8o69h4=20`>4>a3ty8??4?:3y>77b=90o01>=8:0:e?xu4:>0;6?u233a90c=:;;h1=5h4}r105?6=:r78>n4>9d9>760=91l0q~=<0;296~;4:k0:5h5232795=`<uz8:?7>55z?2gf<2=279=i4>979>5fc=no16=i>5fd9>5a4=99:0q~?l2;297~;6kj0:5;5232;9bc=:;;<1jk5rs0ag>5<5s4;hn7;:;<3`a?7?n2wx=n?50;1x94ee283=70=<9;335>;4:?0:<<5rs0ae>5<5s4;hm7;:;<3g4?7?n2wx=n>50;1x94ef283=70=<8;de?855=3lm7p}>d083>=}:9j3198521e095=`<58n?6kh4=0f5>46734;o47??0:?2`a<68816=ih5113894c628::7p}>bg83>6}:9j31=484=21;>466349997??1:\7fp5a5=838p1<m7:47894b3282m7p}>bd83>6}:9j21=484=214>c`<5:8?6kh4}r3g1?6=:r7:o:4:5:?2`3<60o1v\7f<lk:180\7f87d?3;2:63<368244=:;;>1==?4}r3g3?6=:r7:o;4:5:?2`=<60o1v\7f<ll:180\7f87d>3;2:63<378eb>;4::0mj6s|1e;94?4|58i>68;4=0fg>4>a3ty:no4?:2y>5f3=90<01>=9:022?855;3;;=6s|1eg94?4|58i?68;4=0fe>4>a3ty:nl4?:2y>5f2=90<01>=::gd896452ol0q~?j0;296~;6k:0>963>e082<c=z{8h26=4<{<3`7?7>>278?84>009>774=99;0q~<71;291~;5;h0>963=8c82=3=:::i1jk5222g9b`=::=:1==>4}r004?6=;r79?l4>979>6gg=no16>l95fg9~w75e2909w0<<9;76?844k3;3j6s|23d94?5|5;926<79;<0ae?779279m:4>009~w75c2909w0<<8;76?844m3;3j6s|23g94?5|5;936<79;<0a=?`a348j:7hi;|q17c<721q6>>95549>616=91l01?:=:gd8972328:;70<;6;334>;5<k0:<<5225f9557<5;>m6<>>;|q16a<72:q6>>95184897d>28::70<n6;335>{t:=;1<7<t=315>03<5;>96<6i;|q16f<72:q6>>85184897d?2ol01?o::gd8yv43;3:1>v3=34861>;5<=0:4k5rs30a>5<4s48897?66:?1f=<68816>l;51138yv43=3:1>v3=35861>;5<?0:4k5rs30b>5<4s48887?66:?1f2<an279m94if:\7fp611=838p1?=<:478972e282m7p}=2883>6}:::91=484=3`4>466348j87??1:\7fp61e=838p1?==:478972c282m7p}=2983>6}:::81=484=3`5>c`<5;k86kh4}r07a?6=:r79?<4:5:?10c<60o1v\7f?<8:180\7f84493;2:63=b78244=::h91==?4}r05`?6=:r794o4:5:?132<61?1v\7f?8l:181\7f84?i3?>70<86;3:2>{t:1:1<7:t=3:b>4?13488o7hj;<00a?`a348?<7??1:\7fp63d=838p1?66:4789712283=7p}=7g83>6}::131=484=31f>467348?<7hj;|q12d<72;q6>565549>622=90<0q~<8e;296~;5010:5;522529bc=z{;<26=4={<0;3?32348<?7?66:\7fp62b=83=p1?68:0;5?843:3ln70<;4;de?843>3;;=63=4c8eb>;5<m0mj63=4g8eb>{t:?21<7<t=3:5>03<5;=96<79;|q13f<72?q6>585184897232oo01?:9:gg8972e2oo01?:k:gg8972a2oo0q~<97;296~;50<0>963=7082=3=z{;=i6=4:{<0;1?7>>2798;4if:?10=<am279844ie:?10d<am2wx>;850;0x97>32<?01?9?:0;5?xu5?h0;69u229695<0<5;>36kh4=36:>c`<5;>j6kh4}r051?6=:r794>4:5:?12c<61?1v\7f?96:180\7f84?;3;2:63=488245=::=k1==>4}r050?6=:r794?4:5:?12`<61?1v\7f?97:181\7f84?:3;2:63=4`8244=z{8lm6=4={<02`?32348;57?66:\7fp5cc=838p1??l:478976?283=7p}=1383>1}::8i1=484=0af>cc<58n;6kh4=0f1>4663ty:ji4?:3y>64d==<16>=951848yv4693:1?v3=1c82=3=:9m:1==>4=0f1>cc<uz;mo7>52z?15d<2=279<;4>979~w7772909w0<>a;3:2>;6l;0mj6s|1g`94?4|5;;268;4=326>4?13ty9<k4?:6y>64?=90<01<j;:gg894b12ol01<j7:022?87cl3lm70?kf;de?87b93lm7p}>f`83>7}::821985221695<0<uz8;i7>56z?15=<61?16=i85fd9>5a>=nl16=ij5fd9>5a`=nl16=h?5fd9~w4`>2909w0<>7;76?847;3;2:6s|21f94?3|5;;<6<79;<3g<?`a34;om7hj;<3gf?`b34;oo7hj;|q2b=<72;q6><85549>654=90<0q~<?c;290~;59?0:5;521ec9bc=:9mh1jk521ea9bc=z{8l<6=4={<021?32348;=7?66:\7fp65d=839p1??::0;5?87cj3;;<63>db8245=z{8l=6=4={<020?32348;<7?66:\7fp65g=838p1??;:0;5?87ck3;;=6s|24;94?4|5;=<68;4=340>4?13ty9954?:3y>620==<16>;<51848yv42?3:1>v3=74861>;5>80:5;5rs375>5<5s48<87;:;<054?7>>2wx>8;50;0x97142<?01?;i:0;5?xu5==0;6?u2260910=::<o1=484}r067?6=:r79;<4:5:?11a<61?1v\7f?;=:181\7f84083?>70<:c;3:2>{t:<;1<7<t=34e>03<5;?i6<79;|q115<72;q6>;k5549>60g=90<0q~?jb;296~;5800>963>f482=3=z{8oj6=4={<03<?3234;m87?66:\7fp5`?=838p1?>8:47894`4283=7p}>e983>7}::9<198521g095<0<uz;n;7>52z?140<2=27:j<4>979~w4c12909w0<?4;76?87a83;2:6s|1d794?4|5;:868;4=0ge>4?13ty:i94?:3y>654==<16=hk51848yv7b;3:1>v3=00861>;6mm0:5;5rs0g1>5<5s48;<7;:;<3fg?7>>2wx?=750;7x94`22<?01>?<:0;5?87f?3ln70<n7;df?85f=39j7p}=1d83>7}:9o>198521`595=`<uz8:j7>52z?2b6<2=27:m54>8g9~w7472909w0?i2;76?87f13;3j6s|23394?4|58l:68;4=0cb>4>a3ty9>?4?:3y>5c6==<16=ll519d8yv45;3:1>v3>eg861>;6ij0:4k5rs307>5<5s4;ni7;:;<3b`?7?n2wx>?;50;0x94cc2<?01<oj:0:e?xu5:?0;6?u21da910=:9hl1=5h4}r1:e?6=<r79:>4:5:?0e1<61?16=o>5fd9>7d3=:h1v\7f?6l:181\7f841:3?>70?m0;3;b>{t:1n1<7<t=342>03<58h:6<6i;|q1<`<72;q6>;>5549>5g4=91l0q~<7f;296~;5=o0>963>b282<c=z{;3;6=4={<06a?3234;i87?7f:\7fp6<7=838p1?;k:47894d2282m7p}=9383>7}::<i198521c495=`<uz82?7>52z?11g<2=27:n:4>8g9~w7?32909w0<:a;76?87e03;3j6s|3`494?71s48mj7:j;<0ea?2b348mo7:j;<0ef?2b348mh7:j;<0ee?2b348m57:j;<0e3?2b348m:7:j;<0e<?2b349:?7:j;<126?2b349:=7:j;<124?2b349;j7:j;<13a?2b349;h7:j;<13g?2b349;n7:j;<13e?2b348h87?7f:?0e0<a:2wx>nk50;0x97`a2<?01?kn:0:e?xu5n<0;69u22gd95<0<5:;868;4=3`b>cc<5;oi6kk4}r0``?6=:r79jh4:5:?1a<<60o1v\7f?h;:187\7f84am3;2:63<13861>;5jh0:<=522d29b`=z{;ii6=4={<0eg?32348n;7?7f:\7fp6c4=83>p1?hl:0;5?85683?>70<m9;334>;5lj0mi6s|2bc94?4|5;li68;4=3g5>4>a3ty9j<4?:5y>6cd=90<01>>i:47897d?2oo01?jn:gg8yv4dk3:1>v3=fe861>;5m10:4k5rs3d0>5<3s48mh7?66:?054<2=279n44ie:?1``<am2wx>n750;0x97`f2<?01?k::0:e?xu5n90;69u22gc95<0<5::n68;4=3`;>467348o47hj;|q1g=<72;q6>k75549>6`2=91l0q~<jf;290~;5n00:5;5231f910=::k=1jh522e49b`=z{;i=6=4={<0e3?32348n>7?7f:\7fp6`b=83>p1?h8:0;5?857j3?>70<m6;df?84c:3ln7p}=c483>7}::o<198522d395=`<uz8no7>54z?1b3<61?16?=o5549>6g0=99:01?j?:gg8yv4d?3:1>v3=f9861>;5m:0:4k5rs3gf>5<3s48m47?66:?04f<2=279n:4>019>6a2=nl1v\7f?ji:181\7f84bi3>m70<kf;3;b>{t:lh1<7<t=3gb>436348nn7?7f:\7fp6ab=839p1?k6:5d897ba2=l01?jk:0:e?xu5m90;6>u22d;9507<5;nm6<7j;<0f4?7?n2wx>il50;1x97c?2=l01?jk:5d897be282m7p}=dd83>6}::l21=8?4=3fg>4?b348oi7?7f:\7fp6a?=839p1?k8:5d897be2=l01?j6:0:e?xu5lj0;6>u22d59507<5;ni6<7j;<0gg?7?n2wx>i950;1x97c12=l01?j6:5d897b0282m7p}=d`83>6}::l<1=8?4=3f:>4?b348om7?7f:\7fp6a3=839p1?k::5d897b02=l01?j::0:e?xu5l10;6>u22d79507<5;n<6<7j;<0g<?7?n2wx>i=50;1x97c32=l01?j::5d897b4282m7p}=d783>6}::l>1=8?4=3f6>4?b348o:7?7f:\7fp6a7=839p1?k<:5d897b42=l01?j>:0:e?xu5l=0;6>u22d19507<5;n86<7j;<0g0?7?n2wx>nh50;1x97c52=l01?j>:5d897ea282m7p}=d383>6}::l81=8?4=3f2>4?b348o>7?7f:\7fp6a6=839p1?k>:072?84dn3;2i63=d182<c=z{::36=4:{<126?7>>27:m54ie:?2e2<an279m:4>019>7d3=;01v\7f>>8:186\7f85693;2:63>a88ea>;6i10mj63=a78ea>;4i<0846s|31494?3|5:;;6<79;<3be?`b34;j57hi;<0b2?778278m84<7:\7fp753=83?p1>>i:0;5?87fj3ln70?na;de?84f=3ln70=n5;66?xu48=0;68u231g95<0<58kh6kk4=0ca>c`<5;k>6<>?;<1b1?233ty8<>4?:4y>75b=90<01<ok:gg894gd2ol01?o;:gg896g22=90q~=?2;291~;48j0:5;521`g9b`=:9hn1jk522`69556<5:k>69<4}r135?6==r78<o4>979>5d`=nl16=lk5fg9>6d5=nl16?l;5409~w667290?w0=?a;3:2>;6io0mj63=a28245=:;h?18=5rs263>5<6nr78;n4;e:?03g<3m278;44;e:?03=<3m278;l4;e:?032<3m278;;4;e:?031<3m278;>4;e:?030<3m278444;e:?0<2<3m278454;e:?0<d<3m2784o4;e:?0<`<3m2784n4;e:?0<a<3m2784k4;e:?0=5<3m278m94;e:?0e6<3m278m?4;e:?0e4<3m278m=4;e:?0=c<3m2785h4;e:?0=a<3m2785n4;e:?0=g<3m2788<4>8g9~w62e2909w0=8c;76?851?3;3j6s|36094?2|5:=h6<79;<1:4?32349857hj;<15<?`b3ty88l4?:3y>72d==<16?;8519d8yv5093:18v3<7c82=3=:;1l1985232;9556<5:?o6kk4}r17<?6=:r78;44:5:?021<60o1v\7f>8i:187\7f85013;2:63<8e861>;4;10:<=5234;9b`=z{:><6=4={<14<?32349=?7?7f:\7fp73c=83>p1>97:0;5?85?k3?>70=<7;df?852?3ln7p}<4883>7}:;>k1985237795=`<uz9<<7>54z?03d<61?16?5k5549>76>=nl16?8l5fd9~w6212909w0=87;76?851:3;3j6s|37f94?2|5:=<6<79;<1;f?323498;7??0:?010<am2wx?9;50;0x96112<?01>8>:0:e?xu4>j0;69u236495<0<5:2j68;4=215>cc<5:?86kk4}r177?6=:r78;94:5:?01c<60o1v\7f>8n:187\7f850<3;2:63<89861>;4;<0mi63<4g8ea>{t;=81<7<t=250>03<5:?n6<6i;|q02<<72=q6?:=5184896>02<?01>=::023?853l3ln7p}<4583>7}:;>?1985237295=`<uz9=n7>54z?030<61?16?575549>760=99:01>;>:gg8yv52k3:1>v3<6687b>;4=j0:4k5rs24;>5<5s49=;7?:1:?02=<60o1v\7f>;n:180\7f851>3>m70=:c;6e?852i3;3j6s|34f94?5|5:<=6<;>;<16g?7>m2789i4>8g9~w63?2908w0=95;6e?852i3>m70=:8;3;b>{t;<h1<7=t=246>436349>m7?6e:?01g<60o1v\7f>;9:180\7f851<3>m70=:8;6e?852>3;3j6s|34;94?5|5:<?6<;>;<16<?7>m278944>8g9~w6332908w0=93;6e?852>3>m70=:4;3;b>{t;<=1<7=t=240>436349>:7?6e:?012<60o1v\7f>;=:180\7f851:3>m70=:4;6e?852:3;3j6s|34794?5|5:<96<;>;<160?7>m278984>8g9~w6372908w0=91;6e?852:3>m70=:0;3;b>{t;<91<7=t=242>436349>>7?6e:?016<60o1v\7f>:j:180\7f85183>m70=:0;6e?853m3;3j6s|34394?5|5:<;6<;>;<164?7>m2789<4>8g9~w62d2908w0=:f;6e?853m3>m70=;c;3;b>{t;=l1<7=t=27e>436349?i7?6e:?00c<60o1v\7f>:k:180\7f852m3;>=63<4b82=`=:;=n1=5h4}r14b?6=;r78444>979>7<b==<16??=51128yv50l3:1?v3<8682=3=:;0h198523309556<uz9<i7>53z?0<=<61?16?4m5549>774=nl1v\7f>6?:180\7f85?i3;2:63<9d861>;4::0mi6s|39394?5|5:2i6<79;<1:b?32349987??0:\7fp7=2=839p1>6j:0;5?85f:3?>70==5;df?xu40;0;6>u239a95<0<5:k;68;4=207>cc<uz93?7>53z?0<a<61?16?l?5549>773=99:0q~=75;297~;40o0:5;523`1910=:;;<1==>4}r1;2?6=;r785=4>979>7d2==<16??85fd9~w6?>290?w0=n3;3:2>;6j80mi63>b18eb>;4i<0956s|38:94?2|5:k96<79;<3a6?`b34;i=7hi;<1b1?4?3ty85:4?:5y>7d7=90<01<l<:gg894d52ol01>o::358yv5>>3:18v3<a182=3=:9k>1jh521c19bc=:;h?1?85rs2;6>5<3s492j7?66:?2f0<am27:n94if:?0e0<4<2wx?4:50;6x96?b283=70?m6;df?87e=3lm70=n5;10?xu41:0;69u238f95<0<58h<6kk4=0`5>c`<5:k>6><4}r1:6?6=<r785n4>979>5g>=nl16=o95fg9>7d3=;81v\7f>7>:180\7f85>j3;2:63>b98eb>;4i<08<6s|3`594?3|5:>;6<6i;<1b1?5d:278m84<c19>7d3=;kl01>o::2`f?xu6>=0;6?u21ef9556<58nj6<;=;|q223<72;q6=ih5112894be28?97p}>6883>7}:9l;1==>4=0f`>4353ty::o4?:3y>61d=99:01?:7:071?xu6>m0;6?u225f9556<5;>26<;=;|q22c<72;q6>9h51128972f28?97ps|41694?4|V:l970:8:2d1?!5ei3;3?6s|41094?4|V:l:70:8:2d2?!5ei3;386s|41394?4|V:l;70:8:2d3?!5ei3;396s|41294?4|V:om70:8:2ge?!5ei3;3m6s|3gd94?4|V:on70:8:2gf?!5ei3;=<6s|3gg94?4|V:oo70:8:2gg?!5ei3;=;6s|3gf94?4|V:oh70:8:2g`?!5ei3;<>6s|3ga94?4|V:oi70:8:2ga?!5ei3;<46s|41f94?4|V:lj70:8:2db?!5ei3;<56s|41a94?4|V:l270:8:2d:?!5ei3;<m6s|41`94?4|V:l370:8:2d;?!5ei3;<n6s|41c94?4|V:l<70:8:2d4?!5ei3;<o6s|41;94?4|V:l=70:8:2d5?!5ei3;<h6s|41:94?4|V:l>70:8:2d6?!5ei3;<i6s|41594?4|V:l?70:8:2d7?!5ei3;<j6s|41494?4|V:l870:8:2d0?!5ei3;3<6s|41794?4|V:oj70:8:2gb?!5ei3;3=6s|3g`94?4|V:o270:8:2g:?!5ei3;3>6s|46g94?4|V==n70:8:55f?!5ei3;3:6s|49`94?4|V=2i70:8:5:a?!5ei3;3;6s|49f94?4|V=2o70:8:5:g?!5ei3;346s|48694?4|V=3?70:8:5;7?!5ei3;356s|4`294?4|V=k;70:8:5c3?!5ei3;3n6s|43;94?4|V=;<70:8:534?!5ei3;==6s|43594?4|V=;=70:8:535?!5ei3;=>6s|43494?4|V=;>70:8:536?!5ei3;=?6s|43794?4|V=;?70:8:537?!5ei3;=86s|43694?4|V=;870:8:530?!5ei3;=96s|43194?4|V=;970:8:531?!5ei3;=:6s|43094?4|V=;:70:8:532?!5ei3;=46s|43394?4|V=;;70:8:533?!5ei3;=56s|42094?4|V=;m70:8:53e?!5ei3;=m6s|42394?4|V=;n70:8:53f?!5ei3;=n6s|42294?4|V=;o70:8:53g?!5ei3;=o6s|43d94?4|V=;h70:8:53`?!5ei3;=h6s|43g94?4|V=;i70:8:53a?!5ei3;=i6s|43f94?4|V=;j70:8:53b?!5ei3;=j6s|43a94?4|V=;270:8:53:?!5ei3;<<6s|43`94?4|V=;370:8:53;?!5ei3;<=6s|43c94?4|V=:m70:8:52e?!5ei3;<?6s|43294?4|V=:n70:8:52f?!5ei3;<86s|42694?4|V=9?70:8:517?!5ei3;<96s|42494?4|V=9=70:8:515?!5ei3;<:6s|48094?4|V=3970:8:5;1?!5ei3;<;6srn9;b>5<5sA9in6sa88`94?4|@:hi7p`79b83>7}O;kh0qc66d;296~N4jk1vb57j:181\7fM5ej2we44h50;0xL6de3td3m=4?:3yK7gd<ug2j=7>52zJ0fg=zf1k96=4={I1af>{i0h91<7<tH2`a?xh?i=0;6?uG3c`8yk>f=3:1>vF<bc9~j=g12909wE=mb:\7fm<d1=838pD>lm;|l;e=<72;qC?ol4}o:b=?6=:rB8no5rn9cb>5<5sA9in6sa8``94?4|@:hi7p`7ab83>7}O;kh0qc6nd;296~N4jk1vb5oj:181\7fM5ej2we4lh50;0xL6de3td3n=4?:3yK7gd<ug2i=7>52zJ0fg=zf1h96=4={I1af>{i0k91<7<tH2`a?xh?j=0;6?uG3c`8yk>e=3:1>vF<bc9~j=d12909wE=mb:\7fm<g1=838pD>lm;|l;f=<72;qC?ol4}o:a=?6=:rB8no5rn9`b>5<5sA9in6sa8c`94?4|@:hi7p`7bb83>7}O;kh0qc6md;296~N4jk1vb5lj:181\7fM5ej2we4oh50;0xL6de3td3o=4?:3yK7gd<ug2h=7>52zJ0fg=zf1i96=4={I1af>{i0j91<7<tH2`a?xh?k=0;6?uG3c`8yk>d=3:1>vF<bc9~j22e290:wE=mb:\7fm321=83;pD>lm;|l43=<728qC?ol4}o54=?6=9rB8no5rn65b>5<6sA9in6sa76`94?7|@:hi7p`87b83>4}O;kh0qc98d;295~N4jk1vb:9j:182\7fM5ej2we;:h50;3xL6de3td<4=4?:0yK7gd<ug=3=7>51zJ0fg=zf>296=4>{I1af>{i?191<7?tH2`a?xh00=0;6<uG3c`8yk1?=3:1=vF<bc9~j2>1290:wE=mb:\7fm3=1=83;pD>lm;|l4<=<728qC?ol4}o5;=?6=9rB8no5rn6:b>5<6sA9in6sa79`94?7|@:hi7p`88b83>4}O;kh0qc97d;295~N4jk1vb:6j:182\7fM5ej2we;5h50;3xL6de3td<5=4?:0yK7gd<ug=2=7>51zJ0fg=zf>396=4>{I1af>{i?091<7?tH2`a?xh01=0;6<uG3c`8yk1>=3:1=vF<bc9~j2?1290:wE=mb:\7fm3<1=83;pD>lm;|l4==<728qC?ol4}o5:=?6=9rB8no5rn6;b>5<6sA9in6sa78`94?7|@:hi7p`89b83>4}O;kh0qc96d;295~N4jk1vb:7j:182\7fM5ej2we;4h50;3xL6de3td<m=4?:0yK7gd<ug=j=7>51zJ0fg=zf>k96=4>{I1af>{i?h91<7?tH2`a?xh0i=0;6<uG3c`8yk1f=3:1=vF<bc9~j2g1290:wE=mb:\7fm3d1=83;pD>lm;|l4e=<728qC?ol4}o5b=?6=9rB8no5rn6cb>5<6sA9in6sa7``94?7|@:hi7p`8ab83>4}O;kh0qc9nd;295~N4jk1vb:oj:182\7fM5ej2we;lh50;3xL6de3td<n=4?:0yK7gd<ug=i=7>51zJ0fg=zf>h96=4>{I1af>{i?k91<7?tH2`a?xh0j=0;6<uG3c`8yk1e=3:1=vF<bc9~j2d1290:wE=mb:\7fm3g1=83;pD>lm;|l4f=<728qC?ol4}o5a=?6=9rB8no5rn6`b>5<6sA9in6sa7c`94?7|@:hi7p`8bb83>4}O;kh0qc9md;295~N4jk1vb:lj:182\7fM5ej2we;oh50;3xL6de3td<o=4?:0yK7gd<ug=h=7>51zJ0fg=zf>i96=4>{I1af>{i?j91<7?tH2`a?xh0k=0;6<uG3c`8yk1d=3:1=vF<bc9~j2e1290:wE=mb:\7fm3f1=83;pD>lm;|l4g=<728qC?ol4}o5`=?6=9rB8no5rn6ab>5<6sA9in6sa7b`94?7|@:hi7p`8cb83>4}O;kh0qc9ld;295~N4jk1vb:mj:182\7fM5ej2we;nh50;3xL6de3td<h=4?:0yK7gd<ug=o=7>51zJ0fg=zf>n96=4>{I1af>{i?m91<7?tH2`a?xh0l=0;6<uG3c`8yk1c=3:1=vF<bc9~j2b1290:wE=mb:\7fm3a1=83;pD>lm;|l4`=<728qC?ol4}o5g=?6=9rB8no5rn6fb>5<6sA9in6sa7e`94?7|@:hi7p`8db83>4}O;kh0qc9kd;295~N4jk1vb:jj:182\7fM5ej2we;ih50;3xL6de3td<i=4?:0yK7gd<ug=n=7>51zJ0fg=zf>o96=4>{I1af>{i?l91<7?tH2`a?xh0m=0;6<uG3c`8yk1b=3:1=vF<bc9~j2c1290:wE=mb:\7fm3`1=83;pD>lm;|l4a=<728qC?ol4}o5f=?6=9rB8no5rn6gb>5<6sA9in6sa7d`94?7|@:hi7p`8eb83>4}O;kh0qc9jd;295~N4jk1vb:kj:182\7fM5ej2we;hh50;3xL6de3td<j=4?:0yK7gd<ug=m=7>51zJ0fg=zf>l96=4>{I1af>{i?o91<7?tH2`a?xh0n=0;6<uG3c`8yk1a=3:1=vF<bc9~j2`1290:wE=mb:\7fm3c1=83;pD>lm;|l4b=<728qC?ol4}o5e=?6=9rB8no5rn6db>5<6sA9in6sa7g`94?7|@:hi7p`8fb83>4}O;kh0qc9id;295~N4jk1vb:hj:182\7fM5ej2we;kh50;3xL6de3td3<=4?:0yK7gd<ug2;=7>51zJ0fg=zf1:96=4>{I1af>{i0991<7?tH2`a?xh?8=0;6<uG3c`8yk>7=3:1=vF<bc9~j=61290:wE=mb:\7fm<51=83;pD>lm;|l;4=<728qC?ol4}o:3=?6=9rB8no5rn92b>5<6sA9in6sa81`94?7|@:hi7p`70b83>4}O;kh0qc6?d;295~N4jk1vb5>j:182\7fM5ej2we4=h50;3xL6de3td3==4?:0yK7gd<ug2:=7>51zJ0fg=zf1;96=4>{I1af>{i0891<7?tH2`a?xh?9=0;6<uG3c`8yk>6=3:1=vF<bc9~j=71290:wE=mb:\7fm<41=83;pD>lm;|l;5=<728qC?ol4}o:2=?6=9rB8no5rn93b>5<6sA9in6sa80`94?7|@:hi7p`71b83>4}O;kh0qc6>d;295~N4jk1vb5?j:182\7fM5ej2we4<h50;3xL6de3td3>=4?:0yK7gd<ug29=7>51zJ0fg=zf1896=4>{I1af>{i0;91<7?tH2`a?xh?:=0;6<uG3c`8yk>5=3:1=vF<bc9~j=41290:wE=mb:\7fm<71=83;pD>lm;|l;6=<728qC?ol4}o:1=?6=9rB8no5rn90b>5<6sA9in6sa83`94?7|@:hi7p`72b83>4}O;kh0qc6=d;295~N4jk1vb5<j:182\7fM5ej2we4?h50;3xL6de3td3?=4?:0yK7gd<ug28=7>51zJ0fg=zf1996=4>{I1af>{i0:91<7?tH2`a?xh?;=0;6<uG3c`8yk>4=3:1=vF<bc9~j=51290:wE=mb:\7fm<61=83;pD>lm;|l;7=<728qC?ol4}o:0=?6=9rB8no5rn91b>5<6sA9in6sa82`94?7|@:hi7p`73b83>4}O;kh0qc6<d;295~N4jk1vb5=j:182\7fM5ej2we4>h50;3xL6de3td38=4?:0yK7gd<ug2?=7>51zJ0fg=zf1>96=4>{I1af>{i0=91<7?tH2`a?xh?<=0;6<uG3c`8yk>3=3:1=vF<bc9~j=21290:wE=mb:\7fm<11=83;pD>lm;|l;0=<728qC?ol4}o:7=?6=9rB8no5rn96b>5<6sA9in6sa85`94?7|@:hi7p`74b83>4}O;kh0qc6;d;295~N4jk1vb5:j:182\7fM5ej2we49h50;3xL6de3td39=4?:0yK7gd<ug2>=7>51zJ0fg=zf1?96=4>{I1af>{i0<91<7?tH2`a?xh?==0;6<uG3c`8yk>2=3:1=vF<bc9~j=31290:wE=mb:\7fm<01=83;pD>lm;|l;1=<728qC?ol4}o:6=?6=9rB8no5rn97b>5<6sA9in6sa84`94?7|@:hi7p`75b83>4}O;kh0qc6:d;295~N4jk1vb5;j:182\7fM5ej2we48h50;3xL6de3td3:=4?:0yK7gd<ug2==7>51zJ0fg=zf1<96=4>{I1af>{i0?91<7?tH2`a?xh?>=0;6<uG3c`8yk>1=3:1=vF<bc9~j=01290:wE=mb:\7fm<31=83;pD>lm;|l;2=<728qC?ol4}o:5=?6=9rB8no5rn94b>5<6sA9in6sa87`94?7|@:hi7p`76b83>4}O;kh0qc69d;295~N4jk1vb58j:182\7fM5ej2we4;h50;3xL6de3td3;=4?:0yK7gd<ug2<=7>51zJ0fg=zf1=96=4>{I1af>{i0>91<7?tH2`a?xh??=0;6<uG3c`8yk>0=3:1=vF<bc9~j=11290:wE=mb:\7fm<21=83;pD>lm;|l;3=<728qC?ol4}o:4=?6=9rB8no5rn95b>5<6sA9in6sa86`94?7|@:hi7p`77b83>4}O;kh0qc68d;295~N4jk1vb59j:182\7fM5ej2we4:h50;3xL6de3td34=4?:0yK7gd<ug23=7>51zJ0fg=zf1296=4>{I1af>{i0191<7?tH2`a?xh?0=0;6<uG3c`8yk>?=3:1=vF<bc9~j=>1290:wE=mb:\7fm<=1=83;pD>lm;|l;<=<728qC?ol4}o:;=?6=9rB8no5rn9:b>5<6sA9in6sa89`94?7|@:hi7p`78b83>4}O;kh0qc67d;295~N4jk1vb56j:182\7fM5ej2we45h50;3xL6de3td35=4?:0yK7gd<ug22=7>51zJ0fg=zf1396=4>{I1af>{i0091<7?tH2`a?xh?1=0;6<uG3c`8yk>>=3:1=vF<bc9~j=?1290:wE=mb:\7fm<<1=83;pD>lm;|l;==<728qC?ol4}o::=?6=9rB8no5r}|\7fCDF}f9?0jil6>14g~DED|8tJK\vsO@
\ No newline at end of file