signal buf_REGIO_COMMON_CTRL_REG_OUT : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
signal reset_no_link : std_logic;
-
+signal buf_TIMER_TICKS : std_logic_vector(1 downto 0);
begin
MED_CTRL_OP_OUT(15) <= MED_STAT_OP_IN(15);
MED_CTRL_OP_OUT(14 downto 0) <= (others => '0');
-
+ TIMER_TICKS_OUT <= buf_TIMER_TICKS;
-------------------------------------------------------------------------------
--IO-Buffers
-------------------------------------------------------------------------------
STAT_IBUF_BUFFER => buf_STAT_INIT_BUFFER(32*(i+1)-1 downto i*32),
CTRL_GEN => buf_CTRL_GEN(32*(i+1)-1 downto i*32),
STAT_INIT_OBUF_DEBUG => buf_STAT_INIT_OBUF_DEBUG(32*(i+1)-1 downto i*32),
- STAT_REPLY_OBUF_DEBUG => buf_STAT_REPLY_OBUF_DEBUG(32*(i+1)-1 downto i*32)
+ STAT_REPLY_OBUF_DEBUG => buf_STAT_REPLY_OBUF_DEBUG(32*(i+1)-1 downto i*32),
+ TIMER_TICKS_IN => buf_TIMER_TICKS,
+ CTRL_STAT => (others => '0')
);
-------------------------------------------------------------------------------
GLOBAL_TIME => GLOBAL_TIME_OUT,
LOCAL_TIME => LOCAL_TIME_OUT,
TIME_SINCE_LAST_TRG => TIME_SINCE_LAST_TRG_OUT,
- TIMER_US_TICK => TIMER_TICKS_OUT(0),
- TIMER_MS_TICK => TIMER_TICKS_OUT(1),
+ TIMER_US_TICK => buf_TIMER_TICKS(0),
+ TIMER_MS_TICK => buf_TIMER_TICKS(1),
--Common Register in / out
COMMON_STAT_REG_IN => buf_COMMON_STAT_REG_IN,
COMMON_CTRL_REG_OUT => buf_REGIO_COMMON_CTRL_REG_OUT,