-<!DOCTYPE lattice_ecp5_fifo_18x16_dualport_oreg>
+<!DOCTYPE lattice_ecp3_fifo_18x16_dualport_oreg>
<lattice:project mode="SingleComponent">
<spirit:component>
<spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
<spirit:name>Diamond_Simulation</spirit:name>
<spirit:group>simulation</spirit:group>
<spirit:file>
- <spirit:name>./lattice_ecp5_fifo_18x16_dualport_oreg.vhd</spirit:name>
+ <spirit:name>./lattice_ecp3_fifo_18x16_dualport_oreg.vhd</spirit:name>
<spirit:fileType>vhdlSource</spirit:fileType>
</spirit:file>
</spirit:fileset>
<spirit:name>Diamond_Synthesis</spirit:name>
<spirit:group>synthesis</spirit:group>
<spirit:file>
- <spirit:name>./lattice_ecp5_fifo_18x16_dualport_oreg.vhd</spirit:name>
+ <spirit:name>./lattice_ecp3_fifo_18x16_dualport_oreg.vhd</spirit:name>
<spirit:fileType>vhdlSource</spirit:fileType>
</spirit:file>
</spirit:fileset>
<spirit:vendorExtensions>
<lattice:device>LFE5UM-85F-8BG381C</lattice:device>
<lattice:synthesis>synplify</lattice:synthesis>
- <lattice:date>2016-01-04.01:35:39 PM</lattice:date>
- <lattice:modified>2016-01-04.01:35:39 PM</lattice:modified>
+ <lattice:date>2016-01-11.11:48:08 AM</lattice:date>
+ <lattice:modified>2016-01-11.11:48:08 AM</lattice:modified>
<lattice:diamond>3.6.0.83.4</lattice:diamond>
<lattice:language>VHDL</lattice:language>
<lattice:attributes>
</lattice:lpcentry>
<lattice:lpcentry>
<lattice:lpckey>Date</lattice:lpckey>
- <lattice:lpcvalue lattice:resolve="constant">01/04/2016</lattice:lpcvalue>
+ <lattice:lpcvalue lattice:resolve="constant">01/11/2016</lattice:lpcvalue>
</lattice:lpcentry>
<lattice:lpcentry>
<lattice:lpckey>ModuleName</lattice:lpckey>
- <lattice:lpcvalue lattice:resolve="constant">lattice_ecp5_fifo_18x16_dualport_oreg</lattice:lpcvalue>
+ <lattice:lpcvalue lattice:resolve="constant">lattice_ecp3_fifo_18x16_dualport_oreg</lattice:lpcvalue>
</lattice:lpcentry>
<lattice:lpcentry>
<lattice:lpckey>ParameterFileVersion</lattice:lpckey>
</lattice:lpcentry>
<lattice:lpcentry>
<lattice:lpckey>Time</lattice:lpckey>
- <lattice:lpcvalue lattice:resolve="constant">13:35:37</lattice:lpcvalue>
+ <lattice:lpcvalue lattice:resolve="constant">11:48:07</lattice:lpcvalue>
</lattice:lpcentry>
<lattice:lpcentry>
<lattice:lpckey>VendorName</lattice:lpckey>
<lattice:lpcsection lattice:name="Command"/>
<lattice:lpcentry>
<lattice:lpckey>cmd_line</lattice:lpckey>
- <lattice:lpcvalue lattice:resolve="constant">-w -n lattice_ecp5_fifo_18x16_dualport_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -depth 16 -width 18 -rwidth 18 -regout -no_enable -reset_rel SYNC -pe -1 -pf 7</lattice:lpcvalue>
+ <lattice:lpcvalue lattice:resolve="constant">-w -n lattice_ecp3_fifo_18x16_dualport_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -depth 16 -width 18 -rwidth 18 -regout -no_enable -reset_rel SYNC -pe -1 -pf 7</lattice:lpcvalue>
</lattice:lpcentry>
</lattice:lpc>
<lattice:groups/>
<spirit:design>
<spirit:vendor>LATTICE</spirit:vendor>
<spirit:library>LOCAL</spirit:library>
- <spirit:name>lattice_ecp5_fifo_18x16_dualport_oreg</spirit:name>
+ <spirit:name>lattice_ecp3_fifo_18x16_dualport_oreg</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:componentInstances/>
<spirit:adHocConnections/>
-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.6.0.83.4
-- Module Version: 5.8
---/d/jspc29/lattice/diamond/3.6_x64/ispfpga/bin/lin64/scuba -w -n lattice_ecp5_fifo_18x16_dualport_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -depth 16 -width 18 -rwidth 18 -regout -no_enable -reset_rel SYNC -pe -1 -pf 7 -fdc /d/jspc22/trb/git/trbnet/lattice/ecp5/FIFO/lattice_ecp5_fifo_18x16_dualport_oreg/lattice_ecp5_fifo_18x16_dualport_oreg.fdc
+--/d/jspc29/lattice/diamond/3.6_x64/ispfpga/bin/lin64/scuba -w -n lattice_ecp3_fifo_18x16_dualport_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -depth 16 -width 18 -rwidth 18 -regout -no_enable -reset_rel SYNC -pe -1 -pf 7 -fdc /d/jspc22/trb/git/trbnet/lattice/ecp5/lattice_ecp3_fifo_18x16_dualport_oreg/lattice_ecp3_fifo_18x16_dualport_oreg.fdc
--- Mon Jan 4 13:35:39 2016
+-- Mon Jan 11 11:48:08 2016
library IEEE;
use IEEE.std_logic_1164.all;
library ecp5um;
use ecp5um.components.all;
-entity lattice_ecp5_fifo_18x16_dualport_oreg is
+entity lattice_ecp3_fifo_18x16_dualport_oreg is
port (
Data: in std_logic_vector(17 downto 0);
WrClock: in std_logic;
Empty: out std_logic;
Full: out std_logic;
AlmostFull: out std_logic);
-end lattice_ecp5_fifo_18x16_dualport_oreg;
+end lattice_ecp3_fifo_18x16_dualport_oreg;
-architecture Structure of lattice_ecp5_fifo_18x16_dualport_oreg is
+architecture Structure of lattice_ecp3_fifo_18x16_dualport_oreg is
-- internal signal declarations
signal invout_1: std_logic;
attribute MEM_LPC_FILE : string;
attribute MEM_INIT_FILE : string;
attribute GSR : string;
- attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "lattice_ecp5_fifo_18x16_dualport_oreg.lpc";
+ attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "lattice_ecp3_fifo_18x16_dualport_oreg.lpc";
attribute MEM_INIT_FILE of pdp_ram_0_0_0 : label is "";
attribute GSR of FF_57 : label is "ENABLED";
attribute GSR of FF_56 : label is "ENABLED";