signal enable_cfg_flash : std_logic;
- signal adc_csb_reg : std_logic_vector(12 downto 1) := x"000";
+ --signal adc_csb_reg : std_logic_vector(12 downto 1) := x"000";
begin
if spi_channel_i(4) = '0' then
case spi_channel_i(3 downto 0) is
when x"0" => spi_reg20_i <= x"00" & b"000" & leds;
- when x"1" => spi_reg20_i <= x"0" & adc_csb_reg;
+ --when x"1" => spi_reg20_i <= x"0" & adc_csb_reg;
when others => null;
end case;
else
if spi_write_i(2) = '1' then
case spi_channel_i(3 downto 0) is
when x"0" => leds <= spi_data_i(4 downto 0);
- when x"1" => adc_csb_reg <= spi_data_i(11 downto 0);
+ --when x"1" => adc_csb_reg <= spi_data_i(11 downto 0);
when others => null;
end case;
end if;
end process;
- adc_csb <= not adc_csb_reg; -- active low!
+ adc_csb <= (others => '0') when SPI_TRB_CLK(1) = '0' else (others => '1');
+ --not adc_csb_reg; -- active low!
---------------------------------------------------------------------------
-- Rest of the I/O