signal cts_ext_status : std_logic_vector(31 downto 0) := (others => '0');
signal cts_ext_control : std_logic_vector(31 downto 0);
signal cts_ext_debug : std_logic_vector(31 downto 0);
- signal cts_ext_header : std_logic_vector(1 downto 0);
+ signal cts_ext_header : std_logic_vector(1 downto 0) := "00";
signal cts_rdo_additional_data : std_logic_vector(32*cts_rdo_additional_ports-1 downto 0);
signal cts_rdo_additional_write : std_logic_vector(cts_rdo_additional_ports-1 downto 0) := (others => '0');
ADDON_GROUP_SELECTED_OUT => open,
EXT_TRIGGER_IN => cts_ext_trigger,
- EXT_STATUS_IN => (others => '0'),
- EXT_CONTROL_OUT => open,
- EXT_HEADER_BITS_IN => (others => '0'),
+ EXT_STATUS_IN => cts_ext_status,
+ EXT_CONTROL_OUT => cts_ext_control,
+ EXT_HEADER_BITS_IN => cts_ext_header,
EXT_FORCE_TRIGGER_INFO_IN => (others => '0'),
PERIPH_TRIGGER_IN => (others => '0'),