constant INCLUDE_UART : integer := c_NO;
constant INCLUDE_SPI : integer := c_YES;
constant INCLUDE_ADC : integer := c_NO;
- constant USE_I2C : integer := c_NO;
+ constant INCLUDE_I2C : integer := c_NO;
constant INCLUDE_DEBUG_INTERFACE: integer := c_NO;
--input monitor and trigger generation logic
t(15) := '1'; --TDC
t(17 downto 16) := std_logic_vector(to_unsigned(NUM_TDC_MODULES-1,2));
t(28 downto 28) := std_logic_vector(to_unsigned(1-SERDES_NUM,1));
- t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
t(44 downto 44) := std_logic_vector(to_unsigned(INCLUDE_STATISTICS,1));
nodelist_file => '../nodelist_frankfurt.txt',
pinout_file => 'trb5sc_tdc',
par_options => '../par.p2t',
+mapper_options => ' ',
#Include only necessary lpf files
// nodes file for parallel place&route
-[jspc37]
+[jspc85]
SYSTEM = linux
CORENUM = 7
ENV = /d/jspc29/lattice/310_settings.sh
#-m nodelist.txt # Controlled by the compile.pl script.
#-n 1 # Controlled by the compile.pl script.
-s 10
--t 11
+-t 8
-c 2
-e 2
-i 10
# parPlcInLimit Cannot find in the online help
# parPlcInNeighborSize Cannot find in the online help
-exp parHold=ON:parHoldLimit=10000:parCDP=1:parCDR=1:parPathBased=OFF:paruseNBR=1
+
+#-exp parCDP=1:parCDR=1:parPlcInLimit=0:parPlcInNeighborSize=1:parPathBased=ON:parHold=ON:parHoldLimit=10000:paruseNBR=1
project -result_file "workdir/trb5sc_template.edf"
set_option log_file "workdir/trb5sc_project.srf"
#implementation attributes
-
+set_option -vhdl2008 1
set_option -vlog_std v2001
set_option -project_relative_includes 1
impl -active "workdir"
#########################################
#channel 0, backplane
-add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan0_0/serdes_sync_0.vhd"
+#add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan0_0/serdes_sync_0.vhd"
#channel 1, SFP
-#add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan0_1/serdes_sync_0.vhd"
+add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan0_1/serdes_sync_0.vhd"
##########################################
add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/pcs.vhd"
THE_MEDIA_INTERFACE : entity work.med_ecp5_sfp_sync
generic map(
SERDES_NUM => 0,
+ USE_NEW_ECP5_RESET => 0,
IS_SYNC_SLAVE => c_YES
)
port map(
-------------------------------------------------------------------------------
-- No trigger/data endpoint included
-------------------------------------------------------------------------------
--- readout_tx(0).data_finished <= '1';
--- readout_tx(0).data_write <= '0';
--- readout_tx(0).busy_release <= '1';
+readout_tx(0).data_finished <= '1';
+readout_tx(0).data_write <= '0';
+readout_tx(0).busy_release <= '1';
end architecture;