--- VHDL netlist generated by SCUBA Diamond (64-bit) 3.6.0.83.4
+-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.7.0.96.1
-- Module Version: 5.7
---/d/jspc29/lattice/diamond/3.6_x64/ispfpga/bin/lin64/scuba -w -n pll_240_100 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type pll -fin 240 -fclkop 200 -fclkop_tol 0.0 -fclkos 100.00 -fclkos_tol 0.0 -phases 0 -bypass_divs2 -fclkos3 120.00 -fclkos3_tol 0.0 -phases3 0 -phase_cntl STATIC -lock -fb_mode 1 -fdc /d/jspc22/trb/git/dirich/cores/pll_240_100/pll_240_100.fdc
+--/d/jspc29/lattice/diamond/3.7_x64/ispfpga/bin/lin64/scuba -w -n pll_240_100 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type pll -fin 200 -bypassp -fclkos 100.00 -fclkos_tol 0.0 -fclkos2 200 -fclkos2_tol 0.0 -phases2 0 -fclkos3 120.00 -fclkos3_tol 0.0 -phases3 0 -phase_cntl STATIC -lock -fb_mode 2
--- Wed Jan 6 14:19:54 2016
+-- Fri Mar 18 16:38:06 2016
library IEEE;
use IEEE.std_logic_1164.all;
signal REFCLK: std_logic;
signal CLKOS3_t: std_logic;
signal CLKOS2_t: std_logic;
- signal CLKOS_t: std_logic;
signal CLKOP_t: std_logic;
+ signal CLKOS_t: std_logic;
signal scuba_vhi: std_logic;
signal scuba_vlo: std_logic;
attribute ICP_CURRENT : string;
attribute LPF_RESISTOR : string;
attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "120.000000";
- attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "240.000000";
+ attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "200.000000";
attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "100.000000";
attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "200.000000";
- attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "240.000000";
- attribute ICP_CURRENT of PLLInst_0 : label is "12";
- attribute LPF_RESISTOR of PLLInst_0 : label is "8";
+ attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "200.000000";
+ attribute ICP_CURRENT of PLLInst_0 : label is "9";
+ attribute LPF_RESISTOR of PLLInst_0 : label is "72";
attribute syn_keep : boolean;
attribute NGD_DRC_MASK : integer;
attribute NGD_DRC_MASK of Structure : architecture is 1;
generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED",
STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED",
CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 4, CLKOS2_FPHASE=> 0,
- CLKOS2_CPHASE=> 0, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 5,
- CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 2, PLL_LOCK_MODE=> 0,
+ CLKOS2_CPHASE=> 2, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 5,
+ CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 0, PLL_LOCK_MODE=> 0,
CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING",
CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING",
OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "ENABLED",
OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "ENABLED",
OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "ENABLED",
- OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 5,
- CLKOS2_DIV=> 1, CLKOS_DIV=> 6, CLKOP_DIV=> 3, CLKFB_DIV=> 5,
- CLKI_DIV=> 6, FEEDBK_PATH=> "CLKOP")
- port map (CLKI=>CLKI, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo,
+ OUTDIVIDER_MUXA=> "REFCLK", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 5,
+ CLKOS2_DIV=> 3, CLKOS_DIV=> 6, CLKOP_DIV=> 1, CLKFB_DIV=> 1,
+ CLKI_DIV=> 2, FEEDBK_PATH=> "CLKOS")
+ port map (CLKI=>CLKI, CLKFB=>CLKOS_t, PHASESEL1=>scuba_vlo,
PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo,
PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo,
STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo,
signal sed_error_i : std_logic;
signal clock_select : std_logic;
signal bus_master_active : std_logic;
+ signal flash_clk_i : std_logic;
signal spi_cs, spi_mosi, spi_miso, spi_clk : std_logic_vector(15 downto 0);
attribute syn_keep of GSR_N : signal is true;
attribute syn_preserve of GSR_N : signal is true;
+
+ component usrmclk
+ port(
+ USRMCLKI : in std_ulogic;
+ USRMCLKTS : in std_ulogic
+ );
+ end component;
+
+
begin
---------------------------------------------------------------------------
--Flash & Reload
FLASH_CS => FLASH_CS,
- FLASH_CLK => FLASH_CLK,
+ FLASH_CLK => flash_clk_i,
FLASH_IN => FLASH_OUT,
FLASH_OUT => FLASH_IN,
PROGRAMN => PROGRAMN,
DEBUG_OUT => debug_tools
);
+
+THE_FLASH_CLOCK : usrmclk
+ port map(
+ USRMCLKI => flash_clk_i,
+ USRMCLKTS => '0'
+ );
+
---------------------------------------------------------------------------
-- PWM / Thresh
---------------------------------------------------------------------------