--- /dev/null
+// sgmii_pcs_core_beh_pp.v generated by Lattice IP Model Creator version 1\r
+// created on Fri Mar 23 11:01:38 CST 2012\r
+// Copyright(c) 2007 Lattice Semiconductor Corporation. All rights reserved\r
+// obfuscator_exe version 1.mar0807\r
+// top\r
+`define SGMII_NO_ENC\r
+`define SGMII_YES_CTC_DYNAMIC\r
+`define SGMII_FIFO_FAMILY_ECP3\r
+`define SGMII_YES_SINGLE_CLOCK\r
+`timescale 1 ns / 100 ps\r
+module vi7cf1f (uve78fb, an3c7dd, fne3eec, ie1f767, zkfbb38, xjdd9c2,\r
+ rtece16, ay670b6, tj385b0, blc2d86, lf16c36, wyb61b7, zzb0db9,\r
+ vk86dcf);\r
+input [17:0] uve78fb;\r
+input an3c7dd;\r
+input fne3eec;\r
+input ie1f767;\r
+input zkfbb38;\r
+input xjdd9c2;\r
+input rtece16;\r
+input [9:0] ay670b6;\r
+input [9:0] tj385b0;\r
+output [17:0] blc2d86;\r
+output lf16c36;\r
+output wyb61b7;\r
+output zzb0db9;\r
+output vk86dcf;\r
+reg [17 : 0] icc175e;\r
+reg ngbaf0;\r
+reg fa5d782;\r
+reg faebc13;\r
+reg of5e09b;\r
+reg uif04dc;\r
+reg co826e0;\r
+reg [9 : 0] xl13701;\r
+reg [9 : 0] nt9b80e;\r
+reg [2047:0] shdc077;\r
+wire [8:0] eae03bd;\r
+localparam ba1de9 = 9,ngef4b = 32'hfdffc68b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+AND2 gq98434 (.A(ie1f767), .B(uk10d0f), .Z(ng86878)); INV aa343c2 (.A(ana1e10), .Z(uk10d0f)); AND2 go78421 (.A(zkfbb38), .B(mg10847), .Z(db84238)); INV ym211c6 (.A(gd8e31), .Z(mg10847)); OR2 vx38c6b (.A(xjdd9c2), .B(rtece16), .Z(ou8d7e9)); XOR2 pf6bf48 (.A(rt5fa47), .B(yxfd23f), .Z(pfe91fc)); XOR2 ps48fe7 (.A(yxfd23f), .B(tw3f9da), .Z(blfced2)); XOR2 qte7697 (.A(tw3f9da), .B(psda5e1), .Z(fnd2f0a)); XOR2 aa97857 (.A(psda5e1), .B(vie15c8), .Z(gqae43)); XOR2 by57218 (.A(vie15c8), .B(dzc8610), .Z(cm43086)); XOR2 wl18431 (.A(dzc8610), .B(ec10c6f), .Z(pu86378)); XOR2 uk31bc3 (.A(ec10c6f), .B(gb6f0c9), .Z(qg7864c)); XOR2 czc3260 (.A(gb6f0c9), .B(uvc982f), .Z(me4c17d)); XOR2 yx60be8 (.A(uvc982f), .B(fc2fa21), .Z(me7d10b)); XOR2 wje8858 (.A(fc2fa21), .B(ym21624), .Z(fcb121)); XOR2 xw5890b (.A(osc485a), .B(mg242d1), .Z(mg21689)); XOR2 ngb449 (.A(mg242d1), .B(wwd125e), .Z(hq892f1)); XOR2 ic4978a (.A(wwd125e), .B(uv5e284), .Z(rgf1424)); XOR2 gd8a122 (.A(uv5e284), .B(ph848ae), .Z(uk24577)); XOR2 bn22bb9 (.A(ph848ae), .B(anaee78), .Z(yk773c5)); XOR2 mgb9e29 (.A(anaee78), .B(ay78a4b), .Z(hoc5259)); XOR2 gd292ca (.A(ay78a4b), .B(kd4b2a8), .Z(kq59545)); XOR2 tucaa29 (.A(kd4b2a8), .B(lsa8a57), .Z(hb452ba)); XOR2 gd295d6 (.A(lsa8a57), .B(ho575ae), .Z(phbad72)); XOR2 uvd6b96 (.A(ho575ae), .B(anae5af), .Z(ui72d7c)); defparam do96be0.initval = 16'h6996 ; ROM16X1 do96be0 (.AD3(fpaf81f), .AD2(ne7c0ff), .AD1(cme07fa), .AD0(nt3fd1), .DO0(aa1fe8e)) ; defparam qgff471.initval = 16'h6996 ; ROM16X1 qgff471 (.AD3(med1c49), .AD2(db8e248), .AD1(jp71247), .AD0(tw8923e), .DO0(ay491f2)) ; defparam fa48f90.initval = 16'h6996 ; ROM16X1 fa48f90 (.AD3(cme07fa), .AD2(nt3fd1), .AD1(oh9068d), .AD0(oh9068d), .DO0(qi1a36b)) ; defparam kqd1b58.initval = 16'h6996 ; ROM16X1 kqd1b58 (.AD3(ne7c0ff), .AD2(cme07fa), .AD1(nt3fd1), .AD0(oh9068d), .DO0(ba139a)) ; defparam lf9cd2.initval = 16'h6996 ; ROM16X1 lf9cd2 (.AD3(tw8923e), .AD2(fpaf81f), .AD1(ne7c0ff), .AD0(qi1a36b), .DO0(xybd739)) ; defparam faeb9ca.initval = 16'h6996 ; ROM16X1 faeb9ca (.AD3(jp71247), .AD2(tw8923e), .AD1(fpaf81f), .AD0(ba139a), .DO0(wy98918)) ; defparam goc48c0.initval = 16'h6996 ; ROM16X1 goc48c0 (.AD3(db8e248), .AD2(jp71247), .AD1(tw8923e), .AD0(aa1fe8e), .DO0(ph9952)) ; defparam yk4ca97.initval = 16'h6996 ; ROM16X1 yk4ca97 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(oh9068d), .AD0(oh9068d), .DO0(jpcd4c1)) ; defparam al6a60e.initval = 16'h6996 ; ROM16X1 al6a60e (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rie809), .AD0(oh9068d), .DO0(bna0256)) ; defparam do12b7.initval = 16'h6996 ; ROM16X1 do12b7 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rib75b1), .AD0(rie809), .DO0(ofd6c5e)) ; defparam ukb62f5.initval = 16'h6996 ; ROM16X1 ukb62f5 (.AD3(nt8bd4c), .AD2(rib75b1), .AD1(rie809), .AD0(oh9068d), .DO0(su4cd40)) ; defparam xw66a01.initval = 16'h6996 ; ROM16X1 xw66a01 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(su4cd40), .AD0(oh9068d), .DO0(ld5a793)) ; defparam yxd3c9e.initval = 16'h6996 ; ROM16X1 yxd3c9e (.AD3(gbf27ae), .AD2(zm93d75), .AD1(hd9ebab), .AD0(uif5d5f), .DO0(iraeaf9)) ; defparam mr757cd.initval = 16'h6996 ; ROM16X1 mr757cd (.AD3(pf5f365), .AD2(fnf9b2e), .AD1(xjcd977), .AD0(lq6cbbf), .DO0(gb65dfa)) ; defparam mg2efd4.initval = 16'h6996 ; ROM16X1 mg2efd4 (.AD3(hd9ebab), .AD2(uif5d5f), .AD1(oh9068d), .AD0(oh9068d), .DO0(sj8e0d)) ; defparam xw4706d.initval = 16'h6996 ; ROM16X1 xw4706d (.AD3(zm93d75), .AD2(hd9ebab), .AD1(uif5d5f), .AD0(oh9068d), .DO0(al5e34f)) ; defparam nef1a7c.initval = 16'h6996 ; ROM16X1 nef1a7c (.AD3(lq6cbbf), .AD2(gbf27ae), .AD1(zm93d75), .AD0(sj8e0d), .DO0(ux18596)) ; defparam xjc2cb5.initval = 16'h6996 ; ROM16X1 xjc2cb5 (.AD3(xjcd977), .AD2(lq6cbbf), .AD1(gbf27ae), .AD0(al5e34f), .DO0(vi764fd)) ; defparam pub27eb.initval = 16'h6996 ; ROM16X1 pub27eb (.AD3(fnf9b2e), .AD2(xjcd977), .AD1(lq6cbbf), .AD0(iraeaf9), .DO0(medbb14)) ; defparam lddd8a1.initval = 16'h6996 ; ROM16X1 lddd8a1 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(oh9068d), .AD0(oh9068d), .DO0(ay772e2)) ; defparam cob9711.initval = 16'h6996 ; ROM16X1 cob9711 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(ng1100c), .AD0(oh9068d), .DO0(zx40318)) ; defparam tw18c6.initval = 16'h6996 ; ROM16X1 tw18c6 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(mrc6794), .AD0(ng1100c), .DO0(lf9e52c)) ; defparam yxf2966.initval = 16'h6996 ; ROM16X1 yxf2966 (.AD3(vxa59a6), .AD2(mrc6794), .AD1(ng1100c), .AD0(oh9068d), .DO0(swa6d19)) ; defparam kf368c9.initval = 16'h6996 ; ROM16X1 kf368c9 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(swa6d19), .AD0(oh9068d), .DO0(xj641e9)) ; XOR2 xy20f4f (.A(ym21624), .B(uif5d5f), .Z(jpe9ea6)); XOR2 rt4f536 (.A(nt3fd1), .B(anae5af), .Z(iea6de0)); defparam ir36f01.initval = 16'h0410 ; ROM16X1 ir36f01 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(su6205e)) ; defparam ec102f0.initval = 16'h1004 ; ROM16X1 ec102f0 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(fce507)) ; defparam ww7283b.initval = 16'h0140 ; ROM16X1 ww7283b (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(fnc7d4e)) ; defparam ou3ea76.initval = 16'h4001 ; ROM16X1 ou3ea76 (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(cb8011e)) ; INV qi8f4 (.A(do47a1), .Z(an23d0a)); AND2 vk1e855 (.A(dmf42ab), .B(an23d0a), .Z(ecaad5)); AND2 nr556a8 (.A(dmf42ab), .B(do47a1), .Z(fad51e7)); defparam baa8f3b.CSDECODE_R = 3'b000 ; defparam baa8f3b.CSDECODE_W = 3'b000 ; defparam baa8f3b.GSR = "ENABLED" ; defparam baa8f3b.RESETMODE = "ASYNC" ; defparam baa8f3b.REGMODE = "NOREG" ; defparam baa8f3b.DATA_WIDTH_R = 18 ; defparam baa8f3b.DATA_WIDTH_W = 18 ; PDP16KA baa8f3b (.DI0(uve78fb[0]), .DI1(uve78fb[1]), .DI2(uve78fb[2]), .DI3(uve78fb[3]), .DI4(uve78fb[4]), .DI5(uve78fb[5]), .DI6(uve78fb[6]), .DI7(uve78fb[7]), .DI8(uve78fb[8]), .DI9(uve78fb[9]), .DI10(uve78fb[10]), .DI11(uve78fb[11]), .DI12(uve78fb[12]), .DI13(uve78fb[13]), .DI14(uve78fb[14]), .DI15(uve78fb[15]), .DI16(uve78fb[16]), .DI17(uve78fb[17]), .DI18(uve78fb[0]), .DI19(uve78fb[1]), .DI20(uve78fb[2]), .DI21(uve78fb[3]), .DI22(uve78fb[4]), .DI23(uve78fb[5]), .DI24(uve78fb[6]), .DI25(uve78fb[7]), .DI26(uve78fb[8]), .DI27(uve78fb[9]), .DI28(uve78fb[10]), .DI29(uve78fb[11]), .DI30(uve78fb[12]), .DI31(uve78fb[13]), .DI32(uve78fb[14]), .DI33(uve78fb[15]), .DI34(uve78fb[16]), .DI35(uve78fb[17]), .ADW0(oh3c44c), .ADW1(oh3c44c), .ADW2(oh9068d), .ADW3(oh9068d), .ADW4(me4c91b), .ADW5(dz648d9), .ADW6(ou246ce), .ADW7(ep23671), .ADW8(xy1b38c), .ADW9(qtd9c62), .ADW10(kqce314), .ADW11(nr718a1), .ADW12(do8c50f), .ADW13(cm6287a), .CEW(ng86878), .CLKW(an3c7dd), .WE(oh3c44c), .CSW0(oh9068d), .CSW1(oh9068d), .CSW2(oh9068d), .ADR0(oh9068d), .ADR1(oh9068d), .ADR2(oh9068d), .ADR3(oh9068d), .ADR4(yx4e2a2), .ADR5(vv71515), .ADR6(mt8a8ae), .ADR7(yx54574), .ADR8(xya2ba5), .ADR9(wy15d2b), .ADR10(epae958), .ADR11(hb74ac5), .ADR12(jra562f), .ADR13(ou2b17f), .CER(db84238), .CLKR(fne3eec), .CSR0(oh9068d), .CSR1(oh9068d), .CSR2(oh9068d), .RST(xjdd9c2), .DO0(blc2d86[0]), .DO1(blc2d86[1]), .DO2(blc2d86[2]), .DO3(blc2d86[3]), .DO4(blc2d86[4]), .DO5(blc2d86[5]), .DO6(blc2d86[6]), .DO7\r
+(blc2d86[7]), .DO8(blc2d86[8]), .DO9(blc2d86[9]), .DO10(blc2d86[10]), .DO11(blc2d86[11]), .DO12(blc2d86[12]), .DO13(blc2d86[13]), .DO14(blc2d86[14]), .DO15(blc2d86[15]), .DO16(blc2d86[16]), .DO17(blc2d86[17]), .DO18(), .DO19(), .DO20(), .DO21(), .DO22(), .DO23(), .DO24(), .DO25(), .DO26(), .DO27(), .DO28(), .DO29(), .DO30(), .DO31(), .DO32(), .DO33(), .DO34(), .DO35()) ; defparam vi478a0.GSR = "ENABLED" ; FD1P3BX vi478a0 (.D(mre2814), .SP(ng86878), .CK(an3c7dd), .PD(xjdd9c2), .Q(rt5fa47)) ; defparam hda57e2.GSR = "ENABLED" ; FD1P3DX hda57e2 (.D(ld5f8b9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(yxfd23f)) ; defparam hocfd61.GSR = "ENABLED" ; FD1P3DX hocfd61 (.D(mef5856), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tw3f9da)) ; defparam irb13c0.GSR = "ENABLED" ; FD1P3DX irb13c0 (.D(vv4f023), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(psda5e1)) ; defparam ou1f0d5.GSR = "ENABLED" ; FD1P3DX ou1f0d5 (.D(yxc3544), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(vie15c8)) ; defparam hq252d5.GSR = "ENABLED" ; FD1P3DX hq252d5 (.D(al4b55d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dzc8610)) ; defparam kdedeea.GSR = "ENABLED" ; FD1P3DX kdedeea (.D(hb7baa4), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ec10c6f)) ; defparam sj26e64.GSR = "ENABLED" ; FD1P3DX sj26e64 (.D(mgb992f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gb6f0c9)) ; defparam ne79b92.GSR = "ENABLED" ; FD1P3DX ne79b92 (.D(fn6e49f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(uvc982f)) ; defparam vife21d.GSR = "ENABLED" ; FD1P3DX vife21d (.D(uk8875a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fc2fa21)) ; defparam dmd0156.GSR = "ENABLED" ; FD1P3DX dmd0156 (.D(wy559d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ym21624)) ; defparam she8601.GSR = "ENABLED" ; FD1P3DX she8601 (.D(pfe91fc), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fa69a9c)) ; defparam jc4d4e2.GSR = "ENABLED" ; FD1P3DX jc4d4e2 (.D(blfced2), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fp942e5)) ; defparam cba172f.GSR = "ENABLED" ; FD1P3DX cba172f (.D(fnd2f0a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(eaea018)) ; defparam dz500c0.GSR = "ENABLED" ; FD1P3DX dz500c0 (.D(gqae43), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gd3cf5c)) ; defparam ene7ae5.GSR = "ENABLED" ; FD1P3DX ene7ae5 (.D(cm43086), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ld6e606)) ; defparam fa73036.GSR = "ENABLED" ; FD1P3DX fa73036 (.D(pu86378), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(pu997a9)) ; defparam gocbd4b.GSR = "ENABLED" ; FD1P3DX gocbd4b (.D(qg7864c), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dmc506a)) ; defparam bn28352.GSR = "ENABLED" ; FD1P3DX bn28352 (.D(me4c17d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(twbd919)) ; defparam fnec8cb.GSR = "ENABLED" ; FD1P3DX fnec8cb (.D(me7d10b), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ipf79c5)) ; defparam ksbce2c.GSR = "ENABLED" ; FD1P3DX ksbce2c (.D(fcb121), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(zzceee)) ; defparam ay67777.GSR = "ENABLED" ; FD1P3DX ay67777 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tuc0cca)) ; defparam db6653.GSR = "ENABLED" ; FD1P3DX db6653 (.D(rt5fa47), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(me4c91b)) ; defparam pseb66c.GSR = "ENABLED" ; FD1P3DX pseb66c (.D(yxfd23f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dz648d9)) ; defparam cba4eca.GSR = "ENABLED" ; FD1P3DX cba4eca (.D(tw3f9da), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ou246ce)) ; defparam aa31aa0.GSR = "ENABLED" ; FD1P3DX aa31aa0 (.D(psda5e1), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ep23671)) ; defparam xj45bd5.GSR = "ENABLED" ; FD1P3DX xj45bd5 (.D(vie15c8), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(xy1b38c)) ; defparam jcf48be.GSR = "ENABLED" ; FD1P3DX jcf48be (.D(dzc8610), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(qtd9c62)) ; defparam suefb70.GSR = "ENABLED" ; FD1P3DX suefb70 (.D(ec10c6f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(kqce314)) ; defparam ic4cd37.GSR = "ENABLED" ; FD1P3DX ic4cd37 (.D(gb6f0c9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(nr718a1)) ; defparam su593c1.GSR = "ENABLED" ; FD1P3DX su593c1 (.D(uvc982f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(do8c50f)) ; defparam kd6f03b.GSR = "ENABLED" ; FD1P3DX kd6f03b (.D(fc2fa21), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(cm6287a)) ; defparam tj3a29.GSR = "ENABLED" ; FD1P3DX tj3a29 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(bna0ec7)) ; defparam mgacd25.GSR = "ENABLED" ; FD1P3BX mgacd25 (.D(uk34943), .SP(db84238), .CK(fne3eec), .PD(ou8d7e9), .Q(osc485a)) ; defparam jr198f9.GSR = "ENABLED" ; FD1P3DX jr198f9 (.D(rg63e74), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mg242d1)) ; defparam gqa31c6.GSR = "ENABLED" ; FD1P3DX gqa31c6 (.D(xjc7184), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wwd125e)) ; defparam nt27f5f.GSR = "ENABLED" ; FD1P3DX nt27f5f (.D(qtfd7fa), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uv5e284)) ; defparam osd47c8.GSR = "ENABLED" ; FD1P3DX osd47c8 (.D(ym1f209), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ph848ae)) ; defparam vi48da6.GSR = "ENABLED" ; FD1P3DX vi48da6 (.D(gd36998), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anaee78)) ; defparam qgc4a28.GSR = "ENABLED" ; FD1P3DX qgc4a28 (.D(vx28a0b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ay78a4b)) ; defparam mr5ede2.GSR = "ENABLED" ; FD1P3DX mr5ede2 (.D(jeb78ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kd4b2a8)) ; defparam os72144.GSR = "ENABLED" ; FD1P3DX os72144 (.D(cb8510c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(lsa8a57)) ; defparam th64d07.GSR = "ENABLED" ; FD1P3DX th64d07 (.D(co341f5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ho575ae)) ; defparam fca8d00.GSR = "ENABLED" ; FD1P3DX fca8d00 (.D(mg34010), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anae5af)) ; defparam ux80e29.GSR = "ENABLED" ; FD1P3DX ux80e29 (.D(mg21689), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kq6dea3)) ; defparam yx6f518.GSR = "ENABLED" ; FD1P3DX yx6f518 (.D(hq892f1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ks24221)) ; defparam wy21109.GSR = "ENABLED" ; FD1P3DX wy21109 (.D(rgf1424), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ne4d871)) ; defparam jc6c38b.GSR = "ENABLED" ; FD1P3DX jc6c38b (.D(uk24577), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kqde7bd)) ; defparam rtf3de8.GSR = "ENABLED" ; FD1P3DX rtf3de8 (.D(yk773c5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hd378a0)) ; defparam cobc506.GSR = "ENABLED" ; FD1P3DX cobc506 (.D(hoc5259), .SP(\r
+db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ir857bb)) ; defparam mg2bddc.GSR = "ENABLED" ; FD1P3DX mg2bddc (.D(kq59545), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uxdb2a)) ; defparam me6d955.GSR = "ENABLED" ; FD1P3DX me6d955 (.D(hb452ba), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vi42afb)) ; defparam mg157da.GSR = "ENABLED" ; FD1P3DX mg157da (.D(phbad72), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qi8dcd0)) ; defparam jp6e681.GSR = "ENABLED" ; FD1P3DX jp6e681 (.D(ui72d7c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ps738fb)) ; defparam pu9c7da.GSR = "ENABLED" ; FD1P3DX pu9c7da (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qv9f0d5)) ; defparam mrf86ac.GSR = "ENABLED" ; FD1P3DX mrf86ac (.D(osc485a), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx4e2a2)) ; defparam ux29433.GSR = "ENABLED" ; FD1P3DX ux29433 (.D(mg242d1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vv71515)) ; defparam nr7e701.GSR = "ENABLED" ; FD1P3DX nr7e701 (.D(wwd125e), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mt8a8ae)) ; defparam jpf32c7.GSR = "ENABLED" ; FD1P3DX jpf32c7 (.D(uv5e284), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx54574)) ; defparam shd959b.GSR = "ENABLED" ; FD1P3DX shd959b (.D(ph848ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(xya2ba5)) ; defparam xj503f8.GSR = "ENABLED" ; FD1P3DX xj503f8 (.D(anaee78), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wy15d2b)) ; defparam qtf8b73.GSR = "ENABLED" ; FD1P3DX qtf8b73 (.D(ay78a4b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(epae958)) ; defparam sh5ec8b.GSR = "ENABLED" ; FD1P3DX sh5ec8b (.D(kd4b2a8), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hb74ac5)) ; defparam dz7bf90.GSR = "ENABLED" ; FD1P3DX dz7bf90 (.D(lsa8a57), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(jra562f)) ; defparam zz9bb1d.GSR = "ENABLED" ; FD1P3DX zz9bb1d (.D(ho575ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ou2b17f)) ; defparam kdf770e.GSR = "ENABLED" ; FD1P3DX kdf770e (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(iebc062)) ; defparam en654a8.GSR = "ENABLED" ; FD1S3DX en654a8 (.D(fa69a9c), .CK(fne3eec), .CD(xjdd9c2), .Q(ld454ea)) ; defparam ls2a756.GSR = "ENABLED" ; FD1S3DX ls2a756 (.D(fp942e5), .CK(fne3eec), .CD(xjdd9c2), .Q(pub2248)) ; defparam vx91243.GSR = "ENABLED" ; FD1S3DX vx91243 (.D(eaea018), .CK(fne3eec), .CD(xjdd9c2), .Q(uk1f410)) ; defparam qgfa080.GSR = "ENABLED" ; FD1S3DX qgfa080 (.D(gd3cf5c), .CK(fne3eec), .CD(xjdd9c2), .Q(fc164c)) ; defparam pub262.GSR = "ENABLED" ; FD1S3DX pub262 (.D(ld6e606), .CK(fne3eec), .CD(xjdd9c2), .Q(bn13340)) ; defparam ri99a06.GSR = "ENABLED" ; FD1S3DX ri99a06 (.D(pu997a9), .CK(fne3eec), .CD(xjdd9c2), .Q(zz32c7f)) ; defparam ri963fe.GSR = "ENABLED" ; FD1S3DX ri963fe (.D(dmc506a), .CK(fne3eec), .CD(xjdd9c2), .Q(kdf2b23)) ; defparam cb9591c.GSR = "ENABLED" ; FD1S3DX cb9591c (.D(twbd919), .CK(fne3eec), .CD(xjdd9c2), .Q(pse41ee)) ; defparam ls20f73.GSR = "ENABLED" ; FD1S3DX ls20f73 (.D(ipf79c5), .CK(fne3eec), .CD(xjdd9c2), .Q(an9a9d3)) ; defparam qgd4e9d.GSR = "ENABLED" ; FD1S3DX qgd4e9d (.D(zzceee), .CK(fne3eec), .CD(xjdd9c2), .Q(qte84e9)) ; defparam xw4274d.GSR = "ENABLED" ; FD1S3DX xw4274d (.D(tuc0cca), .CK(fne3eec), .CD(xjdd9c2), .Q(rt6be72)) ; defparam hb5f397.GSR = "ENABLED" ; FD1S3DX hb5f397 (.D(kq6dea3), .CK(an3c7dd), .CD(ou8d7e9), .Q(anbee41)) ; defparam enf720c.GSR = "ENABLED" ; FD1S3DX enf720c (.D(ks24221), .CK(an3c7dd), .CD(ou8d7e9), .Q(cz651af)) ; defparam nt28d7d.GSR = "ENABLED" ; FD1S3DX nt28d7d (.D(ne4d871), .CK(an3c7dd), .CD(ou8d7e9), .Q(lqee0f9)) ; defparam gb707ca.GSR = "ENABLED" ; FD1S3DX gb707ca (.D(kqde7bd), .CK(an3c7dd), .CD(ou8d7e9), .Q(kd54432)) ; defparam pua2195.GSR = "ENABLED" ; FD1S3DX pua2195 (.D(hd378a0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hdaa0f5)) ; defparam bl507ae.GSR = "ENABLED" ; FD1S3DX bl507ae (.D(ir857bb), .CK(an3c7dd), .CD(ou8d7e9), .Q(os774cd)) ; defparam ntba669.GSR = "ENABLED" ; FD1S3DX ntba669 (.D(uxdb2a), .CK(an3c7dd), .CD(ou8d7e9), .Q(xj4f8b2)) ; defparam ho7c596.GSR = "ENABLED" ; FD1S3DX ho7c596 (.D(vi42afb), .CK(an3c7dd), .CD(ou8d7e9), .Q(lsb2b92)) ; defparam kf95c92.GSR = "ENABLED" ; FD1S3DX kf95c92 (.D(qi8dcd0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hd94841)) ; defparam oha420e.GSR = "ENABLED" ; FD1S3DX oha420e (.D(ps738fb), .CK(an3c7dd), .CD(ou8d7e9), .Q(wj7532a)) ; defparam swa9954.GSR = "ENABLED" ; FD1S3DX swa9954 (.D(qv9f0d5), .CK(an3c7dd), .CD(ou8d7e9), .Q(nta035d)) ; defparam vk1aef.GSR = "ENABLED" ; FD1S3DX vk1aef (.D(ld454ea), .CK(fne3eec), .CD(xjdd9c2), .Q(nt8bd4c)) ; defparam kddce86.GSR = "ENABLED" ; FD1S3DX kddce86 (.D(pub2248), .CK(fne3eec), .CD(xjdd9c2), .Q(rib75b1)) ; defparam gda4691.GSR = "ENABLED" ; FD1S3DX gda4691 (.D(uk1f410), .CK(fne3eec), .CD(xjdd9c2), .Q(rie809)) ; defparam lq6c1b1.GSR = "ENABLED" ; FD1S3DX lq6c1b1 (.D(fc164c), .CK(fne3eec), .CD(xjdd9c2), .Q(med1c49)) ; defparam ay5cd66.GSR = "ENABLED" ; FD1S3DX ay5cd66 (.D(bn13340), .CK(fne3eec), .CD(xjdd9c2), .Q(db8e248)) ; defparam ie87613.GSR = "ENABLED" ; FD1S3DX ie87613 (.D(zz32c7f), .CK(fne3eec), .CD(xjdd9c2), .Q(jp71247)) ; defparam qtc9ff3.GSR = "ENABLED" ; FD1S3DX qtc9ff3 (.D(kdf2b23), .CK(fne3eec), .CD(xjdd9c2), .Q(tw8923e)) ; defparam dmee8f0.GSR = "ENABLED" ; FD1S3DX dmee8f0 (.D(pse41ee), .CK(fne3eec), .CD(xjdd9c2), .Q(fpaf81f)) ; defparam ls1e282.GSR = "ENABLED" ; FD1S3DX ls1e282 (.D(an9a9d3), .CK(fne3eec), .CD(xjdd9c2), .Q(ne7c0ff)) ; defparam ks92bcc.GSR = "ENABLED" ; FD1S3DX ks92bcc (.D(qte84e9), .CK(fne3eec), .CD(xjdd9c2), .Q(cme07fa)) ; defparam zzcce6.GSR = "ENABLED" ; FD1S3DX zzcce6 (.D(rt6be72), .CK(fne3eec), .CD(xjdd9c2), .Q(nt3fd1)) ; defparam ntba8bf.GSR = "ENABLED" ; FD1S3DX ntba8bf (.D(anbee41), .CK(an3c7dd), .CD(ou8d7e9), .Q(vxa59a6)) ; defparam zkf1773.GSR = "ENABLED" ; FD1S3DX zkf1773 (.D(cz651af), .CK(an3c7dd), .CD(ou8d7e9), .Q(mrc6794)) ; defparam end649d.GSR = "ENABLED" ; FD1S3DX end649d (.D(lqee0f9), .CK(an3c7dd), .CD(ou8d7e9), .Q(ng1100c)) ; defparam by630c7.GSR = "ENABLED" ; FD1S3DX by630c7 (.D(kd54432), .CK(an3c7dd), .CD(ou8d7e9), .Q(pf5f365)) ; defparam lqd5b3a.GSR = "ENABLED" ; FD1S3DX lqd5b3a (.D(hdaa0f5), .CK(an3c7dd), .CD(ou8d7e9), .Q(fnf9b2e)) ; defparam ym9241e.GSR = "ENABLED" ; FD1S3DX ym9241e (.D(os774cd), .CK(an3c7dd), .CD(ou8d7e9), .Q(xjcd977)) ; defparam cb80a90.GSR = "ENABLED" ; FD1S3DX cb80a90 (.D(xj4f8b2), .CK(an3c7dd), .CD(ou8d7e9), .Q(lq6cbbf)) ; defparam bn284a4.GSR = "ENABLED" ; FD1S3DX bn284a4 (.D(lsb2b92), .CK(an3c7dd), .CD(ou8d7e9), .Q(gbf27ae)) ; defparam wy3bba6.GSR = "ENABLED" ; FD1S3DX wy3bba6 (.D(hd94841), .CK(an3c7dd), .CD(ou8d7e9), .Q(zm93d75)) ; defparam ri867c3.GSR = "ENABLED" ; FD1S3DX ri867c3 (.D(wj7532a), .CK(an3c7dd), .\r
+CD(ou8d7e9), .Q(hd9ebab)) ; defparam mrdc92b.GSR = "ENABLED" ; FD1S3DX mrdc92b (.D(nta035d), .CK(an3c7dd), .CD(ou8d7e9), .Q(uif5d5f)) ; defparam gbe20c9.GSR = "ENABLED" ; FD1S3BX gbe20c9 (.D(fc83261), .CK(fne3eec), .PD(ou8d7e9), .Q(gd8e31)) ; defparam pf617e8.GSR = "ENABLED" ; FD1S3DX pf617e8 (.D(rt5fa3a), .CK(an3c7dd), .CD(xjdd9c2), .Q(ana1e10)) ; defparam do3af23.GSR = "ENABLED" ; FD1S3BX do3af23 (.D(ksbc8d7), .CK(fne3eec), .PD(ou8d7e9), .Q(zzb0db9)) ; defparam bld72e1.GSR = "ENABLED" ; FD1S3DX bld72e1 (.D(aycb870), .CK(an3c7dd), .CD(xjdd9c2), .Q(vk86dcf)) ; CU2 gb70053 (.CI(oh3c44c), .PC1(yxfd23f), .PC0(rt5fa47), .CO(ay53911), .NC1(ld5f8b9), .NC0(mre2814)); CU2 xl22253 (.CI(ay53911), .PC1(psda5e1), .PC0(tw3f9da), .CO(qg53cd7), .NC1(vv4f023), .NC0(mef5856)); CU2 ux9ae77 (.CI(qg53cd7), .PC1(dzc8610), .PC0(vie15c8), .CO(qg77b88), .NC1(al4b55d), .NC0(yxc3544)); CU2 rt7101a (.CI(qg77b88), .PC1(gb6f0c9), .PC0(ec10c6f), .CO(co1ac37), .NC1(mgb992f), .NC0(hb7baa4)); CU2 fc86e67 (.CI(co1ac37), .PC1(fc2fa21), .PC0(uvc982f), .CO(me675cd), .NC1(uk8875a), .NC0(fn6e49f)); CU2 aab9a16 (.CI(me675cd), .PC1(oh9068d), .PC0(ym21624), .CO(xl1638a), .NC1(), .NC0(wy559d)); CU2 xl8e2b5 (.CI(oh3c44c), .PC1(mg242d1), .PC0(osc485a), .CO(vxb5fa1), .NC1(rg63e74), .NC0(uk34943)); CU2 hof43fe (.CI(vxb5fa1), .PC1(uv5e284), .PC0(wwd125e), .CO(dmfe504), .NC1(qtfd7fa), .NC0(xjc7184)); CU2 rva08e7 (.CI(dmfe504), .PC1(anaee78), .PC0(ph848ae), .CO(mre7cae), .NC1(gd36998), .NC0(ym1f209)); CU2 an95d4c (.CI(mre7cae), .PC1(kd4b2a8), .PC0(ay78a4b), .CO(cm4c960), .NC1(jeb78ae), .NC0(vx28a0b)); CU2 kf2c072 (.CI(cm4c960), .PC1(ho575ae), .PC0(lsa8a57), .CO(yk72b5b), .NC1(co341f5), .NC0(cb8510c)); CU2 ld6b623 (.CI(yk72b5b), .PC1(oh9068d), .PC0(anae5af), .CO(wl23d30), .NC1(), .NC0(mg34010)); FSUB2 hof4c2b (.A1(yxfd23f), .A0(rt5fa47), .B1(lf9e52c), .B0(xj641e9), .BI(oh3c44c), .BOUT1(dzd8704), .BOUT0(), .S1(qtc3827), .S0(bn1c13c)); FSUB2 yke09e7 (.A1(psda5e1), .A0(tw3f9da), .B1(ay772e2), .B0(zx40318), .BI(dzd8704), .BOUT1(alc3f66), .BOUT0(), .S1(aa1fb34), .S0(shfd9a1)); FSUB2 xjecd0d (.A1(dzc8610), .A0(vie15c8), .B1(vi764fd), .B0(medbb14), .BI(alc3f66), .BOUT1(jc7312e), .BOUT0(), .S1(wy98973), .S0(goc4b98)); FSUB2 ba25cc1 (.A1(gb6f0c9), .A0(ec10c6f), .B1(iraeaf9), .B0(ux18596), .BI(jc7312e), .BOUT1(xj50641), .BOUT0(), .S1(pu8320f), .S0(xl1907b)); FSUB2 vvc83df (.A1(fc2fa21), .A0(uvc982f), .B1(sj8e0d), .B0(al5e34f), .BI(xj50641), .BOUT1(ayf539a), .BOUT0(), .S1(yma9cd0), .S0(gb4e680)); FSUB2 fa73405 (.A1(oh9068d), .A0(jpe9ea6), .B1(oh9068d), .B0(oh9068d), .BI(ayf539a), .BOUT1(), .BOUT0(), .S1(), .S0(ay5c741)); VHI the3a09 (.Z(oh3c44c)); FSUB2 gbe827d (.A1(ofd6c5e), .A0(ld5a793), .B1(mg242d1), .B0(osc485a), .BI(oh3c44c), .BOUT1(dm6cf8c), .BOUT0(), .S1(su67c62), .S0(ie3e311)); FSUB2 tuf1889 (.A1(jpcd4c1), .A0(bna0256), .B1(uv5e284), .B0(wwd125e), .BI(dm6cf8c), .BOUT1(xj5a0d5), .BOUT0(), .S1(psd06ae), .S0(do83573)); FSUB2 co1ab9b (.A1(wy98918), .A0(ph9952), .B1(anaee78), .B0(ph848ae), .BI(xj5a0d5), .BOUT1(jcca8f5), .BOUT0(), .S1(al547aa), .S0(mga3d55)); FSUB2 ng1eaa9 (.A1(aa1fe8e), .A0(xybd739), .B1(kd4b2a8), .B0(ay78a4b), .BI(jcca8f5), .BOUT1(by58fcd), .BOUT0(), .S1(xjc7e6a), .S0(do3f356)); FSUB2 hbf9ab3 (.A1(qi1a36b), .A0(ba139a), .B1(ho575ae), .B0(lsa8a57), .BI(by58fcd), .BOUT1(fafea05), .BOUT0(), .S1(do47a1), .S0(xya8150)); FSUB2 dz40a83 (.A1(oh9068d), .A0(iea6de0), .B1(oh9068d), .B0(oh9068d), .BI(fafea05), .BOUT1(), .BOUT0(), .S1(), .S0(dmf42ab)); AGEB2 dzc2e84 (.A1(mg242d1), .A0(osc485a), .B1(ofd6c5e), .B0(ld5a793), .CI(db84238), .GE(mg34ebd)); AGEB2 vka75e9 (.A1(uv5e284), .A0(wwd125e), .B1(jpcd4c1), .B0(bna0256), .CI(mg34ebd), .GE(ps52ebf)); AGEB2 co975fb (.A1(anaee78), .A0(ph848ae), .B1(wy98918), .B0(ph9952), .CI(ps52ebf), .GE(yxc6fde)); AGEB2 nt37ef4 (.A1(kd4b2a8), .A0(ay78a4b), .B1(aa1fe8e), .B0(xybd739), .CI(yxc6fde), .GE(vx37459)); AGEB2 rvba2cf (.A1(ho575ae), .A0(lsa8a57), .B1(qi1a36b), .B0(ba139a), .CI(vx37459), .GE(nrf9e15)); AGEB2 hocf0af (.A1(oh9068d), .A0(su6205e), .B1(oh9068d), .B0(fce507), .CI(nrf9e15), .GE(xjd8c37)); FADD2 qtc61be (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(xjd8c37), .COUT1(), .COUT0(), .S1(), .S0(fc83261)); AGEB2 th5ad04 (.A1(yxfd23f), .A0(rt5fa47), .B1(lf9e52c), .B0(xj641e9), .CI(ng86878), .GE(lf3d99f)); AGEB2 theccff (.A1(psda5e1), .A0(tw3f9da), .B1(ay772e2), .B0(zx40318), .CI(lf3d99f), .GE(off2943)); AGEB2 jr94a1c (.A1(dzc8610), .A0(vie15c8), .B1(vi764fd), .B0(medbb14), .CI(off2943), .GE(lf37e37)); AGEB2 lfbf1be (.A1(gb6f0c9), .A0(ec10c6f), .B1(iraeaf9), .B0(ux18596), .CI(lf37e37), .GE(dobd9a4)); AGEB2 hbecd23 (.A1(fc2fa21), .A0(uvc982f), .B1(sj8e0d), .B0(al5e34f), .CI(dobd9a4), .GE(ldf336a)); AGEB2 ng99b57 (.A1(oh9068d), .A0(fnc7d4e), .B1(oh9068d), .B0(cb8011e), .CI(ldf336a), .GE(bld7356)); FADD2 qib9ab6 (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(bld7356), .COUT1(), .COUT0(), .S1(), .S0(rt5fa3a)); AGEB2 yx7526e (.A1(ay670b6[1]), .A0(ay670b6[0]), .B1(su67c62), .B0(ie3e311), .CI(db84238), .GE(ana12fe)); AGEB2 xl97f5 (.A1(ay670b6[3]), .A0(ay670b6[2]), .B1(psd06ae), .B0(do83573), .CI(ana12fe), .GE(fn74e8d)); AGEB2 ria746f (.A1(ay670b6[5]), .A0(ay670b6[4]), .B1(al547aa), .B0(mga3d55), .CI(fn74e8d), .GE(ofd3065)); AGEB2 qi9832c (.A1(ay670b6[7]), .A0(ay670b6[6]), .B1(xjc7e6a), .B0(do3f356), .CI(ofd3065), .GE(nt9f2f)); AGEB2 ld4f97f (.A1(ay670b6[9]), .A0(ay670b6[8]), .B1(do47a1), .B0(xya8150), .CI(nt9f2f), .GE(xjf30e3)); AGEB2 ec9871f (.A1(oh9068d), .A0(fad51e7), .B1(oh9068d), .B0(ecaad5), .CI(xjf30e3), .GE(ipccfc7)); FADD2 ui67e3d (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(ipccfc7), .COUT1(), .COUT0(), .S1(), .S0(ksbc8d7)); AGEB2 lq5ddbc (.A1(qtc3827), .A0(bn1c13c), .B1(tj385b0[1]), .B0(tj385b0[0]), .CI(ng86878), .GE(xl13484)); AGEB2 mt9a426 (.A1(aa1fb34), .A0(shfd9a1), .B1(tj385b0[3]), .B0(tj385b0[2]), .CI(xl13484), .GE(eca69e9)); AGEB2 aa34f49 (.A1(wy98973), .A0(goc4b98), .B1(tj385b0[5]), .B0(tj385b0[4]), .CI(eca69e9), .GE(ip4bdc0)); AGEB2 sh5ee04 (.A1(pu8320f), .A0(xl1907b), .B1(tj385b0[7]), .B0(tj385b0[6]), .CI(ip4bdc0), .GE(db2d4da)); AGEB2 yx6a6d7 (.A1(yma9cd0), .A0(gb4e680), .B1(tj385b0[9]), .B0(tj385b0[8]), .CI(db2d4da), .GE(sue0cf8)); AGEB2 hd67c0 (.A1(oh9068d), .A0(ay5c741), .B1(oh9068d), .B0(oh9068d), .CI(sue0cf8), .GE(cb3c91c)); VLO xwe48e5 (.Z(oh9068d)); FADD2 kf23951 (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(cb3c91c), .COUT1(), .COUT0(), .S1(), .S0(aycb870)); assign lf16c36 = gd8e31; assign wyb61b7 = ana1e10;\r
+always@* begin icc175e<={uve78fb>>1,eae03bd[0]};ngbaf0<=eae03bd[1];fa5d782<=eae03bd[2];faebc13<=eae03bd[3];of5e09b<=eae03bd[4];uif04dc<=eae03bd[5];co826e0<=eae03bd[6];xl13701<={ay670b6>>1,eae03bd[7]};nt9b80e<={tj385b0>>1,eae03bd[8]};end\r
+always@* begin shdc077[2047]<=an3c7dd;shdc077[2046]<=fne3eec;shdc077[2044]<=ie1f767;shdc077[2040]<=zkfbb38;shdc077[2032]<=xjdd9c2;shdc077[2017]<=rtece16;shdc077[1987]<=ay670b6[0];shdc077[1926]<=tj385b0[0];shdc077[1023]<=uve78fb[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module doad889 (uve78fb, an3c7dd, fne3eec, ie1f767, zkfbb38, xjdd9c2,\r
+ rtece16, ay670b6, tj385b0, blc2d86, lf16c36, wyb61b7, zzb0db9,\r
+ vk86dcf);\r
+input [17:0] uve78fb;\r
+input an3c7dd;\r
+input fne3eec;\r
+input ie1f767;\r
+input zkfbb38;\r
+input xjdd9c2;\r
+input rtece16;\r
+input [9:0] ay670b6;\r
+input [9:0] tj385b0;\r
+output [17:0] blc2d86;\r
+output lf16c36;\r
+output wyb61b7;\r
+output zzb0db9;\r
+output vk86dcf;\r
+reg [17 : 0] icc175e;\r
+reg ngbaf0;\r
+reg fa5d782;\r
+reg faebc13;\r
+reg of5e09b;\r
+reg uif04dc;\r
+reg co826e0;\r
+reg [9 : 0] xl13701;\r
+reg [9 : 0] nt9b80e;\r
+reg [2047:0] shdc077;\r
+wire [8:0] eae03bd;\r
+localparam ba1de9 = 9,ngef4b = 32'hfdffc68b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+AND2 gq98434 (.A(ie1f767), .B(uk10d0f), .Z(ng86878)); INV aa343c2 (.A(ana1e10), .Z(uk10d0f)); AND2 go78421 (.A(zkfbb38), .B(mg10847), .Z(db84238)); INV ym211c6 (.A(gd8e31), .Z(mg10847)); OR2 vx38c6b (.A(xjdd9c2), .B(rtece16), .Z(ou8d7e9)); XOR2 pf6bf48 (.A(rt5fa47), .B(yxfd23f), .Z(pfe91fc)); XOR2 ps48fe7 (.A(yxfd23f), .B(tw3f9da), .Z(blfced2)); XOR2 qte7697 (.A(tw3f9da), .B(psda5e1), .Z(fnd2f0a)); XOR2 aa97857 (.A(psda5e1), .B(vie15c8), .Z(gqae43)); XOR2 by57218 (.A(vie15c8), .B(dzc8610), .Z(cm43086)); XOR2 wl18431 (.A(dzc8610), .B(ec10c6f), .Z(pu86378)); XOR2 uk31bc3 (.A(ec10c6f), .B(gb6f0c9), .Z(qg7864c)); XOR2 czc3260 (.A(gb6f0c9), .B(uvc982f), .Z(me4c17d)); XOR2 yx60be8 (.A(uvc982f), .B(fc2fa21), .Z(me7d10b)); XOR2 wje8858 (.A(fc2fa21), .B(ym21624), .Z(fcb121)); XOR2 xw5890b (.A(osc485a), .B(mg242d1), .Z(mg21689)); XOR2 ngb449 (.A(mg242d1), .B(wwd125e), .Z(hq892f1)); XOR2 ic4978a (.A(wwd125e), .B(uv5e284), .Z(rgf1424)); XOR2 gd8a122 (.A(uv5e284), .B(ph848ae), .Z(uk24577)); XOR2 bn22bb9 (.A(ph848ae), .B(anaee78), .Z(yk773c5)); XOR2 mgb9e29 (.A(anaee78), .B(ay78a4b), .Z(hoc5259)); XOR2 gd292ca (.A(ay78a4b), .B(kd4b2a8), .Z(kq59545)); XOR2 tucaa29 (.A(kd4b2a8), .B(lsa8a57), .Z(hb452ba)); XOR2 gd295d6 (.A(lsa8a57), .B(ho575ae), .Z(phbad72)); XOR2 uvd6b96 (.A(ho575ae), .B(anae5af), .Z(ui72d7c)); defparam do96be0.initval = 16'h6996 ; ROM16X1 do96be0 (.AD3(fpaf81f), .AD2(ne7c0ff), .AD1(cme07fa), .AD0(nt3fd1), .DO0(aa1fe8e)) ; defparam qgff471.initval = 16'h6996 ; ROM16X1 qgff471 (.AD3(med1c49), .AD2(db8e248), .AD1(jp71247), .AD0(tw8923e), .DO0(ay491f2)) ; defparam fa48f90.initval = 16'h6996 ; ROM16X1 fa48f90 (.AD3(cme07fa), .AD2(nt3fd1), .AD1(oh9068d), .AD0(oh9068d), .DO0(qi1a36b)) ; defparam kqd1b58.initval = 16'h6996 ; ROM16X1 kqd1b58 (.AD3(ne7c0ff), .AD2(cme07fa), .AD1(nt3fd1), .AD0(oh9068d), .DO0(ba139a)) ; defparam lf9cd2.initval = 16'h6996 ; ROM16X1 lf9cd2 (.AD3(tw8923e), .AD2(fpaf81f), .AD1(ne7c0ff), .AD0(qi1a36b), .DO0(xybd739)) ; defparam faeb9ca.initval = 16'h6996 ; ROM16X1 faeb9ca (.AD3(jp71247), .AD2(tw8923e), .AD1(fpaf81f), .AD0(ba139a), .DO0(wy98918)) ; defparam goc48c0.initval = 16'h6996 ; ROM16X1 goc48c0 (.AD3(db8e248), .AD2(jp71247), .AD1(tw8923e), .AD0(aa1fe8e), .DO0(ph9952)) ; defparam yk4ca97.initval = 16'h6996 ; ROM16X1 yk4ca97 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(oh9068d), .AD0(oh9068d), .DO0(jpcd4c1)) ; defparam al6a60e.initval = 16'h6996 ; ROM16X1 al6a60e (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rie809), .AD0(oh9068d), .DO0(bna0256)) ; defparam do12b7.initval = 16'h6996 ; ROM16X1 do12b7 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rib75b1), .AD0(rie809), .DO0(ofd6c5e)) ; defparam ukb62f5.initval = 16'h6996 ; ROM16X1 ukb62f5 (.AD3(nt8bd4c), .AD2(rib75b1), .AD1(rie809), .AD0(oh9068d), .DO0(su4cd40)) ; defparam xw66a01.initval = 16'h6996 ; ROM16X1 xw66a01 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(su4cd40), .AD0(oh9068d), .DO0(ld5a793)) ; defparam yxd3c9e.initval = 16'h6996 ; ROM16X1 yxd3c9e (.AD3(gbf27ae), .AD2(zm93d75), .AD1(hd9ebab), .AD0(uif5d5f), .DO0(iraeaf9)) ; defparam mr757cd.initval = 16'h6996 ; ROM16X1 mr757cd (.AD3(pf5f365), .AD2(fnf9b2e), .AD1(xjcd977), .AD0(lq6cbbf), .DO0(gb65dfa)) ; defparam mg2efd4.initval = 16'h6996 ; ROM16X1 mg2efd4 (.AD3(hd9ebab), .AD2(uif5d5f), .AD1(oh9068d), .AD0(oh9068d), .DO0(sj8e0d)) ; defparam xw4706d.initval = 16'h6996 ; ROM16X1 xw4706d (.AD3(zm93d75), .AD2(hd9ebab), .AD1(uif5d5f), .AD0(oh9068d), .DO0(al5e34f)) ; defparam nef1a7c.initval = 16'h6996 ; ROM16X1 nef1a7c (.AD3(lq6cbbf), .AD2(gbf27ae), .AD1(zm93d75), .AD0(sj8e0d), .DO0(ux18596)) ; defparam xjc2cb5.initval = 16'h6996 ; ROM16X1 xjc2cb5 (.AD3(xjcd977), .AD2(lq6cbbf), .AD1(gbf27ae), .AD0(al5e34f), .DO0(vi764fd)) ; defparam pub27eb.initval = 16'h6996 ; ROM16X1 pub27eb (.AD3(fnf9b2e), .AD2(xjcd977), .AD1(lq6cbbf), .AD0(iraeaf9), .DO0(medbb14)) ; defparam lddd8a1.initval = 16'h6996 ; ROM16X1 lddd8a1 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(oh9068d), .AD0(oh9068d), .DO0(ay772e2)) ; defparam cob9711.initval = 16'h6996 ; ROM16X1 cob9711 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(ng1100c), .AD0(oh9068d), .DO0(zx40318)) ; defparam tw18c6.initval = 16'h6996 ; ROM16X1 tw18c6 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(mrc6794), .AD0(ng1100c), .DO0(lf9e52c)) ; defparam yxf2966.initval = 16'h6996 ; ROM16X1 yxf2966 (.AD3(vxa59a6), .AD2(mrc6794), .AD1(ng1100c), .AD0(oh9068d), .DO0(swa6d19)) ; defparam kf368c9.initval = 16'h6996 ; ROM16X1 kf368c9 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(swa6d19), .AD0(oh9068d), .DO0(xj641e9)) ; XOR2 xy20f4f (.A(ym21624), .B(uif5d5f), .Z(jpe9ea6)); XOR2 rt4f536 (.A(nt3fd1), .B(anae5af), .Z(iea6de0)); defparam ir36f01.initval = 16'h0410 ; ROM16X1 ir36f01 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(su6205e)) ; defparam ec102f0.initval = 16'h1004 ; ROM16X1 ec102f0 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(fce507)) ; defparam ww7283b.initval = 16'h0140 ; ROM16X1 ww7283b (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(fnc7d4e)) ; defparam ou3ea76.initval = 16'h4001 ; ROM16X1 ou3ea76 (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(cb8011e)) ; INV qi8f4 (.A(do47a1), .Z(an23d0a)); AND2 vk1e855 (.A(dmf42ab), .B(an23d0a), .Z(ecaad5)); AND2 nr556a8 (.A(dmf42ab), .B(do47a1), .Z(fad51e7)); defparam baa8f3b.CSDECODE_B = 3'b000 ; defparam baa8f3b.CSDECODE_A = 3'b000 ; defparam baa8f3b.WRITEMODE_B = "NORMAL" ; defparam baa8f3b.WRITEMODE_A = "NORMAL" ; defparam baa8f3b.GSR = "ENABLED" ; defparam baa8f3b.RESETMODE = "ASYNC" ; defparam baa8f3b.REGMODE_B = "NOREG" ; defparam baa8f3b.REGMODE_A = "NOREG" ; defparam baa8f3b.DATA_WIDTH_B = 18 ; defparam baa8f3b.DATA_WIDTH_A = 18 ; DP16KB baa8f3b (.DIA0(uve78fb[0]), .DIA1(uve78fb[1]), .DIA2(uve78fb[2]), .DIA3(uve78fb[3]), .DIA4(uve78fb[4]), .DIA5(uve78fb[5]), .DIA6(uve78fb[6]), .DIA7(uve78fb[7]), .DIA8(uve78fb[8]), .DIA9(uve78fb[9]), .DIA10(uve78fb[10]), .DIA11(uve78fb[11]), .DIA12(uve78fb[12]), .DIA13(uve78fb[13]), .DIA14(uve78fb[14]), .DIA15(uve78fb[15]), .DIA16(uve78fb[16]), .DIA17(uve78fb[17]), .ADA0(oh3c44c), .ADA1(oh3c44c), .ADA2(oh9068d), .ADA3(oh9068d), .ADA4(me4c91b), .ADA5(dz648d9), .ADA6(ou246ce), .ADA7(ep23671), .ADA8(xy1b38c), .ADA9(qtd9c62), .ADA10(kqce314), .ADA11(nr718a1), .ADA12(do8c50f), .ADA13(cm6287a), .CEA(ng86878), .CLKA(an3c7dd), .WEA(oh3c44c), .CSA0(oh9068d), .CSA1(oh9068d), .CSA2(oh9068d), .RSTA(xjdd9c2), .DIB0(oh9068d), .DIB1(oh9068d), .DIB2(oh9068d), .DIB3(oh9068d), .DIB4(oh9068d), .DIB5(oh9068d), .DIB6(oh9068d), .DIB7(oh9068d), .DIB8(oh9068d), .DIB9(oh9068d), .DIB10(oh9068d), .DIB11(oh9068d), .DIB12(oh9068d), .DIB13(oh9068d), .DIB14(oh9068d), .DIB15(oh9068d), .DIB16(oh9068d), .DIB17(oh9068d), .ADB0(oh9068d), .ADB1(oh9068d), .ADB2(oh9068d), .ADB3(oh9068d), .ADB4(yx4e2a2), .ADB5(vv71515), .ADB6(mt8a8ae), .ADB7(yx54574), .ADB8(xya2ba5), .ADB9(wy15d2b), .ADB10(epae958), .ADB11(hb74ac5), .ADB12(jra562f), .ADB13(ou2b17f), .CEB(db84238), .CLKB(fne3eec), .WEB(oh9068d), .CSB0(oh9068d), .CSB1(oh9068d), .CSB2(oh9068d), .RSTB(xjdd9c2), .DOA0()\r
+, .DOA1(), .DOA2(), .DOA3(), .DOA4(), .DOA5(), .DOA6(), .DOA7(), .DOA8(), .DOA9(), .DOA10(), .DOA11(), .DOA12(), .DOA13(), .DOA14(), .DOA15(), .DOA16(), .DOA17(), .DOB0(blc2d86[0]), .DOB1(blc2d86[1]), .DOB2(blc2d86[2]), .DOB3(blc2d86[3]), .DOB4(blc2d86[4]), .DOB5(blc2d86[5]), .DOB6(blc2d86[6]), .DOB7(blc2d86[7]), .DOB8(blc2d86[8]), .DOB9(blc2d86[9]), .DOB10(blc2d86[10]), .DOB11(blc2d86[11]), .DOB12(blc2d86[12]), .DOB13(blc2d86[13]), .DOB14(blc2d86[14]), .DOB15(blc2d86[15]), .DOB16(blc2d86[16]), .DOB17(blc2d86[17])) ; defparam vi478a0.GSR = "ENABLED" ; FD1P3BX vi478a0 (.D(mre2814), .SP(ng86878), .CK(an3c7dd), .PD(xjdd9c2), .Q(rt5fa47)) ; defparam hda57e2.GSR = "ENABLED" ; FD1P3DX hda57e2 (.D(ld5f8b9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(yxfd23f)) ; defparam hocfd61.GSR = "ENABLED" ; FD1P3DX hocfd61 (.D(mef5856), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tw3f9da)) ; defparam irb13c0.GSR = "ENABLED" ; FD1P3DX irb13c0 (.D(vv4f023), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(psda5e1)) ; defparam ou1f0d5.GSR = "ENABLED" ; FD1P3DX ou1f0d5 (.D(yxc3544), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(vie15c8)) ; defparam hq252d5.GSR = "ENABLED" ; FD1P3DX hq252d5 (.D(al4b55d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dzc8610)) ; defparam kdedeea.GSR = "ENABLED" ; FD1P3DX kdedeea (.D(hb7baa4), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ec10c6f)) ; defparam sj26e64.GSR = "ENABLED" ; FD1P3DX sj26e64 (.D(mgb992f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gb6f0c9)) ; defparam ne79b92.GSR = "ENABLED" ; FD1P3DX ne79b92 (.D(fn6e49f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(uvc982f)) ; defparam vife21d.GSR = "ENABLED" ; FD1P3DX vife21d (.D(uk8875a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fc2fa21)) ; defparam dmd0156.GSR = "ENABLED" ; FD1P3DX dmd0156 (.D(wy559d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ym21624)) ; defparam she8601.GSR = "ENABLED" ; FD1P3DX she8601 (.D(pfe91fc), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fa69a9c)) ; defparam jc4d4e2.GSR = "ENABLED" ; FD1P3DX jc4d4e2 (.D(blfced2), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fp942e5)) ; defparam cba172f.GSR = "ENABLED" ; FD1P3DX cba172f (.D(fnd2f0a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(eaea018)) ; defparam dz500c0.GSR = "ENABLED" ; FD1P3DX dz500c0 (.D(gqae43), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gd3cf5c)) ; defparam ene7ae5.GSR = "ENABLED" ; FD1P3DX ene7ae5 (.D(cm43086), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ld6e606)) ; defparam fa73036.GSR = "ENABLED" ; FD1P3DX fa73036 (.D(pu86378), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(pu997a9)) ; defparam gocbd4b.GSR = "ENABLED" ; FD1P3DX gocbd4b (.D(qg7864c), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dmc506a)) ; defparam bn28352.GSR = "ENABLED" ; FD1P3DX bn28352 (.D(me4c17d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(twbd919)) ; defparam fnec8cb.GSR = "ENABLED" ; FD1P3DX fnec8cb (.D(me7d10b), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ipf79c5)) ; defparam ksbce2c.GSR = "ENABLED" ; FD1P3DX ksbce2c (.D(fcb121), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(zzceee)) ; defparam ay67777.GSR = "ENABLED" ; FD1P3DX ay67777 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tuc0cca)) ; defparam db6653.GSR = "ENABLED" ; FD1P3DX db6653 (.D(rt5fa47), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(me4c91b)) ; defparam pseb66c.GSR = "ENABLED" ; FD1P3DX pseb66c (.D(yxfd23f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dz648d9)) ; defparam cba4eca.GSR = "ENABLED" ; FD1P3DX cba4eca (.D(tw3f9da), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ou246ce)) ; defparam aa31aa0.GSR = "ENABLED" ; FD1P3DX aa31aa0 (.D(psda5e1), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ep23671)) ; defparam xj45bd5.GSR = "ENABLED" ; FD1P3DX xj45bd5 (.D(vie15c8), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(xy1b38c)) ; defparam jcf48be.GSR = "ENABLED" ; FD1P3DX jcf48be (.D(dzc8610), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(qtd9c62)) ; defparam suefb70.GSR = "ENABLED" ; FD1P3DX suefb70 (.D(ec10c6f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(kqce314)) ; defparam ic4cd37.GSR = "ENABLED" ; FD1P3DX ic4cd37 (.D(gb6f0c9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(nr718a1)) ; defparam su593c1.GSR = "ENABLED" ; FD1P3DX su593c1 (.D(uvc982f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(do8c50f)) ; defparam kd6f03b.GSR = "ENABLED" ; FD1P3DX kd6f03b (.D(fc2fa21), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(cm6287a)) ; defparam tj3a29.GSR = "ENABLED" ; FD1P3DX tj3a29 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(bna0ec7)) ; defparam mgacd25.GSR = "ENABLED" ; FD1P3BX mgacd25 (.D(uk34943), .SP(db84238), .CK(fne3eec), .PD(ou8d7e9), .Q(osc485a)) ; defparam jr198f9.GSR = "ENABLED" ; FD1P3DX jr198f9 (.D(rg63e74), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mg242d1)) ; defparam gqa31c6.GSR = "ENABLED" ; FD1P3DX gqa31c6 (.D(xjc7184), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wwd125e)) ; defparam nt27f5f.GSR = "ENABLED" ; FD1P3DX nt27f5f (.D(qtfd7fa), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uv5e284)) ; defparam osd47c8.GSR = "ENABLED" ; FD1P3DX osd47c8 (.D(ym1f209), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ph848ae)) ; defparam vi48da6.GSR = "ENABLED" ; FD1P3DX vi48da6 (.D(gd36998), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anaee78)) ; defparam qgc4a28.GSR = "ENABLED" ; FD1P3DX qgc4a28 (.D(vx28a0b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ay78a4b)) ; defparam mr5ede2.GSR = "ENABLED" ; FD1P3DX mr5ede2 (.D(jeb78ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kd4b2a8)) ; defparam os72144.GSR = "ENABLED" ; FD1P3DX os72144 (.D(cb8510c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(lsa8a57)) ; defparam th64d07.GSR = "ENABLED" ; FD1P3DX th64d07 (.D(co341f5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ho575ae)) ; defparam fca8d00.GSR = "ENABLED" ; FD1P3DX fca8d00 (.D(mg34010), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anae5af)) ; defparam ux80e29.GSR = "ENABLED" ; FD1P3DX ux80e29 (.D(mg21689), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kq6dea3)) ; defparam yx6f518.GSR = "ENABLED" ; FD1P3DX yx6f518 (.D(hq892f1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ks24221)) ; defparam wy21109.GSR = "ENABLED" ; FD1P3DX wy21109 (.D(rgf1424), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ne4d871)) ; defparam jc6c38b.GSR = "ENABLED" ; FD1P3DX jc6c38b (.D(uk24577), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kqde7bd)) ; defparam rtf3de8.GSR = "ENABLED"\r
+; FD1P3DX rtf3de8 (.D(yk773c5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hd378a0)) ; defparam cobc506.GSR = "ENABLED" ; FD1P3DX cobc506 (.D(hoc5259), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ir857bb)) ; defparam mg2bddc.GSR = "ENABLED" ; FD1P3DX mg2bddc (.D(kq59545), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uxdb2a)) ; defparam me6d955.GSR = "ENABLED" ; FD1P3DX me6d955 (.D(hb452ba), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vi42afb)) ; defparam mg157da.GSR = "ENABLED" ; FD1P3DX mg157da (.D(phbad72), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qi8dcd0)) ; defparam jp6e681.GSR = "ENABLED" ; FD1P3DX jp6e681 (.D(ui72d7c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ps738fb)) ; defparam pu9c7da.GSR = "ENABLED" ; FD1P3DX pu9c7da (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qv9f0d5)) ; defparam mrf86ac.GSR = "ENABLED" ; FD1P3DX mrf86ac (.D(osc485a), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx4e2a2)) ; defparam ux29433.GSR = "ENABLED" ; FD1P3DX ux29433 (.D(mg242d1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vv71515)) ; defparam nr7e701.GSR = "ENABLED" ; FD1P3DX nr7e701 (.D(wwd125e), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mt8a8ae)) ; defparam jpf32c7.GSR = "ENABLED" ; FD1P3DX jpf32c7 (.D(uv5e284), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx54574)) ; defparam shd959b.GSR = "ENABLED" ; FD1P3DX shd959b (.D(ph848ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(xya2ba5)) ; defparam xj503f8.GSR = "ENABLED" ; FD1P3DX xj503f8 (.D(anaee78), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wy15d2b)) ; defparam qtf8b73.GSR = "ENABLED" ; FD1P3DX qtf8b73 (.D(ay78a4b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(epae958)) ; defparam sh5ec8b.GSR = "ENABLED" ; FD1P3DX sh5ec8b (.D(kd4b2a8), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hb74ac5)) ; defparam dz7bf90.GSR = "ENABLED" ; FD1P3DX dz7bf90 (.D(lsa8a57), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(jra562f)) ; defparam zz9bb1d.GSR = "ENABLED" ; FD1P3DX zz9bb1d (.D(ho575ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ou2b17f)) ; defparam kdf770e.GSR = "ENABLED" ; FD1P3DX kdf770e (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(iebc062)) ; defparam en654a8.GSR = "ENABLED" ; FD1S3DX en654a8 (.D(fa69a9c), .CK(fne3eec), .CD(xjdd9c2), .Q(ld454ea)) ; defparam ls2a756.GSR = "ENABLED" ; FD1S3DX ls2a756 (.D(fp942e5), .CK(fne3eec), .CD(xjdd9c2), .Q(pub2248)) ; defparam vx91243.GSR = "ENABLED" ; FD1S3DX vx91243 (.D(eaea018), .CK(fne3eec), .CD(xjdd9c2), .Q(uk1f410)) ; defparam qgfa080.GSR = "ENABLED" ; FD1S3DX qgfa080 (.D(gd3cf5c), .CK(fne3eec), .CD(xjdd9c2), .Q(fc164c)) ; defparam pub262.GSR = "ENABLED" ; FD1S3DX pub262 (.D(ld6e606), .CK(fne3eec), .CD(xjdd9c2), .Q(bn13340)) ; defparam ri99a06.GSR = "ENABLED" ; FD1S3DX ri99a06 (.D(pu997a9), .CK(fne3eec), .CD(xjdd9c2), .Q(zz32c7f)) ; defparam ri963fe.GSR = "ENABLED" ; FD1S3DX ri963fe (.D(dmc506a), .CK(fne3eec), .CD(xjdd9c2), .Q(kdf2b23)) ; defparam cb9591c.GSR = "ENABLED" ; FD1S3DX cb9591c (.D(twbd919), .CK(fne3eec), .CD(xjdd9c2), .Q(pse41ee)) ; defparam ls20f73.GSR = "ENABLED" ; FD1S3DX ls20f73 (.D(ipf79c5), .CK(fne3eec), .CD(xjdd9c2), .Q(an9a9d3)) ; defparam qgd4e9d.GSR = "ENABLED" ; FD1S3DX qgd4e9d (.D(zzceee), .CK(fne3eec), .CD(xjdd9c2), .Q(qte84e9)) ; defparam xw4274d.GSR = "ENABLED" ; FD1S3DX xw4274d (.D(tuc0cca), .CK(fne3eec), .CD(xjdd9c2), .Q(rt6be72)) ; defparam hb5f397.GSR = "ENABLED" ; FD1S3DX hb5f397 (.D(kq6dea3), .CK(an3c7dd), .CD(ou8d7e9), .Q(anbee41)) ; defparam enf720c.GSR = "ENABLED" ; FD1S3DX enf720c (.D(ks24221), .CK(an3c7dd), .CD(ou8d7e9), .Q(cz651af)) ; defparam nt28d7d.GSR = "ENABLED" ; FD1S3DX nt28d7d (.D(ne4d871), .CK(an3c7dd), .CD(ou8d7e9), .Q(lqee0f9)) ; defparam gb707ca.GSR = "ENABLED" ; FD1S3DX gb707ca (.D(kqde7bd), .CK(an3c7dd), .CD(ou8d7e9), .Q(kd54432)) ; defparam pua2195.GSR = "ENABLED" ; FD1S3DX pua2195 (.D(hd378a0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hdaa0f5)) ; defparam bl507ae.GSR = "ENABLED" ; FD1S3DX bl507ae (.D(ir857bb), .CK(an3c7dd), .CD(ou8d7e9), .Q(os774cd)) ; defparam ntba669.GSR = "ENABLED" ; FD1S3DX ntba669 (.D(uxdb2a), .CK(an3c7dd), .CD(ou8d7e9), .Q(xj4f8b2)) ; defparam ho7c596.GSR = "ENABLED" ; FD1S3DX ho7c596 (.D(vi42afb), .CK(an3c7dd), .CD(ou8d7e9), .Q(lsb2b92)) ; defparam kf95c92.GSR = "ENABLED" ; FD1S3DX kf95c92 (.D(qi8dcd0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hd94841)) ; defparam oha420e.GSR = "ENABLED" ; FD1S3DX oha420e (.D(ps738fb), .CK(an3c7dd), .CD(ou8d7e9), .Q(wj7532a)) ; defparam swa9954.GSR = "ENABLED" ; FD1S3DX swa9954 (.D(qv9f0d5), .CK(an3c7dd), .CD(ou8d7e9), .Q(nta035d)) ; defparam vk1aef.GSR = "ENABLED" ; FD1S3DX vk1aef (.D(ld454ea), .CK(fne3eec), .CD(xjdd9c2), .Q(nt8bd4c)) ; defparam kddce86.GSR = "ENABLED" ; FD1S3DX kddce86 (.D(pub2248), .CK(fne3eec), .CD(xjdd9c2), .Q(rib75b1)) ; defparam gda4691.GSR = "ENABLED" ; FD1S3DX gda4691 (.D(uk1f410), .CK(fne3eec), .CD(xjdd9c2), .Q(rie809)) ; defparam lq6c1b1.GSR = "ENABLED" ; FD1S3DX lq6c1b1 (.D(fc164c), .CK(fne3eec), .CD(xjdd9c2), .Q(med1c49)) ; defparam ay5cd66.GSR = "ENABLED" ; FD1S3DX ay5cd66 (.D(bn13340), .CK(fne3eec), .CD(xjdd9c2), .Q(db8e248)) ; defparam ie87613.GSR = "ENABLED" ; FD1S3DX ie87613 (.D(zz32c7f), .CK(fne3eec), .CD(xjdd9c2), .Q(jp71247)) ; defparam qtc9ff3.GSR = "ENABLED" ; FD1S3DX qtc9ff3 (.D(kdf2b23), .CK(fne3eec), .CD(xjdd9c2), .Q(tw8923e)) ; defparam dmee8f0.GSR = "ENABLED" ; FD1S3DX dmee8f0 (.D(pse41ee), .CK(fne3eec), .CD(xjdd9c2), .Q(fpaf81f)) ; defparam ls1e282.GSR = "ENABLED" ; FD1S3DX ls1e282 (.D(an9a9d3), .CK(fne3eec), .CD(xjdd9c2), .Q(ne7c0ff)) ; defparam ks92bcc.GSR = "ENABLED" ; FD1S3DX ks92bcc (.D(qte84e9), .CK(fne3eec), .CD(xjdd9c2), .Q(cme07fa)) ; defparam zzcce6.GSR = "ENABLED" ; FD1S3DX zzcce6 (.D(rt6be72), .CK(fne3eec), .CD(xjdd9c2), .Q(nt3fd1)) ; defparam ntba8bf.GSR = "ENABLED" ; FD1S3DX ntba8bf (.D(anbee41), .CK(an3c7dd), .CD(ou8d7e9), .Q(vxa59a6)) ; defparam zkf1773.GSR = "ENABLED" ; FD1S3DX zkf1773 (.D(cz651af), .CK(an3c7dd), .CD(ou8d7e9), .Q(mrc6794)) ; defparam end649d.GSR = "ENABLED" ; FD1S3DX end649d (.D(lqee0f9), .CK(an3c7dd), .CD(ou8d7e9), .Q(ng1100c)) ; defparam by630c7.GSR = "ENABLED" ; FD1S3DX by630c7 (.D(kd54432), .CK(an3c7dd), .CD(ou8d7e9), .Q(pf5f365)) ; defparam lqd5b3a.GSR = "ENABLED" ; FD1S3DX lqd5b3a (.D(hdaa0f5), .CK(an3c7dd), .CD(ou8d7e9), .Q(fnf9b2e)) ; defparam ym9241e.GSR = "ENABLED" ; FD1S3DX ym9241e (.D(os774cd), .CK(an3c7dd), .CD(ou8d7e9), .Q(xjcd977)) ; defparam cb80a90.GSR = "ENABLED" ; FD1S3DX cb80a90 (.D(xj4f8b2), .CK(an3c7dd), .CD(ou8d7e9), .Q(lq6cbbf)) ; defparam bn284a4.GSR = "ENABLED" ; FD1S3DX bn284a4 (.D(lsb2b92), .CK(an3c7dd), .CD(ou8d7e9), .Q(gbf27ae)) ; defparam wy3bba6.GSR =\r
+"ENABLED" ; FD1S3DX wy3bba6 (.D(hd94841), .CK(an3c7dd), .CD(ou8d7e9), .Q(zm93d75)) ; defparam ri867c3.GSR = "ENABLED" ; FD1S3DX ri867c3 (.D(wj7532a), .CK(an3c7dd), .CD(ou8d7e9), .Q(hd9ebab)) ; defparam mrdc92b.GSR = "ENABLED" ; FD1S3DX mrdc92b (.D(nta035d), .CK(an3c7dd), .CD(ou8d7e9), .Q(uif5d5f)) ; defparam gbe20c9.GSR = "ENABLED" ; FD1S3BX gbe20c9 (.D(fc83261), .CK(fne3eec), .PD(ou8d7e9), .Q(gd8e31)) ; defparam pf617e8.GSR = "ENABLED" ; FD1S3DX pf617e8 (.D(rt5fa3a), .CK(an3c7dd), .CD(xjdd9c2), .Q(ana1e10)) ; defparam do3af23.GSR = "ENABLED" ; FD1S3BX do3af23 (.D(ksbc8d7), .CK(fne3eec), .PD(ou8d7e9), .Q(zzb0db9)) ; defparam bld72e1.GSR = "ENABLED" ; FD1S3DX bld72e1 (.D(aycb870), .CK(an3c7dd), .CD(xjdd9c2), .Q(vk86dcf)) ; FADD2B fa4dd42 (.A0(oh9068d), .A1(oh3c44c), .B0(oh9068d), .B1(oh3c44c), .CI(oh9068d), .COUT(gd9f8df), .S0(), .S1()); CU2 gb70053 (.CI(gd9f8df), .PC0(rt5fa47), .PC1(yxfd23f), .CO(ay53911), .NC0(mre2814), .NC1(ld5f8b9)); CU2 xl22253 (.CI(ay53911), .PC0(tw3f9da), .PC1(psda5e1), .CO(qg53cd7), .NC0(mef5856), .NC1(vv4f023)); CU2 ux9ae77 (.CI(qg53cd7), .PC0(vie15c8), .PC1(dzc8610), .CO(qg77b88), .NC0(yxc3544), .NC1(al4b55d)); CU2 rt7101a (.CI(qg77b88), .PC0(ec10c6f), .PC1(gb6f0c9), .CO(co1ac37), .NC0(hb7baa4), .NC1(mgb992f)); CU2 fc86e67 (.CI(co1ac37), .PC0(uvc982f), .PC1(fc2fa21), .CO(me675cd), .NC0(fn6e49f), .NC1(uk8875a)); CU2 aab9a16 (.CI(me675cd), .PC0(ym21624), .PC1(oh9068d), .CO(xl1638a), .NC0(wy559d), .NC1()); FADD2B mr4b213 (.A0(oh9068d), .A1(oh3c44c), .B0(oh9068d), .B1(oh3c44c), .CI(oh9068d), .COUT(jpe9cf9), .S0(), .S1()); CU2 xl8e2b5 (.CI(jpe9cf9), .PC0(osc485a), .PC1(mg242d1), .CO(vxb5fa1), .NC0(uk34943), .NC1(rg63e74)); CU2 hof43fe (.CI(vxb5fa1), .PC0(wwd125e), .PC1(uv5e284), .CO(dmfe504), .NC0(xjc7184), .NC1(qtfd7fa)); CU2 rva08e7 (.CI(dmfe504), .PC0(ph848ae), .PC1(anaee78), .CO(mre7cae), .NC0(ym1f209), .NC1(gd36998)); CU2 an95d4c (.CI(mre7cae), .PC0(ay78a4b), .PC1(kd4b2a8), .CO(cm4c960), .NC0(vx28a0b), .NC1(jeb78ae)); CU2 kf2c072 (.CI(cm4c960), .PC0(lsa8a57), .PC1(ho575ae), .CO(yk72b5b), .NC0(cb8510c), .NC1(co341f5)); CU2 ld6b623 (.CI(yk72b5b), .PC0(anae5af), .PC1(oh9068d), .CO(wl23d30), .NC0(mg34010), .NC1()); FSUB2B hof4c2b (.A0(oh3c44c), .A1(rt5fa47), .B0(oh9068d), .B1(xj641e9), .BI(oh9068d), .BOUT(dzd8704), .S0(), .S1(bn1c13c)); FSUB2B yke09e7 (.A0(yxfd23f), .A1(tw3f9da), .B0(lf9e52c), .B1(zx40318), .BI(dzd8704), .BOUT(alc3f66), .S0(qtc3827), .S1(shfd9a1)); FSUB2B xjecd0d (.A0(psda5e1), .A1(vie15c8), .B0(ay772e2), .B1(medbb14), .BI(alc3f66), .BOUT(jc7312e), .S0(aa1fb34), .S1(goc4b98)); FSUB2B ba25cc1 (.A0(dzc8610), .A1(ec10c6f), .B0(vi764fd), .B1(ux18596), .BI(jc7312e), .BOUT(xj50641), .S0(wy98973), .S1(xl1907b)); FSUB2B vvc83df (.A0(gb6f0c9), .A1(uvc982f), .B0(iraeaf9), .B1(al5e34f), .BI(xj50641), .BOUT(ayf539a), .S0(pu8320f), .S1(gb4e680)); FSUB2B fa73405 (.A0(fc2fa21), .A1(jpe9ea6), .B0(sj8e0d), .B1(oh9068d), .BI(ayf539a), .BOUT(), .S0(yma9cd0), .S1(ay5c741)); VHI the3a09 (.Z(oh3c44c)); FSUB2B gbe827d (.A0(oh3c44c), .A1(ld5a793), .B0(oh9068d), .B1(osc485a), .BI(oh9068d), .BOUT(dm6cf8c), .S0(), .S1(ie3e311)); FSUB2B tuf1889 (.A0(ofd6c5e), .A1(bna0256), .B0(mg242d1), .B1(wwd125e), .BI(dm6cf8c), .BOUT(xj5a0d5), .S0(su67c62), .S1(do83573)); FSUB2B co1ab9b (.A0(jpcd4c1), .A1(ph9952), .B0(uv5e284), .B1(ph848ae), .BI(xj5a0d5), .BOUT(jcca8f5), .S0(psd06ae), .S1(mga3d55)); FSUB2B ng1eaa9 (.A0(wy98918), .A1(xybd739), .B0(anaee78), .B1(ay78a4b), .BI(jcca8f5), .BOUT(by58fcd), .S0(al547aa), .S1(do3f356)); FSUB2B hbf9ab3 (.A0(aa1fe8e), .A1(ba139a), .B0(kd4b2a8), .B1(lsa8a57), .BI(by58fcd), .BOUT(fafea05), .S0(xjc7e6a), .S1(xya8150)); FSUB2B dz40a83 (.A0(qi1a36b), .A1(iea6de0), .B0(ho575ae), .B1(oh9068d), .BI(fafea05), .BOUT(), .S0(do47a1), .S1(dmf42ab)); FADD2B nee495e (.A0(oh9068d), .A1(db84238), .B0(oh9068d), .B1(db84238), .CI(oh9068d), .COUT(kf90665), .S0(), .S1()); AGEB2 dzc2e84 (.A0(osc485a), .A1(mg242d1), .B0(ld5a793), .B1(ofd6c5e), .CI(kf90665), .GE(mg34ebd)); AGEB2 vka75e9 (.A0(wwd125e), .A1(uv5e284), .B0(bna0256), .B1(jpcd4c1), .CI(mg34ebd), .GE(ps52ebf)); AGEB2 co975fb (.A0(ph848ae), .A1(anaee78), .B0(ph9952), .B1(wy98918), .CI(ps52ebf), .GE(yxc6fde)); AGEB2 nt37ef4 (.A0(ay78a4b), .A1(kd4b2a8), .B0(xybd739), .B1(aa1fe8e), .CI(yxc6fde), .GE(vx37459)); AGEB2 rvba2cf (.A0(lsa8a57), .A1(ho575ae), .B0(ba139a), .B1(qi1a36b), .CI(vx37459), .GE(nrf9e15)); AGEB2 hocf0af (.A0(su6205e), .A1(oh9068d), .B0(fce507), .B1(oh9068d), .CI(nrf9e15), .GE(xjd8c37)); FADD2B qtc61be (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(xjd8c37), .COUT(), .S0(fc83261), .S1()); FADD2B vx3818d (.A0(oh9068d), .A1(ng86878), .B0(oh9068d), .B1(ng86878), .CI(oh9068d), .COUT(ho73e4e), .S0(), .S1()); AGEB2 th5ad04 (.A0(rt5fa47), .A1(yxfd23f), .B0(xj641e9), .B1(lf9e52c), .CI(ho73e4e), .GE(lf3d99f)); AGEB2 theccff (.A0(tw3f9da), .A1(psda5e1), .B0(zx40318), .B1(ay772e2), .CI(lf3d99f), .GE(off2943)); AGEB2 jr94a1c (.A0(vie15c8), .A1(dzc8610), .B0(medbb14), .B1(vi764fd), .CI(off2943), .GE(lf37e37)); AGEB2 lfbf1be (.A0(ec10c6f), .A1(gb6f0c9), .B0(ux18596), .B1(iraeaf9), .CI(lf37e37), .GE(dobd9a4)); AGEB2 hbecd23 (.A0(uvc982f), .A1(fc2fa21), .B0(al5e34f), .B1(sj8e0d), .CI(dobd9a4), .GE(ldf336a)); AGEB2 ng99b57 (.A0(fnc7d4e), .A1(oh9068d), .B0(cb8011e), .B1(oh9068d), .CI(ldf336a), .GE(bld7356)); FADD2B qib9ab6 (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(bld7356), .COUT(), .S0(rt5fa3a), .S1()); FADD2B go4cfd4 (.A0(oh9068d), .A1(db84238), .B0(oh9068d), .B1(db84238), .CI(oh9068d), .COUT(vx960d), .S0(), .S1()); AGEB2 yx7526e (.A0(ay670b6[0]), .A1(ay670b6[1]), .B0(ie3e311), .B1(su67c62), .CI(vx960d), .GE(ana12fe)); AGEB2 xl97f5 (.A0(ay670b6[2]), .A1(ay670b6[3]), .B0(do83573), .B1(psd06ae), .CI(ana12fe), .GE(fn74e8d)); AGEB2 ria746f (.A0(ay670b6[4]), .A1(ay670b6[5]), .B0(mga3d55), .B1(al547aa), .CI(fn74e8d), .GE(ofd3065)); AGEB2 qi9832c (.A0(ay670b6[6]), .A1(ay670b6[7]), .B0(do3f356), .B1(xjc7e6a), .CI(ofd3065), .GE(nt9f2f)); AGEB2 ld4f97f (.A0(ay670b6[8]), .A1(ay670b6[9]), .B0(xya8150), .B1(do47a1), .CI(nt9f2f), .GE(xjf30e3)); AGEB2 ec9871f (.A0(fad51e7), .A1(oh9068d), .B0(ecaad5), .B1(oh9068d), .CI(xjf30e3), .GE(ipccfc7)); FADD2B ui67e3d (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(ipccfc7), .COUT(), .S0(ksbc8d7), .S1()); FADD2B by790f2 (.A0(oh9068d), .A1(ng86878), .B0(oh9068d), .B1(ng86878), .CI(oh9068d), .COUT(ls960a9), .S0(), .S1()); AGEB2 lq5ddbc (.A0(bn1c13c), .A1(qtc3827), .B0(tj385b0[0]), .B1(tj385b0[1]), .CI(ls960a9), .GE(xl13484)); AGEB2 mt9a426 (.A0(shfd9a1), .A1(aa1fb34), .B0(tj385b0[2]), .B1(tj385b0[3]), .CI(xl13484), .GE(eca69e9)); AGEB2 aa34f49 (.A0(goc4b98), .A1(wy98973), .B0(tj385b0[4]), .B1(tj385b0[5]), .CI(eca69e9), .GE(ip4bdc0)); AGEB2 sh5ee04 (.A0(xl1907b), .A1(pu8320f), .B0(tj385b0[6]), .B1(tj385b0[7]), .CI(ip4bdc0), .GE(db2d4da)); AGEB2 yx6a6d7 (.A0(gb4e680), .A1(yma9cd0), .B0(tj385b0[8]), .B1(tj385b0[9]), .CI(db2d4da), .GE(sue0cf8)); AGEB2 hd67c0 (.A0(ay5c741), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(sue0cf8), .GE(cb3c91c)); VLO xwe48e5 (.Z(oh9068d)); FADD2B kf23951 (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(cb3c91c), .COUT(), .S0(aycb870), .S1()); assign lf16c36 = gd8e31; assign wyb61b7 = ana1e10;\r
+always@* begin icc175e<={uve78fb>>1,eae03bd[0]};ngbaf0<=eae03bd[1];fa5d782<=eae03bd[2];faebc13<=eae03bd[3];of5e09b<=eae03bd[4];uif04dc<=eae03bd[5];co826e0<=eae03bd[6];xl13701<={ay670b6>>1,eae03bd[7]};nt9b80e<={tj385b0>>1,eae03bd[8]};end\r
+always@* begin shdc077[2047]<=an3c7dd;shdc077[2046]<=fne3eec;shdc077[2044]<=ie1f767;shdc077[2040]<=zkfbb38;shdc077[2032]<=xjdd9c2;shdc077[2017]<=rtece16;shdc077[1987]<=ay670b6[0];shdc077[1926]<=tj385b0[0];shdc077[1023]<=uve78fb[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module do93e46 (uve78fb, an3c7dd, fne3eec, ie1f767, zkfbb38, xjdd9c2,\r
+ rtece16, ay670b6, tj385b0, blc2d86, lf16c36, wyb61b7, zzb0db9,\r
+ vk86dcf);\r
+input wire [17:0] uve78fb;\r
+input wire an3c7dd;\r
+input wire fne3eec;\r
+input wire ie1f767;\r
+input wire zkfbb38;\r
+input wire xjdd9c2;\r
+input wire rtece16;\r
+input wire [9:0] ay670b6;\r
+input wire [9:0] tj385b0;\r
+output wire [17:0] blc2d86;\r
+output wire lf16c36;\r
+output wire wyb61b7;\r
+output wire zzb0db9;\r
+output wire vk86dcf;\r
+wire uk10d0f;\r
+wire mg10847;\r
+wire su4cd40;\r
+wire ay491f2;\r
+wire swa6d19;\r
+wire gb65dfa;\r
+wire twb7f1d;\r
+wire pfe91fc;\r
+wire blfced2;\r
+wire fnd2f0a;\r
+wire gqae43;\r
+wire cm43086;\r
+wire pu86378;\r
+wire qg7864c;\r
+wire me4c17d;\r
+wire me7d10b;\r
+wire fcb121;\r
+wire me4c91b;\r
+wire dz648d9;\r
+wire ou246ce;\r
+wire ep23671;\r
+wire xy1b38c;\r
+wire qtd9c62;\r
+wire kqce314;\r
+wire nr718a1;\r
+wire do8c50f;\r
+wire cm6287a;\r
+wire bna0ec7;\r
+wire mg21689;\r
+wire hq892f1;\r
+wire rgf1424;\r
+wire uk24577;\r
+wire yk773c5;\r
+wire hoc5259;\r
+wire kq59545;\r
+wire hb452ba;\r
+wire phbad72;\r
+wire ui72d7c;\r
+wire yx4e2a2;\r
+wire vv71515;\r
+wire mt8a8ae;\r
+wire yx54574;\r
+wire xya2ba5;\r
+wire wy15d2b;\r
+wire epae958;\r
+wire hb74ac5;\r
+wire jra562f;\r
+wire ou2b17f;\r
+wire iebc062;\r
+wire fa69a9c;\r
+wire fp942e5;\r
+wire eaea018;\r
+wire gd3cf5c;\r
+wire ld6e606;\r
+wire pu997a9;\r
+wire dmc506a;\r
+wire twbd919;\r
+wire ipf79c5;\r
+wire zzceee;\r
+wire tuc0cca;\r
+wire kq6dea3;\r
+wire ks24221;\r
+wire ne4d871;\r
+wire kqde7bd;\r
+wire hd378a0;\r
+wire ir857bb;\r
+wire uxdb2a;\r
+wire vi42afb;\r
+wire qi8dcd0;\r
+wire ps738fb;\r
+wire qv9f0d5;\r
+wire nt8bd4c;\r
+wire ld454ea;\r
+wire rib75b1;\r
+wire pub2248;\r
+wire rie809;\r
+wire uk1f410;\r
+wire med1c49;\r
+wire fc164c;\r
+wire db8e248;\r
+wire bn13340;\r
+wire jp71247;\r
+wire zz32c7f;\r
+wire tw8923e;\r
+wire kdf2b23;\r
+wire fpaf81f;\r
+wire pse41ee;\r
+wire ne7c0ff;\r
+wire an9a9d3;\r
+wire cme07fa;\r
+wire qte84e9;\r
+wire nt3fd1;\r
+wire rt6be72;\r
+wire vxa59a6;\r
+wire anbee41;\r
+wire mrc6794;\r
+wire cz651af;\r
+wire ng1100c;\r
+wire lqee0f9;\r
+wire pf5f365;\r
+wire kd54432;\r
+wire fnf9b2e;\r
+wire hdaa0f5;\r
+wire xjcd977;\r
+wire os774cd;\r
+wire lq6cbbf;\r
+wire xj4f8b2;\r
+wire gbf27ae;\r
+wire lsb2b92;\r
+wire zm93d75;\r
+wire hd94841;\r
+wire hd9ebab;\r
+wire wj7532a;\r
+wire uif5d5f;\r
+wire nta035d;\r
+wire nga760e;\r
+wire gd8e31;\r
+wire ana1e10;\r
+wire ou8d7e9;\r
+wire mre2814;\r
+wire ld5f8b9;\r
+wire gd9f8df;\r
+wire mef5856;\r
+wire vv4f023;\r
+wire ay53911;\r
+wire yxc3544;\r
+wire al4b55d;\r
+wire qg53cd7;\r
+wire hb7baa4;\r
+wire mgb992f;\r
+wire qg77b88;\r
+wire fn6e49f;\r
+wire uk8875a;\r
+wire co1ac37;\r
+wire wy559d;\r
+wire xl1638a;\r
+wire ym21624;\r
+wire me675cd;\r
+wire uk34943;\r
+wire rg63e74;\r
+wire jpe9cf9;\r
+wire xjc7184;\r
+wire qtfd7fa;\r
+wire vxb5fa1;\r
+wire ym1f209;\r
+wire gd36998;\r
+wire dmfe504;\r
+wire vx28a0b;\r
+wire jeb78ae;\r
+wire mre7cae;\r
+wire cb8510c;\r
+wire co341f5;\r
+wire cm4c960;\r
+wire mg34010;\r
+wire wl23d30;\r
+wire anae5af;\r
+wire yk72b5b;\r
+wire bn1c13c;\r
+wire qtc3827;\r
+wire shfd9a1;\r
+wire dzd8704;\r
+wire aa1fb34;\r
+wire goc4b98;\r
+wire alc3f66;\r
+wire wy98973;\r
+wire xl1907b;\r
+wire jc7312e;\r
+wire pu8320f;\r
+wire gb4e680;\r
+wire xj50641;\r
+wire yma9cd0;\r
+wire ay5c741;\r
+wire ayf539a;\r
+wire jpe9ea6;\r
+wire aaa6dde;\r
+wire wl36ef1;\r
+wire ie3e311;\r
+wire oh3c44c;\r
+wire su67c62;\r
+wire do83573;\r
+wire dm6cf8c;\r
+wire psd06ae;\r
+wire mga3d55;\r
+wire xj5a0d5;\r
+wire al547aa;\r
+wire do3f356;\r
+wire jcca8f5;\r
+wire xjc7e6a;\r
+wire xya8150;\r
+wire by58fcd;\r
+wire do47a1;\r
+wire dmf42ab;\r
+wire fafea05;\r
+wire iea6de0;\r
+wire ie3b2e0;\r
+wire uvd9701;\r
+wire kf90665;\r
+wire ld5a793;\r
+wire ofd6c5e;\r
+wire osc485a;\r
+wire mg242d1;\r
+wire mg34ebd;\r
+wire bna0256;\r
+wire jpcd4c1;\r
+wire wwd125e;\r
+wire uv5e284;\r
+wire ps52ebf;\r
+wire ph9952;\r
+wire wy98918;\r
+wire ph848ae;\r
+wire anaee78;\r
+wire yxc6fde;\r
+wire xybd739;\r
+wire aa1fe8e;\r
+wire ay78a4b;\r
+wire kd4b2a8;\r
+wire vx37459;\r
+wire ba139a;\r
+wire qi1a36b;\r
+wire lsa8a57;\r
+wire ho575ae;\r
+wire nrf9e15;\r
+wire fce507;\r
+wire su6205e;\r
+wire fc83261;\r
+wire xjd8c37;\r
+wire ho73e4e;\r
+wire xj641e9;\r
+wire lf9e52c;\r
+wire rt5fa47;\r
+wire yxfd23f;\r
+wire lf3d99f;\r
+wire zx40318;\r
+wire ay772e2;\r
+wire tw3f9da;\r
+wire psda5e1;\r
+wire off2943;\r
+wire medbb14;\r
+wire vi764fd;\r
+wire vie15c8;\r
+wire dzc8610;\r
+wire lf37e37;\r
+wire ux18596;\r
+wire iraeaf9;\r
+wire ec10c6f;\r
+wire gb6f0c9;\r
+wire dobd9a4;\r
+wire al5e34f;\r
+wire sj8e0d;\r
+wire uvc982f;\r
+wire fc2fa21;\r
+wire ldf336a;\r
+wire cb8011e;\r
+wire fnc7d4e;\r
+wire rt5fa3a;\r
+wire bld7356;\r
+wire db84238;\r
+wire vx960d;\r
+wire jc760c3;\r
+wire zzb061b;\r
+wire ana12fe;\r
+wire mt186f3;\r
+wire kdc379d;\r
+wire fn74e8d;\r
+wire uide76b;\r
+wire thf3b58;\r
+wire ofd3065;\r
+wire shed630;\r
+wire pf6b185;\r
+wire nt9f2f;\r
+wire yxc6172;\r
+wire pu30b95;\r
+wire xjf30e3;\r
+wire ecaad5;\r
+wire fad51e7;\r
+wire ksbc8d7;\r
+wire ipccfc7;\r
+wire ng86878;\r
+wire ls960a9;\r
+wire rt40b5d;\r
+wire ks5aef;\r
+wire xl13484;\r
+wire vv6bbcf;\r
+wire lq5de7f;\r
+wire eca69e9;\r
+wire ps79fd0;\r
+wire xwcfe83;\r
+wire ip4bdc0;\r
+wire sufa0d5;\r
+wire vid06a9;\r
+wire db2d4da;\r
+wire wy1aa5b;\r
+wire lqd52d9;\r
+wire sue0cf8;\r
+wire uv4b659;\r
+wire aycb870;\r
+wire cb3c91c;\r
+wire oh9068d;\r
+reg [17 : 0] icc175e;\r
+reg ngbaf0;\r
+reg fa5d782;\r
+reg faebc13;\r
+reg of5e09b;\r
+reg uif04dc;\r
+reg co826e0;\r
+reg [9 : 0] xl13701;\r
+reg [9 : 0] nt9b80e;\r
+reg puad6d2;\r
+reg rt6b693;\r
+reg cz5b49e;\r
+reg dmda4f4;\r
+reg nrd27a2;\r
+reg je93d16;\r
+reg jr9e8b3;\r
+reg lqf459f;\r
+reg jea2cfa;\r
+reg rv167d3;\r
+reg oub3e9e;\r
+reg ir9f4f0;\r
+reg ykfa784;\r
+reg shd3c21;\r
+reg db9e10d;\r
+reg kqf086e;\r
+reg hd84371;\r
+reg ec21b8e;\r
+reg yzdc70;\r
+reg vv6e385;\r
+reg jp71c2e;\r
+reg zz8e177;\r
+reg cz70bbf;\r
+reg ux85dfd;\r
+reg ie2efed;\r
+reg kq77f69;\r
+reg dbbfb48;\r
+reg czfda46;\r
+reg yxed235;\r
+reg jc691ad;\r
+reg tu48d6b;\r
+reg ea46b5b;\r
+reg hq35ad8;\r
+reg hqad6c3;\r
+reg fn6b61b;\r
+reg kd5b0de;\r
+reg rtd86f4;\r
+reg yxc37a7;\r
+reg pu1bd3d;\r
+reg gode9eb;\r
+reg nef4f59;\r
+reg doa7acb;\r
+reg vx3d65b;\r
+reg pseb2de;\r
+reg kq596f2;\r
+reg sucb791;\r
+reg yx5bc89;\r
+reg ayde44d;\r
+reg off2268;\r
+reg nt91345;\r
+reg ng89a2f;\r
+reg jc4d17b;\r
+reg yk68bda;\r
+reg hb45ed0;\r
+reg je2f687;\r
+reg rt7b43a;\r
+reg neda1d7;\r
+reg ned0eba;\r
+reg ie875d2;\r
+reg ri3ae91;\r
+reg ldd748a;\r
+reg vxba456;\r
+reg vvd22b7;\r
+reg xl915be;\r
+reg qv8adf7;\r
+reg cm56fbc;\r
+reg dob7de1;\r
+reg yzbef0a;\r
+reg off7854;\r
+reg gqbc2a4;\r
+reg zke1520;\r
+reg mga903;\r
+reg yx54818;\r
+reg uxa40c4;\r
+reg zm20625;\r
+reg ph312b;\r
+reg wl1895f;\r
+reg goc4afc;\r
+reg ba257e1;\r
+reg co2bf0b;\r
+reg rt5f859;\r
+reg zxfc2cf;\r
+reg vie167e;\r
+reg hqb3f3;\r
+reg me59f9b;\r
+reg facfcd8;\r
+reg vv7e6c2;\r
+reg vvf3617;\r
+reg rv9b0bf;\r
+reg vvd85fe;\r
+reg fnc2ff7;\r
+reg qv17fbe;\r
+reg ntbfdf5;\r
+reg dmfefae;\r
+reg czf7d72;\r
+reg qvbeb94;\r
+reg ayf5ca4;\r
+reg epae521;\r
+reg ay7290c;\r
+reg bn94860;\r
+reg sja4306;\r
+reg ie21835;\r
+reg fpc1a8;\r
+reg uv60d46;\r
+reg xl6a34;\r
+reg do351a6;\r
+reg xya8d32;\r
+reg ww46992;\r
+reg uk34c90;\r
+reg gqa6481;\r
+reg ph32408;\r
+reg sw92047;\r
+reg yz90238;\r
+reg zz811c5;\r
+reg ux8e2e;\r
+reg ne47175;\r
+reg hd38bab;\r
+reg lqc5d5f;\r
+reg ou2eafb;\r
+reg ip757d9;\r
+reg mtabecc;\r
+reg th5f665;\r
+reg jcfb32e;\r
+reg czd9973;\r
+reg enccb9c;\r
+reg qt65ce5;\r
+reg ou2e72c;\r
+reg dm73960;\r
+reg xy9cb02;\r
+reg ose5812;\r
+reg an2c090;\r
+reg al60485;\r
+reg wl242d;\r
+reg an1216d;\r
+reg kf90b6c;\r
+reg mt85b64;\r
+reg zz2db25;\r
+reg me6d92e;\r
+reg ne6c970;\r
+reg xj64b82;\r
+reg tw25c16;\r
+reg mg2e0b2;\r
+reg of70590;\r
+reg gq82c84;\r
+reg zz16420;\r
+reg bab2106;\r
+reg mt90833;\r
+reg db8419c;\r
+reg zm20ce6;\r
+reg lf6732;\r
+reg qv33997;\r
+reg xy9ccbc;\r
+reg rte65e2;\r
+reg zz32f16;\r
+reg qi978b6;\r
+reg ksbc5b1;\r
+reg gbe2d88;\r
+reg tj16c45;\r
+reg ieb622f;\r
+reg qvb1179;\r
+reg wy88bcc;\r
+reg fn45e61;\r
+reg tw2f30f;\r
+reg rg7987a;\r
+reg ofcc3d3;\r
+reg pf61e9c;\r
+reg gqf4e6;\r
+reg gb7a731;\r
+reg end398d;\r
+reg tw9cc6d;\r
+reg lde636a;\r
+reg aa31b56;\r
+reg co8dab1;\r
+reg yk6d589;\r
+reg kd6ac49;\r
+reg ay5624d;\r
+reg kfb1268;\r
+reg ba89344;\r
+reg me49a22;\r
+reg by4d111;\r
+reg me6888a;\r
+reg of44450;\r
+reg lf22284;\r
+reg ba11426;\r
+reg wl8a133;\r
+reg fn5099d;\r
+reg zz84cee;\r
+reg yz26777;\r
+reg cb33bbc;\r
+reg ou9dde4;\r
+reg vieef20;\r
+reg kq77903;\r
+reg aabc818;\r
+reg hoe40c1;\r
+reg zm2060b;\r
+reg ph305b;\r
+reg wl182df;\r
+reg kqc16fd;\r
+reg dob7e8;\r
+reg ip5bf43;\r
+reg dmdfa1d;\r
+reg qtfd0eb;\r
+reg cze875c;\r
+reg os43ae5;\r
+reg kf1d728;\r
+reg tueb945;\r
+reg ui5ca2b;\r
+reg qge5158;\r
+reg vx28ac1;\r
+reg jp4560e;\r
+reg uk2b076;\r
+reg zk583b0;\r
+reg icc1d83;\r
+reg riec19;\r
+reg lq760ca;\r
+reg phb0653;\r
+reg pu8329e;\r
+reg xl194f3;\r
+reg tuca79f;\r
+reg ea53cfb;\r
+reg vx9e7d9;\r
+reg blf3ece;\r
+reg ir9f676;\r
+reg zkfb3b4;\r
+reg ald9da3;\r
+reg meced1c;\r
+reg xw768e0;\r
+reg cbb4702;\r
+reg oua3814;\r
+reg fp1c0a1;\r
+reg eae050f;\r
+reg cb2879;\r
+reg ri143cc;\r
+reg uxa1e63;\r
+reg ukf31c;\r
+reg ne798e1;\r
+reg ipcc70b;\r
+reg rg6385c;\r
+reg db1c2e3;\r
+reg pse171f;\r
+reg lsb8fb;\r
+reg os5c7da;\r
+reg ble3ed1;\r
+reg co1f68f;\r
+reg dmfb478;\r
+reg fada3c3;\r
+reg ayd1e1a;\r
+reg kf8f0d0;\r
+reg kq78685;\r
+reg gbc3428;\r
+reg aa1a145;\r
+reg ned0a28;\r
+reg kf85142;\r
+reg fp28a14;\r
+reg ld450a6;\r
+reg nt28536;\r
+reg zk429b7;\r
+reg tw14dba;\r
+reg eca6dd2;\r
+reg cb36e91;\r
+reg pub748c;\r
+reg vxba463;\r
+reg vvd231f;\r
+reg xl918fe;\r
+reg ls8c7f6;\r
+reg ps63fb2;\r
+reg ks1fd93;\r
+reg tufec99;\r
+reg blf64ca;\r
+reg lsb2657;\r
+reg ng932bb;\r
+reg je995da;\r
+reg lqcaed3;\r
+reg ps5769a;\r
+reg anbb4d2;\r
+reg hoda695;\r
+reg shd34aa;\r
+reg yz9a554;\r
+reg zxd2aa4;\r
+reg gd95527;\r
+reg lfaa93d;\r
+reg kd549ef;\r
+reg mta4f7c;\r
+reg lf27be4;\r
+reg hd3df27;\r
+reg kqef93f;\r
+reg by7c9f9;\r
+reg pse4fcb;\r
+reg jr27e5a;\r
+reg pu3f2d7;\r
+reg zxf96bb;\r
+reg uicb5de;\r
+reg pf5aef1;\r
+reg jpd778f;\r
+reg epbbc7e;\r
+reg icde3f4;\r
+reg zkf1fa0;\r
+reg wl8fd03;\r
+reg [2047:0] shdc077;\r
+wire [306:0] eae03bd;\r
+localparam ba1de9 = 307,ngef4b = 32'hfdffca8b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+AND2 gq98434 (.A(ie1f767), .B(uk10d0f), .Z(ng86878)); INV aa343c2 (.A(ana1e10), .Z(uk10d0f)); AND2 go78421 (.A(zkfbb38), .B(mg10847), .Z(db84238)); INV ym211c6 (.A(gd8e31), .Z(mg10847)); OR2 vx38c6b (.A(xjdd9c2), .B(rtece16), .Z(ou8d7e9)); XOR2 pf6bf48 (.A(rt5fa47), .B(yxfd23f), .Z(pfe91fc)); XOR2 ps48fe7 (.A(yxfd23f), .B(tw3f9da), .Z(blfced2)); XOR2 qte7697 (.A(tw3f9da), .B(psda5e1), .Z(fnd2f0a)); XOR2 aa97857 (.A(psda5e1), .B(vie15c8), .Z(gqae43)); XOR2 by57218 (.A(vie15c8), .B(dzc8610), .Z(cm43086)); XOR2 wl18431 (.A(dzc8610), .B(ec10c6f), .Z(pu86378)); XOR2 uk31bc3 (.A(ec10c6f), .B(gb6f0c9), .Z(qg7864c)); XOR2 czc3260 (.A(gb6f0c9), .B(uvc982f), .Z(me4c17d)); XOR2 yx60be8 (.A(uvc982f), .B(fc2fa21), .Z(me7d10b)); XOR2 wje8858 (.A(fc2fa21), .B(ym21624), .Z(fcb121)); XOR2 xw5890b (.A(osc485a), .B(mg242d1), .Z(mg21689)); XOR2 ngb449 (.A(mg242d1), .B(wwd125e), .Z(hq892f1)); XOR2 ic4978a (.A(wwd125e), .B(uv5e284), .Z(rgf1424)); XOR2 gd8a122 (.A(uv5e284), .B(ph848ae), .Z(uk24577)); XOR2 bn22bb9 (.A(ph848ae), .B(anaee78), .Z(yk773c5)); XOR2 mgb9e29 (.A(anaee78), .B(ay78a4b), .Z(hoc5259)); XOR2 gd292ca (.A(ay78a4b), .B(kd4b2a8), .Z(kq59545)); XOR2 tucaa29 (.A(kd4b2a8), .B(lsa8a57), .Z(hb452ba)); XOR2 gd295d6 (.A(lsa8a57), .B(ho575ae), .Z(phbad72)); XOR2 uvd6b96 (.A(ho575ae), .B(anae5af), .Z(ui72d7c)); defparam do96be0.initval = 16'h6996 ; ROM16X1A do96be0 (.AD3(fpaf81f), .AD2(ne7c0ff), .AD1(cme07fa), .AD0(nt3fd1), .DO0(aa1fe8e)); defparam qgff471.initval = 16'h6996 ; ROM16X1A qgff471 (.AD3(med1c49), .AD2(db8e248), .AD1(jp71247), .AD0(tw8923e), .DO0(ay491f2)); defparam fa48f90.initval = 16'h6996 ; ROM16X1A fa48f90 (.AD3(cme07fa), .AD2(nt3fd1), .AD1(oh9068d), .AD0(oh9068d), .DO0(qi1a36b)); defparam kqd1b58.initval = 16'h6996 ; ROM16X1A kqd1b58 (.AD3(ne7c0ff), .AD2(cme07fa), .AD1(nt3fd1), .AD0(oh9068d), .DO0(ba139a)); defparam lf9cd2.initval = 16'h6996 ; ROM16X1A lf9cd2 (.AD3(tw8923e), .AD2(fpaf81f), .AD1(ne7c0ff), .AD0(qi1a36b), .DO0(xybd739)); defparam faeb9ca.initval = 16'h6996 ; ROM16X1A faeb9ca (.AD3(jp71247), .AD2(tw8923e), .AD1(fpaf81f), .AD0(ba139a), .DO0(wy98918)); defparam goc48c0.initval = 16'h6996 ; ROM16X1A goc48c0 (.AD3(db8e248), .AD2(jp71247), .AD1(tw8923e), .AD0(aa1fe8e), .DO0(ph9952)); defparam yk4ca97.initval = 16'h6996 ; ROM16X1A yk4ca97 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(oh9068d), .AD0(oh9068d), .DO0(jpcd4c1)); defparam al6a60e.initval = 16'h6996 ; ROM16X1A al6a60e (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rie809), .AD0(oh9068d), .DO0(bna0256)); defparam do12b7.initval = 16'h6996 ; ROM16X1A do12b7 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rib75b1), .AD0(rie809), .DO0(ofd6c5e)); defparam ukb62f5.initval = 16'h6996 ; ROM16X1A ukb62f5 (.AD3(nt8bd4c), .AD2(rib75b1), .AD1(rie809), .AD0(oh9068d), .DO0(su4cd40)); defparam xw66a01.initval = 16'h6996 ; ROM16X1A xw66a01 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(su4cd40), .AD0(oh9068d), .DO0(ld5a793)); defparam yxd3c9e.initval = 16'h6996 ; ROM16X1A yxd3c9e (.AD3(gbf27ae), .AD2(zm93d75), .AD1(hd9ebab), .AD0(uif5d5f), .DO0(iraeaf9)); defparam mr757cd.initval = 16'h6996 ; ROM16X1A mr757cd (.AD3(pf5f365), .AD2(fnf9b2e), .AD1(xjcd977), .AD0(lq6cbbf), .DO0(gb65dfa)); defparam mg2efd4.initval = 16'h6996 ; ROM16X1A mg2efd4 (.AD3(hd9ebab), .AD2(uif5d5f), .AD1(oh9068d), .AD0(oh9068d), .DO0(sj8e0d)); defparam xw4706d.initval = 16'h6996 ; ROM16X1A xw4706d (.AD3(zm93d75), .AD2(hd9ebab), .AD1(uif5d5f), .AD0(oh9068d), .DO0(al5e34f)); defparam nef1a7c.initval = 16'h6996 ; ROM16X1A nef1a7c (.AD3(lq6cbbf), .AD2(gbf27ae), .AD1(zm93d75), .AD0(sj8e0d), .DO0(ux18596)); defparam xjc2cb5.initval = 16'h6996 ; ROM16X1A xjc2cb5 (.AD3(xjcd977), .AD2(lq6cbbf), .AD1(gbf27ae), .AD0(al5e34f), .DO0(vi764fd)); defparam pub27eb.initval = 16'h6996 ; ROM16X1A pub27eb (.AD3(fnf9b2e), .AD2(xjcd977), .AD1(lq6cbbf), .AD0(iraeaf9), .DO0(medbb14)); defparam lddd8a1.initval = 16'h6996 ; ROM16X1A lddd8a1 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(oh9068d), .AD0(oh9068d), .DO0(ay772e2)); defparam cob9711.initval = 16'h6996 ; ROM16X1A cob9711 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(ng1100c), .AD0(oh9068d), .DO0(zx40318)); defparam tw18c6.initval = 16'h6996 ; ROM16X1A tw18c6 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(mrc6794), .AD0(ng1100c), .DO0(lf9e52c)); defparam yxf2966.initval = 16'h6996 ; ROM16X1A yxf2966 (.AD3(vxa59a6), .AD2(mrc6794), .AD1(ng1100c), .AD0(oh9068d), .DO0(swa6d19)); defparam kf368c9.initval = 16'h6996 ; ROM16X1A kf368c9 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(swa6d19), .AD0(oh9068d), .DO0(xj641e9)); XOR2 xy20f4f (.A(ym21624), .B(uif5d5f), .Z(jpe9ea6)); XOR2 rt4f536 (.A(nt3fd1), .B(anae5af), .Z(iea6de0)); defparam ir36f01.initval = 16'h0410 ; ROM16X1A ir36f01 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(su6205e)); defparam ec102f0.initval = 16'h1004 ; ROM16X1A ec102f0 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(fce507)); defparam ww7283b.initval = 16'h0140 ; ROM16X1A ww7283b (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(fnc7d4e)); defparam ou3ea76.initval = 16'h4001 ; ROM16X1A ou3ea76 (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(cb8011e)); INV qi8f4 (.A(pu30b95), .Z(twb7f1d)); AND2 vk1e855 (.A(nga760e), .B(twb7f1d), .Z(ecaad5)); AND2 nr556a8 (.A(nga760e), .B(pu30b95), .Z(fad51e7)); defparam baa8f3b.CSDECODE_B = "0b000" ; defparam baa8f3b.CSDECODE_A = "0b000" ; defparam baa8f3b.WRITEMODE_B = "NORMAL" ; defparam baa8f3b.WRITEMODE_A = "NORMAL" ; defparam baa8f3b.GSR = "ENABLED" ; defparam baa8f3b.REGMODE_B = "NOREG" ; defparam baa8f3b.REGMODE_A = "NOREG" ; defparam baa8f3b.DATA_WIDTH_B = 18 ; defparam baa8f3b.DATA_WIDTH_A = 18 ; DP16KC baa8f3b (.DIA0(uve78fb[0]), .DIA1(uve78fb[1]), .DIA2(uve78fb[2]), .DIA3(uve78fb[3]), .DIA4(uve78fb[4]), .DIA5(uve78fb[5]), .DIA6(uve78fb[6]), .DIA7(uve78fb[7]), .DIA8(uve78fb[8]), .DIA9(uve78fb[9]), .DIA10(uve78fb[10]), .DIA11(uve78fb[11]), .DIA12(uve78fb[12]), .DIA13(uve78fb[13]), .DIA14(uve78fb[14]), .DIA15(uve78fb[15]), .DIA16(uve78fb[16]), .DIA17(uve78fb[17]), .ADA0(oh3c44c), .ADA1(oh3c44c), .ADA2(oh9068d), .ADA3(oh9068d), .ADA4(me4c91b), .ADA5(dz648d9), .ADA6(ou246ce), .ADA7(ep23671), .ADA8(xy1b38c), .ADA9(qtd9c62), .ADA10(kqce314), .ADA11(nr718a1), .ADA12(do8c50f), .ADA13(cm6287a), .CEA(ng86878), .CLKA(an3c7dd), .OCEA(ng86878), .WEA(oh3c44c), .CSA0(oh9068d), .CSA1(oh9068d), .CSA2(oh9068d), .RSTA(xjdd9c2), .DIB0(oh9068d), .DIB1(oh9068d), .DIB2(oh9068d), .DIB3(oh9068d), .DIB4(oh9068d), .DIB5(oh9068d), .DIB6(oh9068d), .DIB7(oh9068d), .DIB8(oh9068d), .DIB9(oh9068d), .DIB10(oh9068d), .DIB11(oh9068d), .DIB12(oh9068d), .DIB13(oh9068d), .DIB14(oh9068d), .DIB15(oh9068d), .DIB16(oh9068d), .DIB17(oh9068d), .ADB0(oh9068d), .ADB1(oh9068d), .ADB2(oh9068d), .ADB3(oh9068d), .ADB4(yx4e2a2), .ADB5(vv71515), .ADB6(mt8a8ae), .ADB7(yx54574), .ADB8(xya2ba5), .ADB9(wy15d2b), .ADB10(epae958), .ADB11(hb74ac5), .ADB12(jra562f), .ADB13(ou2b17f), .CEB(db84238), .CLKB(fne3eec), .OCEB(db84238), .WEB(oh9068d), .CSB0(oh9068d), .CSB1(oh9068d), .CSB2(oh9068d), .RSTB(xjdd9c2), .DOA0(), .DOA1(), .DOA2(), .DOA3(), .DOA4(), .DOA5(), .DOA6(), .DOA7(), .DOA8(), .DOA9(), .DOA10(), .DOA11(), .DOA12(), .DOA13(), .DOA14(), .DOA15(), .DOA16(), .DOA17(), .DOB0(blc2d86[0]), .DOB1(blc2d86[1]), .DOB2(blc2d86[2]), .DOB3(blc2d86[3]), .DOB4(blc2d86[4]), .DOB5(blc2d86[5]), .DOB6(blc2d86[6]), .DOB7(blc2d86[7]), .DOB8(blc2d86[8]), .DOB9(blc2d86[9]), .DOB10(blc2d86[10]), .DOB11(blc2d86[11]), .DOB12(blc2d86[12]), .DOB13(blc2d86[13]), .DOB14(blc2d86[14]), .DOB15(blc2d86[15]), .DOB16(blc2d86[16]), .DOB17(blc2d86[17]))\r
+ ; FD1P3BX uvfd113 (.D(mre2814), .SP(ng86878), .CK(an3c7dd), .PD(xjdd9c2), .Q(rt5fa47)) ; FD1P3DX rge49ba (.D(ld5f8b9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(yxfd23f)) ; FD1P3DX wl90bfe (.D(mef5856), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tw3f9da)) ; FD1P3DX lfa5713 (.D(vv4f023), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(psda5e1)) ; FD1P3DX hbf933c (.D(yxc3544), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(vie15c8)) ; FD1P3DX ba3526f (.D(al4b55d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dzc8610)) ; FD1P3DX qgd12e5 (.D(hb7baa4), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ec10c6f)) ; FD1P3DX th4a80d (.D(mgb992f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gb6f0c9)) ; FD1P3DX kd75eef (.D(fn6e49f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(uvc982f)) ; FD1P3DX faeed89 (.D(uk8875a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fc2fa21)) ; FD1P3DX nr5a315 (.D(wy559d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ym21624)) ; FD1P3DX mr70444 (.D(pfe91fc), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fa69a9c)) ; FD1P3DX ou21669 (.D(blfced2), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fp942e5)) ; FD1P3DX of4b198 (.D(fnd2f0a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(eaea018)) ; FD1P3DX xy2ab42 (.D(gqae43), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gd3cf5c)) ; FD1P3DX rv9e65f (.D(cm43086), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ld6e606)) ; FD1P3DX uvf763a (.D(pu86378), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(pu997a9)) ; FD1P3DX hqaddd2 (.D(qg7864c), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dmc506a)) ; FD1P3DX uk973f1 (.D(me4c17d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(twbd919)) ; FD1P3DX en415d0 (.D(me7d10b), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ipf79c5)) ; FD1P3DX vk205d6 (.D(fcb121), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(zzceee)) ; FD1P3DX ls9c9a0 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tuc0cca)) ; FD1P3DX vi478a0 (.D(rt5fa47), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(me4c91b)) ; FD1P3DX hda57e2 (.D(yxfd23f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dz648d9)) ; FD1P3DX hocfd61 (.D(tw3f9da), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ou246ce)) ; FD1P3DX irb13c0 (.D(psda5e1), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ep23671)) ; FD1P3DX ou1f0d5 (.D(vie15c8), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(xy1b38c)) ; FD1P3DX hq252d5 (.D(dzc8610), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(qtd9c62)) ; FD1P3DX kdedeea (.D(ec10c6f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(kqce314)) ; FD1P3DX sj26e64 (.D(gb6f0c9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(nr718a1)) ; FD1P3DX ne79b92 (.D(uvc982f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(do8c50f)) ; FD1P3DX vife21d (.D(fc2fa21), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(cm6287a)) ; FD1P3DX dmd0156 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(bna0ec7)) ; FD1P3BX she8601 (.D(uk34943), .SP(db84238), .CK(fne3eec), .PD(ou8d7e9), .Q(osc485a)) ; FD1P3DX jc4d4e2 (.D(rg63e74), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mg242d1)) ; FD1P3DX cba172f (.D(xjc7184), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wwd125e)) ; FD1P3DX dz500c0 (.D(qtfd7fa), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uv5e284)) ; FD1P3DX ene7ae5 (.D(ym1f209), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ph848ae)) ; FD1P3DX fa73036 (.D(gd36998), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anaee78)) ; FD1P3DX gocbd4b (.D(vx28a0b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ay78a4b)) ; FD1P3DX bn28352 (.D(jeb78ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kd4b2a8)) ; FD1P3DX fnec8cb (.D(cb8510c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(lsa8a57)) ; FD1P3DX ksbce2c (.D(co341f5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ho575ae)) ; FD1P3DX ay67777 (.D(mg34010), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anae5af)) ; FD1P3DX db6653 (.D(mg21689), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kq6dea3)) ; FD1P3DX pseb66c (.D(hq892f1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ks24221)) ; FD1P3DX cba4eca (.D(rgf1424), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ne4d871)) ; FD1P3DX aa31aa0 (.D(uk24577), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kqde7bd)) ; FD1P3DX xj45bd5 (.D(yk773c5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hd378a0)) ; FD1P3DX jcf48be (.D(hoc5259), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ir857bb)) ; FD1P3DX suefb70 (.D(kq59545), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uxdb2a)) ; FD1P3DX ic4cd37 (.D(hb452ba), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vi42afb)) ; FD1P3DX su593c1 (.D(phbad72), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qi8dcd0)) ; FD1P3DX kd6f03b (.D(ui72d7c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ps738fb)) ; FD1P3DX tj3a29 (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qv9f0d5)) ; FD1P3DX mgacd25 (.D(osc485a), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx4e2a2)) ; FD1P3DX jr198f9 (.D(mg242d1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vv71515)) ; FD1P3DX gqa31c6 (.D(wwd125e), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mt8a8ae)) ; FD1P3DX nt27f5f (.D(uv5e284), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx54574)) ; FD1P3DX osd47c8 (.D(ph848ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(xya2ba5)) ; FD1P3DX vi48da6 (.D(anaee78), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wy15d2b)) ; FD1P3DX qgc4a28 (.D(ay78a4b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(epae958)) ; FD1P3DX mr5ede2 (.D(kd4b2a8), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hb74ac5)) ; FD1P3DX os72144 (.D(lsa8a57), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(jra562f)) ; FD1P3DX th64d07 (.D(ho575ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ou2b17f)) ; FD1P3DX fca8d00 (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(iebc062)) ; FD1S3DX ux80e29 (.D(fa69a9c), .CK(fne3eec), .CD(xjdd9c2), .Q(ld454ea)) ; FD1S3DX yx6f518 (.D(fp942e5), .CK(fne3eec), .CD(xjdd9c2), .Q(pub2248)) ; FD1S3DX wy21109 (.D(eaea018), .CK(fne3eec), .CD(xjdd9c2), .Q(uk1f410)) ; FD1S3DX jc6c38b (.D(gd3cf5c), .CK(fne3eec), .CD(xjdd9c2), .Q(fc164c)) ; FD1S3DX rtf3de8 (.D(ld6e606), .CK(fne3eec), .CD(xjdd9c2), .Q(bn13340)) ; FD1S3DX cobc506 (.D(pu997a9), .CK(fne3eec), .CD(xjdd9c2), .Q(zz32c7f)) ; FD1S3DX mg2bddc (.D(dmc506a), .CK(fne3eec), .CD(xjdd9c2), .Q(kdf2b23)) ; FD1S3DX me6d955 (.D(twbd919), .CK(fne3eec), .CD(xjdd9c2), .Q(pse41ee)) ; FD1S3DX mg157da (.D(ipf79c5), .CK(fne3eec), .CD(xjdd9c2), .Q(an9a9d3)) ; FD1S3DX jp6e681 (.D(zzceee), .CK(fne3eec), .CD(xjdd9c2), .Q(qte84e9)) ; FD1S3DX pu9c7da (.D(tuc0cca), .CK(fne3eec), .CD(xjdd9c2), .Q(rt6be72)) ; FD1S3DX mrf86ac (.D(kq6dea3), .CK(an3c7dd), .CD(ou8d7e9), .Q(anbee41))\r
+ ; FD1S3DX ux29433 (.D(ks24221), .CK(an3c7dd), .CD(ou8d7e9), .Q(cz651af)) ; FD1S3DX nr7e701 (.D(ne4d871), .CK(an3c7dd), .CD(ou8d7e9), .Q(lqee0f9)) ; FD1S3DX jpf32c7 (.D(kqde7bd), .CK(an3c7dd), .CD(ou8d7e9), .Q(kd54432)) ; FD1S3DX shd959b (.D(hd378a0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hdaa0f5)) ; FD1S3DX xj503f8 (.D(ir857bb), .CK(an3c7dd), .CD(ou8d7e9), .Q(os774cd)) ; FD1S3DX qtf8b73 (.D(uxdb2a), .CK(an3c7dd), .CD(ou8d7e9), .Q(xj4f8b2)) ; FD1S3DX sh5ec8b (.D(vi42afb), .CK(an3c7dd), .CD(ou8d7e9), .Q(lsb2b92)) ; FD1S3DX dz7bf90 (.D(qi8dcd0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hd94841)) ; FD1S3DX zz9bb1d (.D(ps738fb), .CK(an3c7dd), .CD(ou8d7e9), .Q(wj7532a)) ; FD1S3DX kdf770e (.D(qv9f0d5), .CK(an3c7dd), .CD(ou8d7e9), .Q(nta035d)) ; FD1S3DX en654a8 (.D(ld454ea), .CK(fne3eec), .CD(xjdd9c2), .Q(nt8bd4c)) ; FD1S3DX ls2a756 (.D(pub2248), .CK(fne3eec), .CD(xjdd9c2), .Q(rib75b1)) ; FD1S3DX vx91243 (.D(uk1f410), .CK(fne3eec), .CD(xjdd9c2), .Q(rie809)) ; FD1S3DX qgfa080 (.D(fc164c), .CK(fne3eec), .CD(xjdd9c2), .Q(med1c49)) ; FD1S3DX pub262 (.D(bn13340), .CK(fne3eec), .CD(xjdd9c2), .Q(db8e248)) ; FD1S3DX ri99a06 (.D(zz32c7f), .CK(fne3eec), .CD(xjdd9c2), .Q(jp71247)) ; FD1S3DX ri963fe (.D(kdf2b23), .CK(fne3eec), .CD(xjdd9c2), .Q(tw8923e)) ; FD1S3DX cb9591c (.D(pse41ee), .CK(fne3eec), .CD(xjdd9c2), .Q(fpaf81f)) ; FD1S3DX ls20f73 (.D(an9a9d3), .CK(fne3eec), .CD(xjdd9c2), .Q(ne7c0ff)) ; FD1S3DX qgd4e9d (.D(qte84e9), .CK(fne3eec), .CD(xjdd9c2), .Q(cme07fa)) ; FD1S3DX xw4274d (.D(rt6be72), .CK(fne3eec), .CD(xjdd9c2), .Q(nt3fd1)) ; FD1S3DX hb5f397 (.D(anbee41), .CK(an3c7dd), .CD(ou8d7e9), .Q(vxa59a6)) ; FD1S3DX enf720c (.D(cz651af), .CK(an3c7dd), .CD(ou8d7e9), .Q(mrc6794)) ; FD1S3DX nt28d7d (.D(lqee0f9), .CK(an3c7dd), .CD(ou8d7e9), .Q(ng1100c)) ; FD1S3DX gb707ca (.D(kd54432), .CK(an3c7dd), .CD(ou8d7e9), .Q(pf5f365)) ; FD1S3DX pua2195 (.D(hdaa0f5), .CK(an3c7dd), .CD(ou8d7e9), .Q(fnf9b2e)) ; FD1S3DX bl507ae (.D(os774cd), .CK(an3c7dd), .CD(ou8d7e9), .Q(xjcd977)) ; FD1S3DX ntba669 (.D(xj4f8b2), .CK(an3c7dd), .CD(ou8d7e9), .Q(lq6cbbf)) ; FD1S3DX ho7c596 (.D(lsb2b92), .CK(an3c7dd), .CD(ou8d7e9), .Q(gbf27ae)) ; FD1S3DX kf95c92 (.D(hd94841), .CK(an3c7dd), .CD(ou8d7e9), .Q(zm93d75)) ; FD1S3DX oha420e (.D(wj7532a), .CK(an3c7dd), .CD(ou8d7e9), .Q(hd9ebab)) ; FD1S3DX swa9954 (.D(nta035d), .CK(an3c7dd), .CD(ou8d7e9), .Q(uif5d5f)) ; FD1S3DX vk1aef (.D(bn1c13c), .CK(an3c7dd), .CD(xjdd9c2), .Q(rt40b5d)) ; FD1S3DX kddce86 (.D(qtc3827), .CK(an3c7dd), .CD(xjdd9c2), .Q(ks5aef)) ; FD1S3DX gda4691 (.D(shfd9a1), .CK(an3c7dd), .CD(xjdd9c2), .Q(vv6bbcf)) ; FD1S3DX lq6c1b1 (.D(aa1fb34), .CK(an3c7dd), .CD(xjdd9c2), .Q(lq5de7f)) ; FD1S3DX ay5cd66 (.D(goc4b98), .CK(an3c7dd), .CD(xjdd9c2), .Q(ps79fd0)) ; FD1S3DX ie87613 (.D(wy98973), .CK(an3c7dd), .CD(xjdd9c2), .Q(xwcfe83)) ; FD1S3DX qtc9ff3 (.D(xl1907b), .CK(an3c7dd), .CD(xjdd9c2), .Q(sufa0d5)) ; FD1S3DX dmee8f0 (.D(pu8320f), .CK(an3c7dd), .CD(xjdd9c2), .Q(vid06a9)) ; FD1S3DX ls1e282 (.D(gb4e680), .CK(an3c7dd), .CD(xjdd9c2), .Q(wy1aa5b)) ; FD1S3DX ks92bcc (.D(yma9cd0), .CK(an3c7dd), .CD(xjdd9c2), .Q(lqd52d9)) ; FD1S3DX zzcce6 (.D(ay5c741), .CK(an3c7dd), .CD(xjdd9c2), .Q(uv4b659)) ; FD1S3DX ntba8bf (.D(ie3e311), .CK(fne3eec), .CD(ou8d7e9), .Q(jc760c3)) ; FD1S3DX zkf1773 (.D(su67c62), .CK(fne3eec), .CD(ou8d7e9), .Q(zzb061b)) ; FD1S3DX end649d (.D(do83573), .CK(fne3eec), .CD(ou8d7e9), .Q(mt186f3)) ; FD1S3DX by630c7 (.D(psd06ae), .CK(fne3eec), .CD(ou8d7e9), .Q(kdc379d)) ; FD1S3DX lqd5b3a (.D(mga3d55), .CK(fne3eec), .CD(ou8d7e9), .Q(uide76b)) ; FD1S3DX ym9241e (.D(al547aa), .CK(fne3eec), .CD(ou8d7e9), .Q(thf3b58)) ; FD1S3DX cb80a90 (.D(do3f356), .CK(fne3eec), .CD(ou8d7e9), .Q(shed630)) ; FD1S3DX bn284a4 (.D(xjc7e6a), .CK(fne3eec), .CD(ou8d7e9), .Q(pf6b185)) ; FD1S3DX wy3bba6 (.D(xya8150), .CK(fne3eec), .CD(ou8d7e9), .Q(yxc6172)) ; FD1S3DX ri867c3 (.D(do47a1), .CK(fne3eec), .CD(ou8d7e9), .Q(pu30b95)) ; FD1S3DX mrdc92b (.D(dmf42ab), .CK(fne3eec), .CD(ou8d7e9), .Q(nga760e)) ; FD1S3BX gbe20c9 (.D(fc83261), .CK(fne3eec), .PD(ou8d7e9), .Q(gd8e31)) ; FD1S3DX pf617e8 (.D(rt5fa3a), .CK(an3c7dd), .CD(xjdd9c2), .Q(ana1e10)) ; FD1S3BX do3af23 (.D(ksbc8d7), .CK(fne3eec), .PD(ou8d7e9), .Q(zzb0db9)) ; FD1S3DX bld72e1 (.D(aycb870), .CK(an3c7dd), .CD(xjdd9c2), .Q(vk86dcf)) ; FADD2B fa4dd42 (.A0(oh9068d), .A1(oh3c44c), .B0(oh9068d), .B1(oh3c44c), .CI(oh9068d), .COUT(gd9f8df), .S0(), .S1()); CU2 gb70053 (.CI(gd9f8df), .PC0(rt5fa47), .PC1(yxfd23f), .CO(ay53911), .NC0(mre2814), .NC1(ld5f8b9)); CU2 xl22253 (.CI(ay53911), .PC0(tw3f9da), .PC1(psda5e1), .CO(qg53cd7), .NC0(mef5856), .NC1(vv4f023)); CU2 ux9ae77 (.CI(qg53cd7), .PC0(vie15c8), .PC1(dzc8610), .CO(qg77b88), .NC0(yxc3544), .NC1(al4b55d)); CU2 rt7101a (.CI(qg77b88), .PC0(ec10c6f), .PC1(gb6f0c9), .CO(co1ac37), .NC0(hb7baa4), .NC1(mgb992f)); CU2 fc86e67 (.CI(co1ac37), .PC0(uvc982f), .PC1(fc2fa21), .CO(me675cd), .NC0(fn6e49f), .NC1(uk8875a)); CU2 aab9a16 (.CI(me675cd), .PC0(ym21624), .PC1(oh9068d), .CO(xl1638a), .NC0(wy559d), .NC1()); FADD2B mr4b213 (.A0(oh9068d), .A1(oh3c44c), .B0(oh9068d), .B1(oh3c44c), .CI(oh9068d), .COUT(jpe9cf9), .S0(), .S1()); CU2 xl8e2b5 (.CI(jpe9cf9), .PC0(osc485a), .PC1(mg242d1), .CO(vxb5fa1), .NC0(uk34943), .NC1(rg63e74)); CU2 hof43fe (.CI(vxb5fa1), .PC0(wwd125e), .PC1(uv5e284), .CO(dmfe504), .NC0(xjc7184), .NC1(qtfd7fa)); CU2 rva08e7 (.CI(dmfe504), .PC0(ph848ae), .PC1(anaee78), .CO(mre7cae), .NC0(ym1f209), .NC1(gd36998)); CU2 an95d4c (.CI(mre7cae), .PC0(ay78a4b), .PC1(kd4b2a8), .CO(cm4c960), .NC0(vx28a0b), .NC1(jeb78ae)); CU2 kf2c072 (.CI(cm4c960), .PC0(lsa8a57), .PC1(ho575ae), .CO(yk72b5b), .NC0(cb8510c), .NC1(co341f5)); CU2 ld6b623 (.CI(yk72b5b), .PC0(anae5af), .PC1(oh9068d), .CO(wl23d30), .NC0(mg34010), .NC1()); FSUB2B hof4c2b (.A0(oh3c44c), .A1(rt5fa47), .B0(oh9068d), .B1(xj641e9), .BI(oh9068d), .BOUT(dzd8704), .S0(), .S1(bn1c13c)); FSUB2B yke09e7 (.A0(yxfd23f), .A1(tw3f9da), .B0(lf9e52c), .B1(zx40318), .BI(dzd8704), .BOUT(alc3f66), .S0(qtc3827), .S1(shfd9a1)); FSUB2B xjecd0d (.A0(psda5e1), .A1(vie15c8), .B0(ay772e2), .B1(medbb14), .BI(alc3f66), .BOUT(jc7312e), .S0(aa1fb34), .S1(goc4b98)); FSUB2B ba25cc1 (.A0(dzc8610), .A1(ec10c6f), .B0(vi764fd), .B1(ux18596), .BI(jc7312e), .BOUT(xj50641), .S0(wy98973), .S1(xl1907b)); FSUB2B vvc83df (.A0(gb6f0c9), .A1(uvc982f), .B0(iraeaf9), .B1(al5e34f), .BI(xj50641), .BOUT(ayf539a), .S0(pu8320f), .S1(gb4e680)); FSUB2B fa73405 (.A0(fc2fa21), .A1(jpe9ea6), .B0(sj8e0d), .B1(oh9068d), .BI(ayf539a), .BOUT(wl36ef1), .S0(yma9cd0), .S1(ay5c741)); FADD2B icff90e (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(wl36ef1), .COUT(), .S0(aaa6dde), .S1()); VHI the3a09 (.Z(oh3c44c)); FSUB2B gbe827d (.A0(oh3c44c), .A1(ld5a793), .B0(oh9068d), .B1(osc485a), .BI(oh9068d), .BOUT(dm6cf8c), .S0(), .S1(ie3e311)); FSUB2B tuf1889 (.A0(ofd6c5e), .A1(bna0256), .B0(mg242d1), .B1(wwd125e), .BI(dm6cf8c), .BOUT(xj5a0d5), .S0(su67c62), .S1(do83573)); FSUB2B co1ab9b (.A0(jpcd4c1), .A1(ph9952), .B0(uv5e284), .B1(ph848ae), .BI(xj5a0d5), .BOUT(jcca8f5), .S0(psd06ae), .S1(mga3d55)); FSUB2B ng1eaa9 (.A0(wy98918), .A1(xybd739), .B0(anaee78), .B1(ay78a4b), .BI(\r
+jcca8f5), .BOUT(by58fcd), .S0(al547aa), .S1(do3f356)); FSUB2B hbf9ab3 (.A0(aa1fe8e), .A1(ba139a), .B0(kd4b2a8), .B1(lsa8a57), .BI(by58fcd), .BOUT(fafea05), .S0(xjc7e6a), .S1(xya8150)); FSUB2B dz40a83 (.A0(qi1a36b), .A1(iea6de0), .B0(ho575ae), .B1(oh9068d), .BI(fafea05), .BOUT(uvd9701), .S0(do47a1), .S1(dmf42ab)); FADD2B dm79412 (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(uvd9701), .COUT(), .S0(ie3b2e0), .S1()); FADD2B nee495e (.A0(oh9068d), .A1(db84238), .B0(oh9068d), .B1(db84238), .CI(oh9068d), .COUT(kf90665), .S0(), .S1()); AGEB2 dzc2e84 (.A0(osc485a), .A1(mg242d1), .B0(ld5a793), .B1(ofd6c5e), .CI(kf90665), .GE(mg34ebd)); AGEB2 vka75e9 (.A0(wwd125e), .A1(uv5e284), .B0(bna0256), .B1(jpcd4c1), .CI(mg34ebd), .GE(ps52ebf)); AGEB2 co975fb (.A0(ph848ae), .A1(anaee78), .B0(ph9952), .B1(wy98918), .CI(ps52ebf), .GE(yxc6fde)); AGEB2 nt37ef4 (.A0(ay78a4b), .A1(kd4b2a8), .B0(xybd739), .B1(aa1fe8e), .CI(yxc6fde), .GE(vx37459)); AGEB2 rvba2cf (.A0(lsa8a57), .A1(ho575ae), .B0(ba139a), .B1(qi1a36b), .CI(vx37459), .GE(nrf9e15)); AGEB2 hocf0af (.A0(su6205e), .A1(oh9068d), .B0(fce507), .B1(oh9068d), .CI(nrf9e15), .GE(xjd8c37)); FADD2B qtc61be (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(xjd8c37), .COUT(), .S0(fc83261), .S1()); FADD2B vx3818d (.A0(oh9068d), .A1(ng86878), .B0(oh9068d), .B1(ng86878), .CI(oh9068d), .COUT(ho73e4e), .S0(), .S1()); AGEB2 th5ad04 (.A0(rt5fa47), .A1(yxfd23f), .B0(xj641e9), .B1(lf9e52c), .CI(ho73e4e), .GE(lf3d99f)); AGEB2 theccff (.A0(tw3f9da), .A1(psda5e1), .B0(zx40318), .B1(ay772e2), .CI(lf3d99f), .GE(off2943)); AGEB2 jr94a1c (.A0(vie15c8), .A1(dzc8610), .B0(medbb14), .B1(vi764fd), .CI(off2943), .GE(lf37e37)); AGEB2 lfbf1be (.A0(ec10c6f), .A1(gb6f0c9), .B0(ux18596), .B1(iraeaf9), .CI(lf37e37), .GE(dobd9a4)); AGEB2 hbecd23 (.A0(uvc982f), .A1(fc2fa21), .B0(al5e34f), .B1(sj8e0d), .CI(dobd9a4), .GE(ldf336a)); AGEB2 ng99b57 (.A0(fnc7d4e), .A1(oh9068d), .B0(cb8011e), .B1(oh9068d), .CI(ldf336a), .GE(bld7356)); FADD2B qib9ab6 (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(bld7356), .COUT(), .S0(rt5fa3a), .S1()); FADD2B go4cfd4 (.A0(oh9068d), .A1(db84238), .B0(oh9068d), .B1(db84238), .CI(oh9068d), .COUT(vx960d), .S0(), .S1()); AGEB2 yx7526e (.A0(ay670b6[0]), .A1(ay670b6[1]), .B0(jc760c3), .B1(zzb061b), .CI(vx960d), .GE(ana12fe)); AGEB2 xl97f5 (.A0(ay670b6[2]), .A1(ay670b6[3]), .B0(mt186f3), .B1(kdc379d), .CI(ana12fe), .GE(fn74e8d)); AGEB2 ria746f (.A0(ay670b6[4]), .A1(ay670b6[5]), .B0(uide76b), .B1(thf3b58), .CI(fn74e8d), .GE(ofd3065)); AGEB2 qi9832c (.A0(ay670b6[6]), .A1(ay670b6[7]), .B0(shed630), .B1(pf6b185), .CI(ofd3065), .GE(nt9f2f)); AGEB2 ld4f97f (.A0(ay670b6[8]), .A1(ay670b6[9]), .B0(yxc6172), .B1(pu30b95), .CI(nt9f2f), .GE(xjf30e3)); AGEB2 ec9871f (.A0(fad51e7), .A1(oh9068d), .B0(ecaad5), .B1(oh9068d), .CI(xjf30e3), .GE(ipccfc7)); FADD2B ui67e3d (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(ipccfc7), .COUT(), .S0(ksbc8d7), .S1()); FADD2B by790f2 (.A0(oh9068d), .A1(ng86878), .B0(oh9068d), .B1(ng86878), .CI(oh9068d), .COUT(ls960a9), .S0(), .S1()); AGEB2 lq5ddbc (.A0(rt40b5d), .A1(ks5aef), .B0(tj385b0[0]), .B1(tj385b0[1]), .CI(ls960a9), .GE(xl13484)); AGEB2 mt9a426 (.A0(vv6bbcf), .A1(lq5de7f), .B0(tj385b0[2]), .B1(tj385b0[3]), .CI(xl13484), .GE(eca69e9)); AGEB2 aa34f49 (.A0(ps79fd0), .A1(xwcfe83), .B0(tj385b0[4]), .B1(tj385b0[5]), .CI(eca69e9), .GE(ip4bdc0)); AGEB2 sh5ee04 (.A0(sufa0d5), .A1(vid06a9), .B0(tj385b0[6]), .B1(tj385b0[7]), .CI(ip4bdc0), .GE(db2d4da)); AGEB2 yx6a6d7 (.A0(wy1aa5b), .A1(lqd52d9), .B0(tj385b0[8]), .B1(tj385b0[9]), .CI(db2d4da), .GE(sue0cf8)); AGEB2 hd67c0 (.A0(uv4b659), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(sue0cf8), .GE(cb3c91c)); VLO xwe48e5 (.Z(oh9068d)); FADD2B kf23951 (.A0(oh9068d), .A1(oh9068d), .B0(oh9068d), .B1(oh9068d), .CI(cb3c91c), .COUT(), .S0(aycb870), .S1()); assign lf16c36 = hd38bab; assign wyb61b7 = lqc5d5f;\r
+always@* begin icc175e<={uve78fb>>1,eae03bd[0]};ngbaf0<=eae03bd[1];fa5d782<=eae03bd[2];faebc13<=eae03bd[3];of5e09b<=eae03bd[4];uif04dc<=eae03bd[5];co826e0<=eae03bd[6];xl13701<={ay670b6>>1,eae03bd[7]};nt9b80e<={tj385b0>>1,eae03bd[8]};puad6d2<=eae03bd[9];rt6b693<=eae03bd[10];cz5b49e<=eae03bd[11];dmda4f4<=eae03bd[12];nrd27a2<=eae03bd[13];je93d16<=eae03bd[14];jr9e8b3<=eae03bd[15];lqf459f<=eae03bd[16];jea2cfa<=eae03bd[17];rv167d3<=eae03bd[18];oub3e9e<=eae03bd[19];ir9f4f0<=eae03bd[20];ykfa784<=eae03bd[21];shd3c21<=eae03bd[22];db9e10d<=eae03bd[23];kqf086e<=eae03bd[24];hd84371<=eae03bd[25];ec21b8e<=eae03bd[26];yzdc70<=eae03bd[27];vv6e385<=eae03bd[28];jp71c2e<=eae03bd[29];zz8e177<=eae03bd[30];cz70bbf<=eae03bd[31];ux85dfd<=eae03bd[32];ie2efed<=eae03bd[33];kq77f69<=eae03bd[34];dbbfb48<=eae03bd[35];czfda46<=eae03bd[36];yxed235<=eae03bd[37];jc691ad<=eae03bd[38];tu48d6b<=eae03bd[39];ea46b5b<=eae03bd[40];hq35ad8<=eae03bd[41];hqad6c3<=eae03bd[42];fn6b61b<=eae03bd[43];kd5b0de<=eae03bd[44];rtd86f4<=eae03bd[45];yxc37a7<=eae03bd[46];pu1bd3d<=eae03bd[47];gode9eb<=eae03bd[48];nef4f59<=eae03bd[49];doa7acb<=eae03bd[50];vx3d65b<=eae03bd[51];pseb2de<=eae03bd[52];kq596f2<=eae03bd[53];sucb791<=eae03bd[54];yx5bc89<=eae03bd[55];ayde44d<=eae03bd[56];off2268<=eae03bd[57];nt91345<=eae03bd[58];ng89a2f<=eae03bd[59];jc4d17b<=eae03bd[60];yk68bda<=eae03bd[61];hb45ed0<=eae03bd[62];je2f687<=eae03bd[63];rt7b43a<=eae03bd[64];neda1d7<=eae03bd[65];ned0eba<=eae03bd[66];ie875d2<=eae03bd[67];ri3ae91<=eae03bd[68];ldd748a<=eae03bd[69];vxba456<=eae03bd[70];vvd22b7<=eae03bd[71];xl915be<=eae03bd[72];qv8adf7<=eae03bd[73];cm56fbc<=eae03bd[74];dob7de1<=eae03bd[75];yzbef0a<=eae03bd[76];off7854<=eae03bd[77];gqbc2a4<=eae03bd[78];zke1520<=eae03bd[79];mga903<=eae03bd[80];yx54818<=eae03bd[81];uxa40c4<=eae03bd[82];zm20625<=eae03bd[83];ph312b<=eae03bd[84];wl1895f<=eae03bd[85];goc4afc<=eae03bd[86];ba257e1<=eae03bd[87];co2bf0b<=eae03bd[88];rt5f859<=eae03bd[89];zxfc2cf<=eae03bd[90];vie167e<=eae03bd[91];hqb3f3<=eae03bd[92];me59f9b<=eae03bd[93];facfcd8<=eae03bd[94];vv7e6c2<=eae03bd[95];vvf3617<=eae03bd[96];rv9b0bf<=eae03bd[97];vvd85fe<=eae03bd[98];fnc2ff7<=eae03bd[99];qv17fbe<=eae03bd[100];ntbfdf5<=eae03bd[101];dmfefae<=eae03bd[102];czf7d72<=eae03bd[103];qvbeb94<=eae03bd[104];ayf5ca4<=eae03bd[105];epae521<=eae03bd[106];ay7290c<=eae03bd[107];bn94860<=eae03bd[108];sja4306<=eae03bd[109];ie21835<=eae03bd[110];fpc1a8<=eae03bd[111];uv60d46<=eae03bd[112];xl6a34<=eae03bd[113];do351a6<=eae03bd[114];xya8d32<=eae03bd[115];ww46992<=eae03bd[116];uk34c90<=eae03bd[117];gqa6481<=eae03bd[118];ph32408<=eae03bd[119];sw92047<=eae03bd[120];yz90238<=eae03bd[121];zz811c5<=eae03bd[122];ux8e2e<=eae03bd[123];ne47175<=eae03bd[124];hd38bab<=eae03bd[125];lqc5d5f<=eae03bd[126];ou2eafb<=eae03bd[127];ip757d9<=eae03bd[128];mtabecc<=eae03bd[129];th5f665<=eae03bd[130];jcfb32e<=eae03bd[131];czd9973<=eae03bd[132];enccb9c<=eae03bd[133];qt65ce5<=eae03bd[134];ou2e72c<=eae03bd[135];dm73960<=eae03bd[136];xy9cb02<=eae03bd[137];ose5812<=eae03bd[138];an2c090<=eae03bd[139];al60485<=eae03bd[140];wl242d<=eae03bd[141];an1216d<=eae03bd[142];kf90b6c<=eae03bd[143];mt85b64<=eae03bd[144];zz2db25<=eae03bd[145];me6d92e<=eae03bd[146];ne6c970<=eae03bd[147];xj64b82<=eae03bd[148];tw25c16<=eae03bd[149];mg2e0b2<=eae03bd[150];of70590<=eae03bd[151];gq82c84<=eae03bd[152];zz16420<=eae03bd[153];bab2106<=eae03bd[154];mt90833<=eae03bd[155];db8419c<=eae03bd[156];zm20ce6<=eae03bd[157];lf6732<=eae03bd[158];qv33997<=eae03bd[159];xy9ccbc<=eae03bd[160];rte65e2<=eae03bd[161];zz32f16<=eae03bd[162];qi978b6<=eae03bd[163];ksbc5b1<=eae03bd[164];gbe2d88<=eae03bd[165];tj16c45<=eae03bd[166];ieb622f<=eae03bd[167];qvb1179<=eae03bd[168];wy88bcc<=eae03bd[169];fn45e61<=eae03bd[170];tw2f30f<=eae03bd[171];rg7987a<=eae03bd[172];ofcc3d3<=eae03bd[173];pf61e9c<=eae03bd[174];gqf4e6<=eae03bd[175];gb7a731<=eae03bd[176];end398d<=eae03bd[177];tw9cc6d<=eae03bd[178];lde636a<=eae03bd[179];aa31b56<=eae03bd[180];co8dab1<=eae03bd[181];yk6d589<=eae03bd[182];kd6ac49<=eae03bd[183];ay5624d<=eae03bd[184];kfb1268<=eae03bd[185];ba89344<=eae03bd[186];me49a22<=eae03bd[187];by4d111<=eae03bd[188];me6888a<=eae03bd[189];of44450<=eae03bd[190];lf22284<=eae03bd[191];ba11426<=eae03bd[192];wl8a133<=eae03bd[193];fn5099d<=eae03bd[194];zz84cee<=eae03bd[195];yz26777<=eae03bd[196];cb33bbc<=eae03bd[197];ou9dde4<=eae03bd[198];vieef20<=eae03bd[199];kq77903<=eae03bd[200];aabc818<=eae03bd[201];hoe40c1<=eae03bd[202];zm2060b<=eae03bd[203];ph305b<=eae03bd[204];wl182df<=eae03bd[205];kqc16fd<=eae03bd[206];dob7e8<=eae03bd[207];ip5bf43<=eae03bd[208];dmdfa1d<=eae03bd[209];qtfd0eb<=eae03bd[210];cze875c<=eae03bd[211];os43ae5<=eae03bd[212];kf1d728<=eae03bd[213];tueb945<=eae03bd[214];ui5ca2b<=eae03bd[215];qge5158<=eae03bd[216];vx28ac1<=eae03bd[217];jp4560e<=eae03bd[218];uk2b076<=eae03bd[219];zk583b0<=eae03bd[220];icc1d83<=eae03bd[221];riec19<=eae03bd[222];lq760ca<=eae03bd[223];phb0653<=eae03bd[224];pu8329e<=eae03bd[225];xl194f3<=eae03bd[226];tuca79f<=eae03bd[227];ea53cfb<=eae03bd[228];vx9e7d9<=eae03bd[229];blf3ece<=eae03bd[230];ir9f676<=eae03bd[231];zkfb3b4<=eae03bd[232];ald9da3<=eae03bd[233];meced1c<=eae03bd[234];xw768e0<=eae03bd[235];cbb4702<=eae03bd[236];oua3814<=eae03bd[237];fp1c0a1<=eae03bd[238];eae050f<=eae03bd[239];cb2879<=eae03bd[240];ri143cc<=eae03bd[241];uxa1e63<=\r
+eae03bd[242];ukf31c<=eae03bd[243];ne798e1<=eae03bd[244];ipcc70b<=eae03bd[245];rg6385c<=eae03bd[246];db1c2e3<=eae03bd[247];pse171f<=eae03bd[248];lsb8fb<=eae03bd[249];os5c7da<=eae03bd[250];ble3ed1<=eae03bd[251];co1f68f<=eae03bd[252];dmfb478<=eae03bd[253];fada3c3<=eae03bd[254];ayd1e1a<=eae03bd[255];kf8f0d0<=eae03bd[256];kq78685<=eae03bd[257];gbc3428<=eae03bd[258];aa1a145<=eae03bd[259];ned0a28<=eae03bd[260];kf85142<=eae03bd[261];fp28a14<=eae03bd[262];ld450a6<=eae03bd[263];nt28536<=eae03bd[264];zk429b7<=eae03bd[265];tw14dba<=eae03bd[266];eca6dd2<=eae03bd[267];cb36e91<=eae03bd[268];pub748c<=eae03bd[269];vxba463<=eae03bd[270];vvd231f<=eae03bd[271];xl918fe<=eae03bd[272];ls8c7f6<=eae03bd[273];ps63fb2<=eae03bd[274];ks1fd93<=eae03bd[275];tufec99<=eae03bd[276];blf64ca<=eae03bd[277];lsb2657<=eae03bd[278];ng932bb<=eae03bd[279];je995da<=eae03bd[280];lqcaed3<=eae03bd[281];ps5769a<=eae03bd[282];anbb4d2<=eae03bd[283];hoda695<=eae03bd[284];shd34aa<=eae03bd[285];yz9a554<=eae03bd[286];zxd2aa4<=eae03bd[287];gd95527<=eae03bd[288];lfaa93d<=eae03bd[289];kd549ef<=eae03bd[290];mta4f7c<=eae03bd[291];lf27be4<=eae03bd[292];hd3df27<=eae03bd[293];kqef93f<=eae03bd[294];by7c9f9<=eae03bd[295];pse4fcb<=eae03bd[296];jr27e5a<=eae03bd[297];pu3f2d7<=eae03bd[298];zxf96bb<=eae03bd[299];uicb5de<=eae03bd[300];pf5aef1<=eae03bd[301];jpd778f<=eae03bd[302];epbbc7e<=eae03bd[303];icde3f4<=eae03bd[304];zkf1fa0<=eae03bd[305];wl8fd03<=eae03bd[306];end\r
+always@* begin shdc077[2047]<=an3c7dd;shdc077[2046]<=fne3eec;shdc077[2044]<=ie1f767;shdc077[2040]<=zkfbb38;shdc077[2032]<=xjdd9c2;shdc077[2030]<=vxb5fa1;shdc077[2028]<=tw8923e;shdc077[2017]<=rtece16;shdc077[2012]<=ym1f209;shdc077[2008]<=kdf2b23;shdc077[2005]<=fc83261;shdc077[1992]<=psd06ae;shdc077[1988]<=uxdb2a;shdc077[1987]<=ay670b6[0];shdc077[1977]<=gd36998;shdc077[1969]<=fpaf81f;shdc077[1962]<=xjd8c37;shdc077[1937]<=mga3d55;shdc077[1928]<=vi42afb;shdc077[1926]<=tj385b0[0];shdc077[1906]<=dmfe504;shdc077[1891]<=pse41ee;shdc077[1877]<=db84238;shdc077[1876]<=ho73e4e;shdc077[1866]<=lsb2b92;shdc077[1842]<=qg77b88;shdc077[1837]<=qtc3827;shdc077[1831]<=cb8510c;shdc077[1826]<=xj5a0d5;shdc077[1809]<=qi8dcd0;shdc077[1805]<=cm6287a;shdc077[1804]<=uk10d0f;shdc077[1803]<=me4c17d;shdc077[1801]<=ksbc8d7;shdc077[1764]<=vx28a0b;shdc077[1761]<=kqce314;shdc077[1748]<=gd3cf5c;shdc077[1746]<=xl1907b;shdc077[1739]<=ofd3065;shdc077[1734]<=ne7c0ff;shdc077[1733]<=ux18596;shdc077[1727]<=fc164c;shdc077[1707]<=vx960d;shdc077[1705]<=xj641e9;shdc077[1696]<=dmc506a;shdc077[1684]<=zm93d75;shdc077[1683]<=gb4e680;shdc077[1681]<=uvd9701;shdc077[1668]<=hoc5259;shdc077[1660]<=oh3c44c;shdc077[1636]<=fn6e49f;shdc077[1633]<=mrc6794;shdc077[1628]<=nt9f2f;shdc077[1627]<=shfd9a1;shdc077[1614]<=co341f5;shdc077[1607]<=xl1638a;shdc077[1604]<=al547aa;shdc077[1587]<=qte84e9;shdc077[1578]<=gb6f0c9;shdc077[1574]<=vid06a9;shdc077[1571]<=ps738fb;shdc077[1567]<=qi1a36b;shdc077[1563]<=oh9068d;shdc077[1562]<=bna0ec7;shdc077[1560]<=mg10847;shdc077[1558]<=me7d10b;shdc077[1555]<=pf5f365;shdc077[1554]<=ipccfc7;shdc077[1550]<=pfe91fc;shdc077[1548]<=yxc6fde;shdc077[1531]<=jp71247;shdc077[1493]<=rt5fa3a;shdc077[1484]<=hb7baa4;shdc077[1481]<=jeb78ae;shdc077[1475]<=nr718a1;shdc077[1474]<=ecaad5;shdc077[1464]<=xy1b38c;shdc077[1460]<=alc3f66;shdc077[1458]<=uide76b;shdc077[1448]<=ld6e606;shdc077[1444]<=jc7312e;shdc077[1431]<=shed630;shdc077[1420]<=an9a9d3;shdc077[1418]<=iraeaf9;shdc077[1417]<=ip4bdc0;shdc077[1411]<=ph848ae;shdc077[1406]<=db8e248;shdc077[1397]<=cb8011e;shdc077[1395]<=al4b55d;shdc077[1388]<=kdc379d;shdc077[1378]<=ps79fd0;shdc077[1373]<=fc2fa21;shdc077[1371]<=ana12fe;shdc077[1366]<=jc760c3;shdc077[1362]<=lf9e52c;shdc077[1354]<=yxfd23f;shdc077[1345]<=twbd919;shdc077[1334]<=medbb14;shdc077[1323]<=gd9f8df;shdc077[1321]<=zx40318;shdc077[1320]<=hd94841;shdc077[1318]<=xj50641;shdc077[1314]<=kf90665;shdc077[1300]<=nga760e;shdc077[1288]<=kq59545;shdc077[1287]<=zzceee;shdc077[1273]<=su67c62;shdc077[1257]<=lq6cbbf;shdc077[1253]<=anae5af;shdc077[1242]<=fa69a9c;shdc077[1240]<=vie15c8;shdc077[1234]<=fafea05;shdc077[1231]<=aaa6dde;shdc077[1224]<=uk8875a;shdc077[1219]<=uv4b659;shdc077[1218]<=cz651af;shdc077[1208]<=yxc6172;shdc077[1206]<=dzd8704;shdc077[1198]<=vv4f023;shdc077[1196]<=vv6bbcf;shdc077[1190]<=tw3f9da;shdc077[1186]<=wj7532a;shdc077[1180]<=cm4c960;shdc077[1177]<=ay5c741;shdc077[1167]<=ym21624;shdc077[1161]<=do3f356;shdc077[1160]<=ofd6c5e;shdc077[1150]<=rg63e74;shdc077[1131]<=pub2248;shdc077[1126]<=nt3fd1;shdc077[1112]<=uv5e284;shdc077[1109]<=dobd9a4;shdc077[1106]<=ana1e10;shdc077[1101]<=xya8150;shdc077[1100]<=db2d4da;shdc077[1094]<=qv9f0d5;shdc077[1093]<=mg34ebd;shdc077[1086]<=lsa8a57;shdc077[1076]<=mg21689;shdc077[1072]<=su4cd40;shdc077[1069]<=fcb121;shdc077[1063]<=kd54432;shdc077[1061]<=ng86878;shdc077[1056]<=phbad72;shdc077[1055]<=kq6dea3;shdc077[1052]<=blfced2;shdc077[1048]<=xybd739;shdc077[1028]<=yx54574;shdc077[1023]<=uve78fb[0];shdc077[1015]<=qtfd7fa;shdc077[1014]<=zz32c7f;shdc077[1002]<=su6205e;shdc077[996]<=dm6cf8c;shdc077[994]<=ir857bb;shdc077[938]<=bld7356;shdc077[933]<=gbf27ae;shdc077[921]<=mgb992f;shdc077[918]<=bn1c13c;shdc077[915]<=mre7cae;shdc077[902]<=do8c50f;shdc077[901]<=qg7864c;shdc077[900]<=fad51e7;shdc077[880]<=qtd9c62;shdc077[874]<=eaea018;shdc077[873]<=wy98973;shdc077[869]<=thf3b58;shdc077[866]<=lf37e37;shdc077[863]<=med1c49;shdc077[848]<=pu997a9;shdc077[841]<=pu8320f;shdc077[840]<=ie3b2e0;shdc077[834]<=yk773c5;shdc077[830]<=ie3e311;shdc077[816]<=anbee41;shdc077[814]<=pf6b185;shdc077[803]<=wy559d;shdc077[793]<=cme07fa;shdc077[789]<=ec10c6f;shdc077[787]<=sufa0d5;shdc077[783]<=ba139a;shdc077[781]<=cb3c91c;shdc077[777]<=lqee0f9;shdc077[775]<=twb7f1d;shdc077[774]<=anaee78;shdc077[765]<=bn13340;shdc077[746]<=fnc7d4e;shdc077[742]<=qg53cd7;shdc077[737]<=xjf30e3;shdc077[732]<=ep23671;shdc077[730]<=goc4b98;shdc077[729]<=fn74e8d;shdc077[708]<=xwcfe83;shdc077[705]<=wy98918;shdc077[698]<=ldf336a;shdc077[697]<=yxc3544;shdc077[694]<=mt186f3;shdc077[689]<=eca69e9;shdc077[686]<=uvc982f;shdc077[685]<=zzb061b;shdc077[677]<=rt5fa47;shdc077[667]<=off2943;shdc077[661]<=ld5f8b9;shdc077[660]<=lf3d99f;shdc077[650]<=nta035d;shdc077[643]<=ipf79c5;shdc077[628]<=os774cd;shdc077[626]<=wl23d30;shdc077[621]<=iebc062;shdc077[620]<=vi764fd;shdc077[617]<=dmf42ab;shdc077[615]<=jpe9ea6;shdc077[609]<=sue0cf8;shdc077[599]<=mef5856;shdc077[598]<=xl13484;shdc077[595]<=ay772e2;shdc077[593]<=hd9ebab;shdc077[588]<=yma9cd0;shdc077[580]<=ld5a793;shdc077[575]<=uk34943;shdc077[565]<=rib75b1;shdc077[556]<=wwd125e;shdc077[553]<=gd8e31;shdc077[550]<=xjc7e6a;shdc077[546]<=mg242d1;shdc077[528]<=hb452ba;shdc077[527]<=tuc0cca;shdc077[514]<=mt8a8ae;shdc077[507]<=xjc7184;shdc077[501]<=fce507;shdc077[498]<=do83573;shdc077[497]<=hd378a0;shdc077[466]<=xj4f8b2;shdc077[459]<=yk72b5b;shdc077[450]<=pu86378;shdc077[437]<=fp942e5;shdc077[433]<=dzc8610;shdc077[431]<=uk1f410;shdc077[420]<=iea6de0;shdc077[417]<=uk24577;shdc077[415]<=wl36ef1;shdc077[408]<=vxa59a6;shdc077[401]<=co1ac37;shdc077[391]<=vx37459;shdc077[390]<=aycb870;shdc077[388]<=ng1100c;shdc077[387]<=gb65dfa;shdc077[368]<=pu30b95;shdc077[366]<=ou246ce;shdc077[365]<=aa1fb34;shdc077[352]<=ph9952;shdc077[348]<=ay53911;shdc077[344]<=lq5de7f;shdc077[343]<=sj8e0d;shdc077[333]<=psda5e1;shdc077[330]<=mre2814;shdc077[325]<=uif5d5f;shdc077[314]<=xjcd977;shdc077[313]<=mg34010;shdc077[310]<=ou2b17f;shdc077[308]<=do47a1;shdc077[307]<=ayf539a;shdc077[304]<=lqd52d9;shdc077[299]<=ks5aef;shdc077[287]<=me675cd;shdc077[282]<=ld454ea;shdc077[278]<=jpcd4c1;shdc077[275]<=jcca8f5;shdc077[273]<=osc485a;shdc077[257]<=vv71515;shdc077[253]<=jpe9cf9;shdc077[250]<=nrf9e15;shdc077[248]<=kqde7bd;shdc077[225]<=cm43086;shdc077[215]<=rie809;shdc077[208]<=rgf1424;shdc077[204]<=rt6be72;shdc077[195]<=kd4b2a8;shdc077[193]<=swa6d19;shdc077[183]<=dz648d9;shdc077[176]<=ps52ebf;shdc077[171]<=al5e34f;shdc077[165]<=ou8d7e9;shdc077[157]<=hdaa0f5;shdc077[155]<=jra562f;shdc077[154]<=by58fcd;shdc077[152]<=wy1aa5b;shdc077[149]<=rt40b5d;shdc077[141]<=nt8bd4c;shdc077[139]<=bna0256;shdc077[128]<=yx4e2a2;shdc077[125]<=ho575ae;shdc077[124]<=ne4d871;shdc077[112]<=gqae43;shdc077[104]<=hq892f1;shdc077[97]<=ay78a4b;shdc077[96]<=ay491f2;shdc077[91]<=me4c91b;shdc077[78]<=fnf9b2e;shdc077[77]<=hb74ac5;shdc077[74]<=\r
+ls960a9;shdc077[64]<=ui72d7c;shdc077[62]<=ks24221;shdc077[56]<=fnd2f0a;shdc077[48]<=aa1fe8e;shdc077[38]<=epae958;shdc077[19]<=wy15d2b;shdc077[9]<=xya2ba5;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module ay68728 (rst_n,\r
+ gbe_mode,\r
+ mee5046,\r
+ ph28231,\r
+ force_isolate,\r
+ an8c7c,\r
+ ic463e5,\r
+ qi31f29,\r
+ cb8f949,\r
+ vi7ca4c,\r
+ sue5263,\r
+ kf29319\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input mee5046;\r
+input [1:0] ph28231;\r
+input force_isolate;\r
+input [7:0] an8c7c;\r
+input ic463e5;\r
+input qi31f29;\r
+input cb8f949;\r
+output [7:0] vi7ca4c;\r
+output sue5263;\r
+output kf29319;\r
+reg [7:0] vi7ca4c;\r
+reg sue5263;\r
+reg kf29319;\r
+reg [1:0] mrc99c0;\r
+reg [1:0] ay4ce05;\r
+reg [1:0] ic67029;\r
+reg [1:0] fp38148;\r
+reg [1:0] rgc0a46;\r
+reg [1:0] ks5233;\r
+reg ep29198;\r
+reg fa48cc5;\r
+reg ww4662b;\r
+reg an33159;\r
+reg [7:0] co98acd;\r
+reg dmc5668;\r
+reg ym2b342;\r
+reg [7:0] ea59a10;\r
+reg vvcd080;\r
+reg qg68406;\r
+reg [7:0] xw42031;\r
+reg gq1018b;\r
+reg kf80c59;\r
+reg jr62cd;\r
+wire ym3166f;\r
+wire lf8b378;\r
+wire [7:0] su59bc7;\r
+wire dzcde38;\r
+reg ip6f1c7;\r
+reg go78e3c;\r
+reg fnc71e1;\r
+reg vx38f0f;\r
+reg vvc787f;\r
+reg oh3c3fe;\r
+reg lqe1ff4;\r
+reg aaffa2;\r
+reg [6:0] gb7fd10;\r
+reg zkfe884;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg aa10892;\r
+reg lf84490;\r
+reg nt22486;\r
+reg sj12436;\r
+reg wy921b4;\r
+reg ep90da0;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+reg pu86d04;\r
+reg [1 : 0] sj36822;\r
+reg ohb4114;\r
+reg [7 : 0] jra08a4;\r
+reg ls4526;\r
+reg nt22932;\r
+reg [1 : 0] vk14997;\r
+reg [1 : 0] wla4cba;\r
+reg [1 : 0] mt265d4;\r
+reg [1 : 0] lf32ea4;\r
+reg [1 : 0] ie97526;\r
+reg [1 : 0] xlba930;\r
+reg ead4986;\r
+reg qva4c30;\r
+reg qv26184;\r
+reg pu30c24;\r
+reg [7 : 0] tw86123;\r
+reg ba3091b;\r
+reg nt848db;\r
+reg [7 : 0] ec246df;\r
+reg cb236f9;\r
+reg hq1b7cc;\r
+reg [7 : 0] qgdbe62;\r
+reg psdf311;\r
+reg fnf988a;\r
+reg wjcc457;\r
+reg yk622bc;\r
+reg ba115e0;\r
+reg [7 : 0] wl8af03;\r
+reg zk5781c;\r
+reg ecbc0e3;\r
+reg ice0718;\r
+reg jr38c1;\r
+reg xl1c60e;\r
+reg vve3077;\r
+reg ep183be;\r
+reg wwc1df5;\r
+reg zmefa9;\r
+reg [6 : 0] ww77d4a;\r
+reg uxbea50;\r
+reg gof5284;\r
+reg uka9420;\r
+reg fn4a101;\r
+reg zx5080f;\r
+reg db8407e;\r
+reg zm203f6;\r
+reg ng1fb3;\r
+reg ecfd9b;\r
+reg [2047:0] shdc077;\r
+wire [47:0] eae03bd;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+localparam ba1de9 = 48,ngef4b = 32'hfdffe06b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin fnc71e1 <= 1'd1; vx38f0f <= 1'd1; end else begin fnc71e1 <= ice0718; vx38f0f <= jr38c1; end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vvc787f <= 1'd1; oh3c3fe <= 1'd1; end else begin vvc787f <= qva4c30; oh3c3fe <= vve3077; end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin wy921b4 <= 1'b0; ep90da0 <= 1'b0; end else begin wy921b4 <= ohb4114; ep90da0 <= ng1fb3; end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin dmc5668 <= 1'b0; ym2b342 <= 1'b0; co98acd <= 8'd0; vvcd080 <= 1'b0; qg68406 <= 1'b0; ea59a10 <= 8'd0; gq1018b <= 1'b0; kf80c59 <= 1'b0; xw42031 <= 8'd0; jr62cd <= 1'b0; end else begin if (ecfd9b) begin co98acd <= 8'd0; ym2b342 <= 1'b0; dmc5668 <= 1'b0; end else begin co98acd <= jra08a4; ym2b342 <= nt22932; dmc5668 <= ls4526; end ea59a10 <= tw86123; qg68406 <= nt848db; vvcd080 <= ba3091b;\r
+ if (xl1c60e) begin jr62cd <= 1'b0; end else if (((nt848db==1'd1) && (hq1b7cc==1'd0)) || (ep183be ==1'd1)) jr62cd <= 1'b1; else jr62cd <= (~wjcc457); if (wjcc457) begin xw42031[3:0] <= ec246df[3:0]; kf80c59 <= hq1b7cc; gq1018b <= cb236f9; if (ep183be) begin xw42031[7:4] <= ec246df[7:4]; end else begin xw42031[7:4] <= tw86123[3:0]; end end end\r
+end\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) xjf9222 ( .Reset(vx38f0f), .RPReset(vx38f0f), .WrClock(mee5046), .WrEn(jr62cd), .Data({gq1018b, kf80c59, xw42031}),\r
+ .RdClock(cb8f949), .RdEn(ip6f1c7), .Q({ym3166f, lf8b378, su59bc7}),\r
+ .Empty(dzcde38), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) xjf9222 ( .Reset(vx38f0f), .RPReset(vx38f0f), .WrClock(mee5046), .WrEn(jr62cd), .Data({gq1018b, kf80c59, xw42031}),\r
+ .RdClock(cb8f949), .RdEn(ip6f1c7), .Q({ym3166f, lf8b378, su59bc7}),\r
+ .Empty(dzcde38), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) xjf9222 ( .Reset(vx38f0f), .RPReset(vx38f0f), .WrClock(mee5046), .WrEn(jr62cd), .Data({gq1018b, kf80c59, xw42031}),\r
+ .RdClock(cb8f949), .RdEn(ip6f1c7), .Q({ym3166f, lf8b378, su59bc7}),\r
+ .Empty(dzcde38), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+`endif\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4422 <= 1'b0; lsa2112 <= 1'b0; aa10892 <= 1'b0; lf84490 <= 1'b0; nt22486 <= 1'b0; sj12436 <= 1'b0; end else begin vif4422 <= pu86d04; lsa2112 <= gof5284;\r
+ aa10892 <= uka9420; lf84490 <= uka9420 & (~fn4a101); nt22486 <= ~uka9420 & (fn4a101); sj12436 <= zx5080f || db8407e; end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mrc99c0 <= 2'd0; ay4ce05 <= 2'd0; ic67029 <= 2'd0; fp38148 <= 2'd0; rgc0a46 <= 2'd0; ks5233 <= 2'd0; ep29198 <= 1'b0; fa48cc5 <= 1'b0; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin mrc99c0 <= sj36822; ay4ce05 <= vk14997; ic67029 <= wla4cba; fp38148 <= mt265d4; if ((wla4cba==mt265d4) && (wla4cba==lf32ea4)) rgc0a46 <= lf32ea4; ks5233 <= ie97526; if (zm203f6) ep29198 <= 1'b1; else if (ie97526 != xlba930) ep29198 <= 1'b1; else ep29198 <= 1'b0; if (fn4a101) begin fa48cc5 <= 1'b1; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin fa48cc5 <= ie97526[1]; ww4662b <= !ie97526[1] & ( ie97526[0]); an33159 <= !ie97526[1] & (!ie97526[0]); end\r
+ case (wwc1df5) 1'd0: begin if (ead4986) begin go78e3c <= 1'b1; lqe1ff4 <= 1'd1; end else begin go78e3c <= 1'b0; lqe1ff4 <= 1'd0; end end 1'd1: begin go78e3c <= 1'b1; if (zmefa9) begin lqe1ff4 <= 1'd0; end else begin lqe1ff4 <= 1'd1; end end default: begin lqe1ff4 <= 1'd0; end endcase\r
+ end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin gb7fd10 <= 7'd0; zkfe884 <= 1'b0; end else begin if (ead4986 || uxbea50) begin gb7fd10 <= 7'd0; end else begin gb7fd10 <= ww77d4a + 1; end\r
+ if (ead4986) begin zkfe884 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd8) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd98) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else begin zkfe884 <= 1'b1; end end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin aaffa2 <= 1'b0; end else begin if (ead4986) begin aaffa2 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd3) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd49) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else begin aaffa2 <= 1'b1; end end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ip6f1c7 <= 1'b0; end else begin if (ice0718) begin ip6f1c7 <= 1'b0; end else if (!zk5781c && zmefa9) begin ip6f1c7 <= 1'b1; end else begin ip6f1c7 <= 1'b0; end end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vi7ca4c <= 8'd0; sue5263 <= 1'd0; kf29319 <= 1'd0; end else begin vi7ca4c <= wl8af03; sue5263 <= yk622bc; kf29319 <= ba115e0; end\r
+end\r
+always@* begin pu86d04<=eae03bd[0];sj36822<={ph28231>>1,eae03bd[1]};ohb4114<=eae03bd[2];jra08a4<={an8c7c>>1,eae03bd[3]};ls4526<=eae03bd[4];nt22932<=eae03bd[5];vk14997<={mrc99c0>>1,eae03bd[6]};wla4cba<={ay4ce05>>1,eae03bd[7]};mt265d4<={ic67029>>1,eae03bd[8]};lf32ea4<={fp38148>>1,eae03bd[9]};ie97526<={rgc0a46>>1,eae03bd[10]};xlba930<={ks5233>>1,eae03bd[11]};ead4986<=eae03bd[12];qva4c30<=eae03bd[13];qv26184<=eae03bd[14];pu30c24<=eae03bd[15];tw86123<={co98acd>>1,eae03bd[16]};ba3091b<=eae03bd[17];nt848db<=eae03bd[18];ec246df<={ea59a10>>1,eae03bd[19]};cb236f9<=eae03bd[20];hq1b7cc<=eae03bd[21];qgdbe62<={xw42031>>1,eae03bd[22]};psdf311<=eae03bd[23];fnf988a<=eae03bd[24];wjcc457<=eae03bd[25];yk622bc<=eae03bd[26];ba115e0<=eae03bd[27];wl8af03<={su59bc7>>1,eae03bd[28]};zk5781c<=eae03bd[29];ecbc0e3<=eae03bd[30];ice0718<=eae03bd[31];jr38c1<=eae03bd[32];xl1c60e<=eae03bd[33];vve3077<=eae03bd[34];ep183be<=eae03bd[35];wwc1df5<=eae03bd[36];zmefa9<=eae03bd[37];ww77d4a<={gb7fd10>>1,eae03bd[38]};uxbea50<=eae03bd[39];gof5284<=eae03bd[40];uka9420<=eae03bd[41];fn4a101<=eae03bd[42];zx5080f<=eae03bd[43];db8407e<=eae03bd[44];zm203f6<=eae03bd[45];ng1fb3<=eae03bd[46];ecfd9b<=eae03bd[47];end\r
+always@* begin shdc077[2047]<=ph28231[0];shdc077[2046]<=force_isolate;shdc077[2044]<=an8c7c[0];shdc077[2041]<=ic463e5;shdc077[2034]<=qi31f29;shdc077[2021]<=mrc99c0[0];shdc077[2017]<=qg68406;shdc077[1995]<=ay4ce05[0];shdc077[1986]<=xw42031[0];shdc077[1943]<=ic67029[0];shdc077[1925]<=gq1018b;shdc077[1908]<=vx38f0f;shdc077[1864]<=aaffa2;shdc077[1855]<=co98acd[0];shdc077[1838]<=fp38148[0];shdc077[1803]<=kf80c59;shdc077[1769]<=vvc787f;shdc077[1680]<=gb7fd10[0];shdc077[1662]<=dmc5668;shdc077[1628]<=rgc0a46[0];shdc077[1559]<=jr62cd;shdc077[1501]<=go78e3c;shdc077[1490]<=oh3c3fe;shdc077[1487]<=ww4662b;shdc077[1312]<=zkfe884;shdc077[1276]<=ym2b342;shdc077[1209]<=ks5233[0];shdc077[1155]<=lsa2112;shdc077[1070]<=ym3166f;shdc077[1048]<=nt22486;shdc077[1023]<=gbe_mode;shdc077[1008]<=vvcd080;shdc077[954]<=fnc71e1;shdc077[932]<=lqe1ff4;shdc077[927]<=an33159;shdc077[750]<=ip6f1c7;shdc077[743]<=fa48cc5;shdc077[577]<=vif4422;shdc077[524]<=lf84490;shdc077[504]<=ea59a10[0];shdc077[375]<=dzcde38;shdc077[371]<=ep29198;shdc077[262]<=aa10892;shdc077[197]<=ep90da0;shdc077[187]<=su59bc7[0];shdc077[98]<=wy921b4;shdc077[93]<=lf8b378;shdc077[49]<=sj12436;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module ld4aee6 (rst_n,\r
+ gbe_mode,\r
+ force_isolate,\r
+ mee5046,\r
+ an8c7c,\r
+ ic463e5,\r
+ qi31f29,\r
+ qva4f56,\r
+ rv27ab4,\r
+ cb8f949,\r
+ ayead3e,\r
+ vi7ca4c,\r
+ sue5263,\r
+ kf29319,\r
+ uk3e26b,\r
+ lqf1359\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input force_isolate;\r
+input mee5046;\r
+input [7:0] an8c7c;\r
+input ic463e5;\r
+input qi31f29;\r
+input qva4f56;\r
+input rv27ab4;\r
+input cb8f949;\r
+input [1:0] ayead3e;\r
+output [7:0] vi7ca4c;\r
+output sue5263;\r
+output kf29319;\r
+output uk3e26b;\r
+output lqf1359;\r
+reg [7:0] vi7ca4c;\r
+reg sue5263;\r
+reg kf29319;\r
+reg uk3e26b;\r
+reg lqf1359;\r
+reg [1:0] mrc99c0;\r
+reg [1:0] ay4ce05;\r
+reg [1:0] ic67029;\r
+reg [1:0] fp38148;\r
+reg [1:0] rgc0a46;\r
+reg [1:0] ks5233;\r
+reg ep29198;\r
+reg fa48cc5;\r
+reg ww4662b;\r
+reg an33159;\r
+reg go78e3c;\r
+reg fnc71e1;\r
+reg tw35e83;\r
+reg aaffa2;\r
+reg [6:0] gb7fd10;\r
+reg zkfe884;\r
+reg ng837ab;\r
+reg fc1bd5b;\r
+reg ip6f1c7;\r
+reg [7:0] co98acd;\r
+reg ym2b342;\r
+reg dmc5668;\r
+reg medb224;\r
+reg gbd9120;\r
+wire [7:0] su59bc7;\r
+wire lf8b378;\r
+wire ym3166f;\r
+wire ba20be9;\r
+wire ym5f4a;\r
+reg [7:0] pu2fa51;\r
+reg os7d28b;\r
+reg nre9458;\r
+reg bl4a2c7;\r
+reg sh5163f;\r
+reg lqe1ff4;\r
+reg vvc787f;\r
+reg thc7f7a;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg aa10892;\r
+reg lf84490;\r
+reg nt22486;\r
+reg sj12436;\r
+reg wy921b4;\r
+reg ep90da0;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+reg pu86d04;\r
+reg ohb4114;\r
+reg [7 : 0] jra08a4;\r
+reg ls4526;\r
+reg nt22932;\r
+reg jp553cf;\r
+reg gqa9e7f;\r
+reg [1 : 0] th4f3f8;\r
+reg [1 : 0] vk14997;\r
+reg [1 : 0] wla4cba;\r
+reg [1 : 0] mt265d4;\r
+reg [1 : 0] lf32ea4;\r
+reg [1 : 0] ie97526;\r
+reg [1 : 0] xlba930;\r
+reg ead4986;\r
+reg qva4c30;\r
+reg qv26184;\r
+reg pu30c24;\r
+reg ice0718;\r
+reg jr38c1;\r
+reg rt5a511;\r
+reg zmefa9;\r
+reg [6 : 0] ww77d4a;\r
+reg uxbea50;\r
+reg do11dfa;\r
+reg jr8efd2;\r
+reg ecbc0e3;\r
+reg [7 : 0] tw86123;\r
+reg nt848db;\r
+reg ba3091b;\r
+reg ri961cf;\r
+reg rvb0e7a;\r
+reg [7 : 0] wl8af03;\r
+reg ba115e0;\r
+reg yk622bc;\r
+reg wj7ae6b;\r
+reg zxd735c;\r
+reg [7 : 0] aab9ae6;\r
+reg jpcd731;\r
+reg bl6b98e;\r
+reg go5cc77;\r
+reg lde63b8;\r
+reg wwc1df5;\r
+reg vve3077;\r
+reg su7718e;\r
+reg gof5284;\r
+reg uka9420;\r
+reg fn4a101;\r
+reg zx5080f;\r
+reg db8407e;\r
+reg zm203f6;\r
+reg ng1fb3;\r
+reg ecfd9b;\r
+reg [2047:0] shdc077;\r
+wire [52:0] eae03bd;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+localparam ba1de9 = 53,ngef4b = 32'hfdffca8b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4422 <= 1'b0; lsa2112 <= 1'b0; aa10892 <= 1'b0; lf84490 <= 1'b0; nt22486 <= 1'b0; sj12436 <= 1'b0; end else begin vif4422 <= pu86d04; lsa2112 <= gof5284;\r
+ aa10892 <= uka9420; lf84490 <= uka9420 & (~fn4a101); nt22486 <= ~uka9420 & (fn4a101); sj12436 <= zx5080f || db8407e; end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mrc99c0 <= 2'd0; ay4ce05 <= 2'd0; ic67029 <= 2'd0; fp38148 <= 2'd0; rgc0a46 <= 2'd0; ks5233 <= 2'd0; ep29198 <= 1'b1; fa48cc5 <= 1'b0; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin mrc99c0 <= th4f3f8; ay4ce05 <= vk14997; ic67029 <= wla4cba; fp38148 <= mt265d4; if ((wla4cba==mt265d4) && (wla4cba==lf32ea4)) rgc0a46 <= lf32ea4; ks5233 <= ie97526; if (zm203f6) ep29198 <= 1'b1; else if (ie97526 != xlba930) ep29198 <= 1'b1; else ep29198 <= 1'b0; if (fn4a101) begin fa48cc5 <= 1'b1; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin fa48cc5 <= ie97526[1]; ww4662b <= !ie97526[1] & ( ie97526[0]); an33159 <= !ie97526[1] & (!ie97526[0]); end case (wwc1df5) 1'd0: begin if (ead4986) begin go78e3c <= 1'b1; lqe1ff4 <= 1'd1; end else begin go78e3c <= 1'b0; lqe1ff4 <= 1'd0; end end 1'd1: begin go78e3c <= 1'b1; if (zmefa9) begin lqe1ff4 <= 1'd0; end else begin lqe1ff4 <= 1'd1; end end default: begin lqe1ff4 <= 1'd0; end endcase end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin gb7fd10 <= 7'd0; zkfe884 <= 1'b0; end else begin if (ead4986 || uxbea50) begin gb7fd10 <= 7'd0; end else begin gb7fd10 <= ww77d4a + 1; end\r
+ if (ead4986) begin zkfe884 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd8) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd98) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else begin zkfe884 <= 1'b1; end end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin aaffa2 <= 1'b0; end else begin if (ead4986) begin aaffa2 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd3) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd49) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else begin aaffa2 <= 1'b1; end end\r
+end\r
+always @(posedge mee5046 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin dmc5668 <= 0; ym2b342 <= 0; medb224 <= 0; gbd9120 <= 0; co98acd <= 8'd0; end else begin co98acd <= jra08a4; ym2b342 <= nt22932; dmc5668 <= ls4526; medb224 <= jp553cf; gbd9120 <= gqa9e7f; end\r
+end\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(12), .pmi_data_width_r(12), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) pff98c7 ( .Reset(tw35e83), .RPReset(tw35e83), .WrClock(mee5046), .WrEn(aaffa2), .Data({gbd9120, medb224, dmc5668, ym2b342, co98acd}),\r
+ .RdClock(cb8f949), .RdEn(ip6f1c7), .Q({ym5f4a, ba20be9, ym3166f, lf8b378, su59bc7}),\r
+ .Empty(dzcde38), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(12), .pmi_data_width_r(12), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) pff98c7 ( .Reset(tw35e83), .RPReset(tw35e83), .WrClock(mee5046), .WrEn(aaffa2), .Data({gbd9120, medb224, dmc5668, ym2b342, co98acd}),\r
+ .RdClock(cb8f949), .RdEn(ip6f1c7), .Q({ym5f4a, ba20be9, ym3166f, lf8b378, su59bc7}),\r
+ .Empty(dzcde38), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(12), .pmi_data_width_r(12), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) pff98c7 ( .Reset(tw35e83), .RPReset(tw35e83), .WrClock(mee5046), .WrEn(aaffa2), .Data({gbd9120, medb224, dmc5668, ym2b342, co98acd}),\r
+ .RdClock(cb8f949), .RdEn(ip6f1c7), .Q({ym5f4a, ba20be9, ym3166f, lf8b378, su59bc7}),\r
+ .Empty(dzcde38), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+`endif\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin fnc71e1 <= 1'd1; tw35e83 <= 1'd1; end else begin fnc71e1 <= ice0718; tw35e83 <= jr38c1; end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vvc787f <= 1'd1; thc7f7a <= 1'd1; end else begin vvc787f <= qva4c30; thc7f7a <= vve3077; end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ng837ab <= 1'b0; fc1bd5b <= 1'b0; end else begin if (rt5a511) begin ng837ab <= 1'b0; end else begin if (su7718e) begin ng837ab <= 1'b1; end else begin ng837ab <= ~do11dfa; end end\r
+ fc1bd5b <= do11dfa;\r
+ end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ip6f1c7 <= 1'b0; end else begin if (rt5a511) begin ip6f1c7 <= 1'b0; end else begin if (!dzcde38 && do11dfa) begin ip6f1c7 <= 1'b1; end else begin ip6f1c7 <= 1'b0; end end end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin nre9458 <= 0; os7d28b <= 0; bl4a2c7 <= 0; sh5163f <= 0; pu2fa51 <= 8'd0; end else begin if (do11dfa) begin os7d28b <= ba115e0; bl4a2c7 <= wj7ae6b; sh5163f <= zxd735c; if ((!su7718e) && yk622bc && (wl8af03 == 8'h0F) && (!ba115e0) && jpcd731) begin nre9458 <= 1'b0; pu2fa51 <= 8'h00; end else begin nre9458 <= yk622bc; pu2fa51 <= wl8af03; end end\r
+ end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin wy921b4 <= 1'b0; ep90da0 <= 1'b0; end else begin wy921b4 <= ohb4114; ep90da0 <= ng1fb3; end\r
+end\r
+always @(posedge cb8f949 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin sue5263 <= 0; kf29319 <= 0; uk3e26b <= 0; lqf1359 <= 0; vi7ca4c <= 8'd0; end else begin if (ecfd9b) begin sue5263 <= 0; kf29319 <= 0; uk3e26b <= 0; lqf1359 <= 0; vi7ca4c <= 8'd0; end else begin if (jr8efd2) begin vi7ca4c[3:0] <= aab9ae6[3:0]; end else begin vi7ca4c[3:0] <= aab9ae6[7:4]; end vi7ca4c[7:4] <= aab9ae6[7:4]; kf29319 <= jpcd731; sue5263 <= bl6b98e; uk3e26b <= go5cc77; lqf1359 <= lde63b8; end end\r
+end\r
+always@* begin pu86d04<=eae03bd[0];ohb4114<=eae03bd[1];jra08a4<={an8c7c>>1,eae03bd[2]};ls4526<=eae03bd[3];nt22932<=eae03bd[4];jp553cf<=eae03bd[5];gqa9e7f<=eae03bd[6];th4f3f8<={ayead3e>>1,eae03bd[7]};vk14997<={mrc99c0>>1,eae03bd[8]};wla4cba<={ay4ce05>>1,eae03bd[9]};mt265d4<={ic67029>>1,eae03bd[10]};lf32ea4<={fp38148>>1,eae03bd[11]};ie97526<={rgc0a46>>1,eae03bd[12]};xlba930<={ks5233>>1,eae03bd[13]};ead4986<=eae03bd[14];qva4c30<=eae03bd[15];qv26184<=eae03bd[16];pu30c24<=eae03bd[17];ice0718<=eae03bd[18];jr38c1<=eae03bd[19];rt5a511<=eae03bd[20];zmefa9<=eae03bd[21];ww77d4a<={gb7fd10>>1,eae03bd[22]};uxbea50<=eae03bd[23];do11dfa<=eae03bd[24];jr8efd2<=eae03bd[25];ecbc0e3<=eae03bd[26];tw86123<={co98acd>>1,eae03bd[27]};nt848db<=eae03bd[28];ba3091b<=eae03bd[29];ri961cf<=eae03bd[30];rvb0e7a<=eae03bd[31];wl8af03<={su59bc7>>1,eae03bd[32]};ba115e0<=eae03bd[33];yk622bc<=eae03bd[34];wj7ae6b<=eae03bd[35];zxd735c<=eae03bd[36];aab9ae6<={pu2fa51>>1,eae03bd[37]};jpcd731<=eae03bd[38];bl6b98e<=eae03bd[39];go5cc77<=eae03bd[40];lde63b8<=eae03bd[41];wwc1df5<=eae03bd[42];vve3077<=eae03bd[43];su7718e<=eae03bd[44];gof5284<=eae03bd[45];uka9420<=eae03bd[46];fn4a101<=eae03bd[47];zx5080f<=eae03bd[48];db8407e<=eae03bd[49];zm203f6<=eae03bd[50];ng1fb3<=eae03bd[51];ecfd9b<=eae03bd[52];end\r
+always@* begin shdc077[2047]<=force_isolate;shdc077[2046]<=an8c7c[0];shdc077[2044]<=ic463e5;shdc077[2040]<=qi31f29;shdc077[2032]<=qva4f56;shdc077[2017]<=rv27ab4;shdc077[1987]<=ayead3e[0];shdc077[1926]<=mrc99c0[0];shdc077[1805]<=ba20be9;shdc077[1804]<=ay4ce05[0];shdc077[1803]<=zkfe884;shdc077[1761]<=su59bc7[0];shdc077[1668]<=lqe1ff4;shdc077[1562]<=ym5f4a;shdc077[1560]<=ic67029[0];shdc077[1558]<=ng837ab;shdc077[1550]<=ww4662b;shdc077[1475]<=lf8b378;shdc077[1464]<=medb224;shdc077[1288]<=vvc787f;shdc077[1076]<=pu2fa51[0];shdc077[1072]<=fp38148[0];shdc077[1069]<=fc1bd5b;shdc077[1056]<=vif4422;shdc077[1052]<=an33159;shdc077[1028]<=sj12436;shdc077[1023]<=gbe_mode;shdc077[902]<=ym3166f;shdc077[901]<=gb7fd10[0];shdc077[880]<=gbd9120;shdc077[834]<=sh5163f;shdc077[775]<=fa48cc5;shdc077[732]<=dmc5668;shdc077[528]<=thc7f7a;shdc077[514]<=nt22486;shdc077[450]<=aaffa2;shdc077[417]<=bl4a2c7;shdc077[387]<=ep29198;shdc077[366]<=ym2b342;shdc077[257]<=lf84490;shdc077[225]<=tw35e83;shdc077[208]<=nre9458;shdc077[193]<=ks5233[0];shdc077[183]<=co98acd[0];shdc077[128]<=aa10892;shdc077[112]<=fnc71e1;shdc077[104]<=os7d28b;shdc077[96]<=rgc0a46[0];shdc077[91]<=ip6f1c7;shdc077[64]<=lsa2112;shdc077[56]<=go78e3c;shdc077[19]<=ep90da0;shdc077[9]<=wy921b4;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module coac47 (rst_n,\r
+ gbe_mode,\r
+ gq88e04,\r
+ fa47021,\r
+ aaffa2,\r
+ force_isolate,\r
+ an8c7c,\r
+ ic463e5,\r
+ qi31f29,\r
+ gb5e68a,\r
+ vi7ca4c,\r
+ sue5263,\r
+ kf29319\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input gq88e04;\r
+input fa47021;\r
+output aaffa2;\r
+input force_isolate;\r
+input [7:0] an8c7c;\r
+input ic463e5;\r
+input qi31f29;\r
+input [1:0] gb5e68a;\r
+output [7:0] vi7ca4c;\r
+output sue5263;\r
+output kf29319;\r
+reg [7:0] vi7ca4c;\r
+reg sue5263;\r
+reg kf29319;\r
+reg [1:0] mrc99c0;\r
+reg [1:0] ay4ce05;\r
+reg [1:0] ic67029;\r
+reg [1:0] fp38148;\r
+reg [1:0] rgc0a46;\r
+reg [1:0] ks5233;\r
+reg ep29198;\r
+reg fa48cc5;\r
+reg ww4662b;\r
+reg an33159;\r
+reg aaffa2;\r
+reg [6:0] gb7fd10;\r
+reg zkfe884;\r
+reg ng837ab;\r
+reg ip6f1c7;\r
+reg [7:0] co98acd;\r
+reg ym2b342;\r
+reg dmc5668;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg aa10892;\r
+reg lf84490;\r
+reg nt22486;\r
+reg sj12436;\r
+reg wy921b4;\r
+reg ep90da0;\r
+reg pu86d04;\r
+reg an858e4;\r
+reg ohb4114;\r
+reg [7 : 0] jra08a4;\r
+reg ls4526;\r
+reg nt22932;\r
+reg [1 : 0] vk25af2;\r
+reg [1 : 0] vk14997;\r
+reg [1 : 0] wla4cba;\r
+reg [1 : 0] mt265d4;\r
+reg [1 : 0] lf32ea4;\r
+reg [1 : 0] ie97526;\r
+reg [1 : 0] xlba930;\r
+reg ead4986;\r
+reg qva4c30;\r
+reg qv26184;\r
+reg pu30c24;\r
+reg [6 : 0] ww77d4a;\r
+reg uxbea50;\r
+reg do11dfa;\r
+reg ecbc0e3;\r
+reg [7 : 0] tw86123;\r
+reg nt848db;\r
+reg ba3091b;\r
+reg gof5284;\r
+reg uka9420;\r
+reg fn4a101;\r
+reg zx5080f;\r
+reg db8407e;\r
+reg zm203f6;\r
+reg ng1fb3;\r
+reg ecfd9b;\r
+reg [2047:0] shdc077;\r
+wire [31:0] eae03bd;\r
+localparam ba1de9 = 32,ngef4b = 32'hfdffd42b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin wy921b4 <= 1'b0; ep90da0 <= 1'b0; end else begin wy921b4 <= ohb4114; ep90da0 <= ng1fb3; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4422 <= 1'b0; lsa2112 <= 1'b0; aa10892 <= 1'b0; lf84490 <= 1'b0; nt22486 <= 1'b0; sj12436 <= 1'b0; end else begin vif4422 <= pu86d04; lsa2112 <= gof5284;\r
+ aa10892 <= uka9420; lf84490 <= uka9420 & (~fn4a101); nt22486 <= ~uka9420 & (fn4a101); sj12436 <= zx5080f || db8407e; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mrc99c0 <= 2'd0; ay4ce05 <= 2'd0; ic67029 <= 2'd0; fp38148 <= 2'd0; rgc0a46 <= 2'd0; ks5233 <= 2'd0; ep29198 <= 1'b1; fa48cc5 <= 1'b0; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin mrc99c0 <= vk25af2; ay4ce05 <= vk14997; ic67029 <= wla4cba; fp38148 <= mt265d4; if ((wla4cba==mt265d4) && (wla4cba==lf32ea4)) rgc0a46 <= lf32ea4; ks5233 <= ie97526; if (zm203f6) ep29198 <= 1'b1; else if (ie97526 != xlba930) ep29198 <= 1'b1; else ep29198 <= 1'b0; if (fn4a101) begin fa48cc5 <= 1'b1; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin fa48cc5 <= ie97526[1]; ww4662b <= !ie97526[1] & ( ie97526[0]); an33159 <= !ie97526[1] & (!ie97526[0]); end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin gb7fd10 <= 7'd0; zkfe884 <= 1'b0; end else begin if (ead4986 || uxbea50) begin gb7fd10 <= 7'd0; end else begin gb7fd10 <= ww77d4a + 1; end\r
+ if (ead4986) begin zkfe884 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd8) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd98) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else begin zkfe884 <= 1'b1; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin aaffa2 <= 1'b0; end else begin if (ead4986) begin aaffa2 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd3) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd49) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else begin aaffa2 <= 1'b1; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin dmc5668 <= 0; ym2b342 <= 0; co98acd <= 8'd0; end else begin if (an858e4) begin if (ecfd9b) begin co98acd <= 8'd0; ym2b342 <= 1'b0; dmc5668 <= 1'b0; end else begin co98acd <= jra08a4; ym2b342 <= nt22932; dmc5668 <= ls4526; end end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin sue5263 <= 0; kf29319 <= 0; vi7ca4c <= 8'd0; end else begin if (an858e4) begin kf29319 <= nt848db; sue5263 <= ba3091b; vi7ca4c <= tw86123; end end\r
+end\r
+always@* begin pu86d04<=eae03bd[0];an858e4<=eae03bd[1];ohb4114<=eae03bd[2];jra08a4<={an8c7c>>1,eae03bd[3]};ls4526<=eae03bd[4];nt22932<=eae03bd[5];vk25af2<={gb5e68a>>1,eae03bd[6]};vk14997<={mrc99c0>>1,eae03bd[7]};wla4cba<={ay4ce05>>1,eae03bd[8]};mt265d4<={ic67029>>1,eae03bd[9]};lf32ea4<={fp38148>>1,eae03bd[10]};ie97526<={rgc0a46>>1,eae03bd[11]};xlba930<={ks5233>>1,eae03bd[12]};ead4986<=eae03bd[13];qva4c30<=eae03bd[14];qv26184<=eae03bd[15];pu30c24<=eae03bd[16];ww77d4a<={gb7fd10>>1,eae03bd[17]};uxbea50<=eae03bd[18];do11dfa<=eae03bd[19];ecbc0e3<=eae03bd[20];tw86123<={co98acd>>1,eae03bd[21]};nt848db<=eae03bd[22];ba3091b<=eae03bd[23];gof5284<=eae03bd[24];uka9420<=eae03bd[25];fn4a101<=eae03bd[26];zx5080f<=eae03bd[27];db8407e<=eae03bd[28];zm203f6<=eae03bd[29];ng1fb3<=eae03bd[30];ecfd9b<=eae03bd[31];end\r
+always@* begin shdc077[2047]<=fa47021;shdc077[2046]<=force_isolate;shdc077[2044]<=an8c7c[0];shdc077[2041]<=ic463e5;shdc077[2035]<=qi31f29;shdc077[2022]<=gb5e68a[0];shdc077[1996]<=mrc99c0[0];shdc077[1945]<=ay4ce05[0];shdc077[1922]<=lsa2112;shdc077[1842]<=ic67029[0];shdc077[1797]<=aa10892;shdc077[1776]<=ym2b342;shdc077[1637]<=fp38148[0];shdc077[1622]<=fa48cc5;shdc077[1546]<=lf84490;shdc077[1504]<=dmc5668;shdc077[1468]<=ip6f1c7;shdc077[1391]<=zkfe884;shdc077[1226]<=rgc0a46[0];shdc077[1197]<=ww4662b;shdc077[1044]<=nt22486;shdc077[1023]<=gbe_mode;shdc077[961]<=vif4422;shdc077[888]<=co98acd[0];shdc077[811]<=ep29198;shdc077[734]<=ng837ab;shdc077[695]<=gb7fd10[0];shdc077[405]<=ks5233[0];shdc077[347]<=an33159;shdc077[164]<=ep90da0;shdc077[82]<=wy921b4;shdc077[41]<=sj12436;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module thddcda (rst_n,\r
+ gbe_mode,\r
+ force_isolate,\r
+ gq88e04,\r
+ fa47021,\r
+ aaffa2,\r
+ an8c7c,\r
+ ic463e5,\r
+ qi31f29,\r
+ qva4f56,\r
+ rv27ab4,\r
+ gb5e68a,\r
+ vi7ca4c,\r
+ sue5263,\r
+ kf29319,\r
+ uk3e26b,\r
+ lqf1359\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input force_isolate;\r
+input gq88e04;\r
+input fa47021;\r
+output aaffa2;\r
+input [7:0] an8c7c;\r
+input ic463e5;\r
+input qi31f29;\r
+input qva4f56;\r
+input rv27ab4;\r
+input [1:0] gb5e68a;\r
+output [7:0] vi7ca4c;\r
+output sue5263;\r
+output kf29319;\r
+output uk3e26b;\r
+output lqf1359;\r
+reg [7:0] vi7ca4c;\r
+reg sue5263;\r
+reg kf29319;\r
+reg uk3e26b;\r
+reg lqf1359;\r
+reg [1:0] mrc99c0;\r
+reg [1:0] ay4ce05;\r
+reg [1:0] ic67029;\r
+reg [1:0] fp38148;\r
+reg [1:0] rgc0a46;\r
+reg [1:0] ks5233;\r
+reg ep29198;\r
+reg fa48cc5;\r
+reg ww4662b;\r
+reg an33159;\r
+reg aaffa2;\r
+reg [6:0] gb7fd10;\r
+reg zkfe884;\r
+reg ng837ab;\r
+reg ip6f1c7;\r
+reg [7:0] co98acd;\r
+reg ym2b342;\r
+reg dmc5668;\r
+reg medb224;\r
+reg gbd9120;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg aa10892;\r
+reg lf84490;\r
+reg nt22486;\r
+reg sj12436;\r
+reg wy921b4;\r
+reg ep90da0;\r
+reg pu86d04;\r
+reg ohb4114;\r
+reg an858e4;\r
+reg [7 : 0] jra08a4;\r
+reg ls4526;\r
+reg nt22932;\r
+reg jp553cf;\r
+reg gqa9e7f;\r
+reg [1 : 0] vk25af2;\r
+reg [1 : 0] vk14997;\r
+reg [1 : 0] wla4cba;\r
+reg [1 : 0] mt265d4;\r
+reg [1 : 0] lf32ea4;\r
+reg [1 : 0] ie97526;\r
+reg [1 : 0] xlba930;\r
+reg ead4986;\r
+reg qva4c30;\r
+reg qv26184;\r
+reg pu30c24;\r
+reg [6 : 0] ww77d4a;\r
+reg uxbea50;\r
+reg do11dfa;\r
+reg ecbc0e3;\r
+reg [7 : 0] tw86123;\r
+reg nt848db;\r
+reg ba3091b;\r
+reg ri961cf;\r
+reg rvb0e7a;\r
+reg gof5284;\r
+reg uka9420;\r
+reg fn4a101;\r
+reg zx5080f;\r
+reg db8407e;\r
+reg zm203f6;\r
+reg ng1fb3;\r
+reg ecfd9b;\r
+reg [2047:0] shdc077;\r
+wire [35:0] eae03bd;\r
+localparam ba1de9 = 36,ngef4b = 32'hfdffd84b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4422 <= 1'b0; lsa2112 <= 1'b0; aa10892 <= 1'b0; lf84490 <= 1'b0; nt22486 <= 1'b0; sj12436 <= 1'b0; end else begin vif4422 <= pu86d04; lsa2112 <= gof5284;\r
+ aa10892 <= uka9420; lf84490 <= uka9420 & (~fn4a101); nt22486 <= ~uka9420 & (fn4a101); sj12436 <= zx5080f || db8407e; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mrc99c0 <= 2'd0; ay4ce05 <= 2'd0; ic67029 <= 2'd0; fp38148 <= 2'd0; rgc0a46 <= 2'd0; ks5233 <= 2'd0; ep29198 <= 1'b1; fa48cc5 <= 1'b0; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin mrc99c0 <= vk25af2; ay4ce05 <= vk14997; ic67029 <= wla4cba; fp38148 <= mt265d4; if ((wla4cba==mt265d4) && (wla4cba==lf32ea4)) rgc0a46 <= lf32ea4; ks5233 <= ie97526; if (zm203f6) ep29198 <= 1'b1; else if (ie97526 != xlba930) ep29198 <= 1'b1; else ep29198 <= 1'b0; if (fn4a101) begin fa48cc5 <= 1'b1; ww4662b <= 1'b0; an33159 <= 1'b0; end else begin fa48cc5 <= ie97526[1]; ww4662b <= !ie97526[1] & ( ie97526[0]); an33159 <= !ie97526[1] & (!ie97526[0]); end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin gb7fd10 <= 7'd0; zkfe884 <= 1'b0; end else begin if (ead4986 || uxbea50) begin gb7fd10 <= 7'd0; end else begin gb7fd10 <= ww77d4a + 1; end\r
+ if (ead4986) begin zkfe884 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd8) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd98) begin zkfe884 <= 1'b1; end else begin zkfe884 <= 1'b0; end end else begin zkfe884 <= 1'b1; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin aaffa2 <= 1'b0; end else begin if (ead4986) begin aaffa2 <= 1'b0; end else if (qv26184) begin if (ww77d4a == 7'd3) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else if (pu30c24) begin if (ww77d4a == 7'd49) begin aaffa2 <= 1'b1; end else begin aaffa2 <= 1'b0; end end else begin aaffa2 <= 1'b1; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin dmc5668 <= 0; ym2b342 <= 0; medb224 <= 0; gbd9120 <= 0; co98acd <= 8'd0; end else begin if (an858e4) begin co98acd <= jra08a4; ym2b342 <= nt22932; dmc5668 <= ls4526; medb224 <= jp553cf; gbd9120 <= gqa9e7f; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin wy921b4 <= 1'b0; ep90da0 <= 1'b0; end else begin wy921b4 <= ohb4114; ep90da0 <= ng1fb3; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin sue5263 <= 0; kf29319 <= 0; uk3e26b <= 0; lqf1359 <= 0; vi7ca4c <= 8'd0; end else begin if (an858e4) begin if (ecfd9b) begin sue5263 <= 0; kf29319 <= 0; uk3e26b <= 0; lqf1359 <= 0; vi7ca4c <= 8'd0; end else begin kf29319 <= nt848db; uk3e26b <= ri961cf; lqf1359 <= rvb0e7a; if ((!qva4c30) && ba3091b && (tw86123 == 8'h0F) && (!nt848db) && kf29319) begin sue5263 <= 1'b0; vi7ca4c <= 8'h00; end else begin sue5263 <= ba3091b; vi7ca4c <= tw86123; end end end\r
+ end\r
+end\r
+always@* begin pu86d04<=eae03bd[0];ohb4114<=eae03bd[1];an858e4<=eae03bd[2];jra08a4<={an8c7c>>1,eae03bd[3]};ls4526<=eae03bd[4];nt22932<=eae03bd[5];jp553cf<=eae03bd[6];gqa9e7f<=eae03bd[7];vk25af2<={gb5e68a>>1,eae03bd[8]};vk14997<={mrc99c0>>1,eae03bd[9]};wla4cba<={ay4ce05>>1,eae03bd[10]};mt265d4<={ic67029>>1,eae03bd[11]};lf32ea4<={fp38148>>1,eae03bd[12]};ie97526<={rgc0a46>>1,eae03bd[13]};xlba930<={ks5233>>1,eae03bd[14]};ead4986<=eae03bd[15];qva4c30<=eae03bd[16];qv26184<=eae03bd[17];pu30c24<=eae03bd[18];ww77d4a<={gb7fd10>>1,eae03bd[19]};uxbea50<=eae03bd[20];do11dfa<=eae03bd[21];ecbc0e3<=eae03bd[22];tw86123<={co98acd>>1,eae03bd[23]};nt848db<=eae03bd[24];ba3091b<=eae03bd[25];ri961cf<=eae03bd[26];rvb0e7a<=eae03bd[27];gof5284<=eae03bd[28];uka9420<=eae03bd[29];fn4a101<=eae03bd[30];zx5080f<=eae03bd[31];db8407e<=eae03bd[32];zm203f6<=eae03bd[33];ng1fb3<=eae03bd[34];ecfd9b<=eae03bd[35];end\r
+always@* begin shdc077[2047]<=force_isolate;shdc077[2046]<=fa47021;shdc077[2044]<=an8c7c[0];shdc077[2040]<=ic463e5;shdc077[2033]<=qi31f29;shdc077[2019]<=qva4f56;shdc077[1999]<=ep90da0;shdc077[1991]<=rv27ab4;shdc077[1934]<=gb5e68a[0];shdc077[1892]<=zkfe884;shdc077[1851]<=ep29198;shdc077[1820]<=mrc99c0[0];shdc077[1737]<=ng837ab;shdc077[1654]<=fa48cc5;shdc077[1610]<=ym2b342;shdc077[1593]<=ay4ce05[0];shdc077[1523]<=sj12436;shdc077[1426]<=ip6f1c7;shdc077[1404]<=lf84490;shdc077[1260]<=ww4662b;shdc077[1199]<=vif4422;shdc077[1173]<=dmc5668;shdc077[1139]<=ic67029[0];shdc077[1023]<=gbe_mode;shdc077[999]<=wy921b4;shdc077[946]<=gb7fd10[0];shdc077[925]<=ks5233[0];shdc077[805]<=co98acd[0];shdc077[761]<=nt22486;shdc077[702]<=aa10892;shdc077[599]<=gbd9120;shdc077[473]<=an33159;shdc077[462]<=rgc0a46[0];shdc077[351]<=lsa2112;shdc077[299]<=medb224;shdc077[231]<=fp38148[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module shf7264 ( gq88e04,\r
+ rst_n,\r
+ gbe_mode,\r
+ sgmii_mode,\r
+ force_unidir,\r
+ mr_main_reset,\r
+ mr_restart_an,\r
+ mr_an_enable,\r
+ mr_adv_ability,\r
+ mr_lp_adv_ability,\r
+ fp9ed2a,\r
+ mr_page_rx,\r
+ mr_an_complete,\r
+ lfa55f5,\r
+ fc2afaf,\r
+ ne57d7b,\r
+ ohbebdb,\r
+ kqf5edc,\r
+ hdaf6e1,\r
+ bl7b70e,\r
+ an_link_ok\r
+ );\r
+input gq88e04;\r
+input rst_n;\r
+input gbe_mode;\r
+input sgmii_mode;\r
+input force_unidir;\r
+input mr_main_reset;\r
+input mr_restart_an;\r
+input mr_an_enable;\r
+input [16:1] mr_adv_ability;\r
+output [16:1] mr_lp_adv_ability;\r
+input fp9ed2a;\r
+output mr_page_rx;\r
+output mr_an_complete;\r
+input lfa55f5;\r
+input fc2afaf;\r
+input ne57d7b;\r
+input ohbebdb;\r
+input [15:0] kqf5edc;\r
+output [15:0] hdaf6e1;\r
+output [1:0] bl7b70e;\r
+output an_link_ok;\r
+reg [16:1] mr_lp_adv_ability;\r
+reg mr_page_rx;\r
+reg mr_an_complete;\r
+reg [15:0] hdaf6e1;\r
+reg [1:0] bl7b70e;\r
+reg [15:0] cb850a4;\r
+reg [15:0] tj28524;\r
+reg [15:0] vi42927;\r
+reg [15:0] ng1493a;\r
+reg [15:0] kfa49d2;\r
+reg sw24e94;\r
+localparam hd274a0 = 2'b00, vk3a506 = 2'b01, vvd2833 = 2'b10;\r
+localparam bn9419f = 4'b0000, xla0cff = 4'b0001, vx67fe = 4'b0010, sj33ff7 = 4'b0011, oh9ffbc = 4'b0100, ykffde5 = 4'b0101, nefef28 = 4'b0111, enf7942 = 4'b1000;\r
+parameter ecbca14 = 21'h1cf2bf, mee50a1 = 21'h0ced2f, LINK_TIMER_SH = 21'h1fff01;\r
+reg ps4284f;\r
+reg ri1427a;\r
+reg uxa13d3;\r
+reg bn9e9d;\r
+reg th4f4ef;\r
+reg [20:0] gb7a77f;\r
+reg end3bfd;\r
+reg [20:0] sw9dfed;\r
+reg ayeff68;\r
+reg [3:0] al7fb41, enfda0c, ofed065;\r
+wire [15:0] kqf5edc;\r
+reg [15:0] wj41969;\r
+reg [15:0] bncb4d;\r
+reg [15:0] sh65a6f;\r
+reg [ 1:0] hd2d37c;\r
+reg [ 1:0] dm69be7;\r
+reg [ 1:0] tu4df3a;\r
+wire ohbebdb;\r
+reg vi7ce9d;\r
+wire ne57d7b;\r
+reg vk3a75c;\r
+reg uvd3ae3;\r
+reg qi9d71d;\r
+reg pseb8e9;\r
+reg os5c74b;\r
+reg ble3a59;\r
+reg ep1d2cf;\r
+reg zxe967d;\r
+reg sh4b3ef;\r
+reg ww59f7d;\r
+reg hocfbe8;\r
+reg ic7df42;\r
+reg [16:1] suefa11;\r
+reg me7d089;\r
+reg wje8448;\r
+reg tu42245;\r
+reg ba1122b;\r
+reg vk8915b;\r
+reg[15:0] rg48ada;\r
+reg rt456d3;\r
+reg gq2b69e;\r
+reg sh5b4f0;\r
+reg byda784;\r
+reg [16:1] wjd3c22;\r
+reg jr9e115;\r
+reg gof08ae;\r
+reg bn84571;\r
+reg lf22b8e;\r
+reg ec15c77;\r
+reg[15:0] qvae3b8;\r
+reg vv71dc4 ;\r
+reg rv8ee27 ;\r
+wire kq7713e ;\r
+reg [(22*8):1] fcb89f1;\r
+reg pu86d04;\r
+reg lf27c59;\r
+reg ec3e2cf;\r
+reg nef1679;\r
+reg bn8b3ca;\r
+reg qg59e57;\r
+reg [16 : 1] lqcf2b8;\r
+reg tu795c3;\r
+reg zkcae1a;\r
+reg xw570d2;\r
+reg lsb8692;\r
+reg ofc3492;\r
+reg [15 : 0] mg1a495;\r
+reg [15 : 0] hbd24a8;\r
+reg [15 : 0] mg92546;\r
+reg [15 : 0] aa92a30;\r
+reg [15 : 0] oh95181;\r
+reg vka8c0d;\r
+reg go4606a;\r
+reg ba30351;\r
+reg jr81a8a;\r
+reg and457;\r
+reg gb6a2bc;\r
+reg [20 : 0] sh515e4;\r
+reg cb8af25;\r
+reg [20 : 0] vi5792c;\r
+reg ymbc963;\r
+reg [3 : 0] rge4b19;\r
+reg [3 : 0] xy258ca;\r
+reg [3 : 0] ux2c652;\r
+reg [15 : 0] dm63295;\r
+reg [15 : 0] rv194aa;\r
+reg [15 : 0] xwca557;\r
+reg [1 : 0] lq52abb;\r
+reg [1 : 0] yz955db;\r
+reg [1 : 0] zzaaedd;\r
+reg rg576ef;\r
+reg qvbb77a;\r
+reg eadbbd5;\r
+reg zxddea9;\r
+reg eaef54e;\r
+reg cz7aa70;\r
+reg tud5384;\r
+reg iea9c23;\r
+reg ip4e118;\r
+reg wj708c5;\r
+reg zz8462d;\r
+reg ux2316e;\r
+reg wl18b74;\r
+reg [16 : 1] hoc5ba4;\r
+reg lf2dd23;\r
+reg hb6e91e;\r
+reg fn748f7;\r
+reg sja47bf;\r
+reg kf23dfd;\r
+reg [15 : 0] xy1efed;\r
+reg uvf7f6b;\r
+reg fpbfb5c;\r
+reg shfdae6;\r
+reg wjed735;\r
+reg [16 : 1] vv6b9ad;\r
+reg kq5cd6f;\r
+reg rte6b78;\r
+reg ba35bc7;\r
+reg lsade3b;\r
+reg gb6f1da;\r
+reg [15 : 0] qg78ed4;\r
+reg hbc76a1;\r
+reg ie3b50f;\r
+reg tuda879;\r
+reg [(22 * 8) : 1] med43cb;\r
+reg [2047:0] shdc077;\r
+wire [70:0] eae03bd;\r
+localparam ba1de9 = 71,ngef4b = 32'hfdffc70b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if(!rst_n) begin vv71dc4 <= 1'b1; rv8ee27 <= 1'b1; end else begin vv71dc4 <= nef1679; rv8ee27 <= hbc76a1; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if(!rst_n) begin sh4b3ef <= 1'b0; ww59f7d <= 1'b0; hocfbe8 <= 1'b0; ic7df42 <= 1'b0; suefa11 <= 16'd0; me7d089 <= 1'b0; wje8448 <= 1'b0; tu42245 <= 1'b0; ba1122b <= 1'b0; vk8915b <= 1'b0; rg48ada <= 16'b0; rt456d3 <= 1'b0; gq2b69e <= 1'b0; sh5b4f0 <= 1'b0; byda784 <= 1'b0; wjd3c22 <= 16'b0; jr9e115 <= 1'b0; gof08ae <= 1'b0; bn84571 <= 1'b0; lf22b8e <= 1'b0; ec15c77 <= 1'b0; qvae3b8 <= 16'b0; end else begin sh4b3ef <= pu86d04; ww59f7d <= lf27c59; hocfbe8 <= bn8b3ca; ic7df42 <= qg59e57 & (!ec3e2cf); suefa11 <= lqcf2b8; me7d089 <= tu795c3; wje8448 <= zkcae1a; tu42245 <= xw570d2; ba1122b <= lsb8692; vk8915b <= ofc3492; rg48ada <= kqf5edc; rt456d3 <= wj708c5; gq2b69e <= zz8462d; sh5b4f0 <= ux2316e; byda784 <= wl18b74; wjd3c22 <= hoc5ba4; jr9e115 <= lf2dd23; gof08ae <= hb6e91e; bn84571 <= fn748f7; lf22b8e <= sja47bf; ec15c77 <= kf23dfd; qvae3b8 <= xy1efed; end\r
+end\r
+assign kq7713e = (qg78ed4[15] == rv194aa[15]) & (qg78ed4[13:0] == rv194aa[13:0]);\r
+always @(posedge gq88e04 or negedge rst_n) begin : ie24984 if(!rst_n) begin vk3a75c <= 1'b0; uvd3ae3 <= 1'b0; qi9d71d <= 1'b0; pseb8e9 <= 1'b0; os5c74b <= 1'b0; ble3a59 <= 1'b0; ep1d2cf <= 1'b0; zxe967d <= 1'b0; end else begin vk3a75c <= (go4606a != wjed735); uvd3ae3 <= tuda879 ; qi9d71d <= tuda879 & qg78ed4[14] & rv194aa[14]; pseb8e9 <= (lq52abb == 2'b10) & rg576ef; os5c74b <= (yz955db == 2'b10) & rg576ef; ble3a59 <= (zzaaedd == 2'b11); ep1d2cf <= (lq52abb == 2'b10) & rg576ef & tuda879 ; zxe967d <= (ux2c652 != xy258ca); end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : lqee2f4 if(!rst_n) begin enfda0c <= bn9419f; end else begin if(shfdae6 || !ymbc963 || qvbb77a || ba35bc7 || vka8c0d || ie3b50f) begin enfda0c <= bn9419f; end else begin enfda0c <= rge4b19; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin if(!rst_n) begin ofed065 <= bn9419f; ps4284f <= 1'b0; vi7ce9d <= 1'b0; wj41969 <= 16'h0000; end else begin if(ie3b50f) begin ofed065 <= bn9419f; end else begin ofed065 <= xy258ca; ps4284f <= wjed735; vi7ce9d <= gb6f1da; wj41969 <= qg78ed4; end end\r
+end\r
+always @( xy258ca or wjed735 or cb8af25 or eaef54e or dm63295 or cz7aa70 or iea9c23 or tud5384) begin : cb85a23 case (xy258ca) bn9419f : begin if(wjed735) al7fb41 = xla0cff; else al7fb41 = vx67fe; end\r
+ xla0cff : begin if(cb8af25) al7fb41 = sj33ff7; else al7fb41 = xla0cff; end\r
+ sj33ff7 : begin if(eaef54e && dm63295 != 16'd0) al7fb41 = oh9ffbc; else al7fb41 = sj33ff7; end\r
+ oh9ffbc : begin if((cz7aa70 && !iea9c23) || (eaef54e && dm63295 == 16'h0000)) al7fb41 = bn9419f; else if(cz7aa70 && iea9c23) al7fb41 = ykffde5; else al7fb41 = oh9ffbc; end\r
+ ykffde5 : begin if(eaef54e && dm63295 == 16'h0000) al7fb41 = bn9419f; else if((cb8af25) && (!eaef54e || dm63295 != 16'h0000)) al7fb41 = nefef28; else al7fb41 = ykffde5; end\r
+ nefef28 : begin if (eaef54e && dm63295 == 16'h0000) al7fb41 = bn9419f; else if (tud5384 && cb8af25) al7fb41 = enf7942; else al7fb41 = nefef28; end\r
+ enf7942 : begin if (eaef54e) al7fb41 = bn9419f; else al7fb41 = enf7942; end\r
+ vx67fe : al7fb41 = vx67fe;\r
+ default : begin al7fb41 = bn9419f; end\r
+ endcase\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin if(!rst_n) begin mr_page_rx <= 1'b0; end else begin if (xy258ca == ykffde5) begin mr_page_rx <= 1'b1; end else begin mr_page_rx <= 1'b0; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin if(!rst_n ) begin mr_lp_adv_ability <= 16'h0000; end else begin if ((xy258ca == bn9419f) || (xy258ca == sj33ff7)) begin mr_lp_adv_ability <= 16'h0000; end else if (xy258ca == ykffde5) begin mr_lp_adv_ability <= qg78ed4; end else begin mr_lp_adv_ability <= mr_lp_adv_ability; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : czcceef if(!rst_n ) begin mr_an_complete <= 1'b0; end else begin if (xy258ca == bn9419f) begin mr_an_complete <= 1'b0; end else if (xy258ca == enf7942) begin mr_an_complete <= 1'b1; end else begin mr_an_complete <= mr_an_complete; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : sh7f07b if(!rst_n ) begin hdaf6e1 <= 16'd0; end else begin if ((xy258ca == bn9419f && wjed735) || (xy258ca == xla0cff)) begin hdaf6e1 <= 16'd0; end else if (xy258ca == sj33ff7) begin hdaf6e1 <= {vv6b9ad[16],1'b0,vv6b9ad[14:1]}; end else if (xy258ca == oh9ffbc) begin hdaf6e1 <= (hdaf6e1 | 16'h4000); end else begin hdaf6e1 <= hdaf6e1; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : xy2ae8f if(!rst_n ) begin bl7b70e <= hd274a0; end else begin if (((xy258ca == bn9419f) && wjed735) || (xy258ca == xla0cff)) begin bl7b70e <= vk3a506; end else if (((xy258ca == bn9419f) && !wjed735) || (xy258ca == nefef28)) begin bl7b70e <= hd274a0; end else if ((xy258ca == vx67fe) || (xy258ca == enf7942)) begin bl7b70e <= vvd2833; end else begin bl7b70e <= bl7b70e; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin if(!rst_n ) begin uxa13d3 <= 1'b0; bn9e9d <= 1'b0; th4f4ef <= 1'b0; ri1427a <= 1'b0; end else begin if ((xy258ca == xla0cff) && (ux2c652 == bn9419f)) begin uxa13d3 <= 1'b1; end else begin uxa13d3 <= 1'b0; end if ((xy258ca == ykffde5) && (ux2c652 == oh9ffbc)) begin bn9e9d <= 1'b1; end else begin bn9e9d <= 1'b0; end if ((xy258ca == nefef28) && (ux2c652 == ykffde5)) begin th4f4ef <= 1'b1; end else begin th4f4ef <= 1'b0; end if (jr81a8a || and457 || gb6a2bc) begin ri1427a <= 1'b1; end else begin ri1427a <= 1'b0; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : wje876e if(!rst_n ) begin gb7a77f <= ecbca14; end else if (ba30351) begin if (kq5cd6f) begin gb7a77f <= LINK_TIMER_SH; end else if (uvf7f6b) begin gb7a77f <= mee50a1; end else begin gb7a77f <= ecbca14; end end else if (!cb8af25) begin gb7a77f <= sh515e4 + 'd1; end else begin gb7a77f <= sh515e4; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : kf9537b if(!rst_n ) begin end3bfd <= 1'b0; end else begin if (sh515e4 == 'd0 && !ba30351) begin end3bfd <= 1'b1; end else begin end3bfd <= 1'b0; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : nre6f46 if(!rst_n ) begin sw9dfed <= 21'd0; end else if (rte6b78) begin if (kq5cd6f) begin sw9dfed <= LINK_TIMER_SH; end else if (uvf7f6b) begin sw9dfed <= mee50a1; end else begin sw9dfed <= ecbca14; end end else begin sw9dfed <= vi5792c + 'd1; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : ukb3047 if(!rst_n ) begin ayeff68 <= 1'b0; end else begin case(ymbc963) 1'b0: begin if (rte6b78) begin ayeff68 <= 1'b1; end end 1'b1: begin if(vi5792c == 21'd0) begin ayeff68 <= 1'b0; end end default: begin ayeff68 <= 1'b0; end endcase end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : fae4788 if(!rst_n ) bncb4d <= 16'h0000; else if(ip4e118) bncb4d <= 16'h0000; else if(gb6f1da) bncb4d <= qg78ed4; else bncb4d <= rv194aa;\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : fp28568 if(!rst_n ) begin sh65a6f <= 16'h0000; end else begin if(eaef54e) begin sh65a6f <= qg78ed4; end else begin sh65a6f <= xwca557; end end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : wjc36dd if(!rst_n ) hd2d37c <= 2'b00; else if(ip4e118 || lsade3b || (gb6f1da && !eadbbd5)) hd2d37c <= 2'b00; else if(gb6f1da && eadbbd5 && (lq52abb != 2'b10)) hd2d37c <= lq52abb + 2'b01; else hd2d37c <= lq52abb;\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : sh4161b if(!rst_n ) dm69be7 <= 2'b00; else if(ip4e118 || lsade3b) dm69be7 <= 2'b00; else if(gb6f1da && zxddea9 && (yz955db != 2'b10)) dm69be7 <= yz955db + 2'b01; else dm69be7 <= yz955db;\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin : rgda4a3 if(!rst_n ) tu4df3a <= 2'b00; else if(ip4e118) tu4df3a <= 2'b00; else if(lsade3b && !tud5384) tu4df3a <= zzaaedd + 2'b01; else tu4df3a <= zzaaedd;\r
+end\r
+always @(posedge gq88e04 or negedge rst_n) begin if(!rst_n) begin cb850a4 <= 16'd0; tj28524 <= 16'd0; vi42927 <= 16'd0; ng1493a <= 16'd0; kfa49d2 <= 16'd0; sw24e94 <= 1'b0; end else begin cb850a4[15:0] <= vv6b9ad[16:1]; tj28524 <= mg1a495; vi42927 <= hbd24a8; if ((mg1a495 == hbd24a8) && (mg1a495 == mg92546)) kfa49d2 <= mg92546; else kfa49d2 <= oh95181; ng1493a <= oh95181; if ((fpbfb5c==1'b1) && (uvf7f6b==1'b0)) begin if (oh95181 != aa92a30) begin sw24e94 <= 1'b1; end else begin sw24e94 <= 1'b0; end end else begin sw24e94 <= 1'b0; end end\r
+end\r
+assign an_link_ok = ((xy258ca==enf7942) || (xy258ca==vx67fe)) ? 1'b1 : 1'b0;\r
+always @(xy258ca) begin case (xy258ca) bn9419f : fcb89f1 = "AN_ENABLE"; xla0cff : fcb89f1 = "AN_RESTART"; vx67fe : fcb89f1 = "AN_DISABLE_LINK_OK"; sj33ff7 : fcb89f1 = "ABILITY_DETECT"; oh9ffbc : fcb89f1 = "ACKNOWLEDGE_DETECT"; ykffde5 : fcb89f1 = "COMPLETE_ACKNOWLEDGE"; nefef28 : fcb89f1 = "IDLE_DETECT"; enf7942 : fcb89f1 = "LINK_OK";\r
+ default : fcb89f1 = "***ERROR***"; endcase\r
+end\r
+always@* begin pu86d04<=eae03bd[0];lf27c59<=eae03bd[1];ec3e2cf<=eae03bd[2];nef1679<=eae03bd[3];bn8b3ca<=eae03bd[4];qg59e57<=eae03bd[5];lqcf2b8<={mr_adv_ability>>1,eae03bd[6]};tu795c3<=eae03bd[7];zkcae1a<=eae03bd[8];xw570d2<=eae03bd[9];lsb8692<=eae03bd[10];ofc3492<=eae03bd[11];mg1a495<={cb850a4>>1,eae03bd[12]};hbd24a8<={tj28524>>1,eae03bd[13]};mg92546<={vi42927>>1,eae03bd[14]};aa92a30<={ng1493a>>1,eae03bd[15]};oh95181<={kfa49d2>>1,eae03bd[16]};vka8c0d<=eae03bd[17];go4606a<=eae03bd[18];ba30351<=eae03bd[19];jr81a8a<=eae03bd[20];and457<=eae03bd[21];gb6a2bc<=eae03bd[22];sh515e4<={gb7a77f>>1,eae03bd[23]};cb8af25<=eae03bd[24];vi5792c<={sw9dfed>>1,eae03bd[25]};ymbc963<=eae03bd[26];rge4b19<={al7fb41>>1,eae03bd[27]};xy258ca<={enfda0c>>1,eae03bd[28]};ux2c652<={ofed065>>1,eae03bd[29]};dm63295<={wj41969>>1,eae03bd[30]};rv194aa<={bncb4d>>1,eae03bd[31]};xwca557<={sh65a6f>>1,eae03bd[32]};lq52abb<={hd2d37c>>1,eae03bd[33]};yz955db<={dm69be7>>1,eae03bd[34]};zzaaedd<={tu4df3a>>1,eae03bd[35]};rg576ef<=eae03bd[36];qvbb77a<=eae03bd[37];eadbbd5<=eae03bd[38];zxddea9<=eae03bd[39];eaef54e<=eae03bd[40];cz7aa70<=eae03bd[41];tud5384<=eae03bd[42];iea9c23<=eae03bd[43];ip4e118<=eae03bd[44];wj708c5<=eae03bd[45];zz8462d<=eae03bd[46];ux2316e<=eae03bd[47];wl18b74<=eae03bd[48];hoc5ba4<={suefa11>>1,eae03bd[49]};lf2dd23<=eae03bd[50];hb6e91e<=eae03bd[51];fn748f7<=eae03bd[52];sja47bf<=eae03bd[53];kf23dfd<=eae03bd[54];xy1efed<={rg48ada>>1,eae03bd[55]};uvf7f6b<=eae03bd[56];fpbfb5c<=eae03bd[57];shfdae6<=eae03bd[58];wjed735<=eae03bd[59];vv6b9ad<={wjd3c22>>1,eae03bd[60]};kq5cd6f<=eae03bd[61];rte6b78<=eae03bd[62];ba35bc7<=eae03bd[63];lsade3b<=eae03bd[64];gb6f1da<=eae03bd[65];qg78ed4<={qvae3b8>>1,eae03bd[66]};hbc76a1<=eae03bd[67];ie3b50f<=eae03bd[68];tuda879<=eae03bd[69];med43cb<={fcb89f1>>1,eae03bd[70]};end\r
+always@* begin shdc077[2047]<=sgmii_mode;shdc077[2046]<=force_unidir;shdc077[2044]<=mr_main_reset;shdc077[2040]<=mr_restart_an;shdc077[2032]<=mr_an_enable;shdc077[2016]<=mr_adv_ability[1];shdc077[2014]<=fcb89f1[1];shdc077[1985]<=fp9ed2a;shdc077[1927]<=gof08ae;shdc077[1922]<=lfa55f5;shdc077[1867]<=ri1427a;shdc077[1807]<=bn84571;shdc077[1804]<=hocfbe8;shdc077[1797]<=fc2afaf;shdc077[1761]<=zxe967d;shdc077[1686]<=uxa13d3;shdc077[1623]<=vk8915b;shdc077[1567]<=lf22b8e;shdc077[1561]<=ic7df42;shdc077[1558]<=enfda0c[0];shdc077[1547]<=ne57d7b;shdc077[1542]<=vi7ce9d;shdc077[1505]<=wjd3c22[1];shdc077[1490]<=sw24e94;shdc077[1475]<=sh4b3ef;shdc077[1413]<=ayeff68;shdc077[1409]<=dm69be7[0];shdc077[1400]<=sh5b4f0;shdc077[1324]<=bn9e9d;shdc077[1200]<=gb7a77f[0];shdc077[1199]<=rg48ada[0];shdc077[1086]<=ec15c77;shdc077[1074]<=suefa11[1];shdc077[1068]<=ofed065[0];shdc077[1047]<=ohbebdb;shdc077[1037]<=vk3a75c;shdc077[1023]<=gbe_mode;shdc077[1007]<=kq7713e;shdc077[963]<=jr9e115;shdc077[933]<=ps4284f;shdc077[902]<=ww59f7d;shdc077[880]<=ep1d2cf;shdc077[811]<=ba1122b;shdc077[779]<=al7fb41[0];shdc077[771]<=tu4df3a[0];shdc077[752]<=byda784;shdc077[745]<=kfa49d2[0];shdc077[706]<=sw9dfed[0];shdc077[704]<=hd2d37c[0];shdc077[700]<=gq2b69e;shdc077[600]<=th4f4ef;shdc077[503]<=rv8ee27;shdc077[440]<=ble3a59;shdc077[405]<=tu42245;shdc077[372]<=ng1493a[0];shdc077[353]<=end3bfd;shdc077[352]<=sh65a6f[0];shdc077[350]<=rt456d3;shdc077[251]<=vv71dc4;shdc077[220]<=os5c74b;shdc077[202]<=wje8448;shdc077[186]<=vi42927[0];shdc077[176]<=bncb4d[0];shdc077[125]<=qvae3b8[0];shdc077[110]<=pseb8e9;shdc077[101]<=me7d089;shdc077[93]<=tj28524[0];shdc077[88]<=wj41969[0];shdc077[55]<=qi9d71d;shdc077[46]<=cb850a4[0];shdc077[27]<=uvd3ae3;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module pf74aee (\r
+ vxa5777 ,\r
+ rst_n ,\r
+ mr_main_reset ,\r
+ sgmii_mode ,\r
+ gbe_mode ,\r
+ zzbf298 ,\r
+ rx_data ,\r
+ rx_kcntl ,\r
+ su531f3 ,\r
+ lfa55f5 ,\r
+ rx_even ,\r
+ bl7b70e ,\r
+ kqf5edc ,\r
+ co9818f ,\r
+ hoc0c79 ,\r
+ lf63cb ,\r
+ ou31e5f ,\r
+ mt8f2f9 ,\r
+ by797cd\r
+ );\r
+input vxa5777;\r
+input rst_n;\r
+input mr_main_reset;\r
+input sgmii_mode;\r
+input gbe_mode;\r
+input zzbf298;\r
+input [7:0] rx_data;\r
+input rx_kcntl;\r
+input su531f3;\r
+input lfa55f5;\r
+input rx_even;\r
+input [1:0] bl7b70e;\r
+output [15:0] kqf5edc;\r
+output co9818f;\r
+output hoc0c79;\r
+output lf63cb;\r
+output [7:0] ou31e5f;\r
+output mt8f2f9;\r
+output by797cd;\r
+localparam qge0963 = 8'hBC , pu4b18 = 8'hFE , vk258c3 = 8'hFB , ep2c61a = 8'hFD , fa630d5 = 8'hF7 , gd186aa = 8'hB5 , ofc3555 = 8'h42 , mg1aaad = 8'hC5 , jcd5569 = 8'h50 , jraab4b = 8'h00 , vv55a5f = 8'hFC , vkad2fe = 8'h3C ;\r
+localparam lq697f3 = 5'd0 , kd4bf9b = 5'd1 , jp5fcdc = 5'd2 , lqfe6e7 = 5'd3 , thf373b = 5'd4 , bn9b9df = 5'd5 , aldceff = 5'd6 , ofe77fc = 5'd7 , ym3bfe4 = 5'd8 , nedff20 = 5'd9 , qgff903 = 5'd10 , dzfc818 = 5'd11 , fae40c7 = 5'd12 , je2063b = 5'd13 , rv31db = 5'd14 , mt18edf = 5'd15 , hbc76fc = 5'd16 , ie3b7e7 = 5'd17 ;\r
+reg sudbf39;\r
+wire fadf9c9;\r
+wire by797cd;\r
+reg mt8f2f9;\r
+reg [7:0] ou31e5f;\r
+reg gbc97e4;\r
+reg [15:0] kqf5edc;\r
+reg co9818f;\r
+reg hoc0c79;\r
+reg lf63cb;\r
+reg [7:0] mg249fa ;\r
+reg [7:0] ie24fd0 ;\r
+reg [7:0] fp27e80 ;\r
+reg [7:0] je3f407 ;\r
+reg [7:0] ayfa03b ;\r
+reg hod01d9 ;\r
+reg sj80ecb ;\r
+reg ux765d ;\r
+reg ie3b2ed ;\r
+reg uvd9769 ;\r
+reg uicbb4d ;\r
+reg jc5da68 ;\r
+reg iped342 ;\r
+reg lq69a15 ;\r
+reg fa4d0aa ;\r
+reg su68552 ;\r
+reg ne42a91 ;\r
+reg aa1548a ;\r
+reg phaa451 ;\r
+reg lq5228e ;\r
+reg vx91472 ;\r
+reg an8a397 ;\r
+reg kd51cbd ;\r
+reg nt8e5ec ;\r
+reg ic72f67 ;\r
+reg ks97b38 ;\r
+reg pubd9c1 ;\r
+reg zxece0b ;\r
+reg me6705e ;\r
+reg [4:0] lf382f0 ;\r
+reg [4:0] mec1786 ;\r
+wire twbc30 ;\r
+wire cz5e182 ;\r
+wire cmf0c14 ;\r
+wire tw860a1 ;\r
+wire ba3050b ;\r
+wire gq8285a ;\r
+wire xy142d0 ;\r
+wire qva1683 ;\r
+wire twb41d ;\r
+wire hb5a0eb ;\r
+wire rgd075e ;\r
+wire tw83af3 ;\r
+wire yz1d79a ;\r
+wire byebcd5 ;\r
+reg [1:0] ip5e6ab;\r
+reg [1:0] blf355c;\r
+reg vv71dc4 ;\r
+reg rv8ee27 ;\r
+reg irab9eb;\r
+reg kq5cf5d;\r
+reg qte7ae8;\r
+reg vx3d745;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg [(20*8):1] ipe8b9b;\r
+reg nef1679;\r
+reg lf27c59;\r
+reg pu86d04;\r
+reg tj9b9c3;\r
+reg [7 : 0] ofdce1f;\r
+reg wje70fc;\r
+reg nt387e4;\r
+reg zkcae1a;\r
+reg gq1f934;\r
+reg [1 : 0] pffc9a1;\r
+reg xwe4d0f;\r
+reg ux2687a;\r
+reg ym343d5;\r
+reg [7 : 0] kfa1ea8;\r
+reg [7 : 0] swf544;\r
+reg [7 : 0] yx7aa21;\r
+reg [7 : 0] ned510c;\r
+reg [7 : 0] nga8863;\r
+reg wj4431a;\r
+reg uk218d4;\r
+reg xlc6a0;\r
+reg ho63506;\r
+reg uk1a832;\r
+reg uid4191;\r
+reg tja0c89;\r
+reg ph644e;\r
+reg xl32277;\r
+reg hd913bf;\r
+reg ri89dff;\r
+reg qt4effb;\r
+reg cm77fdc;\r
+reg rvbfee0;\r
+reg icff706;\r
+reg tufb831;\r
+reg aldc18a;\r
+reg qge0c55;\r
+reg rv62a8;\r
+reg ks31547;\r
+reg cb8aa38;\r
+reg xj551c4;\r
+reg pua8e27;\r
+reg lq4713a;\r
+reg [4 : 0] rv389d3;\r
+reg [4 : 0] mec4e9f;\r
+reg zz274f9;\r
+reg je3a7ce;\r
+reg czd3e73;\r
+reg ep9f39d;\r
+reg vvf9cec;\r
+reg suce767;\r
+reg xw73b39;\r
+reg aa9d9ca;\r
+reg pfece50;\r
+reg kq67286;\r
+reg ux39430;\r
+reg hoca184;\r
+reg pf50c23;\r
+reg zm8611e;\r
+reg [1 : 0] xy308f3;\r
+reg [1 : 0] hd8479b;\r
+reg hbc76a1;\r
+reg ie3b50f;\r
+reg vvf37aa;\r
+reg rv9bd57;\r
+reg wwdeabf;\r
+reg kqf55f9;\r
+reg gof5284;\r
+reg uka9420;\r
+reg [(20 * 8) : 1] rvbf23b;\r
+reg [2047:0] shdc077;\r
+wire [68:0] eae03bd;\r
+localparam ba1de9 = 69,ngef4b = 32'hfdffe0cb;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) begin mg249fa <= 8'h00 ; ie24fd0 <= 8'h00 ; fp27e80 <= 8'h00 ; je3f407 <= 8'h00 ; ayfa03b <= 8'h00 ; hod01d9 <= 1'b0 ; sj80ecb <= 1'b0 ; ux765d <= 1'b0 ; ie3b2ed <= 1'b0 ; uvd9769 <= 1'b0 ; uicbb4d <= 1'b0 ; jc5da68 <= 1'b0 ; iped342 <= 1'b0 ; lq69a15 <= 1'b0 ; fa4d0aa <= 1'b0 ; su68552 <= 1'b0 ; ne42a91 <= 1'b0 ; end else begin mg249fa <= ofdce1f ; ie24fd0 <= kfa1ea8 ; fp27e80 <= swf544 ; je3f407 <= yx7aa21 ; ayfa03b <= ned510c ; hod01d9 <= wje70fc ; sj80ecb <= wj4431a ; ux765d <= uk218d4 ; ie3b2ed <= xlc6a0 ; uvd9769 <= nt387e4 ; uicbb4d <= uk1a832 ; jc5da68 <= uid4191 ; iped342 <= tja0c89 ; fa4d0aa <= gq1f934 ; su68552 <= hd913bf ; ne42a91 <= ri89dff ; end\r
+end\r
+always @(posedge vxa5777 or negedge rst_n)\r
+begin if(!rst_n) begin vv71dc4 <= 1'b1; rv8ee27 <= 1'b1; end else begin vv71dc4 <= nef1679; rv8ee27 <= hbc76a1; end\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) begin ip5e6ab <= 2'd0 ; blf355c <= 2'd0 ; irab9eb <= 1'b0 ; kq5cf5d <= 1'b0 ; qte7ae8 <= 1'b0 ; vx3d745 <= 1'b0 ; vif4422 <= 1'b0 ; lsa2112 <= 1'b0 ; end else begin ip5e6ab <= pffc9a1 ; blf355c <= xy308f3 ; irab9eb <= tj9b9c3 ; kq5cf5d <= vvf37aa ; qte7ae8 <= lf27c59 ; vx3d745 <= wwdeabf ; vif4422 <= pu86d04 ; lsa2112 <= gof5284 ; end\r
+end\r
+assign twbc30 = ((yx7aa21 == qge0963) & xlc6a0) ;\r
+assign cz5e182 = ((yx7aa21 == vk258c3) & xlc6a0) ;\r
+assign cmf0c14 = ((yx7aa21 == gd186aa) & ~xlc6a0) ;\r
+assign tw860a1 = ((yx7aa21 == ofc3555) & ~xlc6a0) ;\r
+assign ba3050b = ~((yx7aa21 == qge0963) & xlc6a0) ;\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) begin aa1548a <= 1'b0 ; phaa451 <= 1'b0 ; lq5228e <= 1'b0 ; vx91472 <= 1'b0 ; an8a397 <= 1'b0 ; end else begin aa1548a <= zz274f9 ; phaa451 <= je3a7ce ; lq5228e <= czd3e73 ; vx91472 <= ep9f39d ; an8a397 <= vvf9cec ; end\r
+end\r
+assign gq8285a = (((yx7aa21 == qge0963) & xlc6a0 ) & ( ~uk218d4 ) & ((kfa1ea8 == qge0963) & wj4431a ) ) ;\r
+assign xy142d0 = (((yx7aa21 == qge0963) & xlc6a0 ) & (((swf544 == gd186aa) | (swf544 == ofc3555)) & ~uk218d4 ) & ((kfa1ea8 == jraab4b) & ~wj4431a ) ) ;\r
+assign qva1683 = (((yx7aa21 == ep2c61a) & xlc6a0 ) & ((swf544 == fa630d5) & uk218d4 ) & ((kfa1ea8 == qge0963) & wj4431a ) ) ;\r
+assign twb41d = (((yx7aa21 == ep2c61a) & xlc6a0 ) & ((swf544 == fa630d5) & uk218d4 ) & ((kfa1ea8 == fa630d5) & wj4431a ) ) ;\r
+assign hb5a0eb = (((yx7aa21 == fa630d5) & xlc6a0 ) & ((swf544 == fa630d5) & uk218d4 ) & ((kfa1ea8 == fa630d5) & wj4431a ) ) ;\r
+assign rgd075e = (((yx7aa21 == fa630d5) & xlc6a0 ) & ((swf544 == fa630d5) & uk218d4 ) & ((kfa1ea8 == qge0963) & wj4431a ) ) ;\r
+assign tw83af3 = (((yx7aa21 == fa630d5) & xlc6a0 ) & ((swf544 == fa630d5) & uk218d4 ) & ((kfa1ea8 == vk258c3) & wj4431a ) ) ;\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) begin kd51cbd <= 1'b0 ; nt8e5ec <= 1'b0 ; ic72f67 <= 1'b0 ; ks97b38 <= 1'b0 ; pubd9c1 <= 1'b0 ; zxece0b <= 1'b0 ; me6705e <= 1'b0 ; end else begin kd51cbd <= suce767 ; nt8e5ec <= xw73b39 ; ic72f67 <= aa9d9ca ; ks97b38 <= pfece50 ; pubd9c1 <= kq67286 ; zxece0b <= ux39430 ; me6705e <= hoca184 ; end\r
+end\r
+ assign yz1d79a = (hd8479b == 2'b01) ; assign byebcd5 = (hd8479b == 2'b10) ;\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) lf382f0 <= kd4bf9b ; else if (ie3b50f) lf382f0 <= kd4bf9b ; else if (!zkcae1a) lf382f0 <= lq697f3 ; else lf382f0 <= mec4e9f ;\r
+end\r
+always @(rv389d3 or ri89dff or zm8611e or pf50c23 or ho63506 or ph644e or qge0c55 or rv62a8 or ks31547 or cb8aa38 or xj551c4 or pua8e27 or lq4713a or cm77fdc or rvbfee0 or icff706 or tufb831 or aldc18a ) begin case (rv389d3) lq697f3 : mec1786 = kd4bf9b ; kd4bf9b : if (cm77fdc && ri89dff) mec1786 = jp5fcdc ; else mec1786 = kd4bf9b ; jp5fcdc : if (icff706 || tufb831) mec1786 = lqfe6e7 ; else if (!zm8611e && (ho63506 || ph644e)) mec1786 = aldceff ; else if ((!zm8611e && (!ho63506 && !ph644e) && !icff706 && !tufb831) || (zm8611e && !icff706 && !tufb831)) mec1786 = ofe77fc ; else mec1786 = jp5fcdc ; lqfe6e7 : if (!ho63506 && !ph644e) mec1786 = thf373b ; else mec1786 = aldceff ; thf373b : if (!ho63506 && !ph644e) mec1786 = bn9b9df ; else mec1786 = aldceff ; bn9b9df : if (cm77fdc && ri89dff) mec1786 = jp5fcdc ; else if (!cm77fdc || !ri89dff) mec1786 = aldceff ; else mec1786 = bn9b9df ; aldceff : if (cm77fdc && ri89dff) mec1786 = jp5fcdc; else if (!cm77fdc && ri89dff) mec1786 = kd4bf9b; else mec1786 = aldceff; ofe77fc : if (!cm77fdc && !zm8611e) mec1786 = aldceff; else if (zm8611e && aldc18a) if (!rvbfee0) mec1786 = ym3bfe4; else mec1786 = nedff20; else if ((zm8611e && !aldc18a) || cm77fdc) mec1786 = jp5fcdc; else mec1786 = ofe77fc; ym3bfe4 : if (cm77fdc && ri89dff) mec1786 = jp5fcdc; else mec1786 = ym3bfe4; nedff20 : if ((qge0c55 || rv62a8) && ri89dff) mec1786 = qgff903; else if (ks31547 && ri89dff) mec1786 = dzfc818; else if (cb8aa38) mec1786 = fae40c7; else if (xj551c4) mec1786 = mt18edf; else if (!ho63506 && !ph644e) mec1786 = rv31db; else mec1786 = je2063b; qgff903 : if (!icff706 && !tufb831) mec1786 = ofe77fc; else mec1786 = lqfe6e7; dzfc818 : if (cm77fdc) mec1786 = jp5fcdc; else mec1786 = dzfc818; fae40c7 : if (xj551c4) mec1786 = fae40c7; else if (pua8e27 && ri89dff) mec1786 = dzfc818; else if (lq4713a) mec1786 = hbc76fc; else mec1786 = ie3b7e7; je2063b : if ((qge0c55 || rv62a8) && ri89dff) mec1786 = qgff903; else if (ks31547 && ri89dff) mec1786 = dzfc818; else if (cb8aa38) mec1786 = fae40c7; else if (xj551c4) mec1786 = mt18edf; else if (!ho63506 && !ph644e) mec1786 = rv31db; else mec1786 = je2063b; rv31db : if ((qge0c55 || rv62a8) && ri89dff) mec1786 = qgff903; else if (ks31547 && ri89dff) mec1786 = dzfc818; else if (cb8aa38) mec1786 = fae40c7; else if (xj551c4) mec1786 = mt18edf; else if (!ho63506 && !ph644e) mec1786 = rv31db; else mec1786 = je2063b; mt18edf : if (xj551c4) mec1786 = fae40c7; else if (pua8e27 && ri89dff) mec1786 = dzfc818; else if (lq4713a) mec1786 = hbc76fc; else mec1786 = ie3b7e7; hbc76fc : if (rvbfee0) mec1786 = nedff20; else mec1786 = hbc76fc; ie3b7e7 : if (rvbfee0) mec1786 = nedff20; else if (cm77fdc && ri89dff) mec1786 = jp5fcdc; else if (!rvbfee0 && !cm77fdc && ri89dff) if (xj551c4) mec1786 = fae40c7; else if (pua8e27 && ri89dff) mec1786 = dzfc818; else if (lq4713a) mec1786 = hbc76fc; else mec1786 = ie3b7e7; else mec1786 = ie3b7e7; default : mec1786 = kd4bf9b; endcase\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) sudbf39 <= 1'b0; else if (rv389d3 == ym3bfe4 || rv389d3 == qgff903 || rv389d3 == je2063b || rv389d3 == mt18edf || rv389d3 == fae40c7 || (rv389d3 == lq697f3 && ym343d5)) sudbf39 <= 1'b1; else if (rv389d3 == thf373b || rv389d3 == bn9b9df || rv389d3 == aldceff || rv389d3 == ie3b7e7 || rv389d3 == hbc76fc) sudbf39 <= xwe4d0f; else sudbf39 <= 1'b0;\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) mt8f2f9 <= 1'b0; else if (rv389d3 == nedff20) mt8f2f9 <= 1'b1; else if (rv389d3 == thf373b || rv389d3 == bn9b9df || rv389d3 == aldceff || rv389d3 == ym3bfe4 || (rv389d3 == lq697f3 && ym343d5 == 1'b1) || rv389d3 == qgff903 || rv389d3 == je2063b || rv389d3 == rv31db || rv389d3 == mt18edf) mt8f2f9 <= mt8f2f9; else mt8f2f9 <= 1'b0;\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) ou31e5f <= 8'b00000000; else if (rv389d3 == nedff20) ou31e5f <= 8'b01010101; else if (rv389d3 == hbc76fc || rv389d3 == fae40c7) ou31e5f <= 8'b00001111; else if (rv389d3 == ie3b7e7) ou31e5f <= 8'b00011111; else if (rv389d3 == ym3bfe4) ou31e5f <= 8'b00001110; else if (rv389d3 == rv31db) ou31e5f <= nga8863; else ou31e5f <= 8'b00000000;\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) gbc97e4 <= 1'b0; else if (rv389d3 == ofe77fc && zm8611e && aldc18a) gbc97e4 <= 1'b1; else if (rv389d3 == dzfc818 || (rv389d3 == lq697f3 && ym343d5) || rv389d3 == kd4bf9b || rv389d3 == jp5fcdc || rv389d3 == lqfe6e7 || rv389d3 == ofe77fc) gbc97e4 <= 1'b0; else gbc97e4 <= ym343d5;\r
+end always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) begin kqf5edc <= 16'h0000; end else if(rv389d3 == thf373b) begin kqf5edc[7:0] <= nga8863; kqf5edc[15:8] <= kqf5edc[15:8]; end else if(rv389d3 == bn9b9df) begin kqf5edc[ 7:0] <= kqf5edc[7:0]; kqf5edc[15:8] <= nga8863; end else kqf5edc <= kqf5edc; end\r
+ always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) co9818f <= 1'b0; else if(rv389d3 == bn9b9df) co9818f <= 1'b1; else co9818f <= 1'b0; end always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) hoc0c79 <= 1'b0; else if(rv389d3 == ofe77fc) hoc0c79 <= 1'b1; else hoc0c79 <= 1'b0; end always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) lf63cb <= 1'b0; else if((rv389d3 == aldceff && pf50c23) || (rv389d3 == lq697f3 && !zm8611e)) lf63cb <= 1'b1; else lf63cb <= 1'b0; end\r
+assign fadf9c9 = rv9bd57 ? (xwe4d0f & mt8f2f9) : xwe4d0f;\r
+assign by797cd = (kqf55f9 & !uka9420) ? ux2687a : xwe4d0f;\r
+always @(rv389d3)\r
+begin case (rv389d3) lq697f3 : ipe8b9b = "LNK_FAIL"; kd4bf9b : ipe8b9b = "WAIT_K"; jp5fcdc : ipe8b9b = "RX_K"; lqfe6e7 : ipe8b9b = "RX_CB"; thf373b : ipe8b9b = "RX_CC"; bn9b9df : ipe8b9b = "RX_CD"; aldceff : ipe8b9b = "RX_INV"; ofe77fc : ipe8b9b = "IDL_D"; ym3bfe4 : ipe8b9b = "FLS_CARR"; nedff20 : ipe8b9b = "SOP"; qgff903 : ipe8b9b = "ERLY_END"; dzfc818 : ipe8b9b = "TRI_RRI"; fae40c7 : ipe8b9b = "TRR_EXT"; je2063b : ipe8b9b = "RXD_ERR"; rv31db : ipe8b9b = "RX_D"; mt18edf : ipe8b9b = "EEND_EXT"; hbc76fc : ipe8b9b = "PKT_BRRS"; ie3b7e7 : ipe8b9b = "EXT_ERR"; default : ipe8b9b = " ERROR "; endcase\r
+end\r
+always@* begin nef1679<=eae03bd[0];lf27c59<=eae03bd[1];pu86d04<=eae03bd[2];tj9b9c3<=eae03bd[3];ofdce1f<={rx_data>>1,eae03bd[4]};wje70fc<=eae03bd[5];nt387e4<=eae03bd[6];zkcae1a<=eae03bd[7];gq1f934<=eae03bd[8];pffc9a1<={bl7b70e>>1,eae03bd[9]};xwe4d0f<=eae03bd[10];ux2687a<=eae03bd[11];ym343d5<=eae03bd[12];kfa1ea8<={mg249fa>>1,eae03bd[13]};swf544<={ie24fd0>>1,eae03bd[14]};yx7aa21<={fp27e80>>1,eae03bd[15]};ned510c<={je3f407>>1,eae03bd[16]};nga8863<={ayfa03b>>1,eae03bd[17]};wj4431a<=eae03bd[18];uk218d4<=eae03bd[19];xlc6a0<=eae03bd[20];ho63506<=eae03bd[21];uk1a832<=eae03bd[22];uid4191<=eae03bd[23];tja0c89<=eae03bd[24];ph644e<=eae03bd[25];xl32277<=eae03bd[26];hd913bf<=eae03bd[27];ri89dff<=eae03bd[28];qt4effb<=eae03bd[29];cm77fdc<=eae03bd[30];rvbfee0<=eae03bd[31];icff706<=eae03bd[32];tufb831<=eae03bd[33];aldc18a<=eae03bd[34];qge0c55<=eae03bd[35];rv62a8<=eae03bd[36];ks31547<=eae03bd[37];cb8aa38<=eae03bd[38];xj551c4<=eae03bd[39];pua8e27<=eae03bd[40];lq4713a<=eae03bd[41];rv389d3<={lf382f0>>1,eae03bd[42]};mec4e9f<={mec1786>>1,eae03bd[43]};zz274f9<=eae03bd[44];je3a7ce<=eae03bd[45];czd3e73<=eae03bd[46];ep9f39d<=eae03bd[47];vvf9cec<=eae03bd[48];suce767<=eae03bd[49];xw73b39<=eae03bd[50];aa9d9ca<=eae03bd[51];pfece50<=eae03bd[52];kq67286<=eae03bd[53];ux39430<=eae03bd[54];hoca184<=eae03bd[55];pf50c23<=eae03bd[56];zm8611e<=eae03bd[57];xy308f3<={ip5e6ab>>1,eae03bd[58]};hd8479b<={blf355c>>1,eae03bd[59]};hbc76a1<=eae03bd[60];ie3b50f<=eae03bd[61];vvf37aa<=eae03bd[62];rv9bd57<=eae03bd[63];wwdeabf<=eae03bd[64];kqf55f9<=eae03bd[65];gof5284<=eae03bd[66];uka9420<=eae03bd[67];rvbf23b<={ipe8b9b>>1,eae03bd[68]};end\r
+always@* begin shdc077[2047]<=sgmii_mode;shdc077[2046]<=gbe_mode;shdc077[2044]<=zzbf298;shdc077[2041]<=hb5a0eb;shdc077[2040]<=rx_data[0];shdc077[2035]<=rgd075e;shdc077[2033]<=rx_kcntl;shdc077[2024]<=ie3b2ed;shdc077[2022]<=tw83af3;shdc077[2018]<=su531f3;shdc077[2001]<=uvd9769;shdc077[1997]<=yz1d79a;shdc077[1988]<=lfa55f5;shdc077[1954]<=uicbb4d;shdc077[1946]<=byebcd5;shdc077[1929]<=rx_even;shdc077[1874]<=kd51cbd;shdc077[1860]<=jc5da68;shdc077[1851]<=ipe8b9b[1];shdc077[1845]<=ip5e6ab[0];shdc077[1811]<=bl7b70e[0];shdc077[1789]<=hod01d9;shdc077[1721]<=kq5cf5d;shdc077[1700]<=nt8e5ec;shdc077[1673]<=iped342;shdc077[1643]<=blf355c[0];shdc077[1574]<=sudbf39;shdc077[1530]<=sj80ecb;shdc077[1486]<=vif4422;shdc077[1395]<=qte7ae8;shdc077[1353]<=ic72f67;shdc077[1317]<=pubd9c1;shdc077[1311]<=tw860a1;shdc077[1299]<=lq69a15;shdc077[1258]<=lq5228e;shdc077[1247]<=fp27e80[0];shdc077[1239]<=vv71dc4;shdc077[1187]<=twbc30;shdc077[1172]<=me6705e;shdc077[1151]<=gq8285a;shdc077[1102]<=su68552;shdc077[1101]<=fadf9c9;shdc077[1023]<=mr_main_reset;shdc077[1020]<=twb41d;shdc077[1012]<=ux765d;shdc077[937]<=an8a397;shdc077[925]<=lsa2112;shdc077[894]<=ayfa03b[0];shdc077[860]<=irab9eb;shdc077[743]<=vx3d745;shdc077[658]<=ks97b38;shdc077[655]<=cmf0c14;shdc077[629]<=phaa451;shdc077[623]<=ie24fd0[0];shdc077[593]<=mec1786[0];shdc077[586]<=zxece0b;shdc077[575]<=ba3050b;shdc077[551]<=fa4d0aa;shdc077[510]<=qva1683;shdc077[468]<=vx91472;shdc077[447]<=je3f407[0];shdc077[430]<=rv8ee27;shdc077[327]<=cz5e182;shdc077[314]<=aa1548a;shdc077[311]<=mg249fa[0];shdc077[296]<=lf382f0[0];shdc077[255]<=xy142d0;shdc077[157]<=ne42a91;shdc077[155]<=gbc97e4;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module ecaab (\r
+ vxa5777 ,\r
+ rst_n ,\r
+ mr_main_reset ,\r
+ signal_detect ,\r
+ rx_data ,\r
+ rx_kcntl ,\r
+ su531f3 ,\r
+ lfa55f5 ,\r
+ rx_even\r
+ );\r
+input vxa5777 ;\r
+input rst_n ;\r
+input mr_main_reset ;\r
+input signal_detect ;\r
+input [7:0] rx_data ;\r
+input rx_kcntl ;\r
+input su531f3 ;\r
+output lfa55f5 ;\r
+output rx_even ;\r
+localparam mg3b2d4 = 4'b0000 , ald96a1 = 4'b0001 , qgcb50d = 4'b0010 , jp5a869 = 4'b0011 , kqd434f = 4'b0100 , qva1a79 = 4'b0101 , qvd3cc = 4'b0110 , dm69e64 = 4'b0111 , vv4f322 = 4'b1000 , vi79917 = 4'b1001 , uvcc8bb = 4'b1010 , pf645dd = 4'b1011 , nt22eef = 4'b1100 ;\r
+reg lfa55f5 ;\r
+reg rx_even ;\r
+reg [3:0] ldddfe4 ;\r
+reg [3:0] wweff26 ;\r
+reg [1:0] uv7f931 ;\r
+reg jpfc98c ;\r
+reg bye4c67 ;\r
+reg vv71dc4 ;\r
+reg rv8ee27 ;\r
+reg ba8cea1 ;\r
+reg qg6750b ;\r
+reg pu3a858 ;\r
+wire sud42c2 ;\r
+reg cba1611 ;\r
+reg lsb08d ;\r
+reg tu5846b ;\r
+reg [(20*8):1] pfc235b;\r
+reg nef1679;\r
+reg uk8d6fa;\r
+reg [7 : 0] ofdce1f;\r
+reg wje70fc;\r
+reg nt387e4;\r
+reg [3 : 0] mefa1aa;\r
+reg [3 : 0] zkd0d51;\r
+reg [1 : 0] ba86a8a;\r
+reg je35452;\r
+reg vxaa292;\r
+reg hbc76a1;\r
+reg ie3b50f;\r
+reg jc525ad;\r
+reg co92d6a;\r
+reg do96b51;\r
+reg ntb5a8b;\r
+reg doad45f;\r
+reg yx6a2fb;\r
+reg gb517dc;\r
+reg [(20 * 8) : 1] lf8bee5;\r
+reg [2047:0] shdc077;\r
+wire [19:0] eae03bd;\r
+localparam ba1de9 = 20,ngef4b = 32'hfdffd48b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+assign sud42c2 = ( wje70fc & (ofdce1f[5:0] == 6'b111100) & ((ofdce1f[7:6] == 2'b00) | (ofdce1f[7:6] == 2'b10) | (ofdce1f[7:6] == 2'b11)));\r
+always @(posedge vxa5777 or negedge rst_n)\r
+begin if(!rst_n) begin vv71dc4 <= 1'b1; rv8ee27 <= 1'b1; end else begin vv71dc4 <= nef1679; rv8ee27 <= hbc76a1; end\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) begin tu5846b <= 1'b0 ; cba1611 <= 1'b0 ; lsb08d <= 1'b0 ; end else begin tu5846b <= ntb5a8b & ~nt387e4; cba1611 <= (nt387e4 | (ntb5a8b & do96b51)); lsb08d <= ~wje70fc & ~doad45f ; end\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) begin jpfc98c <= 1'b0 ; bye4c67 <= 1'b0 ; ba8cea1 <= 1'b0 ; qg6750b <= 1'b0 ; pu3a858 <= 1'b0 ; end else begin jpfc98c <= uk8d6fa ; bye4c67 <= je35452 ; ba8cea1 <= vxaa292 ; qg6750b <= (vxaa292 ^ jc525ad) ; pu3a858 <= rx_even ; end\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if(!rst_n) ldddfe4 <= mg3b2d4 ; else if (ie3b50f || co92d6a) ldddfe4 <= mg3b2d4 ; else ldddfe4 <= zkd0d51 ;\r
+end\r
+always @(mefa1aa or gb517dc or yx6a2fb or ba86a8a or rx_even or doad45f) begin case (mefa1aa) mg3b2d4 : if (gb517dc && jc525ad) wweff26 = ald96a1 ; else wweff26 = mg3b2d4 ; ald96a1 : if (yx6a2fb) wweff26 = qgcb50d ; else wweff26 = mg3b2d4 ; qgcb50d : if (!rx_even && gb517dc) wweff26 = jp5a869 ; else if (doad45f) wweff26 = mg3b2d4 ; else wweff26 = qgcb50d ; jp5a869 : if (yx6a2fb) wweff26 = kqd434f ; else wweff26 = mg3b2d4 ; kqd434f : if (!rx_even && gb517dc) wweff26 = qva1a79 ; else if (doad45f) wweff26 = mg3b2d4 ; else wweff26 = kqd434f ; qva1a79: if (yx6a2fb) wweff26 = qvd3cc; else wweff26 = mg3b2d4; qvd3cc: if (doad45f) wweff26 = dm69e64; else wweff26 = qvd3cc; dm69e64: if (doad45f) wweff26 = vi79917; else wweff26 = vv4f322; vv4f322: if (doad45f) wweff26 = vi79917; else if (ba86a8a == 2'b11) wweff26 = qvd3cc; else wweff26 = vv4f322; vi79917: if (doad45f) wweff26 = pf645dd; else wweff26 = uvcc8bb; uvcc8bb: if (doad45f) wweff26 = pf645dd; else if (ba86a8a == 2'b11) wweff26 = dm69e64; else wweff26 = uvcc8bb; pf645dd: if (doad45f) wweff26 = mg3b2d4; else wweff26 = nt22eef; nt22eef: if (doad45f) wweff26 = mg3b2d4; else if (ba86a8a == 2'b11) wweff26 = vi79917; else wweff26 = nt22eef; default: wweff26 = mg3b2d4; endcase\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) uv7f931 <= 2'b00; else if ((mefa1aa == vv4f322) || (mefa1aa == uvcc8bb) || (mefa1aa == nt22eef)) uv7f931 <= ba86a8a + 1'b1; else uv7f931 <= 2'b00;\r
+end\r
+always @(posedge vxa5777 or negedge rst_n) begin if (!rst_n) lfa55f5 <= 1'b0; else if (mefa1aa == mg3b2d4) lfa55f5 <= 1'b0; else if (mefa1aa == qvd3cc) lfa55f5 <= 1'b1; else lfa55f5 <= lfa55f5 ;\r
+end\r
+always @(mefa1aa or do96b51) begin case (mefa1aa) ald96a1, jp5a869, qva1a79 : rx_even = 1'b1 ; mg3b2d4, qgcb50d, kqd434f, qvd3cc, dm69e64, vi79917, pf645dd, vv4f322, uvcc8bb, nt22eef : rx_even = ~do96b51 ; default : rx_even = 1'b0; endcase\r
+end\r
+always @(mefa1aa)\r
+begin case (mefa1aa) mg3b2d4 : pfc235b = "LOS"; ald96a1 : pfc235b = "COM_DET1"; qgcb50d : pfc235b = "AQR_SYNC1"; jp5a869 : pfc235b = "COM_DET2"; kqd434f : pfc235b = "AQR_SYNC2"; qva1a79 : pfc235b = "COM_DET3"; qvd3cc : pfc235b = "SYNC_AQRD1"; dm69e64 : pfc235b = "SYNC_AQRD2"; vv4f322 : pfc235b = "SYNC_AQRD2A"; vi79917 : pfc235b = "SYNC_AQRD3"; uvcc8bb : pfc235b = "SYNC_AQRD3A"; pf645dd : pfc235b = "SYNC_AQRD4"; nt22eef : pfc235b = "SYNC_AQRD4A"; default : pfc235b = " ERROR "; endcase\r
+end\r
+always@* begin nef1679<=eae03bd[0];uk8d6fa<=eae03bd[1];ofdce1f<={rx_data>>1,eae03bd[2]};wje70fc<=eae03bd[3];nt387e4<=eae03bd[4];mefa1aa<={ldddfe4>>1,eae03bd[5]};zkd0d51<={wweff26>>1,eae03bd[6]};ba86a8a<={uv7f931>>1,eae03bd[7]};je35452<=eae03bd[8];vxaa292<=eae03bd[9];hbc76a1<=eae03bd[10];ie3b50f<=eae03bd[11];jc525ad<=eae03bd[12];co92d6a<=eae03bd[13];do96b51<=eae03bd[14];ntb5a8b<=eae03bd[15];doad45f<=eae03bd[16];yx6a2fb<=eae03bd[17];gb517dc<=eae03bd[18];lf8bee5<={pfc235b>>1,eae03bd[19]};end\r
+always@* begin shdc077[2047]<=signal_detect;shdc077[2046]<=rx_data[0];shdc077[2044]<=rx_kcntl;shdc077[2040]<=su531f3;shdc077[2032]<=ldddfe4[0];shdc077[2017]<=wweff26[0];shdc077[1987]<=uv7f931[0];shdc077[1927]<=jpfc98c;shdc077[1865]<=cba1611;shdc077[1806]<=bye4c67;shdc077[1682]<=lsb08d;shdc077[1565]<=vv71dc4;shdc077[1317]<=tu5846b;shdc077[1082]<=rv8ee27;shdc077[1023]<=mr_main_reset;shdc077[932]<=sud42c2;shdc077[586]<=pfc235b[1];shdc077[466]<=pu3a858;shdc077[233]<=qg6750b;shdc077[116]<=ba8cea1;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module phc858 (\r
+ rst_n,\r
+ mr_main_reset,\r
+ an_link_ok,\r
+ tu58fcb,\r
+ gbe_mode,\r
+ operational_rate,\r
+ thf96b3,\r
+ ykcb59e,\r
+ vv5acf1,\r
+ end678f,\r
+ oub3c7c,\r
+ jr9e3e0,\r
+ hof1f06,\r
+ ir8f833,\r
+ rg7c19c,\r
+ ctc_drop_flag,\r
+ ctc_add_flag\r
+);\r
+input rst_n;\r
+input mr_main_reset;\r
+input an_link_ok;\r
+input tu58fcb;\r
+input gbe_mode;\r
+input [1:0] operational_rate;\r
+input thf96b3;\r
+input [7:0] ykcb59e;\r
+input vv5acf1;\r
+input end678f;\r
+input oub3c7c;\r
+output [7:0] jr9e3e0;\r
+output hof1f06;\r
+output ir8f833;\r
+output rg7c19c;\r
+output ctc_drop_flag;\r
+output ctc_add_flag;\r
+parameter DYNAMIC_LT_10MBPS = 340;\r
+parameter DYNAMIC_HT_10MBPS = 680;\r
+parameter DYNAMIC_LT_100MBPS = 34;\r
+parameter DYNAMIC_HT_100MBPS = 68;\r
+parameter DYNAMIC_LT_1000MBPS = 16;\r
+parameter DYNAMIC_HT_1000MBPS = 32;\r
+localparam lf91727 = 2'd0, zz8b93f = 2'd1, ww5c9fe = 2'd2, hoe4ff0 = 2'd3;\r
+reg[1:0] xl27f82;\r
+localparam xy3fc17 = 3'd0, fafe0ba = 3'd1, uif05d3 = 3'd2, co82e98 = 3'd3, sj174c0 = 3'd4, zzba604 = 3'd5;\r
+reg [2:0] ald3027;\r
+reg [7:0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b ;\r
+reg [7:0] fp2785b;\r
+reg kf3c2df;\r
+reg fae16fc ;\r
+reg [7:0] jeb7e3;\r
+reg en5bf1b;\r
+reg xwdf8dd ;\r
+reg vvfc6eb;\r
+reg rte375e;\r
+reg xy1baf6;\r
+reg vvdd7b3;\r
+reg dmebd9f;\r
+reg yx5ecfb;\r
+reg dzf67dd;\r
+reg ip6f1c7;\r
+reg [7:0] jr9e3e0;\r
+reg hof1f06;\r
+reg ir8f833;\r
+wire [7:0] su59bc7;\r
+wire ui788b0;\r
+wire ym3166f;\r
+wire fp22c08;\r
+wire lf16047;\r
+wire vxb023e;\r
+reg nt811f6;\r
+wire dzcde38;\r
+reg zk47db5;\r
+reg sw3edaa;\r
+reg thf6d50;\r
+reg ukb6a86;\r
+reg fpb5430;\r
+reg phaa186;\r
+reg nr50c36;\r
+wire rg7c19c;\r
+wire [7:0] zm30db3;\r
+reg [1:0] ay4ce05;\r
+reg [1:0] ic67029;\r
+reg [1:0] gqb66d4;\r
+reg [1:0] ymb36a1;\r
+reg ph9b509;\r
+reg dmda84e;\r
+reg osd4273;\r
+reg wla1399;\r
+reg ep29198;\r
+reg qt4e66f;\r
+reg ho7337d;\r
+reg hq99beb;\r
+reg thcdf5a;\r
+reg gb6fad7;\r
+reg ui7d6bd;\r
+reg [9:0] hoeb5e8;\r
+reg [9:0] bl5af42;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg [1:0] qte85fa;\r
+reg [1:0] xw42fd5;\r
+reg ep17eaa;\r
+reg vxbf555;\r
+reg sufaaaf;\r
+reg rgd5578;\r
+reg vxaabc3 ;\r
+reg nr55e1f ;\r
+reg lfaf0fe ;\r
+reg ea787f6 ;\r
+reg kdc3fb0;\r
+reg co1fd84;\r
+reg ctc_drop_flag;\r
+reg jpf610a;\r
+reg zzb0857;\r
+reg ctc_add_flag;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+reg [(22*8):1] co215fe;\r
+reg [(22*8):1] ksaff1;\r
+reg nef1679;\r
+reg tjbfc43;\r
+reg zkfe21e;\r
+reg pu86d04;\r
+reg [1 : 0] qi8879a;\r
+reg [7 : 0] cm43cd0;\r
+reg ri1e680;\r
+reg vvf3402;\r
+reg [1 : 0] qv9a017;\r
+reg [2 : 0] lqd00bc;\r
+reg [7 : 0] yz805e3;\r
+reg mt2f1c;\r
+reg ux178e4;\r
+reg [7 : 0] qibc725;\r
+reg dze3928;\r
+reg vx1c947;\r
+reg [7 : 0] zke4a3e;\r
+reg je251f2;\r
+reg rv28f93;\r
+reg by47c9e;\r
+reg ie3e4f2;\r
+reg uvf2791;\r
+reg hq93c8d;\r
+reg tj9e46b;\r
+reg kdf235e;\r
+reg hd91af5;\r
+reg ecbc0e3;\r
+reg [7 : 0] wl8af03;\r
+reg sh5eb87;\r
+reg yk622bc;\r
+reg kfae1e9;\r
+reg yx70f4c;\r
+reg mg87a65;\r
+reg vx3d328;\r
+reg zk5781c;\r
+reg ay4ca36;\r
+reg kd651b1;\r
+reg zz28d8d;\r
+reg me46c6e;\r
+reg ux36370;\r
+reg irb1b85;\r
+reg ou8dc2d;\r
+reg [7 : 0] th6e169;\r
+reg [1 : 0] wla4cba;\r
+reg [1 : 0] mt265d4;\r
+reg [1 : 0] vx2d3ad;\r
+reg [1 : 0] lq69d6f;\r
+reg gb4eb7a;\r
+reg cz75bd4;\r
+reg twadea5;\r
+reg sh6f52a;\r
+reg ead4986;\r
+reg ead4aa4;\r
+reg rva5520;\r
+reg ba2a907;\r
+reg ip5483b;\r
+reg wla41dc;\r
+reg pu20ee5;\r
+reg [9 : 0] ir772a;\r
+reg [9 : 0] qi3b955;\r
+reg gof5284;\r
+reg uka9420;\r
+reg [1 : 0] ls2aa21;\r
+reg [1 : 0] ld5510b;\r
+reg xya885f;\r
+reg yx442fa;\r
+reg ks217d4;\r
+reg xybea1;\r
+reg jp5f50a;\r
+reg gofa856;\r
+reg ykd42b0;\r
+reg yza1581;\r
+reg ecac0d;\r
+reg kq56068;\r
+reg ntb0340;\r
+reg bn81a06;\r
+reg [(22 * 8) : 1] ohd037;\r
+reg [(22 * 8) : 1] me681bc;\r
+reg [2047:0] shdc077;\r
+wire [77:0] eae03bd;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+localparam ba1de9 = 78,ngef4b = 32'hfdffe44b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin lfaf0fe <= 1'b1; ea787f6 <= 1'b1; end else begin lfaf0fe <= nef1679; ea787f6 <= ykd42b0; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4422 <= 1'b0; lsa2112 <= 1'b0; end else begin vif4422 <= pu86d04; lsa2112 <= gof5284; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qte85fa <= 2'b10; xw42fd5 <= 2'b10; end else begin qte85fa <= qi8879a; xw42fd5 <= ls2aa21; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ep17eaa <= 1'b0; vxbf555 <= 1'b0; sufaaaf <= 1'b1; rgd5578 <= 1'b1; end else begin ep17eaa <= tjbfc43; vxbf555 <= xya885f; sufaaaf <= zkfe21e; rgd5578 <= ks217d4; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ay4ce05 <= 2'b10; ic67029 <= 2'b10; ph9b509 <= 1'b1; dmda84e <= 1'b1; osd4273 <= 1'b1; wla1399 <= 1'b1; ep29198 <= 1'b1; end else begin if (uka9420) begin ay4ce05 <= 2'b10; end else if (yx442fa || (!xybea1)) begin ay4ce05 <= ld5510b; end else begin ay4ce05 <= 2'b10; end ic67029 <= wla4cba; ph9b509 <= wla4cba != mt265d4; dmda84e <= gb4eb7a; osd4273 <= cz75bd4; wla1399 <= twadea5; ep29198 <= gb4eb7a | cz75bd4 | twadea5 | sh6f52a; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vxaabc3 <= 1'b1; nr55e1f <= 1'b1; end else begin vxaabc3 <= nef1679; nr55e1f <= jp5f50a; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin gqb66d4 <= 2'b10; ymb36a1 <= 2'b10; end else begin gqb66d4 <= wla4cba; ymb36a1 <= vx2d3ad; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qt4e66f <= 1'b1; ho7337d <= 1'b1; hq99beb <= 1'b1; end else begin if (gofa856) begin qt4e66f <= 1'b1; ho7337d <= 1'b1; hq99beb <= 1'b1; end else begin qt4e66f <= ead4986; ho7337d <= ead4aa4; hq99beb <= rva5520; end\r
+end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin thcdf5a <= 1'b1; gb6fad7 <= 1'b1; ui7d6bd <= 1'b1; end else begin if (yza1581) begin thcdf5a <= 1'b1; gb6fad7 <= 1'b1; ui7d6bd <= 1'b1; end else begin thcdf5a <= ead4986; gb6fad7 <= ip5483b; ui7d6bd <= wla41dc; end\r
+end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin hoeb5e8 <= 10'd16; end else begin case (mt265d4) 2'd0: begin hoeb5e8 <= DYNAMIC_LT_10MBPS; end 2'd1: begin hoeb5e8 <= DYNAMIC_LT_100MBPS; end 2'd2: begin hoeb5e8 <= DYNAMIC_LT_1000MBPS; end 2'd3: begin hoeb5e8 <= DYNAMIC_LT_1000MBPS; end default: begin hoeb5e8 <= ir772a; end endcase end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin bl5af42 <= 10'd32; end else begin case (lq69d6f) 2'd0: begin bl5af42 <= DYNAMIC_HT_10MBPS; end 2'd1: begin bl5af42 <= DYNAMIC_HT_100MBPS; end 2'd2: begin bl5af42 <= DYNAMIC_HT_1000MBPS; end 2'd3: begin bl5af42 <= DYNAMIC_HT_1000MBPS; end default: begin bl5af42 <= qi3b955; end endcase end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ie9813c <= 8'd0; dmc09e1 <= 1'b0; do4f0b <= 1'b0; fp2785b <= 8'd0; kf3c2df <= 1'b0; fae16fc <= 1'b0; jeb7e3 <= 8'd0; en5bf1b <= 1'b0; xwdf8dd <= 1'b0; end else begin ie9813c <= cm43cd0; dmc09e1 <= ri1e680; do4f0b <= vvf3402; fp2785b <= yz805e3; kf3c2df <= mt2f1c; fae16fc <= ux178e4; jeb7e3 <= qibc725; en5bf1b <= dze3928; xwdf8dd <= vx1c947; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vvfc6eb <= 1'b0; rte375e <= 1'b0; xy1baf6 <= 1'b0; vvdd7b3 <= 1'b0; dmebd9f <= 1'b0; yx5ecfb <= 1'b0; end else begin if ((mt2f1c == 1'b1) && (yz805e3 == 8'hBC)) begin vvfc6eb <= 1'b1; end else begin vvfc6eb <= 1'b0; end\r
+ if ((ri1e680 == 1'b0) && (cm43cd0 == 8'hC5)) begin rte375e <= 1'b1; end else begin rte375e <= 1'b0; end if ((ri1e680 == 1'b0) && (cm43cd0 == 8'h50)) begin xy1baf6 <= 1'b1; end else begin xy1baf6 <= 1'b0; end if ((ri1e680 == 1'b0) && (cm43cd0 == 8'h42)) begin vvdd7b3 <= 1'b1; end else begin vvdd7b3 <= 1'b0; end if ((ri1e680 == 1'b0) && (cm43cd0 == 8'hB5)) begin dmebd9f <= 1'b1; end else begin dmebd9f <= 1'b0; end if (by47c9e && (hq93c8d || tj9e46b)) begin yx5ecfb <= 1'b1; end else begin yx5ecfb <= 1'b0; end end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= lf91727; dzf67dd <= 1'b0; kdc3fb0 <= 1'b0; end else begin if (ba2a907) begin xl27f82 <= lf91727; dzf67dd <= 1'b0; kdc3fb0 <= 1'b0; end else begin kdc3fb0 <= 1'b0; case (qv9a017) lf91727: begin if (yx70f4c) begin if (by47c9e && (uvf2791 || hq93c8d || tj9e46b)) begin dzf67dd <= 1'b0; xl27f82 <= zz8b93f; kdc3fb0 <= 1'b1; end end else begin dzf67dd <= 1'b1; xl27f82 <= lf91727; end end zz8b93f: begin dzf67dd <= 1'b0; kdc3fb0 <= 1'b1; if (kdf235e) begin xl27f82 <= ww5c9fe; end else begin xl27f82 <= lf91727; end end ww5c9fe: begin dzf67dd <= 1'b0; kdc3fb0 <= 1'b1; xl27f82 <= hoe4ff0; end hoe4ff0: begin dzf67dd <= 1'b0; kdc3fb0 <= 1'b1; xl27f82 <= lf91727; end\r
+ default: begin xl27f82 <= lf91727; end endcase end end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin co1fd84 <= 1'b0; ctc_drop_flag <= 1'b0; end else begin co1fd84 <= ecac0d; ctc_drop_flag <= ecac0d | kq56068; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ald3027 <= xy3fc17; jr9e3e0 <= 8'hBC; hof1f06 <= 1'b1; ir8f833 <= 1'b0; ip6f1c7 <= 1'b0; jpf610a <= 1'b1; end else begin if (pu20ee5) begin ald3027 <= xy3fc17; jr9e3e0 <= 8'hBC; hof1f06 <= 1'b1; ir8f833 <= 1'b0; ip6f1c7 <= 1'b0; jpf610a <= 1'b1; end else begin jr9e3e0 <= wl8af03; hof1f06 <= sh5eb87; ir8f833 <= yk622bc; ip6f1c7 <= 1'b0; jpf610a <= 1'b0; case (lqd00bc) xy3fc17: begin hof1f06 <= 1'b1; ir8f833 <= 1'b0; jr9e3e0 <= 8'hBC; jpf610a <= 1'b1; if (kfae1e9) begin ald3027 <= zzba604; end else begin ip6f1c7 <= 1'b1; ald3027 <= fafe0ba; end end fafe0ba: begin hof1f06 <= 1'b0; ir8f833 <= 1'b0; jr9e3e0 <= 8'h50; jpf610a <= 1'b1; ip6f1c7 <= 1'b1; ald3027 <= sj174c0; end uif05d3: begin hof1f06 <= 1'b1; ir8f833 <= 1'b0; jr9e3e0 <= 8'hBC; jpf610a <= 1'b1; if (kfae1e9) begin ald3027 <= zzba604; end else begin ip6f1c7 <= 1'b1; ald3027 <= co82e98; end end\r
+ co82e98: begin ip6f1c7 <= 1'b1; ald3027 <= sj174c0; end\r
+ sj174c0: begin if (kfae1e9 && sh5eb87 && (wl8af03 == 8'hBC)) begin hof1f06 <= 1'b1; ir8f833 <= 1'b0; jr9e3e0 <= 8'hBC; jpf610a <= 1'b1; ald3027 <= zzba604; end else begin ip6f1c7 <= 1'b1; ald3027 <= sj174c0; end end zzba604: begin hof1f06 <= 1'b0; ir8f833 <= 1'b0; jr9e3e0 <= 8'h50; jpf610a <= 1'b1; ald3027 <= uif05d3; end default: begin ald3027 <= xy3fc17; end endcase end end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zzb0857 <= 1'b1; ctc_add_flag <= 1'b1; end else begin zzb0857 <= ntb0340; ctc_add_flag <= ntb0340 | bn81a06; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin sw3edaa <= 1'd0; thf6d50 <= 1'd0; ukb6a86 <= 1'd0; nt811f6 <= 1'd0; end else begin if (ba2a907) begin sw3edaa <= 1'd0; thf6d50 <= 1'd0; ukb6a86 <= 1'd0; nt811f6 <= 1'd0; end else begin nt811f6 <= mg87a65; if (vx3d328 && hd91af5) begin sw3edaa <= 1'd1; end else begin sw3edaa <= 1'd0; end thf6d50 <= kd651b1; ukb6a86 <= kd651b1 | zz28d8d; end end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin fpb5430 <= 1'd0; phaa186 <= 1'd0; nr50c36 <= 1'd0; zk47db5 <= 1'd1; end else begin if (pu20ee5) begin fpb5430 <= 1'd0; phaa186 <= 1'd0; nr50c36 <= 1'd0; zk47db5 <= 1'd1; end else begin zk47db5 <= zk5781c; if (ay4ca36 && ecbc0e3) begin fpb5430 <= 1'd1; end else begin fpb5430 <= 1'd0; end phaa186 <= ux36370; nr50c36 <= ux36370 | irb1b85; end end\r
+end\r
+assign rg7c19c = me46c6e | ou8dc2d;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ vi7cf1f epa4aa7 ( .xjdd9c2(ba2a907), .an3c7dd(thf96b3), .ie1f767(hd91af5), .tj385b0(qi3b955), .uve78fb({8'd0, rv28f93, je251f2, zke4a3e}),\r
+ .rtece16(pu20ee5), .fne3eec(oub3c7c), .zkfbb38(ecbc0e3), .ay670b6(ir772a), .blc2d86({zm30db3, ym3166f, ui788b0, su59bc7}),\r
+ .lf16c36(dzcde38), .wyb61b7(vxb023e), .zzb0db9(fp22c08), .vk86dcf(lf16047) );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ doad889 epa4aa7 ( .xjdd9c2(ba2a907), .an3c7dd(thf96b3), .ie1f767(hd91af5), .tj385b0(qi3b955), .uve78fb({8'd0, rv28f93, je251f2, zke4a3e}),\r
+ .rtece16(pu20ee5), .fne3eec(oub3c7c), .zkfbb38(ecbc0e3), .ay670b6(ir772a), .blc2d86({zm30db3, ym3166f, ui788b0, su59bc7}),\r
+ .lf16c36(dzcde38), .wyb61b7(vxb023e), .zzb0db9(fp22c08), .vk86dcf(lf16047) );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ do93e46 epa4aa7 ( .xjdd9c2(ba2a907), .an3c7dd(thf96b3), .ie1f767(hd91af5), .tj385b0(qi3b955), .uve78fb({8'd0, rv28f93, je251f2, zke4a3e}),\r
+ .rtece16(pu20ee5), .fne3eec(oub3c7c), .zkfbb38(ecbc0e3), .ay670b6(ir772a), .blc2d86({zm30db3, ym3166f, ui788b0, su59bc7}),\r
+ .lf16c36(dzcde38), .wyb61b7(vxb023e), .zzb0db9(fp22c08), .vk86dcf(lf16047) );\r
+`endif\r
+always @(qv9a017) begin case (qv9a017) lf91727 : co215fe = "SEEK_IDLE_START"; zz8b93f : co215fe = "DISABLE_WRITES"; ww5c9fe : co215fe = "DISABLE_W3"; hoe4ff0 : co215fe = "DISABLE_W4"; default : co215fe = "***ERROR***"; endcase\r
+end\r
+always @(lqd00bc) begin case (lqd00bc) xy3fc17 : ksaff1 = "SEEK_BEGIN_THRESH"; fafe0ba : ksaff1 = "DELAY_BEGIN"; uif05d3 : ksaff1 = "SEEK_START_THRESH"; co82e98 : ksaff1 = "DELAY"; sj174c0 : ksaff1 = "SEEK_STOP_THRESH"; zzba604 : ksaff1 = "INSERT_IDLE"; default : ksaff1 = "***ERROR***"; endcase\r
+end\r
+always@* begin nef1679<=eae03bd[0];tjbfc43<=eae03bd[1];zkfe21e<=eae03bd[2];pu86d04<=eae03bd[3];qi8879a<={operational_rate>>1,eae03bd[4]};cm43cd0<={ykcb59e>>1,eae03bd[5]};ri1e680<=eae03bd[6];vvf3402<=eae03bd[7];qv9a017<={xl27f82>>1,eae03bd[8]};lqd00bc<={ald3027>>1,eae03bd[9]};yz805e3<={ie9813c>>1,eae03bd[10]};mt2f1c<=eae03bd[11];ux178e4<=eae03bd[12];qibc725<={fp2785b>>1,eae03bd[13]};dze3928<=eae03bd[14];vx1c947<=eae03bd[15];zke4a3e<={jeb7e3>>1,eae03bd[16]};je251f2<=eae03bd[17];rv28f93<=eae03bd[18];by47c9e<=eae03bd[19];ie3e4f2<=eae03bd[20];uvf2791<=eae03bd[21];hq93c8d<=eae03bd[22];tj9e46b<=eae03bd[23];kdf235e<=eae03bd[24];hd91af5<=eae03bd[25];ecbc0e3<=eae03bd[26];wl8af03<={su59bc7>>1,eae03bd[27]};sh5eb87<=eae03bd[28];yk622bc<=eae03bd[29];kfae1e9<=eae03bd[30];yx70f4c<=eae03bd[31];mg87a65<=eae03bd[32];vx3d328<=eae03bd[33];zk5781c<=eae03bd[34];ay4ca36<=eae03bd[35];kd651b1<=eae03bd[36];zz28d8d<=eae03bd[37];me46c6e<=eae03bd[38];ux36370<=eae03bd[39];irb1b85<=eae03bd[40];ou8dc2d<=eae03bd[41];th6e169<={zm30db3>>1,eae03bd[42]};wla4cba<={ay4ce05>>1,eae03bd[43]};mt265d4<={ic67029>>1,eae03bd[44]};vx2d3ad<={gqb66d4>>1,eae03bd[45]};lq69d6f<={ymb36a1>>1,eae03bd[46]};gb4eb7a<=eae03bd[47];cz75bd4<=eae03bd[48];twadea5<=eae03bd[49];sh6f52a<=eae03bd[50];ead4986<=eae03bd[51];ead4aa4<=eae03bd[52];rva5520<=eae03bd[53];ba2a907<=eae03bd[54];ip5483b<=eae03bd[55];wla41dc<=eae03bd[56];pu20ee5<=eae03bd[57];ir772a<={hoeb5e8>>1,eae03bd[58]};qi3b955<={bl5af42>>1,eae03bd[59]};gof5284<=eae03bd[60];uka9420<=eae03bd[61];ls2aa21<={qte85fa>>1,eae03bd[62]};ld5510b<={xw42fd5>>1,eae03bd[63]};xya885f<=eae03bd[64];yx442fa<=eae03bd[65];ks217d4<=eae03bd[66];xybea1<=eae03bd[67];jp5f50a<=eae03bd[68];gofa856<=eae03bd[69];ykd42b0<=eae03bd[70];yza1581<=eae03bd[71];ecac0d<=eae03bd[72];kq56068<=eae03bd[73];ntb0340<=eae03bd[74];bn81a06<=eae03bd[75];ohd037<={co215fe>>1,eae03bd[76]};me681bc<={ksaff1>>1,eae03bd[77]};end\r
+always@* begin shdc077[2047]<=an_link_ok;shdc077[2046]<=tu58fcb;shdc077[2044]<=gbe_mode;shdc077[2040]<=operational_rate[0];shdc077[2033]<=ykcb59e[0];shdc077[2019]<=vv5acf1;shdc077[1991]<=end678f;shdc077[1934]<=xl27f82[0];shdc077[1929]<=ph9b509;shdc077[1898]<=fae16fc;shdc077[1821]<=ald3027[0];shdc077[1813]<=zzb0857;shdc077[1810]<=dmda84e;shdc077[1749]<=jeb7e3[0];shdc077[1707]<=vvfc6eb;shdc077[1666]<=ui788b0;shdc077[1630]<=nr50c36;shdc077[1617]<=vxbf555;shdc077[1595]<=ie9813c[0];shdc077[1579]<=co215fe[1];shdc077[1572]<=osd4273;shdc077[1506]<=gqb66d4[0];shdc077[1450]<=en5bf1b;shdc077[1440]<=ip6f1c7;shdc077[1428]<=xw42fd5[0];shdc077[1384]<=yx5ecfb;shdc077[1370]<=vvdd7b3;shdc077[1366]<=rte375e;shdc077[1308]<=nr55e1f;shdc077[1284]<=ym3166f;shdc077[1212]<=zm30db3[0];shdc077[1202]<=vif4422;shdc077[1187]<=sufaaaf;shdc077[1174]<=ui7d6bd;shdc077[1170]<=hq99beb;shdc077[1142]<=dmc09e1;shdc077[1137]<=ea787f6;shdc077[1125]<=thf6d50;shdc077[1110]<=ksaff1[1];shdc077[1097]<=wla1399;shdc077[1041]<=lf16047;shdc077[1023]<=mr_main_reset;shdc077[964]<=ymb36a1[0];shdc077[949]<=kf3c2df;shdc077[906]<=jpf610a;shdc077[853]<=xwdf8dd;shdc077[833]<=su59bc7[0];shdc077[815]<=phaa186;shdc077[808]<=ep17eaa;shdc077[753]<=ic67029[0];shdc077[720]<=dzf67dd;shdc077[714]<=qte85fa[0];shdc077[692]<=dmebd9f;shdc077[685]<=xy1baf6;shdc077[654]<=vxaabc3;shdc077[601]<=bl5af42[0];shdc077[587]<=gb6fad7;shdc077[585]<=ho7337d;shdc077[568]<=lfaf0fe;shdc077[562]<=sw3edaa;shdc077[520]<=fp22c08;shdc077[474]<=fp2785b[0];shdc077[453]<=co1fd84;shdc077[407]<=fpb5430;shdc077[376]<=ay4ce05[0];shdc077[357]<=lsa2112;shdc077[327]<=rgd5578;shdc077[300]<=hoeb5e8[0];shdc077[293]<=thcdf5a;shdc077[292]<=qt4e66f;shdc077[281]<=zk47db5;shdc077[237]<=do4f0b;shdc077[226]<=kdc3fb0;shdc077[203]<=ukb6a86;shdc077[146]<=ep29198;shdc077[140]<=dzcde38;shdc077[70]<=nt811f6;shdc077[35]<=vxb023e;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module vvd70c4 (\r
+ rst_n,\r
+ mr_main_reset,\r
+ thf96b3,\r
+ ykcb59e,\r
+ vv5acf1,\r
+ end678f,\r
+ oub3c7c,\r
+ jr9e3e0,\r
+ hof1f06,\r
+ ir8f833,\r
+ rg7c19c,\r
+ ctc_drop_flag,\r
+ ctc_add_flag\r
+);\r
+input rst_n;\r
+input mr_main_reset;\r
+input thf96b3;\r
+input [7:0] ykcb59e;\r
+input vv5acf1;\r
+input end678f;\r
+input oub3c7c;\r
+output [7:0] jr9e3e0;\r
+output hof1f06;\r
+output ir8f833;\r
+output rg7c19c;\r
+output ctc_drop_flag;\r
+output ctc_add_flag;\r
+parameter STATIC_HI_THRESH = 32;\r
+parameter STATIC_LO_THRESH = 16;\r
+localparam lf91727 = 2'd0, zz8b93f = 2'd1, ww5c9fe = 2'd2, hoe4ff0 = 2'd3;\r
+reg[1:0] xl27f82;\r
+localparam xy3fc17 = 3'd0, fafe0ba = 3'd1, uif05d3 = 3'd2, co82e98 = 3'd3, sj174c0 = 3'd4, zzba604 = 3'd5;\r
+reg [2:0] ald3027;\r
+reg [7:0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b ;\r
+reg [7:0] fp2785b;\r
+reg kf3c2df;\r
+reg fae16fc ;\r
+reg [7:0] jeb7e3;\r
+reg en5bf1b;\r
+reg xwdf8dd ;\r
+reg vvfc6eb;\r
+reg rte375e;\r
+reg xy1baf6;\r
+reg vvdd7b3;\r
+reg dmebd9f;\r
+reg yx5ecfb;\r
+reg dzf67dd;\r
+reg ip6f1c7;\r
+reg [7:0] jr9e3e0;\r
+reg hof1f06;\r
+reg ir8f833;\r
+wire [7:0] su59bc7;\r
+wire ui788b0;\r
+wire ym3166f;\r
+wire fp22c08;\r
+wire lf16047;\r
+wire vxb023e;\r
+reg nt811f6;\r
+wire dzcde38;\r
+reg zk47db5;\r
+reg sw3edaa;\r
+reg thf6d50;\r
+reg ukb6a86;\r
+reg fpb5430;\r
+reg phaa186;\r
+reg nr50c36;\r
+wire rg7c19c;\r
+wire [7:0] zm30db3;\r
+reg vxaabc3 ;\r
+reg nr55e1f ;\r
+reg lfaf0fe ;\r
+reg ea787f6 ;\r
+reg kdc3fb0;\r
+reg co1fd84;\r
+reg ctc_drop_flag;\r
+reg jpf610a;\r
+reg zzb0857;\r
+reg ctc_add_flag;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+reg [(22*8):1] co215fe;\r
+reg [(22*8):1] ksaff1;\r
+reg nef1679;\r
+reg [7 : 0] cm43cd0;\r
+reg ri1e680;\r
+reg vvf3402;\r
+reg [1 : 0] qv9a017;\r
+reg [2 : 0] lqd00bc;\r
+reg [7 : 0] yz805e3;\r
+reg mt2f1c;\r
+reg ux178e4;\r
+reg [7 : 0] qibc725;\r
+reg dze3928;\r
+reg vx1c947;\r
+reg [7 : 0] zke4a3e;\r
+reg je251f2;\r
+reg rv28f93;\r
+reg by47c9e;\r
+reg ie3e4f2;\r
+reg uvf2791;\r
+reg hq93c8d;\r
+reg tj9e46b;\r
+reg kdf235e;\r
+reg hd91af5;\r
+reg ecbc0e3;\r
+reg [7 : 0] wl8af03;\r
+reg sh5eb87;\r
+reg yk622bc;\r
+reg kfae1e9;\r
+reg yx70f4c;\r
+reg mg87a65;\r
+reg vx3d328;\r
+reg zk5781c;\r
+reg ay4ca36;\r
+reg kd651b1;\r
+reg zz28d8d;\r
+reg me46c6e;\r
+reg ux36370;\r
+reg irb1b85;\r
+reg ou8dc2d;\r
+reg [7 : 0] th6e169;\r
+reg jp5f50a;\r
+reg gofa856;\r
+reg ykd42b0;\r
+reg yza1581;\r
+reg ecac0d;\r
+reg kq56068;\r
+reg ntb0340;\r
+reg bn81a06;\r
+reg [(22 * 8) : 1] ohd037;\r
+reg [(22 * 8) : 1] me681bc;\r
+reg [2047:0] shdc077;\r
+wire [48:0] eae03bd;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+localparam ba1de9 = 49,ngef4b = 32'hfdffd14b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vxaabc3 <= 1'b1; nr55e1f <= 1'b1; end else begin vxaabc3 <= nef1679; nr55e1f <= jp5f50a; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin lfaf0fe <= 1'b1; ea787f6 <= 1'b1; end else begin lfaf0fe <= nef1679; ea787f6 <= ykd42b0; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ie9813c <= 8'd0; dmc09e1 <= 1'b0; do4f0b <= 1'b0; fp2785b <= 8'd0; kf3c2df <= 1'b0; fae16fc <= 1'b0; jeb7e3 <= 8'd0; en5bf1b <= 1'b0; xwdf8dd <= 1'b0; end else begin ie9813c <= cm43cd0; dmc09e1 <= ri1e680; do4f0b <= vvf3402; fp2785b <= yz805e3; kf3c2df <= mt2f1c; fae16fc <= ux178e4; jeb7e3 <= qibc725; en5bf1b <= dze3928; xwdf8dd <= vx1c947; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vvfc6eb <= 1'b0; rte375e <= 1'b0; xy1baf6 <= 1'b0; vvdd7b3 <= 1'b0; dmebd9f <= 1'b0; yx5ecfb <= 1'b0; end else begin if ((mt2f1c == 1'b1) && (yz805e3 == 8'hBC)) begin vvfc6eb <= 1'b1; end else begin vvfc6eb <= 1'b0; end\r
+ if ((ri1e680 == 1'b0) && (cm43cd0 == 8'hC5)) begin rte375e <= 1'b1; end else begin rte375e <= 1'b0; end if ((ri1e680 == 1'b0) && (cm43cd0 == 8'h50)) begin xy1baf6 <= 1'b1; end else begin xy1baf6 <= 1'b0; end if ((ri1e680 == 1'b0) && (cm43cd0 == 8'h42)) begin vvdd7b3 <= 1'b1; end else begin vvdd7b3 <= 1'b0; end if ((ri1e680 == 1'b0) && (cm43cd0 == 8'hB5)) begin dmebd9f <= 1'b1; end else begin dmebd9f <= 1'b0; end if (by47c9e && (hq93c8d || tj9e46b)) begin yx5ecfb <= 1'b1; end else begin yx5ecfb <= 1'b0; end end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= lf91727; dzf67dd <= 1'b0; kdc3fb0 <= 1'b0; end else begin if (gofa856) begin xl27f82 <= lf91727; dzf67dd <= 1'b0; end else begin kdc3fb0 <= 1'b0; case (qv9a017) lf91727: begin if (yx70f4c) begin if (by47c9e && (uvf2791 || hq93c8d || tj9e46b)) begin dzf67dd <= 1'b0; xl27f82 <= zz8b93f; kdc3fb0 <= 1'b1; end end else begin dzf67dd <= 1'b1; xl27f82 <= lf91727; end end zz8b93f: begin dzf67dd <= 1'b0; kdc3fb0 <= 1'b1; if (kdf235e) begin xl27f82 <= ww5c9fe; end else begin xl27f82 <= lf91727; end end ww5c9fe: begin dzf67dd <= 1'b0; kdc3fb0 <= 1'b1; xl27f82 <= hoe4ff0; end hoe4ff0: begin dzf67dd <= 1'b0; kdc3fb0 <= 1'b1; xl27f82 <= lf91727; end\r
+ default: begin xl27f82 <= lf91727; end endcase end end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin co1fd84 <= 1'b0; ctc_drop_flag <= 1'b0; end else begin co1fd84 <= ecac0d; ctc_drop_flag <= ecac0d | kq56068; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ald3027 <= xy3fc17; jr9e3e0 <= 8'hBC; hof1f06 <= 1'b1; ir8f833 <= 1'b0; ip6f1c7 <= 1'b0; jpf610a <= 1'b1; end else begin if (yza1581) begin ald3027 <= xy3fc17; ip6f1c7 <= 1'b0; end else begin jr9e3e0 <= wl8af03; hof1f06 <= sh5eb87; ir8f833 <= yk622bc; ip6f1c7 <= 1'b0; jpf610a <= 1'b0; case (lqd00bc) xy3fc17: begin hof1f06 <= 1'b1; ir8f833 <= 1'b0; jr9e3e0 <= 8'hBC; jpf610a <= 1'b1; if (kfae1e9) begin ald3027 <= zzba604; end else begin ip6f1c7 <= 1'b1; ald3027 <= fafe0ba; end end fafe0ba: begin hof1f06 <= 1'b0; ir8f833 <= 1'b0; jr9e3e0 <= 8'h50; jpf610a <= 1'b1; ip6f1c7 <= 1'b1; ald3027 <= sj174c0; end uif05d3: begin hof1f06 <= 1'b1; ir8f833 <= 1'b0; jr9e3e0 <= 8'hBC; jpf610a <= 1'b1; if (kfae1e9) begin ald3027 <= zzba604; end else begin ip6f1c7 <= 1'b1; ald3027 <= co82e98; end end\r
+ co82e98: begin ip6f1c7 <= 1'b1; ald3027 <= sj174c0; end\r
+ sj174c0: begin if (kfae1e9 && sh5eb87 && (wl8af03 == 8'hBC)) begin hof1f06 <= 1'b1; ir8f833 <= 1'b0; jr9e3e0 <= 8'hBC; jpf610a <= 1'b1; ald3027 <= zzba604; end else begin ip6f1c7 <= 1'b1; ald3027 <= sj174c0; end end zzba604: begin hof1f06 <= 1'b0; ir8f833 <= 1'b0; jr9e3e0 <= 8'h50; jpf610a <= 1'b1; ald3027 <= uif05d3; end default: begin ald3027 <= xy3fc17; end endcase end end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zzb0857 <= 1'b1; ctc_add_flag <= 1'b1; end else begin zzb0857 <= ntb0340; ctc_add_flag <= ntb0340 | bn81a06; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin sw3edaa <= 1'd0; thf6d50 <= 1'd0; ukb6a86 <= 1'd0; nt811f6 <= 1'd0; end else begin nt811f6 <= mg87a65; if (vx3d328 && hd91af5) begin sw3edaa <= 1'd1; end else begin sw3edaa <= 1'd0; end thf6d50 <= kd651b1; ukb6a86 <= kd651b1 | zz28d8d; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin fpb5430 <= 1'd0; phaa186 <= 1'd0; nr50c36 <= 1'd0; zk47db5 <= 1'd1; end else begin zk47db5 <= zk5781c; if (ay4ca36 && ecbc0e3) begin fpb5430 <= 1'd1; end else begin fpb5430 <= 1'd0; end phaa186 <= ux36370; nr50c36 <= ux36370 | irb1b85; end\r
+end\r
+assign rg7c19c = me46c6e | ou8dc2d;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(18), .pmi_data_width_r(18), .pmi_data_depth_w(1024), .pmi_data_depth_r(1024), .pmi_full_flag(1024), .pmi_empty_flag(0), .pmi_almost_full_flag(STATIC_HI_THRESH), .pmi_almost_empty_flag(STATIC_LO_THRESH), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("EBR")) epa4aa7 ( .Reset(nr55e1f), .RPReset(ea787f6), .WrClock(thf96b3), .WrEn(dzf67dd), .Data({8'd0, xwdf8dd, en5bf1b, jeb7e3}),\r
+ .RdClock(oub3c7c), .RdEn(ip6f1c7), .Q({zm30db3, ym3166f, ui788b0, su59bc7}),\r
+ .Empty(dzcde38), .Full(vxb023e), .AlmostEmpty(fp22c08), .AlmostFull(lf16047) );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(18), .pmi_data_width_r(18), .pmi_data_depth_w(1024), .pmi_data_depth_r(1024), .pmi_full_flag(1024), .pmi_empty_flag(0), .pmi_almost_full_flag(STATIC_HI_THRESH), .pmi_almost_empty_flag(STATIC_LO_THRESH), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("EBR")) epa4aa7 ( .Reset(nr55e1f), .RPReset(ea787f6), .WrClock(thf96b3), .WrEn(dzf67dd), .Data({8'd0, xwdf8dd, en5bf1b, jeb7e3}),\r
+ .RdClock(oub3c7c), .RdEn(ip6f1c7), .Q({zm30db3, ym3166f, ui788b0, su59bc7}),\r
+ .Empty(dzcde38), .Full(vxb023e), .AlmostEmpty(fp22c08), .AlmostFull(lf16047) );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(18), .pmi_data_width_r(18), .pmi_data_depth_w(1024), .pmi_data_depth_r(1024), .pmi_full_flag(1024), .pmi_empty_flag(0), .pmi_almost_full_flag(STATIC_HI_THRESH), .pmi_almost_empty_flag(STATIC_LO_THRESH), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("EBR")) epa4aa7 ( .Reset(nr55e1f), .RPReset(ea787f6), .WrClock(thf96b3), .WrEn(dzf67dd), .Data({8'd0, xwdf8dd, en5bf1b, jeb7e3}),\r
+ .RdClock(oub3c7c), .RdEn(ip6f1c7), .Q({zm30db3, ym3166f, ui788b0, su59bc7}),\r
+ .Empty(dzcde38), .Full(vxb023e), .AlmostEmpty(fp22c08), .AlmostFull(lf16047) );\r
+`endif\r
+always @(qv9a017) begin case (qv9a017) lf91727 : co215fe = "SEEK_IDLE_START"; zz8b93f : co215fe = "DISABLE_WRITES"; ww5c9fe : co215fe = "DISABLE_W3"; hoe4ff0 : co215fe = "DISABLE_W4"; default : co215fe = "***ERROR***"; endcase\r
+end\r
+always @(lqd00bc) begin case (lqd00bc) xy3fc17 : ksaff1 = "SEEK_BEGIN_THRESH"; fafe0ba : ksaff1 = "DELAY_BEGIN"; uif05d3 : ksaff1 = "SEEK_START_THRESH"; co82e98 : ksaff1 = "DELAY"; sj174c0 : ksaff1 = "SEEK_STOP_THRESH"; zzba604 : ksaff1 = "INSERT_IDLE"; default : ksaff1 = "***ERROR***"; endcase\r
+end\r
+always@* begin nef1679<=eae03bd[0];cm43cd0<={ykcb59e>>1,eae03bd[1]};ri1e680<=eae03bd[2];vvf3402<=eae03bd[3];qv9a017<={xl27f82>>1,eae03bd[4]};lqd00bc<={ald3027>>1,eae03bd[5]};yz805e3<={ie9813c>>1,eae03bd[6]};mt2f1c<=eae03bd[7];ux178e4<=eae03bd[8];qibc725<={fp2785b>>1,eae03bd[9]};dze3928<=eae03bd[10];vx1c947<=eae03bd[11];zke4a3e<={jeb7e3>>1,eae03bd[12]};je251f2<=eae03bd[13];rv28f93<=eae03bd[14];by47c9e<=eae03bd[15];ie3e4f2<=eae03bd[16];uvf2791<=eae03bd[17];hq93c8d<=eae03bd[18];tj9e46b<=eae03bd[19];kdf235e<=eae03bd[20];hd91af5<=eae03bd[21];ecbc0e3<=eae03bd[22];wl8af03<={su59bc7>>1,eae03bd[23]};sh5eb87<=eae03bd[24];yk622bc<=eae03bd[25];kfae1e9<=eae03bd[26];yx70f4c<=eae03bd[27];mg87a65<=eae03bd[28];vx3d328<=eae03bd[29];zk5781c<=eae03bd[30];ay4ca36<=eae03bd[31];kd651b1<=eae03bd[32];zz28d8d<=eae03bd[33];me46c6e<=eae03bd[34];ux36370<=eae03bd[35];irb1b85<=eae03bd[36];ou8dc2d<=eae03bd[37];th6e169<={zm30db3>>1,eae03bd[38]};jp5f50a<=eae03bd[39];gofa856<=eae03bd[40];ykd42b0<=eae03bd[41];yza1581<=eae03bd[42];ecac0d<=eae03bd[43];kq56068<=eae03bd[44];ntb0340<=eae03bd[45];bn81a06<=eae03bd[46];ohd037<={co215fe>>1,eae03bd[47]};me681bc<={ksaff1>>1,eae03bd[48]};end\r
+always@* begin shdc077[2047]<=ykcb59e[0];shdc077[2046]<=vv5acf1;shdc077[2044]<=end678f;shdc077[2040]<=xl27f82[0];shdc077[2033]<=ald3027[0];shdc077[2019]<=ie9813c[0];shdc077[1994]<=ea787f6;shdc077[1990]<=dmc09e1;shdc077[1981]<=lf16047;shdc077[1965]<=sw3edaa;shdc077[1940]<=kdc3fb0;shdc077[1939]<=vvdd7b3;shdc077[1933]<=do4f0b;shdc077[1914]<=vxb023e;shdc077[1883]<=thf6d50;shdc077[1832]<=co1fd84;shdc077[1831]<=dmebd9f;shdc077[1819]<=fp2785b[0];shdc077[1785]<=vxaabc3;shdc077[1781]<=nt811f6;shdc077[1778]<=vvfc6eb;shdc077[1719]<=ukb6a86;shdc077[1616]<=jpf610a;shdc077[1615]<=yx5ecfb;shdc077[1591]<=kf3c2df;shdc077[1522]<=nr55e1f;shdc077[1515]<=dzcde38;shdc077[1508]<=rte375e;shdc077[1470]<=nr50c36;shdc077[1391]<=fpb5430;shdc077[1271]<=ui788b0;shdc077[1184]<=zzb0857;shdc077[1182]<=dzf67dd;shdc077[1135]<=fae16fc;shdc077[1023]<=mr_main_reset;shdc077[997]<=lfaf0fe;shdc077[990]<=fp22c08;shdc077[982]<=zk47db5;shdc077[969]<=xy1baf6;shdc077[892]<=zm30db3[0];shdc077[889]<=xwdf8dd;shdc077[735]<=phaa186;shdc077[643]<=ksaff1[1];shdc077[635]<=su59bc7[0];shdc077[495]<=ym3166f;shdc077[444]<=en5bf1b;shdc077[321]<=co215fe[1];shdc077[317]<=ip6f1c7;shdc077[222]<=jeb7e3[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module yz29ae8 (\r
+ rst_n,\r
+ mr_main_reset,\r
+ thf96b3,\r
+ ykcb59e,\r
+ vv5acf1,\r
+ end678f,\r
+ oub3c7c,\r
+ jr9e3e0,\r
+ hof1f06,\r
+ ir8f833,\r
+ rg7c19c\r
+);\r
+input rst_n;\r
+input mr_main_reset;\r
+input thf96b3;\r
+input [7:0] ykcb59e;\r
+input vv5acf1;\r
+input end678f;\r
+input oub3c7c;\r
+output [7:0] jr9e3e0;\r
+output hof1f06;\r
+output ir8f833;\r
+output rg7c19c;\r
+reg [7:0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b;\r
+reg dzf67dd;\r
+reg ip6f1c7;\r
+reg [7:0] jr9e3e0;\r
+reg hof1f06;\r
+reg ir8f833;\r
+wire [7:0] su59bc7;\r
+wire ui788b0;\r
+wire ym3166f;\r
+wire fp22c08;\r
+wire lf16047;\r
+wire vxb023e;\r
+wire dzcde38;\r
+reg sw3edaa;\r
+reg thf6d50;\r
+reg ukb6a86;\r
+reg fpb5430;\r
+reg phaa186;\r
+reg nr50c36;\r
+wire rg7c19c;\r
+reg vxaabc3 ;\r
+reg nr55e1f ;\r
+reg lfaf0fe ;\r
+reg ea787f6 ;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+reg nef1679;\r
+reg [7 : 0] cm43cd0;\r
+reg ri1e680;\r
+reg vvf3402;\r
+reg [7 : 0] yz805e3;\r
+reg mt2f1c;\r
+reg ux178e4;\r
+reg hd91af5;\r
+reg ecbc0e3;\r
+reg [7 : 0] wl8af03;\r
+reg sh5eb87;\r
+reg yk622bc;\r
+reg kfae1e9;\r
+reg yx70f4c;\r
+reg mg87a65;\r
+reg zk5781c;\r
+reg kd651b1;\r
+reg zz28d8d;\r
+reg me46c6e;\r
+reg ux36370;\r
+reg irb1b85;\r
+reg ou8dc2d;\r
+reg jp5f50a;\r
+reg gofa856;\r
+reg ykd42b0;\r
+reg yza1581;\r
+reg [2047:0] shdc077;\r
+wire [25:0] eae03bd;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+localparam ba1de9 = 26,ngef4b = 32'hfdffd48b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+`endif\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vxaabc3 <= 1'b1; nr55e1f <= 1'b1; end else begin vxaabc3 <= nef1679; nr55e1f <= jp5f50a; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin lfaf0fe <= 1'b1; ea787f6 <= 1'b1; end else begin lfaf0fe <= nef1679; ea787f6 <= ykd42b0; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ie9813c <= 8'd0; dmc09e1 <= 1'b0; do4f0b <= 1'b0; end else begin ie9813c <= cm43cd0; dmc09e1 <= ri1e680; do4f0b <= vvf3402; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin jr9e3e0 <= 8'd0; hof1f06 <= 1'b0; ir8f833 <= 1'b0; end else begin jr9e3e0 <= wl8af03; hof1f06 <= sh5eb87; ir8f833 <= yk622bc; end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin dzf67dd <= 1'b0; end else begin if (gofa856) begin dzf67dd <= 1'b0; end else begin if (mg87a65) begin dzf67dd <= 1'b0; end else begin dzf67dd <= 1'b1; end end end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ip6f1c7 <= 1'b0; end else begin if (yza1581) begin ip6f1c7 <= 1'b0; end else begin if (zk5781c) begin ip6f1c7 <= 1'b0; end else if (kfae1e9) begin ip6f1c7 <= 1'b0; end else begin ip6f1c7 <= 1'b1; end end end\r
+end\r
+always @(posedge thf96b3 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin sw3edaa <= 1'd0; thf6d50 <= 1'd0; ukb6a86 <= 1'd0; end else begin if (mg87a65 && hd91af5) begin sw3edaa <= 1'd1; end else begin sw3edaa <= 1'd0; end thf6d50 <= kd651b1; ukb6a86 <= kd651b1 | zz28d8d; end\r
+end\r
+always @(posedge oub3c7c or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin fpb5430 <= 1'd0; phaa186 <= 1'd0; nr50c36 <= 1'd0; end else begin if (zk5781c && ecbc0e3) begin fpb5430 <= 1'd1; end else begin fpb5430 <= 1'd0; end phaa186 <= ux36370; nr50c36 <= ux36370 | irb1b85; end\r
+end\r
+assign rg7c19c = me46c6e | ou8dc2d;\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(16), .pmi_data_depth_r(16), .pmi_full_flag(16), .pmi_empty_flag(0), .pmi_almost_full_flag(13), .pmi_almost_empty_flag(7), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) epa4aa7 ( .Reset(nr55e1f), .RPReset(ea787f6), .WrClock(thf96b3), .WrEn(dzf67dd), .Data({do4f0b, dmc09e1, ie9813c}),\r
+ .RdClock(oub3c7c), .RdEn(ip6f1c7), .Q({ym3166f, ui788b0, su59bc7}),\r
+ .Empty(dzcde38), .Full(vxb023e), .AlmostEmpty(fp22c08), .AlmostFull(lf16047) );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(16), .pmi_data_depth_r(16), .pmi_full_flag(16), .pmi_empty_flag(0), .pmi_almost_full_flag(13), .pmi_almost_empty_flag(7), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) epa4aa7 ( .Reset(nr55e1f), .RPReset(ea787f6), .WrClock(thf96b3), .WrEn(dzf67dd), .Data({do4f0b, dmc09e1, ie9813c}),\r
+ .RdClock(oub3c7c), .RdEn(ip6f1c7), .Q({ym3166f, ui788b0, su59bc7}),\r
+ .Empty(dzcde38), .Full(vxb023e), .AlmostEmpty(fp22c08), .AlmostFull(lf16047) );\r
+`endif\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(16), .pmi_data_depth_r(16), .pmi_full_flag(16), .pmi_empty_flag(0), .pmi_almost_full_flag(13), .pmi_almost_empty_flag(7), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) epa4aa7 ( .Reset(nr55e1f), .RPReset(ea787f6), .WrClock(thf96b3), .WrEn(dzf67dd), .Data({do4f0b, dmc09e1, ie9813c}),\r
+ .RdClock(oub3c7c), .RdEn(ip6f1c7), .Q({ym3166f, ui788b0, su59bc7}),\r
+ .Empty(dzcde38), .Full(vxb023e), .AlmostEmpty(fp22c08), .AlmostFull(lf16047) );\r
+`endif\r
+always@* begin nef1679<=eae03bd[0];cm43cd0<={ykcb59e>>1,eae03bd[1]};ri1e680<=eae03bd[2];vvf3402<=eae03bd[3];yz805e3<={ie9813c>>1,eae03bd[4]};mt2f1c<=eae03bd[5];ux178e4<=eae03bd[6];hd91af5<=eae03bd[7];ecbc0e3<=eae03bd[8];wl8af03<={su59bc7>>1,eae03bd[9]};sh5eb87<=eae03bd[10];yk622bc<=eae03bd[11];kfae1e9<=eae03bd[12];yx70f4c<=eae03bd[13];mg87a65<=eae03bd[14];zk5781c<=eae03bd[15];kd651b1<=eae03bd[16];zz28d8d<=eae03bd[17];me46c6e<=eae03bd[18];ux36370<=eae03bd[19];irb1b85<=eae03bd[20];ou8dc2d<=eae03bd[21];jp5f50a<=eae03bd[22];gofa856<=eae03bd[23];ykd42b0<=eae03bd[24];yza1581<=eae03bd[25];end\r
+always@* begin shdc077[2047]<=ykcb59e[0];shdc077[2046]<=vv5acf1;shdc077[2044]<=end678f;shdc077[2040]<=ie9813c[0];shdc077[2032]<=dmc09e1;shdc077[2017]<=do4f0b;shdc077[1987]<=dzf67dd;shdc077[1927]<=ip6f1c7;shdc077[1865]<=sw3edaa;shdc077[1806]<=su59bc7[0];shdc077[1682]<=thf6d50;shdc077[1565]<=ui788b0;shdc077[1317]<=ukb6a86;shdc077[1189]<=nr55e1f;shdc077[1172]<=phaa186;shdc077[1082]<=ym3166f;shdc077[1023]<=mr_main_reset;shdc077[932]<=dzcde38;shdc077[663]<=ea787f6;shdc077[594]<=vxaabc3;shdc077[586]<=fpb5430;shdc077[466]<=vxb023e;shdc077[331]<=lfaf0fe;shdc077[297]<=nr50c36;shdc077[233]<=lf16047;shdc077[116]<=fp22c08;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module wl3c3b2 ( gq88e04,\r
+ rst_n,\r
+ mr_main_reset,\r
+ force_unidir,\r
+ bl7b70e,\r
+ xya24d7,\r
+ tx_en,\r
+ tx_er,\r
+ hdaf6e1,\r
+ vvd7515,\r
+ xlba8ae,\r
+ ead4576\r
+ );\r
+parameter qge0963 = 8'hbc, pu4b18 = 8'hfe, vk258c3 = 8'hfb, ep2c61a = 8'hfd, fa630d5 = 8'hf7, gd186aa = 8'hb5, ofc3555 = 8'h42, mg1aaad = 8'hc5, jcd5569 = 8'h50;\r
+parameter hd274a0 = 2'b00, vk3a506 = 2'b01, vvd2833 = 2'b10;\r
+parameter bld2223 = 5'd0, tj9111e = 5'd1, ie888f7 = 5'd2, wj447b9 = 5'd3, wl23dcc = 5'd4, pu1ee65 = 5'd5, ipf732b = 5'd6, oub995d = 5'd7, qtccae9 = 5'd8, ip6574d = 5'd9, gq2ba6d = 5'd10, vi5d369 = 5'd11, fne9b4b = 5'd12, jc4da5e = 5'd13, cm6d2f3 = 5'd14, ps69799 = 5'd15, qt4bccb = 5'd16, en5e65c = 5'd17, vvf32e4 = 5'd18;\r
+input gq88e04;\r
+input rst_n;\r
+input mr_main_reset;\r
+input force_unidir;\r
+input [1:0] bl7b70e;\r
+input [7:0] xya24d7;\r
+input tx_en;\r
+input tx_er;\r
+input [15:0] hdaf6e1;\r
+output [7:0] vvd7515;\r
+output xlba8ae;\r
+output ead4576;\r
+wire gq88e04;\r
+wire rst_n;\r
+wire [1:0] bl7b70e;\r
+wire [7:0] xya24d7;\r
+wire tx_en;\r
+wire tx_er;\r
+wire [15:0] hdaf6e1;\r
+reg [7:0] vvd7515;\r
+reg [7:0] hqb4ea;\r
+reg [7:0] pf5a753;\r
+reg end3a9e;\r
+reg xlba8ae;\r
+reg eaea7a9;\r
+reg ead4576;\r
+reg [7:0] ph9ea41;\r
+reg gof520f;\r
+reg uka9078;\r
+reg [4:0] enfda0c;\r
+reg [4:0] ofed065;\r
+reg [4:0] al7fb41;\r
+reg kq782a9;\r
+reg eac1548;\r
+reg gqaa40;\r
+reg [1:0] dz55200;\r
+wire [1:0] ksa9007;\r
+reg by48039;\r
+wire pf401ca;\r
+reg qie56;\r
+reg vv71dc4 ;\r
+reg rv8ee27 ;\r
+reg vicac6c;\r
+reg qg56362;\r
+reg [(20*8):1] fcb89f1;\r
+reg nef1679;\r
+reg ec3e2cf;\r
+reg ea6214b;\r
+reg wy10a58;\r
+reg [7 : 0] ep852c0;\r
+reg [7 : 0] ir29604;\r
+reg mr4b025;\r
+reg by5812d;\r
+reg [7 : 0] zkc096b;\r
+reg xy4b5b;\r
+reg hq25adb;\r
+reg [4 : 0] xy258ca;\r
+reg [4 : 0] ux2c652;\r
+reg [4 : 0] rge4b19;\r
+reg qgdb5f4;\r
+reg lqdafa0;\r
+reg dzd7d03;\r
+reg [1 : 0] mtbe81f;\r
+reg [1 : 0] vif40fc;\r
+reg nta07e2;\r
+reg xl3f17;\r
+reg co1f8be;\r
+reg hbc76a1;\r
+reg ie3b50f;\r
+reg gd17c75;\r
+reg kfbe3ad;\r
+reg [(20 * 8) : 1] med43cb;\r
+reg [2047:0] shdc077;\r
+wire [26:0] eae03bd;\r
+localparam ba1de9 = 27,ngef4b = 32'hfdffd30b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+assign ksa9007[1:0] = kfbe3ad ? vvd2833 : bl7b70e;\r
+assign pf401ca = ((vif40fc != mtbe81f) && !lqdafa0) || nta07e2;\r
+function [7:0] ymbc7a9;\r
+input [7:0] pfe3d48;\r
+input ls1ea47;\r
+input ayf523b;\r
+input [7:0] yma91d8;\r
+begin if(ls1ea47 == 1'b0 && ayf523b == 1'b1 && yma91d8 != 8'b00001111) ymbc7a9 = pu4b18; else if(ls1ea47 == 1'b1 && ayf523b == 1'b1) ymbc7a9 = pu4b18; else ymbc7a9 = pfe3d48;\r
+end\r
+endfunction\r
+function rv1c8c4;\r
+input [7:0] pfe3d48;\r
+input ls1ea47;\r
+input ayf523b;\r
+input [7:0] yma91d8;\r
+begin if(ls1ea47 == 1'b0 && ayf523b == 1'b1 && yma91d8 != 8'b00001111) rv1c8c4 = 1'b1; else if(ls1ea47 == 1'b1 && ayf523b == 1'b1) rv1c8c4 = 1'b1; else rv1c8c4 = pfe3d48;\r
+end\r
+endfunction\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin if(!rst_n) begin vv71dc4 <= 1'b1; rv8ee27 <= 1'b1; vicac6c <= 1'b0; qg56362 <= 1'b0; end else begin vv71dc4 <= nef1679; rv8ee27 <= hbc76a1; vicac6c <= ec3e2cf; qg56362 <= gd17c75; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin : dzd2d7d\r
+if(!rst_n) begin ph9ea41 <= 8'd0; uka9078 <= 1'd0; gof520f <= 1'd0; end\r
+else begin ph9ea41 <= xya24d7; uka9078 <= ea6214b; gof520f <= wy10a58; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin : ls99dcd\r
+if(!rst_n) begin dz55200 <= 1'd0; by48039 <= 1'd0; end\r
+else begin dz55200 <= vif40fc; by48039 <= (vif40fc != mtbe81f) && lqdafa0; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin : ks3ef3b if(!rst_n) kq782a9 <= 1'b0; else begin if(xy258ca == pu1ee65) kq782a9 <= ~qgdb5f4; else kq782a9 <= qgdb5f4; end\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin : kd701b3\r
+if(!rst_n) gqaa40 <= 1'd0;\r
+else gqaa40 <= lqdafa0;\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin : ks2ea10 if (!rst_n) begin enfda0c <= bld2223; ofed065 <= bld2223; qie56 <= 1'b0; end else if (ie3b50f) begin enfda0c <= bld2223; ofed065 <= bld2223; qie56 <= 1'b0; end else begin ofed065 <= xy258ca; if (xl3f17) begin qie56 <= 1'b0; case (xy258ca) ie888f7: begin enfda0c <= qt4bccb; end wj447b9: begin enfda0c <= en5e65c; end wl23dcc: begin enfda0c <= vvf32e4; end default: begin enfda0c <= bld2223; end\r
+ endcase end else begin enfda0c <= rge4b19; case(co1f8be) 0: begin if (xy258ca == tj9111e) begin qie56 <= 1'b1; end end 1: begin if ( (xy258ca != bld2223) && (xy258ca != tj9111e) ) begin qie56 <= 1'b0; end end default: begin qie56 <= co1f8be; end endcase\r
+ end end\r
+end\r
+always @(xy258ca or bl7b70e or vif40fc or ea6214b or wy10a58 or lqdafa0)\r
+begin : cb85a23 case (xy258ca) bld2223 : al7fb41 = tj9111e; tj9111e : begin if (vif40fc == vk3a506) al7fb41 = ie888f7;\r
+ else if (vif40fc == hd274a0) al7fb41 = bld2223; else if (vif40fc == vvd2833) begin if (ea6214b) begin if(wy10a58 == 1'b0) al7fb41 = ipf732b; else al7fb41 = cm6d2f3; end else al7fb41 = bld2223; end else al7fb41 = bld2223; end ie888f7 : al7fb41 = wj447b9; wj447b9 : al7fb41 = wl23dcc; wl23dcc : al7fb41 = pu1ee65; pu1ee65 : al7fb41 = ie888f7; qt4bccb : al7fb41 = en5e65c; en5e65c : al7fb41 = vvf32e4; vvf32e4 : al7fb41 = bld2223;\r
+ ipf732b : begin if (ea6214b == 1'b1) al7fb41 = oub995d; else begin if (wy10a58 == 1'b0) al7fb41 = qtccae9; else al7fb41 = vi5d369; end end oub995d : begin if (ea6214b == 1'b1) al7fb41 = oub995d; else begin if (wy10a58 == 1'b0) al7fb41 = qtccae9; else al7fb41 = vi5d369; end end qtccae9 : al7fb41 = ip6574d; ip6574d : begin if (lqdafa0 == 1'b0) al7fb41 = bld2223; else al7fb41 = gq2ba6d; end gq2ba6d : al7fb41 = bld2223; vi5d369 : begin if (wy10a58 == 1'b0) al7fb41 = fne9b4b; else al7fb41 = jc4da5e; end fne9b4b : al7fb41 = ip6574d; jc4da5e : begin if (ea6214b == 1'b0 && wy10a58 == 1'b0) al7fb41 = fne9b4b; else if (ea6214b == 1'b1 && wy10a58 == 1'b1) al7fb41 = cm6d2f3; else if (ea6214b == 1'b1 && wy10a58 == 1'b0) al7fb41 = ipf732b; else al7fb41 = jc4da5e; end cm6d2f3 : al7fb41 = ps69799; ps69799 : begin if (ea6214b == 1'b1) al7fb41 = oub995d; else begin if (wy10a58 == 1'b0) al7fb41 = qtccae9; else al7fb41 = vi5d369; end end default : al7fb41 = bld2223; endcase\r
+end\r
+always @(posedge gq88e04 or negedge rst_n)\r
+begin : kfbb0cb\r
+if(!rst_n) begin vvd7515 <= qge0963; hqb4ea <= qge0963; pf5a753 <= qge0963; xlba8ae <= 1'b1; end3a9e <= 1'b1; ead4576 <= 1'b0; eaea7a9 <= 1'b0; end\r
+else begin if ( (ux2c652 == bld2223) || (ux2c652 == tj9111e) || (ux2c652 == ie888f7) || (ux2c652 == wj447b9) || (ux2c652 == wl23dcc) || (ux2c652 == pu1ee65) || (ux2c652 == ipf732b) || (ux2c652 == qt4bccb) || (ux2c652 == en5e65c) || (ux2c652 == vvf32e4)) begin vvd7515 <= ep852c0; end else begin vvd7515 <= ir29604; end\r
+ xlba8ae <= mr4b025; ead4576 <= by5812d; eaea7a9 <= 1'b0;\r
+ case(xy258ca) bld2223 : begin hqb4ea <= qge0963; end3a9e <= 1'b1; end tj9111e : begin hqb4ea <= jcd5569; end3a9e <= 1'b0; eaea7a9 <= ~co1f8be; end ie888f7 : begin hqb4ea <= qge0963; end3a9e <= 1'b1; end wj447b9, qt4bccb : begin hqb4ea <= qgdb5f4 ? ofc3555 : gd186aa; end3a9e <= 1'b0; end wl23dcc , en5e65c: begin hqb4ea <= hdaf6e1[7:0]; end3a9e <= 1'b0; end pu1ee65 , vvf32e4: begin hqb4ea <= hdaf6e1[15:8]; end3a9e <= 1'b0; end ipf732b : begin hqb4ea <= vk258c3; end3a9e <= 1'b1; end cm6d2f3 : begin pf5a753 <= vk258c3; end3a9e <= 1'b1; end oub995d : begin pf5a753 <= ymbc7a9(zkc096b, hq25adb, xy4b5b, zkc096b); end3a9e <= rv1c8c4(1'b0, hq25adb, xy4b5b, zkc096b); end qtccae9 : begin pf5a753 <= ep2c61a; end3a9e <= 1'b1; end ip6574d, gq2ba6d, fne9b4b : begin pf5a753 <= fa630d5; end3a9e <= 1'b1; end vi5d369 : begin pf5a753 <= ymbc7a9(ep2c61a, hq25adb, xy4b5b, zkc096b); end3a9e <= 1'b1; end jc4da5e : begin pf5a753 <= ymbc7a9(fa630d5, hq25adb, xy4b5b, zkc096b); end3a9e <= 1'b1; end ps69799 : begin pf5a753 <= pu4b18; end3a9e <= 1'b1; end default : begin pf5a753 <= qge0963; end3a9e <= 1'b1; end endcase\r
+end\r
+end\r
+always @(xy258ca or dzd7d03)\r
+begin : alede5f case(xy258ca) bld2223 : eac1548 = 1'b1; tj9111e : eac1548 = 1'b0; ie888f7 : eac1548 = 1'b1; wj447b9 : eac1548 = 1'b0; qt4bccb : eac1548 = 1'b0; wl23dcc : eac1548 = 1'b1; en5e65c : eac1548 = 1'b1; pu1ee65 : eac1548 = 1'b0; vvf32e4 : eac1548 = 1'b0; ipf732b : eac1548 = ~dzd7d03; cm6d2f3 : eac1548 = ~dzd7d03; oub995d : eac1548 = ~dzd7d03; qtccae9 : eac1548 = ~dzd7d03; ip6574d : eac1548 = ~dzd7d03; gq2ba6d : eac1548 = ~dzd7d03; fne9b4b : eac1548 = ~dzd7d03; vi5d369 : eac1548 = ~dzd7d03; jc4da5e : eac1548 = ~dzd7d03; ps69799 : eac1548 = ~dzd7d03; default : eac1548 = 1'b1; endcase\r
+end\r
+always @(xy258ca)\r
+begin case (xy258ca) bld2223 : fcb89f1 = "IDLE_A"; tj9111e : fcb89f1 = "IDLE_B"; ie888f7 : fcb89f1 = "CFG_A"; wj447b9 : fcb89f1 = "CFG_B"; wl23dcc : fcb89f1 = "CFG_C"; pu1ee65 : fcb89f1 = "CFG_D"; ipf732b : fcb89f1 = "START_OF_PACKET"; oub995d : fcb89f1 = "TX_DATA"; qtccae9 : fcb89f1 = "END_OF_PACKET_NOEXT"; ip6574d : fcb89f1 = "EPD2_NOEXT"; gq2ba6d : fcb89f1 = "EPD3"; vi5d369 : fcb89f1 = "END_OF_PACKET_EXT"; fne9b4b : fcb89f1 = "EXTEND_BY_1"; jc4da5e : fcb89f1 = "CARRIER_EXTEND"; cm6d2f3 : fcb89f1 = "START_ERROR"; ps69799 : fcb89f1 = "TX_DATA_ERROR"; qt4bccb : fcb89f1 = "cleanup_CFG_B"; en5e65c : fcb89f1 = "cleanup_CFG_C"; vvf32e4 : fcb89f1 = "cleanup_CFG_D"; default : fcb89f1 = " ERROR "; endcase\r
+end\r
+always@* begin nef1679<=eae03bd[0];ec3e2cf<=eae03bd[1];ea6214b<=eae03bd[2];wy10a58<=eae03bd[3];ep852c0<={hqb4ea>>1,eae03bd[4]};ir29604<={pf5a753>>1,eae03bd[5]};mr4b025<=eae03bd[6];by5812d<=eae03bd[7];zkc096b<={ph9ea41>>1,eae03bd[8]};xy4b5b<=eae03bd[9];hq25adb<=eae03bd[10];xy258ca<={enfda0c>>1,eae03bd[11]};ux2c652<={ofed065>>1,eae03bd[12]};rge4b19<={al7fb41>>1,eae03bd[13]};qgdb5f4<=eae03bd[14];lqdafa0<=eae03bd[15];dzd7d03<=eae03bd[16];mtbe81f<={dz55200>>1,eae03bd[17]};vif40fc<={ksa9007>>1,eae03bd[18]};nta07e2<=eae03bd[19];xl3f17<=eae03bd[20];co1f8be<=eae03bd[21];hbc76a1<=eae03bd[22];ie3b50f<=eae03bd[23];gd17c75<=eae03bd[24];kfbe3ad<=eae03bd[25];med43cb<={fcb89f1>>1,eae03bd[26]};end\r
+always@* begin shdc077[2047]<=force_unidir;shdc077[2046]<=tx_en;shdc077[2044]<=tx_er;shdc077[2040]<=hqb4ea[0];shdc077[2032]<=pf5a753[0];shdc077[2016]<=end3a9e;shdc077[1985]<=eaea7a9;shdc077[1922]<=ph9ea41[0];shdc077[1796]<=gof520f;shdc077[1544]<=uka9078;shdc077[1302]<=qie56;shdc077[1115]<=rv8ee27;shdc077[1105]<=dz55200[0];shdc077[1041]<=enfda0c[0];shdc077[1023]<=mr_main_reset;shdc077[728]<=fcb89f1[1];shdc077[651]<=pf401ca;shdc077[557]<=vv71dc4;shdc077[552]<=gqaa40;shdc077[364]<=qg56362;shdc077[325]<=by48039;shdc077[276]<=eac1548;shdc077[182]<=vicac6c;shdc077[162]<=ksa9007[0];shdc077[138]<=kq782a9;shdc077[69]<=al7fb41[0];shdc077[34]<=ofed065[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module ale23cd ( gq88e04,\r
+ rst_n,\r
+ xlba8ae,\r
+ nrcd8ab,\r
+ jc6c55f,\r
+ su62afb,\r
+ ie157d9,\r
+ anabec9,\r
+ ead4576,\r
+ vifb26e );\r
+input gq88e04;\r
+input rst_n;\r
+input xlba8ae;\r
+input [7:0] nrcd8ab;\r
+input jc6c55f;\r
+input su62afb;\r
+input ie157d9;\r
+input anabec9;\r
+input ead4576;\r
+output [9:0] vifb26e;\r
+reg [9:0] vifb26e;\r
+reg [7:0] kf85f2c;\r
+reg hq2f965;\r
+wire dm7cb2b;\r
+reg [9:6] eae595b;\r
+reg [5:0] yz2cad8;\r
+reg [9:6] gb656c4;\r
+reg [5:0] qi2b625;\r
+reg uv5b128, thd8944, icc4a26, ri25131, db2898b;\r
+reg uv44c5e, kf262f5, sw317ac, ph8bd60, wj5eb06;\r
+reg kqf5835;\r
+reg [7 : 0] ecac1a9;\r
+reg gb60d49;\r
+reg ph6a4c;\r
+reg ri35266;\r
+reg eca9332;\r
+reg ea49991;\r
+reg [7 : 0] os4cc89;\r
+reg rg66449;\r
+reg zz3224a;\r
+reg [9 : 6] xl91257;\r
+reg [5 : 0] pu892bf;\r
+reg [9 : 6] ui495fa;\r
+reg [5 : 0] ld4afd0;\r
+reg ps57e86;\r
+reg fpbf433;\r
+reg uifa19f;\r
+reg lqd0cf9;\r
+reg tw867ca;\r
+reg cb33e53;\r
+reg qv9f29c;\r
+reg nrf94e4;\r
+reg dmca726;\r
+reg go53933;\r
+reg [2047:0] shdc077;\r
+wire [23:0] eae03bd;\r
+localparam ba1de9 = 24,ngef4b = 32'hfdffca8b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+always @(posedge gq88e04 or negedge rst_n) begin if (rst_n == 1'b0) hq2f965 <= 1'b0; else hq2f965 <= go53933; end\r
+assign dm7cb2b = gb60d49 ? ph6a4c : rg66449;\r
+always @(posedge gq88e04 or negedge rst_n) begin if (rst_n == 1'b0) vifb26e <= 10'b00_0000_0000; else vifb26e <= {ui495fa[9:6], ld4afd0[5:0]}; end\r
+always @(ecac1a9 or ri35266 or eca9332 or zz3224a or ea49991)\r
+begin if(ea49991 && !zz3224a) begin if (ri35266) kf85f2c = {ecac1a9[7:6], 1'b1, ecac1a9[4:0]}; if (eca9332) kf85f2c = 8'b110_00101; else kf85f2c = ecac1a9; end else kf85f2c = ecac1a9;\r
+end\r
+always@(os4cc89 or kqf5835)\r
+begin: qtd6932\r
+case (os4cc89[3:0]) 4'b0000 : begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = 1; yz2cad8[2] = 1; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = os4cc89[4]; yz2cad8[5] = os4cc89[4]; uv5b128 = os4cc89[4]; thd8944 = !os4cc89[4]; icc4a26 = !os4cc89[4]; ri25131 = os4cc89[4]; end 4'b1000 , 4'b0100 , 4'b0010 , 4'b0001 : begin if (os4cc89[4] == 0) begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = 1; yz2cad8[5] = 0; uv5b128 = os4cc89[4]; thd8944 = !os4cc89[4]; icc4a26 = !os4cc89[4]; ri25131 = os4cc89[4]; end else if (os4cc89[3] == 0) begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = os4cc89[4]; yz2cad8[5] = 1; uv5b128 = 0; thd8944 = 0; icc4a26 = 0; ri25131 = 0; end else begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = 1; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = 0; yz2cad8[5] = 0; uv5b128 = 0; thd8944 = 1; icc4a26 = 1; ri25131 = 0; end end 4'b1100 : begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = os4cc89[4]; if (kqf5835 == 1 && os4cc89[4] == 1) begin uv5b128 = kqf5835; thd8944 = !kqf5835; icc4a26 = 0; ri25131 = 1; yz2cad8[5] = 1; end else begin uv5b128 = 0; thd8944 = 0; icc4a26 = 0; ri25131 = 0; if (os4cc89[4] == 0) yz2cad8[5] = 1; else yz2cad8[5] = 0; end end 4'b0011 , 4'b1010 , 4'b0101 , 4'b1001 , 4'b0110 : begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = os4cc89[4]; uv5b128 = 0; thd8944 = 0; icc4a26 = 0; ri25131 = 0; if (os4cc89[4] == 0) yz2cad8[5] = 1; else yz2cad8[5] = 0; end 4'b1111: begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = 0; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = 0; yz2cad8[4] = os4cc89[4]; yz2cad8[5] = os4cc89[4]; uv5b128 = os4cc89[4]; thd8944 = !os4cc89[4]; icc4a26 = !os4cc89[4]; ri25131 = os4cc89[4]; end 4'b0111 : begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = os4cc89[4]; yz2cad8[5] = 0; thd8944 = 0; uv5b128 = os4cc89[4]; icc4a26 = 0; ri25131 = 1; end 4'b1101 , 4'b1011 , 4'b1110 : begin yz2cad8[0] = os4cc89[0]; yz2cad8[1] = os4cc89[1]; yz2cad8[2] = os4cc89[2]; yz2cad8[3] = os4cc89[3]; yz2cad8[4] = os4cc89[4]; yz2cad8[5] = 0; thd8944 = 0; uv5b128 = os4cc89[4]; icc4a26 = 0; ri25131 = os4cc89[4]; end default : begin yz2cad8[5:0] = 0; uv5b128 = 0; thd8944 = 0; icc4a26 = 0; ri25131 = 0; end\r
+endcase\r
+end\r
+always@(ps57e86 or fpbf433 or uifa19f or lqd0cf9 or zz3224a or pu892bf)\r
+begin: by5d135\r
+if ((uifa19f && zz3224a) || (lqd0cf9 && !zz3224a) || (!uifa19f && !lqd0cf9)) begin qi2b625[5:0] = pu892bf[5:0]; if (!ps57e86 && !fpbf433) db2898b = zz3224a; else db2898b = ps57e86;\r
+end\r
+else begin qi2b625[0] = !pu892bf[0]; qi2b625[1] = !pu892bf[1]; qi2b625[2] = !pu892bf[2]; qi2b625[3] = !pu892bf[3]; qi2b625[4] = !pu892bf[4]; qi2b625[5] = !pu892bf[5]; if (!ps57e86 && !fpbf433) db2898b = zz3224a; else db2898b = !ps57e86;\r
+end\r
+end\r
+always@(os4cc89 or kqf5835 or ld4afd0 or tw867ca)\r
+begin: en4e43d\r
+case (os4cc89[7:5]) 3'b000 : begin eae595b[6] = os4cc89[5]; eae595b[7] = !os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = 0; sw317ac = 1; ph8bd60 = 0; uv44c5e = 0; kf262f5 = 1; end 3'b001 , 3'b010 , 3'b101 , 3'b110 : begin eae595b[6] = os4cc89[5]; eae595b[7] = os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = !os4cc89[7]; if (kqf5835 == 1) sw317ac = 1; else sw317ac = 0; ph8bd60 = 0; uv44c5e = 0; kf262f5 = 0; end 3'b011 : begin eae595b[6] = os4cc89[5]; eae595b[7] = os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = 0; sw317ac = 0; ph8bd60 = 1; uv44c5e = 0; kf262f5 = 0; end 3'b100 : begin eae595b[6] = os4cc89[5]; eae595b[7] = os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = 0; sw317ac = 1; ph8bd60 = 0; uv44c5e = 0; kf262f5 = 1; end 3'b111 : begin if ((ld4afd0[4] == 1 && ld4afd0[5] == 1 && tw867ca == 0) || (ld4afd0[4] == 0 && ld4afd0[5] == 0 && tw867ca == 1) || (kqf5835 == 1)) begin eae595b[6] = 0; eae595b[7] = os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = 1; sw317ac = 0; ph8bd60 = 1; uv44c5e = 1; kf262f5 = 0; end else begin eae595b[6] = os4cc89[5]; eae595b[7] = os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = 0; sw317ac = 0; ph8bd60 = 1; uv44c5e = 1; kf262f5 = 0; end end default : begin eae595b[6] = os4cc89[5]; eae595b[7] = os4cc89[6]; eae595b[8] = os4cc89[7]; eae595b[9] = 0; sw317ac = 0; ph8bd60 = 0; uv44c5e = 0; kf262f5 = 0; end\r
+endcase\r
+end\r
+always@(cb33e53 or qv9f29c or nrf94e4 or dmca726 or tw867ca or xl91257)\r
+begin: dbb5df6\r
+if ((nrf94e4 && tw867ca) || (dmca726 && !tw867ca) || (!nrf94e4 && !dmca726)) begin gb656c4[9:6] = xl91257[9:6]; if (!cb33e53 && !qv9f29c) wj5eb06 = tw867ca; else wj5eb06 = cb33e53;\r
+end\r
+else begin gb656c4[6] = !xl91257[6]; gb656c4[7] = !xl91257[7]; gb656c4[8] = !xl91257[8]; gb656c4[9] = !xl91257[9]; if (!cb33e53 && !qv9f29c) wj5eb06 = tw867ca; else wj5eb06 = !cb33e53;\r
+end\r
+end\r
+always@* begin kqf5835<=eae03bd[0];ecac1a9<={nrcd8ab>>1,eae03bd[1]};gb60d49<=eae03bd[2];ph6a4c<=eae03bd[3];ri35266<=eae03bd[4];eca9332<=eae03bd[5];ea49991<=eae03bd[6];os4cc89<={kf85f2c>>1,eae03bd[7]};rg66449<=eae03bd[8];zz3224a<=eae03bd[9];xl91257<={eae595b>>1,eae03bd[10]};pu892bf<={yz2cad8>>1,eae03bd[11]};ui495fa<={gb656c4>>1,eae03bd[12]};ld4afd0<={qi2b625>>1,eae03bd[13]};ps57e86<=eae03bd[14];fpbf433<=eae03bd[15];uifa19f<=eae03bd[16];lqd0cf9<=eae03bd[17];tw867ca<=eae03bd[18];cb33e53<=eae03bd[19];qv9f29c<=eae03bd[20];nrf94e4<=eae03bd[21];dmca726<=eae03bd[22];go53933<=eae03bd[23];end\r
+always@* begin shdc077[2047]<=nrcd8ab[0];shdc077[2046]<=jc6c55f;shdc077[2044]<=su62afb;shdc077[2040]<=ie157d9;shdc077[2032]<=anabec9;shdc077[2017]<=ead4576;shdc077[1987]<=kf85f2c[0];shdc077[1926]<=hq2f965;shdc077[1804]<=dm7cb2b;shdc077[1803]<=wj5eb06;shdc077[1560]<=eae595b[6];shdc077[1550]<=icc4a26;shdc077[1072]<=yz2cad8[0];shdc077[1052]<=ri25131;shdc077[1023]<=xlba8ae;shdc077[901]<=ph8bd60;shdc077[775]<=thd8944;shdc077[450]<=sw317ac;shdc077[387]<=uv5b128;shdc077[225]<=kf262f5;shdc077[193]<=qi2b625[0];shdc077[112]<=uv44c5e;shdc077[96]<=gb656c4[6];shdc077[56]<=db2898b;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r
+`timescale 1 ns / 100 ps\r
+module sgmii_gbe_pcs35 (\r
+ tx_clk_125 ,\r
+ serdes_recovered_clk ,\r
+ rx_clk_125 ,\r
+ rst_n ,\r
+ gbe_mode ,\r
+ sgmii_mode ,\r
+ signal_detect ,\r
+ debug_link_timer_short,\r
+ force_isolate,\r
+ force_loopback,\r
+ force_unidir,\r
+ operational_rate,\r
+ rx_compensation_err,\r
+ ctc_drop_flag,\r
+ ctc_add_flag,\r
+ an_link_ok,\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+ tx_clock_enable_sink ,\r
+ tx_clock_enable_source ,\r
+ rx_clock_enable_sink ,\r
+ rx_clock_enable_source ,\r
+`else\r
+ tx_clk_mii ,\r
+ rx_clk_mii ,\r
+`endif\r
+ rx_data ,\r
+ rx_kcntl ,\r
+ rx_even ,\r
+ rx_disp_err ,\r
+ rx_cv_err ,\r
+ rx_err_decode_mode ,\r
+ tx_d ,\r
+ tx_en ,\r
+ tx_er ,\r
+ mr_adv_ability ,\r
+ mr_an_enable ,\r
+ mr_main_reset ,\r
+ mr_restart_an ,\r
+ mr_an_complete ,\r
+ mr_lp_adv_ability ,\r
+ mr_page_rx ,\r
+ rx_d ,\r
+ rx_dv ,\r
+ rx_er ,\r
+ col ,\r
+ crs ,\r
+ tx_data,\r
+ tx_kcntl,\r
+ tx_disparity_cntl,\r
+ xmit_autoneg\r
+ ) ;\r
+input tx_clk_125 ;\r
+input serdes_recovered_clk ;\r
+input rx_clk_125 ;\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+input tx_clock_enable_sink;\r
+output tx_clock_enable_source;\r
+input rx_clock_enable_sink;\r
+output rx_clock_enable_source;\r
+`else\r
+input tx_clk_mii;\r
+input rx_clk_mii;\r
+`endif\r
+input rst_n ;\r
+input signal_detect ;\r
+input gbe_mode ;\r
+input sgmii_mode ;\r
+input debug_link_timer_short ;\r
+input force_isolate ;\r
+input force_loopback ;\r
+input force_unidir ;\r
+input [1:0] operational_rate;\r
+output rx_compensation_err;\r
+output ctc_drop_flag;\r
+output ctc_add_flag;\r
+output an_link_ok;\r
+input [7:0] rx_data ;\r
+input rx_kcntl ;\r
+input rx_even ;\r
+input rx_disp_err ;\r
+input rx_cv_err ;\r
+input rx_err_decode_mode ;\r
+input [7:0] tx_d ;\r
+input tx_en ;\r
+input tx_er ;\r
+input [15:0] mr_adv_ability;\r
+input mr_an_enable;\r
+input mr_main_reset;\r
+input mr_restart_an;\r
+output mr_an_complete;\r
+output [15:0] mr_lp_adv_ability;\r
+output mr_page_rx;\r
+output [7:0] rx_d ;\r
+output rx_dv ;\r
+output rx_er ;\r
+output col ;\r
+output crs ;\r
+output [7:0] tx_data ;\r
+output tx_kcntl ;\r
+output tx_disparity_cntl ;\r
+output xmit_autoneg ;\r
+`ifdef SGMII_YES_ENC\r
+wire [7:0] mre7ae7 ;\r
+wire ph3d73d ;\r
+wire eaea7a9 ;\r
+`endif\r
+wire [1:0] bl7b70e ;\r
+wire [15:0] kqf5edc ;\r
+wire [15:0] hdaf6e1 ;\r
+wire [15:0] mr_adv_ability ;\r
+wire [15:0] mr_lp_adv_ability ;\r
+wire su531f3;\r
+wire [7:0] psc5e98;\r
+wire vk2f4c3;\r
+wire ip7a61a;\r
+wire rx_compensation_err;\r
+wire mg986ac;\r
+wire kdc3561;\r
+wire gq1ab0d;\r
+wire ned5869;\r
+wire ieac34a;\r
+wire an_link_ok;\r
+reg vif4422;\r
+reg lsa2112;\r
+reg aa10892;\r
+reg lf84490;\r
+reg nt22486;\r
+reg sj12436;\r
+reg mga3f36 ;\r
+reg mg1f9b1 ;\r
+reg ldfcd89 ;\r
+reg the6c4f ;\r
+reg sj3627f ;\r
+reg sjb13fd ;\r
+reg ng89fec ;\r
+wire [7:0] ld4ff63;\r
+wire qt7fb1e;\r
+wire czfd8f4;\r
+wire [7:0] zxec7a5;\r
+wire rg63d2f;\r
+wire ba1e97b;\r
+wire zkf4bdb;\r
+wire xla5edb;\r
+wire ctc_drop_flag;\r
+wire ctc_add_flag;\r
+reg cmdb797;\r
+reg cmdbcb8;\r
+wire [7:0] gode5c1;\r
+wire kdf2e08;\r
+wire aa97044;\r
+reg jeb8220;\r
+reg wwc1102;\r
+reg wl8810;\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+reg en44085;\r
+reg hq2042c;\r
+`endif\r
+parameter DYNAMIC_LT_10MBPS = 340;\r
+parameter DYNAMIC_HT_10MBPS = 680;\r
+parameter DYNAMIC_LT_100MBPS = 34;\r
+parameter DYNAMIC_HT_100MBPS = 68;\r
+parameter DYNAMIC_LT_1000MBPS = 16;\r
+parameter DYNAMIC_HT_1000MBPS = 32;\r
+parameter STATIC_LO_THRESH = 16;\r
+parameter STATIC_HI_THRESH = 32;\r
+parameter LINK_TIMER_SH = 21'h1fff01;\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+`endif\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+`endif\r
+`ifdef SGMII_YES_CTC_STATIC\r
+`endif\r
+`ifdef SGMII_NO_CTC\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`else\r
+`endif\r
+reg pu86d04;\r
+reg lf27c59;\r
+reg uk8d6fa;\r
+reg su67fd6;\r
+reg ohb4114;\r
+reg wwff58a;\r
+reg ec3e2cf;\r
+reg [1 : 0] qi8879a;\r
+reg [7 : 0] ofdce1f;\r
+reg wje70fc;\r
+reg gq1f934;\r
+reg qi8892d;\r
+reg ip44969;\r
+reg qi24b4d;\r
+reg [7 : 0] pu25a68;\r
+reg ea6214b;\r
+reg wy10a58;\r
+reg qg59e57;\r
+reg nef1679;\r
+reg bn8b3ca;\r
+reg [1 : 0] pffc9a1;\r
+reg [15 : 0] ntba454;\r
+reg [15 : 0] jpd22a7;\r
+reg nt387e4;\r
+reg [7 : 0] cb8a9f7;\r
+reg wj54fbc;\r
+reg doa7de4;\r
+reg sw3ef23;\r
+reg shf7918;\r
+reg mgbc8c4;\r
+reg zke4621;\r
+reg oh2310b;\r
+reg gof5284;\r
+reg uka9420;\r
+reg fn4a101;\r
+reg zx5080f;\r
+reg db8407e;\r
+reg zm203f6;\r
+reg xy20283;\r
+reg xy141b;\r
+reg coa0da;\r
+reg bl506d1;\r
+reg vk8368f;\r
+reg ri1b47b;\r
+reg tuda3da;\r
+reg [7 : 0] icd1ed2;\r
+reg wl8f690;\r
+reg fn7b485;\r
+reg [7 : 0] vida42f;\r
+reg bld217a;\r
+reg sj90bd6;\r
+reg yz85eb4;\r
+reg pu2f5a5;\r
+reg mr7ad2a;\r
+reg wjd6954;\r
+reg [7 : 0] irb4aa5;\r
+reg rva552d;\r
+reg ba2a96f;\r
+reg ip54b7b;\r
+reg xla5bdc;\r
+reg vx2dee6;\r
+reg [2047:0] shdc077;\r
+wire [60:0] eae03bd;\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`endif\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+`endif\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+`endif\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+`endif\r
+`ifdef SGMII_YES_CTC_STATIC\r
+`endif\r
+`ifdef SGMII_NO_CTC\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`else\r
+`endif\r
+localparam ba1de9 = 61,ngef4b = 32'hfdffe30b;\r
+localparam [31:0] ea77a5a = ngef4b;\r
+localparam the9682 = ngef4b & 4'hf;\r
+localparam [11:0] fn5a0bd = 'h7ff;\r
+wire [(1 << the9682) -1:0] uk82f73;\r
+reg [ba1de9-1:0] jebdcc5;\r
+reg [the9682-1:0] dm7315a [0:1];\r
+reg [the9682-1:0] nec5698;\r
+reg aa2b4c2;\r
+integer xj5a610;\r
+integer qtd3086;\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`endif\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+`endif\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+`endif\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+`endif\r
+`ifdef SGMII_YES_CTC_STATIC\r
+`endif\r
+`ifdef SGMII_NO_CTC\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+`else\r
+`endif\r
+`ifdef SGMII_YES_ENC\r
+`endif\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+`endif\r
+assign kdc3561 = ({gq1f934, ip44969, qi8892d} == 3'b100) ? 1'b1 : 1'b0;\r
+assign gq1ab0d = ({gq1f934, ip44969, qi8892d} == 3'b111) ? 1'b1 : 1'b0;\r
+assign ned5869 = shf7918 | mgbc8c4;\r
+assign ieac34a = ip44969 | qi8892d;\r
+assign su531f3 = (qi24b4d) ? zke4621 : oh2310b;\r
+always @(posedge tx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin jeb8220 <= 1'b0; end else begin jeb8220 <= ~ip54b7b; end\r
+end\r
+always @(posedge rx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin wwc1102 <= 1'b0; end else begin wwc1102 <= ~xla5bdc; end\r
+end\r
+always @(posedge serdes_recovered_clk or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin wl8810 <= 1'b0; end else begin wl8810 <= ~vx2dee6; end\r
+end\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+ always @(posedge tx_clk_mii or negedge rst_n) begin if (rst_n == 1'b0) begin en44085 <= 1'b0; end else begin en44085 <= ~en44085; end end always @(posedge rx_clk_mii or negedge rst_n) begin if (rst_n == 1'b0) begin hq2042c <= 1'b0; end else begin hq2042c <= ~hq2042c; end end\r
+`endif\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+ coac47 kdfd43d ( .rst_n ( rst_n ) , .gbe_mode ( pu86d04 ) , .gq88e04 ( tx_clk_125 ) , .fa47021 ( tx_clock_enable_sink ) , .aaffa2 ( tx_clock_enable_source ) , .gb5e68a ( qi8879a ) , .force_isolate ( ohb4114 ) , .an8c7c ( pu25a68 ) , .ic463e5 ( wy10a58 ) , .qi31f29 ( ea6214b ) , .vi7ca4c ( ld4ff63 ) , .sue5263 ( qt7fb1e ) , .kf29319 ( czfd8f4 ) ); thddcda ri1b0d3 ( .rst_n ( rst_n ) , .gbe_mode ( pu86d04 ) , .force_isolate ( ohb4114 ) , .gq88e04 ( rx_clk_125 ) , .fa47021 ( rx_clock_enable_sink ) , .aaffa2 ( rx_clock_enable_source ) , .gb5e68a ( qi8879a ) , .an8c7c ( vida42f ) , .ic463e5 ( bld217a ) , .qi31f29 ( sj90bd6 ) , .qva4f56 ( yz85eb4 ) , .rv27ab4 ( pu2f5a5 ) , .vi7ca4c ( rx_d ) , .sue5263 ( rx_er ) , .kf29319 ( rx_dv ), .uk3e26b ( col ) , .lqf1359 ( crs ) );\r
+`else\r
+ ay68728 kdfd43d ( .rst_n ( rst_n ) , .gbe_mode ( pu86d04 ) , .mee5046 ( tx_clk_mii ) , .ph28231 ( qi8879a ) , .force_isolate ( ohb4114 ) , .an8c7c ( pu25a68 ) , .ic463e5 ( wy10a58 ) , .qi31f29 ( ea6214b ) , .cb8f949 ( tx_clk_125 ) , .vi7ca4c ( ld4ff63 ) , .sue5263 ( qt7fb1e ) , .kf29319 ( czfd8f4 ) ); ld4aee6 ri1b0d3 ( .rst_n ( rst_n ) , .gbe_mode ( pu86d04 ) , .force_isolate ( ohb4114 ) , .mee5046 ( rx_clk_125 ) , .an8c7c ( vida42f ) , .ic463e5 ( bld217a ) , .qi31f29 ( sj90bd6 ) , .qva4f56 ( yz85eb4 ) , .rv27ab4 ( pu2f5a5 ) , .cb8f949 ( rx_clk_mii ) , .ayead3e ( qi8879a ) , .vi7ca4c ( rx_d ) , .sue5263 ( rx_er ) , .kf29319 ( rx_dv ), .uk3e26b ( col ) , .lqf1359 ( crs ) );\r
+`endif\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+ phc858 # (.DYNAMIC_HT_1000MBPS(DYNAMIC_HT_1000MBPS), .DYNAMIC_LT_1000MBPS(DYNAMIC_LT_1000MBPS), .DYNAMIC_HT_100MBPS (DYNAMIC_HT_100MBPS), .DYNAMIC_LT_100MBPS (DYNAMIC_LT_100MBPS), .DYNAMIC_HT_10MBPS (DYNAMIC_HT_10MBPS), .DYNAMIC_LT_10MBPS (DYNAMIC_LT_10MBPS)) xjc8ae8 ( .rst_n (rst_n), .mr_main_reset (tuda3da), .an_link_ok (an_link_ok), .tu58fcb (qg59e57), .gbe_mode (pu86d04), .operational_rate (qi8879a), .thf96b3 (serdes_recovered_clk), .ykcb59e (ofdce1f), .vv5acf1 (wje70fc), .end678f (nt387e4), .oub3c7c (rx_clk_125), .jr9e3e0 (psc5e98), .hof1f06 (vk2f4c3), .ir8f833 (ip7a61a), .rg7c19c (rx_compensation_err), .ctc_drop_flag (ctc_drop_flag), .ctc_add_flag (ctc_add_flag) );\r
+`endif\r
+`ifdef SGMII_YES_CTC_STATIC\r
+ vvd70c4 # (.STATIC_HI_THRESH(STATIC_HI_THRESH), .STATIC_LO_THRESH(STATIC_LO_THRESH)) xjc8ae8 ( .rst_n (rst_n), .mr_main_reset (tuda3da), .thf96b3 (serdes_recovered_clk), .ykcb59e (ofdce1f), .vv5acf1 (wje70fc), .end678f (nt387e4), .oub3c7c (rx_clk_125), .jr9e3e0 (psc5e98), .hof1f06 (vk2f4c3), .ir8f833 (ip7a61a), .rg7c19c (rx_compensation_err), .ctc_drop_flag (ctc_drop_flag), .ctc_add_flag (ctc_add_flag) );\r
+`endif\r
+`ifdef SGMII_NO_CTC\r
+ yz29ae8 xjc8ae8 ( .rst_n (rst_n), .mr_main_reset (tuda3da), .thf96b3 (serdes_recovered_clk), .ykcb59e (ofdce1f), .vv5acf1 (wje70fc), .end678f (nt387e4), .oub3c7c (rx_clk_125), .jr9e3e0 (psc5e98), .hof1f06 (vk2f4c3), .ir8f833 (ip7a61a), .rg7c19c (rx_compensation_err) );\r
+assign ctc_drop_flag = 1'b0;\r
+assign ctc_add_flag = 1'b0;\r
+`endif\r
+always @(posedge rx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin cmdb797 <= 1'b0; cmdbcb8 <= 1'b0; end else begin cmdb797 <= wwff58a; cmdbcb8 <= mr7ad2a; end\r
+end\r
+`ifdef SGMII_YES_ENC\r
+ assign gode5c1 = wjd6954 ? mre7ae7 : cb8a9f7; assign kdf2e08 = wjd6954 ? ph3d73d : wj54fbc; assign aa97044 = wjd6954 ? 1'b0 : doa7de4;\r
+`else\r
+ assign gode5c1 = wjd6954 ? tx_data : cb8a9f7; assign kdf2e08 = wjd6954 ? tx_kcntl : wj54fbc; assign aa97044 = wjd6954 ? 1'b0 : doa7de4;\r
+`endif\r
+ecaab ecaab ( .vxa5777 ( rx_clk_125 ) , .rst_n ( rst_n ) , .mr_main_reset ( tuda3da ) , .signal_detect ( uk8d6fa ) , .rx_data ( irb4aa5 ) , .rx_kcntl ( rva552d ) , .su531f3 ( ba2a96f ) , .lfa55f5 ( lfa55f5 ) , .rx_even ( mg986ac ) );\r
+pf74aee pf74aee ( .vxa5777 ( rx_clk_125 ) , .rst_n ( rst_n ) , .mr_main_reset ( tuda3da ) , .sgmii_mode ( lf27c59 ) , .gbe_mode ( pu86d04 ) , .zzbf298 ( mr_adv_ability[12] ) , .rx_data ( irb4aa5 ) , .rx_kcntl ( rva552d ) , .su531f3 ( ba2a96f ) , .lfa55f5 ( lfa55f5 ) , .rx_even ( sw3ef23 ) , .bl7b70e ( pffc9a1 ) , .kqf5edc( kqf5edc ) , .co9818f ( co9818f ) , .hoc0c79 ( hoc0c79 ) , .lf63cb ( lf63cb ) , .ou31e5f ( zxec7a5 ) , .mt8f2f9 ( ba1e97b ) , .by797cd ( rg63d2f ) );\r
+ shf7264 # (.LINK_TIMER_SH(LINK_TIMER_SH)) shf7264 ( .gq88e04 ( tx_clk_125 ) , .rst_n ( rst_n ) , .gbe_mode ( pu86d04 ) , .sgmii_mode ( lf27c59 ) , .force_unidir ( ec3e2cf ) , .mr_main_reset ( tuda3da ) , .mr_restart_an ( bn8b3ca ) , .mr_an_enable ( qg59e57 ) , .mr_adv_ability ( mr_adv_ability ) , .mr_lp_adv_ability ( mr_lp_adv_ability ) , .mr_page_rx ( mr_page_rx ) , .mr_an_complete ( mr_an_complete ) , .fp9ed2a ( su67fd6 ) , .lfa55f5 ( lfa55f5 ) , .fc2afaf ( lf63cb ) , .ne57d7b ( hoc0c79 ) , .ohbebdb ( co9818f ) , .kqf5edc ( ntba454 ) , .hdaf6e1 ( hdaf6e1 ) , .bl7b70e ( bl7b70e ), .an_link_ok ( an_link_ok ) );\r
+`ifdef SGMII_YES_ENC\r
+ wl3c3b2 wl3c3b2 ( .gq88e04 ( tx_clk_125 ) , .rst_n ( rst_n ) , .mr_main_reset ( tuda3da ), .force_unidir ( ec3e2cf ), .xya24d7 ( icd1ed2 ) , .tx_en ( fn7b485 ) , .tx_er ( wl8f690 ) , .bl7b70e ( pffc9a1 ) , .hdaf6e1 ( jpd22a7 ) , .vvd7515 ( mre7ae7 ) , .xlba8ae ( ph3d73d ), .ead4576 ( eaea7a9 ) );\r
+ ale23cd ale23cd ( .gq88e04 (tx_clk_125), .rst_n (rst_n), .xlba8ae (ph3d73d), .nrcd8ab (mre7ae7), .jc6c55f (1'b0), .su62afb (1'b0), .ie157d9 (1'b0), .anabec9 (1'b1), .ead4576 (eaea7a9), .vifb26e ({tx_disparity_cntl, tx_kcntl, tx_data}) );\r
+`else\r
+ wl3c3b2 wl3c3b2 ( .gq88e04 ( tx_clk_125 ) , .rst_n ( rst_n ) , .mr_main_reset ( tuda3da ), .force_unidir ( ec3e2cf ), .xya24d7 ( icd1ed2 ) , .tx_en ( fn7b485 ) , .tx_er ( wl8f690 ) , .bl7b70e ( pffc9a1 ) , .hdaf6e1 ( jpd22a7 ) , .vvd7515 ( tx_data ) , .xlba8ae ( tx_kcntl ), .ead4576 ( tx_disparity_cntl ) );\r
+`endif\r
+assign zkf4bdb = rx_dv & ea6214b;\r
+assign xla5edb = rx_dv;\r
+assign xmit_autoneg = ((pffc9a1==2'b01)||(pffc9a1==2'b00)) ? 1'b1 : 1'b0;\r
+always @(posedge tx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4422 <= 1'b0; lsa2112 <= 1'b0; aa10892 <= 1'b0; lf84490 <= 1'b0; nt22486 <= 1'b0; sj12436 <= 1'b0; mga3f36 <= 1'b1; mg1f9b1 <= 1'b1; ldfcd89 <= 1'b1; the6c4f <= 1'b1; sj3627f <= 1'b1; sjb13fd <= 1'b1; ng89fec <= 1'b1; end else begin vif4422 <= pu86d04; lsa2112 <= gof5284; mga3f36 <= nef1679; mg1f9b1 <= xy20283; aa10892 <= uka9420; lf84490 <= uka9420 & (~fn4a101); nt22486 <= ~uka9420 & (fn4a101); sj12436 <= zx5080f || db8407e; ldfcd89 <= xy141b | zm203f6; the6c4f <= coa0da; sj3627f <= bl506d1; sjb13fd <= vk8368f; ng89fec <= coa0da | bl506d1 | vk8368f | ri1b47b; end\r
+end\r
+always@* begin pu86d04<=eae03bd[0];lf27c59<=eae03bd[1];uk8d6fa<=eae03bd[2];su67fd6<=eae03bd[3];ohb4114<=eae03bd[4];wwff58a<=eae03bd[5];ec3e2cf<=eae03bd[6];qi8879a<={operational_rate>>1,eae03bd[7]};ofdce1f<={rx_data>>1,eae03bd[8]};wje70fc<=eae03bd[9];gq1f934<=eae03bd[10];qi8892d<=eae03bd[11];ip44969<=eae03bd[12];qi24b4d<=eae03bd[13];pu25a68<={tx_d>>1,eae03bd[14]};ea6214b<=eae03bd[15];wy10a58<=eae03bd[16];qg59e57<=eae03bd[17];nef1679<=eae03bd[18];bn8b3ca<=eae03bd[19];pffc9a1<={bl7b70e>>1,eae03bd[20]};ntba454<={kqf5edc>>1,eae03bd[21]};jpd22a7<={hdaf6e1>>1,eae03bd[22]};nt387e4<=eae03bd[23];cb8a9f7<={psc5e98>>1,eae03bd[24]};wj54fbc<=eae03bd[25];doa7de4<=eae03bd[26];sw3ef23<=eae03bd[27];shf7918<=eae03bd[28];mgbc8c4<=eae03bd[29];zke4621<=eae03bd[30];oh2310b<=eae03bd[31];gof5284<=eae03bd[32];uka9420<=eae03bd[33];fn4a101<=eae03bd[34];zx5080f<=eae03bd[35];db8407e<=eae03bd[36];zm203f6<=eae03bd[37];xy20283<=eae03bd[38];xy141b<=eae03bd[39];coa0da<=eae03bd[40];bl506d1<=eae03bd[41];vk8368f<=eae03bd[42];ri1b47b<=eae03bd[43];tuda3da<=eae03bd[44];icd1ed2<={ld4ff63>>1,eae03bd[45]};wl8f690<=eae03bd[46];fn7b485<=eae03bd[47];vida42f<={zxec7a5>>1,eae03bd[48]};bld217a<=eae03bd[49];sj90bd6<=eae03bd[50];yz85eb4<=eae03bd[51];pu2f5a5<=eae03bd[52];mr7ad2a<=eae03bd[53];wjd6954<=eae03bd[54];irb4aa5<={gode5c1>>1,eae03bd[55]};rva552d<=eae03bd[56];ba2a96f<=eae03bd[57];ip54b7b<=eae03bd[58];xla5bdc<=eae03bd[59];vx2dee6<=eae03bd[60];end\r
+always@* begin shdc077[2047]<=sgmii_mode;shdc077[2046]<=signal_detect;shdc077[2044]<=debug_link_timer_short;shdc077[2040]<=force_isolate;shdc077[2032]<=force_loopback;shdc077[2016]<=force_unidir;shdc077[1985]<=operational_rate[0];shdc077[1925]<=the6c4f;shdc077[1922]<=rx_data[0];shdc077[1802]<=sj3627f;shdc077[1796]<=rx_kcntl;shdc077[1567]<=kdf2e08;shdc077[1556]<=sjb13fd;shdc077[1544]<=rx_even;shdc077[1505]<=mg1f9b1;shdc077[1415]<=cmdbcb8;shdc077[1324]<=rg63d2f;shdc077[1316]<=mg986ac;shdc077[1200]<=zkf4bdb;shdc077[1169]<=gq1ab0d;shdc077[1163]<=vif4422;shdc077[1118]<=lf84490;shdc077[1106]<=su531f3;shdc077[1087]<=aa97044;shdc077[1065]<=ng89fec;shdc077[1041]<=mr_an_enable;shdc077[1040]<=rx_disp_err;shdc077[1023]<=gbe_mode;shdc077[962]<=ldfcd89;shdc077[783]<=gode5c1[0];shdc077[752]<=mga3f36;shdc077[707]<=cmdb797;shdc077[662]<=zxec7a5[0];shdc077[658]<=ip7a61a;shdc077[600]<=ba1e97b;shdc077[584]<=kdc3561;shdc077[581]<=ieac34a;shdc077[559]<=aa10892;shdc077[553]<=hdaf6e1[0];shdc077[520]<=tx_er;shdc077[508]<=wl8810;shdc077[376]<=sj12436;shdc077[353]<=xla5edb;shdc077[331]<=czfd8f4;shdc077[329]<=vk2f4c3;shdc077[290]<=ned5869;shdc077[279]<=lsa2112;shdc077[276]<=kqf5edc[0];shdc077[260]<=tx_en;shdc077[254]<=wwc1102;shdc077[188]<=nt22486;shdc077[165]<=qt7fb1e;shdc077[164]<=psc5e98[0];shdc077[138]<=bl7b70e[0];shdc077[130]<=tx_d[0];shdc077[127]<=jeb8220;shdc077[82]<=ld4ff63[0];shdc077[69]<=mr_restart_an;shdc077[65]<=rx_err_decode_mode;shdc077[34]<=mr_main_reset;shdc077[32]<=rx_cv_err;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086<ba1de9; qtd3086=qtd3086+1) begin jebdcc5[qtd3086] = uk82f73[nec5698]; aa2b4c2 = ^(nec5698 & dm7315a[0]); nec5698 = {nec5698, aa2b4c2}; end end\r
+endmodule\r