-- library sc;
-- use sc.components.all;
entity hub is
+ generic (
+ HOW_MANY_CHANNELS : integer range 2 to c_MAX_MII_PER_HUB := 2;
+ );
port (
LVDS_CLK_200P : in std_logic;
-- LVDS_CLK_200N : in std_logic;
-----------------------------------------------------------------------------
-- FLEXI_PCS
-----------------------------------------------------------------------------
- constant HOW_MANY_CHANNELS : integer := 2;
signal ref_pclk : std_logic_vector((HOW_MANY_CHANNELS+3)/4 -1 downto 0);
signal rxd_i : std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
signal rxd_synch_i : std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);