RJ : inout std_logic_vector(3 downto 0);\r
H1 : inout std_logic_vector(4 downto 0);\r
H2 : inout std_logic_vector(4 downto 0);\r
- H3 : inout std_logic_vector(4 downto 0);\r
+ H3 : inout std_logic_vector(3 downto 0);\r
H4 : inout std_logic_vector(4 downto 0);\r
H5 : inout std_logic_vector(3 downto 0);\r
H6 : inout std_logic_vector(4 downto 0);\r
CLKOS3=> clk_80\r
);\r
\r
- H5(3) <= clk_320;\r
- RJ(0) <= clk_40;\r
+ H3(3) <= clk_320;\r
+\r
+ -- For IPHC Proxy\r
+ -- RJ(0) <= clk_40;\r
+ -- For IKF Proxy\r
+ H1(4) <= clk_40;\r
\r
---------------------------------------------------------------------------\r
-- TrbNet Uplink\r
THE_MEDIA_INTERFACE : entity work.med_ecp5_sfp_sync\r
generic map(\r
SERDES_NUM => SERDES_NUM,\r
- USE_NEW_ECP5_RESET => 0,\r
+ USE_NEW_ECP5_RESET => 1,\r
IS_SYNC_SLAVE => c_YES\r
)\r
port map(\r
BUS_RX(2) => bustc_rx, --Clock switch\r
BUS_RX(3) => busmimosis_rx,\r
BUS_RX(4) => busi2c_rx,\r
- BUS_RX(5) => busgbtcore_rx,\r
+ -- BUS_RX(5) => busgbtcore_rx,\r
-- BUS_RX(5) => busgbeip_rx,\r
-- BUS_RX(6) => busgbereg_rx,\r
-- BUS_RX(7) => busfwd_rx,\r
BUS_TX(2) => bustc_tx,\r
BUS_TX(3) => busmimosis_tx,\r
BUS_TX(4) => busi2c_tx,\r
- BUS_TX(5) => busgbtcore_tx,\r
+ -- BUS_TX(5) => busgbtcore_tx,\r
-- BUS_TX(5) => busgbeip_tx,\r
-- BUS_TX(6) => busgbereg_tx,\r
-- BUS_TX(7) => busfwd_tx,\r
--HEADER_IO => open,\r
HEADER_IO(7) => HDR_IO(6),\r
HEADER_IO(8) => HDR_IO(7),\r
+ HEADER_IO(9) => HDR_IO(8), -- 8, RX\r
+ HEADER_IO(10) => HDR_IO(9), -- 9, TX\r
ADDITIONAL_REG => add_reg,\r
--ADC\r
ADC_CS => ADC_NCS,\r
-- COMMON_SDA(6) <= '0' when (add_reg(31) = '1') else 'Z';\r
-- COMMON_SCL(7) <= '0' when (add_reg(30) = '1') else 'Z';\r
\r
+ PIN(5) <= '0' when (add_reg(30) = '0') else 'Z';\r
+\r
FLASH_HOLD <= '1';\r
FLASH_WP <= '1';\r
\r
MIMOSIS_SDA <= '0' when (mimosis_sda_drv = '0') else 'Z';\r
MIMOSIS_SCL <= '0' when (mimosis_scl_drv = '0') else 'Z';\r
\r
- H5(1) <= i2c_reg_5_40(0); --MIMOSIS_SYNC\r
+ H3(1) <= i2c_reg_5_40(0); --MIMOSIS_SYNC\r
PIN(1) <= i2c_reg_5_40(4); --MIMOSIS_START\r
PIN(2) <= i2c_reg_5_40(8); --MIMOSIS_RESET\r
\r
LED_ADDON_SFP_ORANGE(0) <= (gbe_status(3) or gbe_status(4));\r
LED_ADDON_SFP_ORANGE(1) <= '0';\r
\r
+\r
+\r
-----------------------------------------------------------------------------\r
---- GbE\r
-----------------------------------------------------------------------------\r
---------------------------------------------------------------------------\r
-- Output stage\r
---------------------------------------------------------------------------\r
- THE_OUT : entity work.testout\r
- port map(\r
- clkout => open,\r
- refclk => clk_160,\r
- reset => reset_i,\r
- data => out_data,\r
- data_cflag => open,\r
- data_direction => (others => '0'),\r
- data_loadn => (others => '1'),\r
- data_move => (others => '0'),\r
- dout => out_i\r
- );\r
-\r
- PROC_OUT : process\r
- variable cnt : integer range 0 to 7;\r
- begin\r
- wait until rising_edge(clk_160);\r
- cnt := cnt + 1;\r
- case cnt is\r
- when 0 => out_data <= x"ffff";\r
- when 1 => out_data <= x"ffff";\r
- when 2 => out_data <= x"ffff";\r
- when 3 => out_data <= x"0000";\r
- when 4 => out_data <= x"5555";\r
- when 5 => out_data <= x"5555";\r
- when 6 => out_data <= x"5555";\r
- when 7 => out_data <= x"5555";\r
- end case;\r
- end process;\r
-\r
- H3(3 downto 0) <= out_i(3 downto 0);\r
- H4(3 downto 0) <= out_i(7 downto 4);\r
+ -- THE_OUT : entity work.testout\r
+ -- port map(\r
+ -- clkout => open,\r
+ -- refclk => clk_160,\r
+ -- reset => reset_i,\r
+ -- data => out_data,\r
+ -- data_cflag => open,\r
+ -- data_direction => (others => '0'),\r
+ -- data_loadn => (others => '1'),\r
+ -- data_move => (others => '0'),\r
+ -- dout => out_i\r
+ -- );\r
+\r
+ -- PROC_OUT : process\r
+ -- variable cnt : integer range 0 to 7;\r
+ -- begin\r
+ -- wait until rising_edge(clk_160);\r
+ -- cnt := cnt + 1;\r
+ -- case cnt is\r
+ -- when 0 => out_data <= x"ffff";\r
+ -- when 1 => out_data <= x"ffff";\r
+ -- when 2 => out_data <= x"ffff";\r
+ -- when 3 => out_data <= x"0000";\r
+ -- when 4 => out_data <= x"5555";\r
+ -- when 5 => out_data <= x"5555";\r
+ -- when 6 => out_data <= x"5555";\r
+ -- when 7 => out_data <= x"5555";\r
+ -- end case;\r
+ -- end process;\r
+\r
+ -- H3(3 downto 0) <= out_i(3 downto 0);\r
+ -- H4(3 downto 0) <= out_i(7 downto 4);\r
\r
\r
---------------------------------------------------------------------------\r