LVL1_TRG_RECEIVED_OUT : out std_logic;
LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);
LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0);
- LVL1_TRG_INFORMATION_OUT : out std_logic_vector(7 downto 0);
+ LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0);
LVL1_ERROR_PATTERN_IN : in std_logic_vector(31 downto 0) := x"00000000";
LVL1_TRG_RELEASE_IN : in std_logic := '0';
LVL1_INT_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0);