STAT_CTRL_REGS <= HC_CTRL_REGS(255 downto 0);
HUB_STAT_CHANNEL <= buf_HUB_STAT_CHANNEL;
STAT_DEBUG <= buf_STAT_DEBUG;
- HUB_STAT_GEN <= (others => '0');
+
+ HUB_STAT_GEN(3 downto 0) <= HUB_locked;
+ HUB_STAT_GEN(31 downto 4) <= (others => '0');
TIMER_TICKS_OUT(0) <= timer_us_tick;
TIMER_TICKS_OUT(1) <= timer_us_tick;
GSC_REPLY_DATA_OUT : out std_logic_vector(15 downto 0);
GSC_REPLY_PACKET_NUM_OUT : out std_logic_vector(2 downto 0);
GSC_REPLY_READ_IN : in std_logic;
-
+ GSC_BUSY_OUT : out std_logic;
+
--status and control ports
HUB_STAT_CHANNEL : out std_logic_vector (2**(c_MUX_WIDTH-1)*16-1 downto 0);
HUB_STAT_GEN : out std_logic_vector (31 downto 0);
GSC_REPLY_DATA_OUT : out std_logic_vector(15 downto 0);
GSC_REPLY_PACKET_NUM_OUT : out std_logic_vector(2 downto 0);
GSC_REPLY_READ_IN : in std_logic;
+ GSC_BUSY_OUT : out std_logic;
--status and control ports
HUB_STAT_CHANNEL : out std_logic_vector (2**(c_MUX_WIDTH-1)*16-1 downto 0);
signal hub_got_network_reset : std_logic;
signal timer_ticks : std_logic_vector(1 downto 0);
signal hub_ctrl_debug : std_logic_vector(31 downto 0);
+signal buf_HUB_STAT_GEN : std_logic_vector(31 downto 0);
begin
COMMON_STAT_REGS => common_stat,
MPLEX_CTRL => (others => '0'),
CTRL_DEBUG => hub_ctrl_debug,
- STAT_DEBUG => STAT_DEBUG
+ STAT_DEBUG => STAT_DEBUG,
+ HUB_STAT_GEN => buf_HUB_STAT_GEN
);
hub_ctrl_debug(2 downto 0) <= not io_error_in;
hub_ctrl_debug(31 downto 3) <= (others => '0');
-
+ HUB_STAT_GEN <= buf_HUB_STAT_GEN;
---------------------------------------------------------------------
-- I/O Buffers
---------------------------------------------------------------------
GSC_REPLY_DATA_OUT <= hub_reply_data_out(63 downto 48);
GSC_REPLY_PACKET_NUM_OUT <= hub_reply_packet_num_out(11 downto 9);
hub_reply_read_in(3) <= GSC_REPLY_READ_IN;
+ GSC_BUSY_OUT <= buf_HUB_STAT_GEN(3);
---------------------------------------------------------------------
-- Debug