TX_DLM_WORD : in std_logic_vector(7 downto 0) := x"00";
--SFP Connection
- SD_RXD_P_IN : in std_logic := '0';
- SD_RXD_N_IN : in std_logic := '0';
- SD_TXD_P_OUT : out std_logic := '0';
- SD_TXD_N_OUT : out std_logic := '0';
- SD_REFCLK_P_IN : in std_logic; --not used
- SD_REFCLK_N_IN : in std_logic; --not used
SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted)
SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal)
SD_TXDIS_OUT : out std_logic := '0'; -- SFP disable
TX_DLM_WORD : in std_logic_vector(7 downto 0) := x"00";
--SFP Connection
- SD_RXD_P_IN : in std_logic := '0';
- SD_RXD_N_IN : in std_logic := '0';
- SD_TXD_P_OUT : out std_logic := '0';
- SD_TXD_N_OUT : out std_logic := '0';
- SD_REFCLK_P_IN : in std_logic; --not used
- SD_REFCLK_N_IN : in std_logic; --not used
SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted)
SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal)
SD_TXDIS_OUT : out std_logic := '0'; -- SFP disable
rx_cv_err(0) => rx_error,
tx_idle_c => '0',
- signal_detect_c => '0', --?
+ signal_detect_c => '0', --?force enable
rx_los_low_s => rx_los_low,
lsm_status_s => lsm_status,
rx_cdr_lol_s => rx_cdr_lol,
sci_wrn => sci_write_i,
sci_int => open,
- cyawstn => '0', --?
+ cyawstn => '1', --?
rst_dual_c => rst_qd,
serdes_rst_dual_c => '0',
tx_pwrup_c => '1',
serdes_pdb => '1',
tx_serdes_rst_c => '0',
- pll_refclki => '0',
+ pll_refclki => clk_200_ref,
sli_rst => '0',
pll_lol => tx_pll_lol
);
port map(
CLK_SYS => SYSCLK,
CLK_RXI => clk_rx_full, --clk_rx_full,
- CLK_RXHALF => clk_rx_half,
+ CLK_RXHALF => '0',
CLK_TXI => clk_200_ref, --clk_200_internal, --clk_tx_full, JM150706
CLK_REF => CLK_INTERNAL_FULL,
RESET => RESET,
SD_RXD_N_IN : in std_logic;
SD_TXD_P_OUT : out std_logic;
SD_TXD_N_OUT : out std_logic;
- SD_REFCLK_P_IN : in std_logic;
- SD_REFCLK_N_IN : in std_logic;
+ SD_REFCLK_P_IN : in std_logic := '0';
+ SD_REFCLK_N_IN : in std_logic := '0';
SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted)
SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal)
SD_TXDIS_OUT : out std_logic; -- SFP disable