);\r
PORT(\r
RESET : IN std_logic;\r
+ RESET_FLAGS_IN : in std_logic;\r
+ RESET_STATS_IN : in std_logic;\r
CLOCK : IN std_logic;\r
LVL1_TIMING_TRG_IN : IN std_logic;\r
LVL1_PSEUDO_TMG_TRG_IN : IN std_logic;\r
LVL1_TRG_NUMBER_IN : IN std_logic_vector(15 downto 0);\r
LVL1_TRG_CODE_IN : IN std_logic_vector(7 downto 0);\r
LVL1_TRG_INFORMATION_IN : IN std_logic_vector(23 downto 0);\r
- LVL1_INT_TRG_RESET_IN : IN std_logic;\r
+-- LVL1_INT_TRG_RESET_IN : IN std_logic;\r
LVL1_INT_TRG_LOAD_IN : IN std_logic;\r
LVL1_INT_TRG_COUNTER_IN : IN std_logic_vector(15 downto 0);\r
LVL1_ERROR_PATTERN_IN : IN std_logic_vector(31 downto 0);\r
LVL1_VALID_TIMING_TRG_OUT : OUT std_logic;\r
LVL1_VALID_NOTIMING_TRG_OUT : OUT std_logic;\r
LVL1_INVALID_TRG_OUT : OUT std_logic;\r
- STATUS_OUT : OUT std_logic_vector(31 downto 0);\r
+ STATUS_OUT : OUT std_logic_vector(63 downto 0);\r
DEBUG_OUT : OUT std_logic_vector(15 downto 0)\r
);\r
END COMPONENT;\r
SIGNAL LVL1_ERROR_PATTERN_OUT : std_logic_vector(31 downto 0);\r
SIGNAL LVL1_TRG_RELEASE_OUT : std_logic;\r
SIGNAL LVL1_INT_TRG_NUMBER_OUT : std_logic_vector(15 downto 0);\r
- SIGNAL LVL1_INT_TRG_RESET_IN : std_logic;\r
+-- SIGNAL LVL1_INT_TRG_RESET_IN : std_logic;\r
SIGNAL LVL1_INT_TRG_LOAD_IN : std_logic;\r
SIGNAL LVL1_INT_TRG_COUNTER_IN : std_logic_vector(15 downto 0);\r
SIGNAL LVL1_TRG_DATA_VALID_OUT : std_logic;\r
SIGNAL LVL1_INVALID_TRG_OUT : std_logic;\r
SIGNAL LVL1_ERROR_PATTERN_IN : std_logic_vector(31 downto 0);\r
SIGNAL LVL1_TRG_RELEASE_IN : std_logic;\r
- SIGNAL STATUS_OUT : std_logic_vector(31 downto 0);\r
+ SIGNAL STATUS_OUT : std_logic_vector(63 downto 0);\r
SIGNAL TRG_ENABLE_IN : std_logic;\r
SIGNAL TRG_INVERT_IN : std_logic;\r
SIGNAL DEBUG_OUT : std_logic_vector(15 downto 0);\r
\r
-- Please check and add your generic clause manually\r
uut: handler_lvl1 \r
- GENERIC MAP(\r
+ generic map(\r
TIMING_TRIGGER_RAW => 1\r
)\r
- PORT MAP(\r
+ port map(\r
RESET => RESET,\r
+ RESET_FLAGS_IN => '0',\r
+ RESET_STATS_IN => '0',\r
CLOCK => CLOCK,\r
LVL1_TIMING_TRG_IN => LVL1_TIMING_TRG_IN,\r
LVL1_PSEUDO_TMG_TRG_IN => LVL1_PSEUDO_TMG_TRG_IN,\r
LVL1_ERROR_PATTERN_OUT => LVL1_ERROR_PATTERN_OUT,\r
LVL1_TRG_RELEASE_OUT => LVL1_TRG_RELEASE_OUT,\r
LVL1_INT_TRG_NUMBER_OUT => LVL1_INT_TRG_NUMBER_OUT,\r
- LVL1_INT_TRG_RESET_IN => LVL1_INT_TRG_RESET_IN,\r
+-- LVL1_INT_TRG_RESET_IN => LVL1_INT_TRG_RESET_IN,\r
LVL1_INT_TRG_LOAD_IN => LVL1_INT_TRG_LOAD_IN,\r
LVL1_INT_TRG_COUNTER_IN => LVL1_INT_TRG_COUNTER_IN,\r
LVL1_TRG_DATA_VALID_OUT => LVL1_TRG_DATA_VALID_OUT,\r
lvl1_trg_number_in <= x"0000";\r
lvl1_trg_code_in <= x"00";\r
lvl1_trg_information_in <= x"000000";\r
- lvl1_int_trg_reset_in <= '0';\r
+-- lvl1_int_trg_reset_in <= '0';\r
lvl1_int_trg_load_in <= '0';\r
lvl1_int_trg_counter_in <= x"0000";\r
lvl1_error_pattern_in <= x"0000_0000";\r
-- receive one normal timing trigger\r
wait for 3 ns;\r
lvl1_timing_trg_in <= '1';\r
- wait for 111 ns;\r
+ wait for 100 ns;\r
lvl1_timing_trg_in <= '0'; \r
+ wait for 10 ns;\r
+ lvl1_timing_trg_in <= '1'; \r
+ wait for 200 ns;\r
+ lvl1_timing_trg_in <= '0'; \r
+\r
wait for 1000 ns;\r
\r
-- LVL1 packet is there\r
-- receive one normal timing trigger\r
wait for 3 ns;\r
lvl1_timing_trg_in <= '1';\r
- wait for 111 ns;\r
+ wait for 100 ns;\r
lvl1_timing_trg_in <= '0'; \r
+ wait for 10 ns;\r
+ lvl1_timing_trg_in <= '1'; \r
+ wait for 200 ns;\r
+ lvl1_timing_trg_in <= '0'; \r
+ \r
wait for 1000 ns;\r
\r
-- LVL1 packet is there\r