##############################################################
#
-# Xilinx Core Generator version J.40
-# Date: Sun Apr 18 18:45:39 2010
+# Xilinx Core Generator version K.39
+# Date: Mon Apr 19 12:38:50 2010
#
##############################################################
#
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
-SET package = ff668
+SET package = ff1148
SET removerpms = False
SET simulationfiles = Behavioral
-SET speedgrade = -11
+SET speedgrade = -10
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
-SELECT Fifo_Generator family Xilinx,_Inc. 4.2
+SELECT Fifo_Generator family Xilinx,_Inc. 4.4
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_18x512_oreg
CSET data_count=true
-CSET data_count_width=9
+CSET data_count_width=10
+CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_negate_value=3
CSET enable_int_clk=false
CSET fifo_implementation=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
-CSET full_threshold_assert_value=510
-CSET full_threshold_negate_value=509
+CSET full_threshold_assert_value=1022
+CSET full_threshold_negate_value=1021
CSET input_data_width=18
-CSET input_depth=512
+CSET input_depth=1024
CSET output_data_width=18
-CSET output_depth=512
+CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_full_type=Single_Programmable_Full_Threshold_Input_Port
CSET read_clock_frequency=1
CSET read_data_count=false
-CSET read_data_count_width=9
+CSET read_data_count_width=10
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
-CSET use_dout_reset=false
-CSET use_embedded_registers=true
+CSET use_dout_reset=true
+CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
-CSET write_data_count_width=9
+CSET write_data_count_width=10
# END Parameters
GENERATE
-# CRC: 5d90b917
+# CRC: 98b1a0cf
##############################################################
#
-# Xilinx Core Generator version J.40
-# Date: Fri Apr 16 21:01:46 2010
+# Xilinx Core Generator version K.39
+# Date: Mon Apr 19 12:37:12 2010
#
##############################################################
#
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
-SET package = ff668
+SET package = ff1148
SET removerpms = False
SET simulationfiles = Behavioral
-SET speedgrade = -11
+SET speedgrade = -10
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
-SELECT Fifo_Generator family Xilinx,_Inc. 4.2
+SELECT Fifo_Generator family Xilinx,_Inc. 4.4
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
-CSET almost_full_flag=true
+CSET almost_full_flag=false
CSET component_name=fifo_36x16k_oreg
CSET data_count=true
CSET data_count_width=14
+CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_negate_value=3
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
-CSET use_dout_reset=false
-CSET use_embedded_registers=true
+CSET use_dout_reset=true
+CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_data_count_width=14
# END Parameters
GENERATE
-# CRC: 4fce8c61
+# CRC: e09a62f9
);
end component;
+component fifo_36x512_oreg
+ port (
+ clk : in std_logic;
+ din : in std_logic_vector(17 downto 0);
+ prog_full_thresh : in std_logic_vector(8 downto 0);
+ rd_en : in std_logic;
+ rst : in std_logic;
+ wr_en : in std_logic;
+ data_count : out std_logic_vector(8 downto 0);
+ dout : out std_logic_vector(17 downto 0);
+ empty : out std_logic;
+ full : out std_logic;
+ prog_full : out std_logic
+ );
+end component;
+
+
component fifo_36x16k_oreg
port (
clk : in std_logic;
begin
- assert (FIFO_DEPTH >= 13 and FIFO_DEPTH <= 14 and FIFO_WIDTH = 36)
- or (FIFO_DEPTH >= 9 and FIFO_DEPTH <= 9 and FIFO_WIDTH = 18)
- report "Selected data buffer size not implemented" severity error;
+--REPORT_EN: if ( ( (FIFO_DEPTH < 14 or FIFO_DEPTH >15) and FIFO_WIDTH = 36) or ( (FIFO_DEPTH < 9 or FIFO_DEPTH >9) and FIFO_WIDTH = 18) ) generate
+-- assert (FALSE) report "Selected data buffer type not implemented : depth - "&integer'image(FIFO_DEPTH)& ", width + 4 : " &integer'image(FIFO_WIDTH) severity error;
+--end generate REPORT_EN;
+
-end architecture;
\ No newline at end of file
+end architecture;