BUS_RX : in CTRLBUS_RX;
BUS_TX : out CTRLBUS_TX;
- ADCSPI_CTRL : out std_logic_vector(7 downto 0)
+ ADCSPI_CTRL : out std_logic_vector(7 downto 0);
+
+ ADC_CLK_TDC_OUT : out std_logic
);
end entity;
DEBUG_BUFFER_DATA => buffer_data(i),
DEBUG_BUFFER_READY => buffer_ready(i),
READOUT_RX => READOUT_RX,
- READOUT_TX => READOUT_TX(i)
+ READOUT_TX => READOUT_TX(i),
+ ADC_CLK_TDC_OUT => ADC_CLK_TDC_OUT
);
end generate;
DEBUG_BUFFER_READY : out std_logic;
READOUT_RX : in READOUT_RX;
- READOUT_TX : out READOUT_TX
+ READOUT_TX : out READOUT_TX;
+
+ ADC_CLK_TDC_OUT : out std_logic
);
end entity adc_processor_cfd;
debug_sys <= debug_adc when rising_edge(CLK_SYS);
busy_in_adc <= busy_in_sys when rising_edge(CLK_ADC);
busy_out_sys <= busy_out_adc when rising_edge(CLK_SYS);
+
+ ADC_CLK_TDC_OUT <= debug_adc(5).EpochCounter(10);
+
gen_cfd : for i in 0 to CHANNELS - 1 generate
trigger_gen(i) <= debug_sys(i).Trigger;
BUS_RX => busadc_rx,
BUS_TX => busadc_tx,
- ADCSPI_CTRL => adcspi_ctrl
+ ADCSPI_CTRL => adcspi_ctrl,
+
+ ADC_CLK_TDC_OUT => tdc_inputs(0)
);
end generate;