TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0); --local time, resetted with each trigger
TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); --bit 1 ms-tick, 0 us-tick
TEMPERATURE_OUT : out std_logic_vector(11 downto 0);
+ UNIQUE_ID_OUT : out std_logic_vector(63 downto 0);
--Debugging & Status information
STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
STAT_DEBUG_1 : out std_logic_vector (31 downto 0);
ADDR_OUT => ONEWIRE_ADDR,
WRITE_OUT=> ONEWIRE_WRITE,
TEMP_OUT => temperature,
+ ID_OUT => UNIQUE_ID_OUT,
STAT => buf_stat_onewire
);
end generate;
ADDR_OUT => ONEWIRE_ADDR,
WRITE_OUT=> ONEWIRE_WRITE,
TEMP_OUT => temperature,
+ ID_OUT => UNIQUE_ID_OUT,
STAT => buf_stat_onewire
);
end generate;
TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0); --local time, resetted with each trigger
TIME_TICKS_OUT : out std_logic_vector ( 1 downto 0); --bit 1 ms-tick, 0 us-tick
TEMPERATURE_OUT : out std_logic_vector (11 downto 0);
+ UNIQUE_ID_OUT : out std_logic_vector (63 downto 0);
--Debugging & Status information
STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);
TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_i,
TIMER_TICKS_OUT => time_ticks_i,
TEMPERATURE_OUT => TEMPERATURE_OUT,
+ UNIQUE_ID_OUT => UNIQUE_ID_OUT,
STAT_DEBUG_IPU => open,
STAT_DEBUG_1 => open,
signal time_since_last_trg_i : std_logic_vector (31 downto 0);
signal time_ticks_i : std_logic_vector ( 1 downto 0);
signal temperature_i : std_logic_vector (11 downto 0);
-
+ signal unique_id_i : std_logic_vector (63 downto 0);
signal buf_fee_data_almost_full_out : std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
signal stat_handler_i : std_logic_vector (127 downto 0);
TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_i,
TIMER_TICKS_OUT => time_ticks_i,
TEMPERATURE_OUT => temperature_i,
+ UNIQUE_ID_OUT => unique_id_i,
STAT_DEBUG_IPU => open,
STAT_DEBUG_1 => open,
TIMERS_OUT.tick_ms <= time_ticks_i(1);
TIMERS_OUT.tick_us <= time_ticks_i(0);
TIMERS_OUT.temperature <= temperature_i;
-
+TIMERS_OUT.uid <= unique_id_i;
---------------------------------------------------------------------------
-- RegIO Bus Handler
---------------------------------------------------------------------------
REGIO_VAR_ENDPOINT_ID : in std_logic_vector(15 downto 0) := (others => '0');\r
MY_ADDRESS_OUT : out std_logic_vector(15 downto 0);\r
TEMPERATURE_OUT : out std_logic_vector(11 downto 0);\r
+ UNIQUE_ID_OUT : out std_logic_vector (63 downto 0);\r
GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0); --global time, microseconds\r
LOCAL_TIME_OUT : out std_logic_vector(7 downto 0); --local time running with chip frequency\r
TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0); --local time, resetted with each trigger\r
TIME_LOCAL_OUT : out std_logic_vector (7 downto 0); --local time running with chip frequency\r
TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0); --local time, resetted with each trigger\r
TIME_TICKS_OUT : out std_logic_vector (1 downto 0); --bit 1 ms-tick, 0 us-tick\r
- TEMPERATURE_OUT : out std_logic_vector(11 downto 0);\r
-\r
+ TEMPERATURE_OUT : out std_logic_vector (11 downto 0);\r
+ UNIQUE_ID_OUT : out std_logic_vector (63 downto 0);\r
--Debugging & Status information\r
STAT_DEBUG_IPU : out std_logic_vector (31 downto 0);\r
STAT_DEBUG_1 : out std_logic_vector (31 downto 0);\r
tick_us : std_logic;
network_address : std_logic_vector (15 downto 0);
temperature : std_logic_vector (11 downto 0);
+ uid : std_logic_vector (63 downto 0);
end record;
type MED2INT is record