REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";
REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
REGIO_COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ REGIO_COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
REGIO_USE_1WIRE_INTERFACE : integer := c_YES; --c_YES,c_NO,c_MONITOR
REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;
REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";
REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
REGIO_COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ REGIO_COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
REGIO_USE_1WIRE_INTERFACE : integer := c_YES; --c_YES,c_NO,c_MONITOR
REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;
REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := x"1000_2000_3654_4876";
REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";
REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
- REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ REGIO_COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
REGIO_USE_1WIRE_INTERFACE : integer := c_YES; --c_YES,c_NO,c_MONITOR
REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;
x"00000000_00000000_00007077_00000000" &
x"FFFFFFFF_FFFFFFFF_FFFFFFFF_FFFFFFFF";
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
x"00000000_00000000_000050FF_00000000" &
x"FFFFFFFF_FFFFFFFF_FFFFFFFF_FFFFFFFF";
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
INIT_ADDRESS : std_logic_vector(15 downto 0) := x"F004";
INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0');
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
BROADCAST_BITMASK : std_logic_vector(7 downto 0) := x"7E";
INIT_ADDRESS : std_logic_vector(15 downto 0) := x"F004";
INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0');
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
BROADCAST_BITMASK : std_logic_vector(7 downto 0) := x"7E";
INIT_ADDRESS : std_logic_vector(15 downto 0) := x"F3C0";
INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0');
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"9000CE00";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0005";
BROADCAST_BITMASK : std_logic_vector(7 downto 0) := x"7E";
INIT_ADDRESS : std_logic_vector(15 downto 0) := x"F004";
INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0');
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
BROADCAST_BITMASK : std_logic_vector(7 downto 0) := x"7E";
INIT_ADDRESS : std_logic_vector(15 downto 0) := x"F004";
INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0');
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
BROADCAST_BITMASK : std_logic_vector(7 downto 0) := x"7E";
INIT_ADDRESS : std_logic_vector(15 downto 0) := x"F3C0";
INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0');
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"9000CE00";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0005";
BROADCAST_BITMASK : std_logic_vector(7 downto 0) := x"7E";
INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000"; --not used any more!
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
CLOCK_FREQ : integer range 1 to 200 := 100 --MHz
);
generic map(
INIT0 => COMPILE_TIME_LIB(15 downto 0),
INIT1 => COMPILE_TIME_LIB(31 downto 16),
- INIT2 => COMPILE_VERSION,
- INIT3 => (others => '0'),
+ INIT2 => COMPILE_VERSION(15 downto 0),
+ INIT3 => COMPILE_VERSION(31 downto 16),
INIT4 => HARDWARE_VERSION(15 downto 0),
INIT5 => HARDWARE_VERSION(31 downto 16),
- INIT6 => (others => '0'),
- INIT7 => (others => '0')
+ INIT6 => COMPILE_VERSION(47 downto 32),
+ INIT7 => COMPILE_VERSION(63 downto 48)
)
port map(
CLK => CLK,
REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";\r
REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";\r
REGIO_COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";\r
- REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";\r
+ REGIO_COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');\r
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";\r
REGIO_USE_1WIRE_INTERFACE : integer := c_YES; --c_YES,c_NO,c_MONITOR\r
REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;\r
REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";\r
REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";\r
REGIO_COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";\r
- REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";\r
+ REGIO_COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');\r
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";\r
REGIO_USE_1WIRE_INTERFACE : integer := c_YES; --c_YES,c_NO,c_MONITOR\r
REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;\r
REGIO_INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"0000_0000";\r
REGIO_INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";\r
REGIO_COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";\r
- REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";\r
+ REGIO_COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');\r
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"50000000";\r
REGIO_USE_1WIRE_INTERFACE : integer := c_YES; --c_YES,c_NO,c_MONITOR\r
REGIO_USE_VAR_ENDPOINT_ID : integer range c_NO to c_YES := c_NO;\r
INIT_BOARD_INFO : std_logic_vector(31 downto 0) := x"1111_2222";\r
INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001";\r
COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000";\r
- COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";\r
+ COMPILE_VERSION : std_logic_vector(63 downto 0) := (others => '0');\r
HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";\r
CLOCK_FREQ : integer range 1 to 200 := 100 --MHz\r
);\r