CLK_EN : in std_logic;
--Media interfacces
- --each port is one bit bigger than actually necessary to avoid error messages
MED_DATAREADY_OUT : out std_logic_vector (MII_NUMBER-1 downto 0);
MED_DATA_OUT : out std_logic_vector (MII_NUMBER*c_DATA_WIDTH-1 downto 0);
MED_PACKET_NUM_OUT: out std_logic_vector (MII_NUMBER*c_NUM_WIDTH-1 downto 0);
--8x CTRL, 8x STAT
- buf_HUB_STAT_GEN(0) <= MED_DATA_IN(14);
- buf_HUB_STAT_GEN(1) <= buf_to_hub_REPLY_DATA(14);
- buf_HUB_STAT_GEN(2) <= buf_to_hub_REPLY_DATAREADY(0);
- buf_HUB_STAT_GEN(7 downto 3) <= (others => '0');
+
+ buf_HUB_STAT_GEN(3 downto 0) <= MED_PACKET_NUM_OUT;
+ buf_HUB_STAT_GEN(5 downto 4) <= MED_DATAREADY_IN;
+ buf_HUB_STAT_GEN(7 downto 6) <= HUB_MED_CONNECTED(1 downto 0);
buf_HUB_STAT_GEN(15 downto 8) <= buf_STAT_POINTS_locked(7 downto 0);
buf_HUB_STAT_GEN(19 downto 16) <= (others => '0');
buf_HUB_STAT_GEN(31 downto 20) <= TEMP_OUT;
STAT(6) <= REPLY_DATA_IN(14);
STAT(7) <= REPLY_DATA_IN(30);
STAT(8) <= REPLY_DATA_IN(46);
+STAT(9) <= locked;
-
-STAT(15 downto 9) <= (others => '0');
+STAT(15 downto 10) <= (others => '0');
--STAT(15 downto 8) <= data_counter;
STAT_POINTS_locked(POINT_NUMBER-1 downto 0) <= not got_trm;
STAT_POINTS_locked(31 downto POINT_NUMBER) <= (others => '0');
end if;
when WAIT_FOR_TX_ALLOW =>
next_MED_ERROR_OUT <= ERROR_WAIT;
- if counter(27) = '1' then
+ if counter(28) = '1' then
next_tx_allow <= '1';
next_state <= WORKING;
end if;