# # LOCATE COMP "KEL38_N" SITE "AB27";\r
# # LOCATE COMP "KEL39_N" SITE "M33";\r
# # LOCATE COMP "KEL40_N" SITE "M28";\r
-# LOCATE COMP "KEL_1" SITE "AP5";\r
-# LOCATE COMP "KEL_2" SITE "AP2";\r
-# LOCATE COMP "KEL_3" SITE "AN1";\r
-# LOCATE COMP "KEL_4" SITE "AN3";\r
-# LOCATE COMP "KEL_5" SITE "AL5";\r
-# LOCATE COMP "KEL_6" SITE "AM6";\r
-# LOCATE COMP "KEL_7" SITE "AL4";\r
-# LOCATE COMP "KEL_8" SITE "AJ5";\r
-# LOCATE COMP "KEL_9" SITE "AJ2";\r
-# LOCATE COMP "KEL_10" SITE "AL3";\r
-# LOCATE COMP "KEL_11" SITE "AD9";\r
-# LOCATE COMP "KEL_12" SITE "AJ4";\r
-# LOCATE COMP "KEL_13" SITE "V4";\r
-# LOCATE COMP "KEL_14" SITE "V5";\r
-# LOCATE COMP "KEL_15" SITE "T9";\r
-# LOCATE COMP "KEL_16" SITE "T2";\r
-# LOCATE COMP "KEL_17" SITE "P7";\r
-# LOCATE COMP "KEL_18" SITE "R8";\r
-# LOCATE COMP "KEL_19" SITE "R2";\r
-# LOCATE COMP "KEL_20" SITE "P9";\r
-# LOCATE COMP "KEL_21" SITE "AP29";\r
-# LOCATE COMP "KEL_22" SITE "AP33";\r
-# LOCATE COMP "KEL_23" SITE "AN34";\r
-# LOCATE COMP "KEL_24" SITE "AP31";\r
-# LOCATE COMP "KEL_25" SITE "AN32";\r
-# LOCATE COMP "KEL_26" SITE "AM29";\r
-# LOCATE COMP "KEL_27" SITE "AL31";\r
-# LOCATE COMP "KEL_28" SITE "AL30";\r
-# LOCATE COMP "KEL_29" SITE "AL34";\r
-# LOCATE COMP "KEL_30" SITE "AJ31";\r
-# LOCATE COMP "KEL_31" SITE "AH33";\r
-# LOCATE COMP "KEL_32" SITE "AL32";\r
-# LOCATE COMP "KEL_33" SITE "AF32";\r
-# LOCATE COMP "KEL_34" SITE "AE32";\r
-# LOCATE COMP "KEL_35" SITE "AE30";\r
-# LOCATE COMP "KEL_36" SITE "AD26";\r
-# LOCATE COMP "KEL_37" SITE "M29";\r
-# LOCATE COMP "KEL_38" SITE "AC28";\r
-# LOCATE COMP "KEL_39" SITE "M34";\r
-# LOCATE COMP "KEL_40" SITE "L28";\r
-# DEFINE PORT GROUP "KEL_group" "KEL*" ;\r
-# IOBUF GROUP "KEL_group" IO_TYPE=LVDS25 ;\r
+LOCATE COMP "KEL_1" SITE "AP5";\r
+LOCATE COMP "KEL_2" SITE "AP2";\r
+LOCATE COMP "KEL_3" SITE "AN1";\r
+LOCATE COMP "KEL_4" SITE "AN3";\r
+LOCATE COMP "KEL_5" SITE "AL5";\r
+LOCATE COMP "KEL_6" SITE "AM6";\r
+LOCATE COMP "KEL_7" SITE "AL4";\r
+LOCATE COMP "KEL_8" SITE "AJ5";\r
+LOCATE COMP "KEL_9" SITE "AJ2";\r
+LOCATE COMP "KEL_10" SITE "AL3";\r
+LOCATE COMP "KEL_11" SITE "AD9";\r
+LOCATE COMP "KEL_12" SITE "AJ4";\r
+LOCATE COMP "KEL_13" SITE "V4";\r
+LOCATE COMP "KEL_14" SITE "V5";\r
+LOCATE COMP "KEL_15" SITE "T9";\r
+LOCATE COMP "KEL_16" SITE "T2";\r
+LOCATE COMP "KEL_17" SITE "P7";\r
+LOCATE COMP "KEL_18" SITE "R8";\r
+LOCATE COMP "KEL_19" SITE "R2";\r
+LOCATE COMP "KEL_20" SITE "P9";\r
+LOCATE COMP "KEL_21" SITE "AP29";\r
+LOCATE COMP "KEL_22" SITE "AP33";\r
+LOCATE COMP "KEL_23" SITE "AN34";\r
+LOCATE COMP "KEL_24" SITE "AP31";\r
+LOCATE COMP "KEL_25" SITE "AN32";\r
+LOCATE COMP "KEL_26" SITE "AM29";\r
+LOCATE COMP "KEL_27" SITE "AL31";\r
+LOCATE COMP "KEL_28" SITE "AL30";\r
+LOCATE COMP "KEL_29" SITE "AL34";\r
+LOCATE COMP "KEL_30" SITE "AJ31";\r
+LOCATE COMP "KEL_31" SITE "AH33";\r
+LOCATE COMP "KEL_32" SITE "AL32";\r
+LOCATE COMP "KEL_33" SITE "AF32";\r
+LOCATE COMP "KEL_34" SITE "AE32";\r
+LOCATE COMP "KEL_35" SITE "AE30";\r
+LOCATE COMP "KEL_36" SITE "AD26";\r
+LOCATE COMP "KEL_37" SITE "M29";\r
+LOCATE COMP "KEL_38" SITE "AC28";\r
+LOCATE COMP "KEL_39" SITE "M34";\r
+LOCATE COMP "KEL_40" SITE "L28";\r
+DEFINE PORT GROUP "KEL_group" "KEL*" ;\r
+IOBUF GROUP "KEL_group" IO_TYPE=LVDS25 ;\r
\r
#################################################################\r
# Many LED\r
LOCATE COMP "TEST_LINE_14" SITE "F21";\r
LOCATE COMP "TEST_LINE_15" SITE "F22";\r
DEFINE PORT GROUP "TEST_LINE_group" "TEST_LINE*" ;\r
-IOBUF GROUP "TEST_LINE_group" IO_TYPE=LVCMOS25 PULLMODE=DOWN DRIVE=8;
\ No newline at end of file
+IOBUF GROUP "TEST_LINE_group" IO_TYPE=LVCMOS25 PULLMODE=DOWN DRIVE=8;\r
--to be added
--KEL Connector
--- KEL : inout std_logic_vector(40 downto 1);
+ KEL : inout std_logic_vector(40 downto 1);
--Additional IO
HDR_IO : inout std_logic_vector(10 downto 1);
signal lcd_data : std_logic_vector(511 downto 0);
signal sfp_los_i, sfp_txdis_i, sfp_prsnt_i : std_logic;
+
+ type a_t is array(1 to 16) of std_logic_vector(6000 downto 0);
+ signal c : a_t;
+ attribute syn_keep of c : signal is true;
+ attribute syn_preserve of c : signal is true;
attribute syn_keep of GSR_N : signal is true;
attribute syn_preserve of GSR_N : signal is true;
-- TEST_LINE <= med_stat_debug(15 downto 0);
+
+readout_tx(0).data_finished <= '1';
+readout_tx(0).data_write <= '0';
+readout_tx(0).busy_release <= '1';
+
+
+-- gen_chains : for i in 1 to 16 generate
+-- process begin
+-- wait until rising_edge(clk_full);
+-- c(i)(5000 downto 1) <= c(i)(4999 downto 0);
+-- c(i)(0) <= not c(i)(0) or KEL(i);
+-- BACK_GPIO(i-1) <= c(i)(5000);
+-- if reset_i = '1' then
+-- c(i)(5000 downto 0) <= (others => '0');
+-- end if;
+-- end process;
+--
+-- end generate;
+
+
+
end architecture;