--design options: backplane or front SFP, with or without GBE
constant USE_BACKPLANE : integer := c_NO;
- constant USE_ADDON : integer := c_NO;
+ constant USE_ADDON : integer := c_YES;
constant INCLUDE_GBE : integer := c_YES; --c_NO doesn't work
--Runs with 120 MHz instead of 100 MHz
constant CLOCK_FREQUENCY : integer := CLOCK_FREQUENCY_ARR(USE_120_MHZ);
constant MEDIA_FREQUENCY : integer := MEDIA_FREQUENCY_ARR(USE_120_MHZ);
- constant CFG_MODE : integer := USE_ADDON*2 + USE_BACKPLANE;
+ constant CFG_MODE : integer := USE_ADDON;--*2 + USE_BACKPLANE;
constant INTERFACE_NUM : integer := INTERFACE_NUM_ARR(CFG_MODE);
constant IS_UPLINK : hub_ct := IS_UPLINK_ARR(CFG_MODE);
t(17 downto 17) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); --sctrl via GbE
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
+ t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
LOCATE COMP "gen_PCSC.THE_MEDIA_PCSC/THE_SERDES/PCSD_INST" SITE "PCSC";
LOCATE COMP "gen_GBE.GBE/physical_impl_gen.physical/impl_gen.gbe_serdes/PCSD_INST" SITE "PCSD";
-
+BLOCK PATH FROM CELL THE_TDC/calibration_o*;
+BLOCK PATH FROM CELL THE_CTS/TIME_REFERENCE_OUT TO CELL THE_TDC/ReferenceChannel/Channel200/SimAdderNo.FC/FF*;
REGION "MEDIA_DOWN1" "R102C40D" 13 100;
#LOCATE UGROUP "gen_PCSA.THE_MEDIA_PCSA/media_interface_group" REGION "MEDIA_DOWN1" ;
end process;
- pll_calibration : entity work.pll_in125_out33
- port map (
- CLK => CLK_SUPPL_PCLK,
- CLKOP => clk_cal,
- LOCK => open);
-
-
+
---------------------------------------------------------------------------
-- PCSA
---------------------------------------------------------------------------
CLK_READOUT => clk_sys, -- Clock for the readout
REFERENCE_TIME => cts_trigger_out, -- Reference time input
HIT_IN => hit_in_i(NUM_TDC_CHANNELS-1 downto 1), -- Channel start signals
- HIT_CAL_IN => clk_cal, -- Hits for calibrating the TDC
+ HIT_CAL_IN => CLK_SUPPL_PCLK, -- Hits for calibrating the TDC
-- Trigger signals from handler
BUSRDO_RX => cts_rdo_rx,
BUSRDO_TX => cts_rdo_additional(INCLUDE_TIMESTAMP_GENERATOR),