-- wait until rising_edge(clk_i);\r
wait until rising_edge(clk_66);\r
for i in 1 to 8 loop\r
- if (last_inp((i-1)*2) xor inp_status((i-1)*2) = '1' and (led_timer(i)(23 downto 21) > 0) then\r
+ if (last_inp((i-1)*2) xor inp_status((i-1)*2)) = '1' and (led_timer(i)(23 downto 21) > 0) then\r
led_state(i) <= not led_state(i);\r
led_timer(i) <= 0;\r
elsif led_timer(i)(23) = '1' then\r
end process; \r
\r
gen_leds : for i in 1 to 8 generate\r
- LED(i) <= not leds((i-1)*2) when led_status(8) = '1' else not led_status(i-1);\r
+ LED(i) <= not led_state(i) when led_status(8) = '1' else not led_status(i-1);\r
end generate;\r
\r
\r
-- Test Output\r
--------------------------------------------------------------------------- \r
--TEST_LINE(7 downto 0) <= selected_delay;\r
- TEST_LINE(13) <= SPI_CLK;\r
- TEST_LINE(12) <= SPI_out;\r
- TEST_LINE(11) <= SPI_in;\r
+-- TEST_LINE(13) <= SPI_CLK;\r
+-- TEST_LINE(12) <= SPI_out;\r
+-- TEST_LINE(11) <= SPI_in;\r
\r
TEST_LINE(10 downto 8) <= (others => '0');\r
end architecture;\r