\r
THE_DLY_CTR: process( CLK )\r
begin\r
- if( rising_edge(CLK) ) then\r
- if ( RESET = '1' ) then\r
- dly_ctr <= (others => '0');\r
- elsif( rst_dly_ctr = '1' ) then\r
+ if ( RESET = '1' ) then\r
+ dly_ctr <= (others => '0');\r
+ elsif( rising_edge(CLK) ) then\r
+ if( rst_dly_ctr = '1' ) then\r
dly_ctr <= (others => '0');\r
elsif( ce_dly_ctr = '1' ) then\r
dly_ctr <= dly_ctr + 1;\r
-----------------------------------------------------------\r
-- statemachine: clocked process\r
-----------------------------------------------------------\r
- THE_FSM: process( CLK )\r
+ THE_FSM: process( CLK, RESET )\r
begin\r
- if( rising_edge(CLK) ) then\r
- if( RESET = '1' ) then\r
- STATE <= INACTIVE;\r
- else\r
- STATE <= NEXT_STATE;\r
- end if;\r
+ if ( RESET = '1' ) then\r
+ STATE <= INACTIVE;\r
+ elsif( rising_edge(CLK) ) then\r
+ STATE <= NEXT_STATE;\r
end if;\r
end process THE_FSM;\r
\r
entity inserter is\r
port(\r
CLK : in std_logic;\r
- CLEAR : in std_logic;\r
+ CLEAR : in std_logic; -- not used\r
ACTIVE_IN : in std_logic;\r
-- PHY output\r
PHY_D_IN : in std_logic_vector(7 downto 0);\r
-----------------------------------------------------------\r
-- statemachine: clocked process\r
-----------------------------------------------------------\r
- THE_FSM: process( CLK )\r
+ THE_FSM: process( CLK, RESET )\r
begin\r
- if( rising_edge(CLK) ) then\r
- if( RESET = '1' ) then\r
- STATE <= ST0;\r
- else\r
+ if( RESET = '1' ) then\r
+ STATE <= ST0;\r
+ elsif( rising_edge(CLK) ) then\r
STATE <= NEXT_STATE;\r
- end if;\r
end if;\r
end process THE_FSM;\r
\r
entity remover is\r
port(\r
CLK : in std_logic;\r
- CLEAR : in std_logic;\r
+ CLEAR : in std_logic; -- not used\r
ACTIVE_IN : in std_logic;\r
-- SerDes output\r
RX_D_IN : in std_logic_vector(7 downto 0);\r
reg_tx_rx_ctrl1(0) <= MC_PROMISC_IN; -- promiscuous mode
-MAC_CONF_MACHINE_PROC : process(CLK)
+MAC_CONF_MACHINE_PROC : process( CLK, RESET )
begin
- if( rising_edge(CLK) ) then
- if( RESET = '1' ) then
- mac_conf_current_state <= IDLE;
- else
- mac_conf_current_state <= mac_conf_next_state;
- end if;
+ if ( RESET = '1' ) then
+ mac_conf_current_state <= IDLE;
+ elsif( rising_edge(CLK) ) then
+ mac_conf_current_state <= mac_conf_next_state;
end if;
end process MAC_CONF_MACHINE_PROC;
SGMII_GBE_PCS : sgmii_gbe_pcs42
port map(
rst_n => RESET_N, --CLEAR_N,
- signal_detect => link_rx_ready(i), --serdes_active(i),
+ signal_detect => serdes_active(i), --link_rx_ready(i),
gbe_mode => '1',
sgmii_mode => '0',
operational_rate => b"10",
mr_page_rx => mr_page_rx_i(i), --open,
mr_lp_adv_ability => open,
mr_main_reset => RESET, --CLEAR,
- mr_an_enable => link_rx_ready(i), --'1',
+ mr_an_enable => serdes_active(i), --link_rx_ready(i), --'1',
mr_restart_an => '0',
mr_adv_ability => x"0020"
);
port(
CLK : in std_logic;
- RESET : in std_logic;
+ RESET : in std_logic; -- not used
--SCI
SCI_WRDATA : out std_logic_vector(7 downto 0);
SCI_RDDATA : in std_logic_vector(7 downto 0);