DEPTH_OUT <= std_logic_vector(to_unsigned(DEPTH,8));
gen_FIFO6 : if DEPTH = 6 generate
- fifo:xilinx_fifo_18x1k
+ fifo:lattice_scm_fifo_18x1k
port map (
Data => din,
WrClock => CLK,
end generate;
gen_FIFO1 : if DEPTH = 1 generate
- fifo:xilinx_fifo_18x16
+ fifo:lattice_scm_fifo_18x16
port map (
Data => din,
WrClock => CLK,
STAT(36 downto 34) <= state_bits;
STAT(40 downto 37) <= fifo_status_a;
STAT(44 downto 41) <= fifo_status_m;
- STAT(63 downto 45) <= counter(22 downto 4);
-
+ STAT(48 downto 45) <= fifo_dout_m(3 downto 0);
+ STAT(50 downto 49) <= fifo_dout_m(17 downto 16);
+ STAT(54 downto 51) <= fifo_dout_a(3 downto 0);
+ STAT(56 downto 55) <= fifo_dout_a(17 downto 16);
+ STAT(63 downto 57) <= (others => '0');
+
process(CLK)
begin
if rising_edge(CLK) then