FEE_BUSY_OUT : out std_logic;
MY_ADDRESS_IN : in std_logic_vector (15 downto 0);
- CTRL_SEQNR_RESET : in std_logic;
COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs
COMMON_CTRL_REGS : out std_logic_vector (std_COMCTRLREG*32-1 downto 0); --Status of common STAT regs
constant mii : integer := MII_NUMBER-1;
-signal hub_init_dataready_out : std_logic_vector(2 downto 0);
-signal hub_reply_dataready_out : std_logic_vector(2 downto 0);
-signal hub_init_dataready_in : std_logic_vector(2 downto 0);
-signal hub_reply_dataready_in : std_logic_vector(2 downto 0);
+signal hub_init_dataready_out : std_logic_vector(3 downto 0);
+signal hub_reply_dataready_out : std_logic_vector(3 downto 0);
+signal hub_init_dataready_in : std_logic_vector(3 downto 0);
+signal hub_reply_dataready_in : std_logic_vector(3 downto 0);
-signal hub_init_read_out : std_logic_vector(2 downto 0);
-signal hub_reply_read_out : std_logic_vector(2 downto 0);
-signal hub_init_read_in : std_logic_vector(2 downto 0);
-signal hub_reply_read_in : std_logic_vector(2 downto 0);
+signal hub_init_read_out : std_logic_vector(3 downto 0);
+signal hub_reply_read_out : std_logic_vector(3 downto 0);
+signal hub_init_read_in : std_logic_vector(3 downto 0);
+signal hub_reply_read_in : std_logic_vector(3 downto 0);
-signal hub_init_data_out : std_logic_vector(47 downto 0);
-signal hub_reply_data_out : std_logic_vector(47 downto 0);
-signal hub_init_data_in : std_logic_vector(47 downto 0);
-signal hub_reply_data_in : std_logic_vector(47 downto 0);
+signal hub_init_data_out : std_logic_vector(48 downto 0);
+signal hub_reply_data_out : std_logic_vector(48 downto 0);
+signal hub_init_data_in : std_logic_vector(48 downto 0);
+signal hub_reply_data_in : std_logic_vector(48 downto 0);
-signal hub_init_packet_num_out : std_logic_vector(8 downto 0);
-signal hub_reply_packet_num_out : std_logic_vector(8 downto 0);
-signal hub_init_packet_num_in : std_logic_vector(8 downto 0);
-signal hub_reply_packet_num_in : std_logic_vector(8 downto 0);
+signal hub_init_packet_num_out : std_logic_vector(9 downto 0);
+signal hub_reply_packet_num_out : std_logic_vector(9 downto 0);
+signal hub_init_packet_num_in : std_logic_vector(9 downto 0);
+signal hub_reply_packet_num_in : std_logic_vector(9 downto 0);
signal cts_init_data_out : std_logic_vector(15 downto 0);
signal cts_init_dataready_out : std_logic;
signal io_dataready_in : std_logic_vector(3 downto 0);
signal io_read_out : std_logic_vector(3 downto 0);
-signal io_data_in : std_logic_vector(63 downto 0);
-signal io_packet_num_in : std_logic_vector(11 downto 0);
+signal io_data_in : std_logic_vector(15 downto 0);
+signal io_packet_num_in : std_logic_vector(2 downto 0);
signal io_error_in : std_logic_vector(2 downto 0);
signal reset_i : std_logic;
HARDWARE_VERSION => HARDWARE_VERSION,
CLOCK_FREQUENCY => CLOCK_FREQUENCY,
USE_ONEWIRE => USE_ONEWIRE,
- MII_NUMBER => MII_NUMBER,
+ MII_NUMBER => MII_NUMBER-1,
MII_IBUF_DEPTH => MII_IBUF_DEPTH,
MII_IS_UPLINK => MII_IS_UPLINK,
MII_IS_DOWNLINK => MII_IS_DOWNLINK,
INT_INIT_DATAREADY_IN => hub_init_dataready_in,
INT_INIT_DATA_IN => hub_init_data_in,
INT_INIT_PACKET_NUM_IN => hub_init_packet_num_in,
- INT_INIT_READ_OUT => hub_init_read_in,
+ INT_INIT_READ_OUT => hub_init_read_out,
INT_REPLY_DATAREADY_OUT => hub_reply_dataready_out,
INT_REPLY_DATA_OUT => hub_reply_data_out,
INT_REPLY_PACKET_NUM_OUT => hub_reply_packet_num_out,
MED_REPLY_READ_IN => io_read_in(1),
MED_DATAREADY_IN => io_dataready_in(0),
- MED_DATA_IN => io_data_in(15 downto 0),
- MED_PACKET_NUM_IN => io_packet_num_in(2 downto 0),
+ MED_DATA_IN => io_data_in,
+ MED_PACKET_NUM_IN => io_packet_num_in,
MED_READ_OUT => io_read_out(0),
MED_ERROR_IN => io_error_in,
RESET => reset_i,
CLK_EN => CLK_EN,
-- Media direction port
- MED_INIT_DATAREADY_OUT => io_dataready_out(4),
+ MED_INIT_DATAREADY_OUT => io_dataready_out(2),
MED_INIT_DATA_OUT => io_data_out(47 downto 32),
MED_INIT_PACKET_NUM_OUT => io_packet_num_out(8 downto 6),
MED_INIT_READ_IN => io_read_in(4),
MED_REPLY_READ_IN => io_read_in(3),
MED_DATAREADY_IN => io_dataready_in(1),
- MED_DATA_IN => io_data_in(31 downto 16),
- MED_PACKET_NUM_IN => io_packet_num_in(5 downto 3),
+ MED_DATA_IN => io_data_in,
+ MED_PACKET_NUM_IN => io_packet_num_in,
MED_READ_OUT => io_read_out(1),
MED_ERROR_IN => io_error_in,
MED_REPLY_PACKET_NUM_OUT=> io_packet_num_out(17 downto 15),
MED_REPLY_READ_IN => io_read_in(5),
MED_DATAREADY_IN => io_dataready_in(2),
- MED_DATA_IN => io_dataready_in(47 downto 31),
- MED_PACKET_NUM_IN => io_packet_num_in(8 downto 6),
+ MED_DATA_IN => io_data_in,
+ MED_PACKET_NUM_IN => io_packet_num_in,
MED_READ_OUT => io_read_out(2)
);
MED_REPLY_READ_IN => io_read_in(7),
MED_DATAREADY_IN => io_dataready_in(3),
- MED_DATA_IN => io_data_in(63 downto 48),
- MED_PACKET_NUM_IN => io_packet_num_in(11 downto 9),
+ MED_DATA_IN => io_data_in,
+ MED_PACKET_NUM_IN => io_packet_num_in,
MED_READ_OUT => io_read_out(3),
MED_ERROR_IN => io_error_in,
INT_DATAREADY_IN => io_dataready_out,
INT_DATA_IN => io_data_out,
INT_PACKET_NUM_IN => io_packet_num_out,
- INT_READ_OUT => io_read_out,
+ INT_READ_OUT => io_read_in,
CTRL => (others => '0'),
STAT => open
);