constant INCLUDE_CTS : integer range c_NO to c_YES := c_YES;
constant INCLUDE_CBMNET : integer range c_NO to c_YES := c_NO;
+ constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO;
--include TDC for all four trigger input lines
--Which external trigger module (ETM) to use?
constant INCLUDE_ETM : integer range c_NO to c_YES := c_NO;
- type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET);
+ type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26);
constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM;
constant ETM_ID : std_logic_vector(7 downto 0);
add_file -vhdl -lib work "../../trbnet/gbe_trb/base/ip_configurator.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_ARP.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_Ping.vhd"
+add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_KillPing.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_DHCP.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_SCTRL.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_TrbNetData.vhd"
signal cbm_regio_rx, bustc_rx, busgbeip_rx, busgbereg_rx : CTRLBUS_RX;
signal cbm_regio_tx, bustc_tx, busgbeip_tx, busgbereg_tx : CTRLBUS_TX;
+ signal reboot_from_gbe : std_logic;
+
component OSCF is
port (
OSC : out std_logic
FEE_BUSY_IN => gbe_fee_busy,
MC_UNIQUE_ID_IN => mc_unique_id,
+ MY_TRBNET_ADDRESS_IN => my_address,
+ ISSUE_REBOOT_OUT => reboot_from_gbe,
GSC_CLK_IN => clk_100_i,
GSC_INIT_DATAREADY_OUT => gsc_init_dataready,
PROGRAMN => PROGRAMN
);
- do_reboot_i <= common_ctrl_regs(15); -- or killswitch_reboot_i;
+ do_reboot_i <= common_ctrl_regs(15) or reboot_from_gbe; -- or killswitch_reboot_i;
-- if jttl(15) is stabily high for 1.28us: issue reboot
THE_KILLSWITCH_PROC : process