signal fee_write_overflow : std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);
begin
- assert DATA_BUFFER_FULL_THRESH < 2**DATA_BUFFER_DEPTH-2 report "Data buffer threshold too high" severity error;
+ assert DATA_BUFFER_FULL_THRESH >= (2**DATA_BUFFER_DEPTH)/2 report "Data buffer threshold too high" severity error;
assert HEADER_BUFFER_FULL_THRESH < 2**HEADER_BUFFER_DEPTH-2 report "Header buffer threshold too high" severity error;
---------------------------------------------------------------------------
INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0) :=
x"00000000_00000000_00000000_00000000" &
x"00000000_00000000_00000000_00000000" &
- x"00000000_00000000_00003077_00000000" &
+ x"00000000_00000000_000050FF_00000000" &
x"FFFFFFFF_00000000_FFFFFFFF_FFFFFFFF"
);
architecture trb_net16_regIO_arch of trb_net16_regIO is
-- Placer Directives
- attribute HGROUP : string;
+-- attribute HGROUP : string;
-- for whole architecture
- attribute HGROUP of trb_net16_regIO_arch : architecture is "RegIO_group";
+-- attribute HGROUP of trb_net16_regIO_arch : architecture is "RegIO_group";
constant COMPILE_TIME_LIB : std_logic_vector(31 downto 0) := conv_std_logic_vector(VERSION_NUMBER_TIME,32);