--- /dev/null
+// sgmii_pcs_core_beh_pp.v generated by Lattice IP Model Creator version 1\r
+// created on Wed Mar 11 09:41:50 CST 2009\r
+// Copyright(c) 2007 Lattice Semiconductor Corporation. All rights reserved\r
+// obfuscator_exe version 1.mar0807\r
+\r
+// top\r
+\r
+\r
+`define SGMII_NO_ENC\r
+\r
+`define SGMII_YES_CTC_DYNAMIC\r
+\r
+`define SGMII_FIFO_FAMILY_ECP2M\r
+\r
+`define SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module xwfb762 (icdbb13, fndd899, dzec4ce, ww62677, nt133b8, je99dc6, \r
+ gocee32, ay77190, dob8c81, gbc640b, db3205d, cb902ef, fp8177d, \r
+ pubbee);\r
+input [17:0] icdbb13;\r
+input fndd899;\r
+input dzec4ce;\r
+input ww62677;\r
+input nt133b8;\r
+input je99dc6;\r
+input gocee32;\r
+input [9:0] ay77190;\r
+input [9:0] dob8c81;\r
+output [17:0] gbc640b;\r
+output db3205d;\r
+output cb902ef;\r
+output fp8177d;\r
+output pubbee;\r
+reg [17 : 0] hqbd2d7;\r
+reg jpe96ba;\r
+reg uv4b5d7;\r
+reg pf5aebd;\r
+reg jpd75ef;\r
+reg fpbaf7e;\r
+reg thd7bf6;\r
+reg [9 : 0] jebdfb7;\r
+reg [9 : 0] ayefdbb;\r
+reg [2047:0] bl7edd9;\r
+wire [8:0] nrf6ece;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam zmb7676 = 9,wlbb3b3 = 32'hfdfff40b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+AND2 ofe7218 (.A(ww62677), .B(fnc8624), .Z(su43126)); INV ux18931 (.A(qgc498c), .Z(fnc8624)); AND2 ir26308 (.A(nt133b8), .B(tw8c221), .Z(dz6110a)); INV ux8857 (.A(of442bd), .Z(tw8c221)); OR2 gqaf61 (.A(je99dc6), .B(gocee32), .Z(vvec21b)); XOR2 nr610df (.A(wl86ff), .B(yk437fc), .Z(pu1bfe1)); XOR2 hodff0b (.A(yk437fc), .B(jpfc2d8), .Z(xwe16c6)); XOR2 xyb633 (.A(jpfc2d8), .B(hbd8cdc), .Z(shc66e6)); XOR2 ux33735 (.A(hbd8cdc), .B(mrdcd6f), .Z(hbe6b7c)); XOR2 zm35be7 (.A(mrdcd6f), .B(ip6f9da), .Z(lq7ced5)); XOR2 she76ab (.A(ip6f9da), .B(nedaae1), .Z(rgd570b)); XOR2 uxab85b (.A(nedaae1), .B(hoe16e9), .Z(zmb74b)); XOR2 cz5ba5b (.A(hoe16e9), .B(nre96ef), .Z(al4b77f)); XOR2 kd5bbfd (.A(nre96ef), .B(ykeff6e), .Z(kd7fb71)); XOR2 gbfdb8c (.A(ykeff6e), .B(dz6e32c), .Z(vv71966)); XOR2 pu8cb37 (.A(al659bb), .B(sj2cddc), .Z(jc66ee4)); XOR2 rv37723 (.A(sj2cddc), .B(gbdc8fc), .Z(jce47e4)); XOR2 qv23f23 (.A(gbdc8fc), .B(hbfc8e9), .Z(lqe474f)); XOR2 gd23a7b (.A(hbfc8e9), .B(hbe9eed), .Z(bl4f76e)); XOR2 th7bb77 (.A(hbe9eed), .B(wjeddf6), .Z(rt6efb4)); XOR2 ea77da7 (.A(wjeddf6), .B(blf69c4), .Z(irb4e26)); XOR2 pua7135 (.A(blf69c4), .B(ayc4d57), .Z(gd26ab9)); XOR2 ri355cd (.A(ayc4d57), .B(dm57357), .Z(gqb9aba)); XOR2 fncd5d1 (.A(dm57357), .B(dm57475), .Z(jrba3aa)); XOR2 ayd1d57 (.A(dm57475), .B(qt755c6), .Z(zzaae34)); defparam rg571a7.initval = 16'h6996 ; ROM16X1 rg571a7 (.AD3(yxc69d3), .AD2(ks34e9c), .AD1(fca74e1), .AD0(fc3a70a), .DO0(wjd3853)) ; defparam hq9c29d.initval = 16'h6996 ; ROM16X1 hq9c29d (.AD3(gqa75b), .AD2(ea53ad9), .AD1(sw9d6c9), .AD0(faeb649), .DO0(kd5b24a)) ; defparam qtd9254.initval = 16'h6996 ; ROM16X1 qtd9254 (.AD3(fca74e1), .AD2(fc3a70a), .AD1(ip54b06), .AD0(ip54b06), .DO0(ux2c1a6)) ; defparam en60d35.initval = 16'h6996 ; ROM16X1 en60d35 (.AD3(ks34e9c), .AD2(fca74e1), .AD1(fc3a70a), .AD0(ip54b06), .DO0(fa66258)) ; defparam ec312c2.initval = 16'h6996 ; ROM16X1 ec312c2 (.AD3(faeb649), .AD2(yxc69d3), .AD1(ks34e9c), .AD0(ux2c1a6), .DO0(mg82af9)) ; defparam sw157c8.initval = 16'h6996 ; ROM16X1 sw157c8 (.AD3(sw9d6c9), .AD2(faeb649), .AD1(yxc69d3), .AD0(fa66258), .DO0(yzddb5)) ; defparam vv6edad.initval = 16'h6996 ; ROM16X1 vv6edad (.AD3(ea53ad9), .AD2(sw9d6c9), .AD1(faeb649), .AD0(wjd3853), .DO0(al7a1d4)) ; defparam hod0ea5.initval = 16'h6996 ; ROM16X1 hod0ea5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(ip54b06), .AD0(ip54b06), .DO0(en5143a)) ; defparam ux8a1d5.initval = 16'h6996 ; ROM16X1 ux8a1d5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(tud5b8a), .AD0(ip54b06), .DO0(ld6e292)) ; defparam hb71496.initval = 16'h6996 ; ROM16X1 hb71496 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xy96d51), .AD0(tud5b8a), .DO0(jrb5458)) ; defparam vxaa2c6.initval = 16'h6996 ; ROM16X1 vxaa2c6 (.AD3(bn8b1b5), .AD2(xy96d51), .AD1(tud5b8a), .AD0(ip54b06), .DO0(xlb5ea4)) ; defparam hdaf527.initval = 16'h6996 ; ROM16X1 hdaf527 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xlb5ea4), .AD0(ip54b06), .DO0(byf4138)) ; defparam jra09c2.initval = 16'h6996 ; ROM16X1 jra09c2 (.AD3(rv270b3), .AD2(zz3859e), .AD1(xjc2cf6), .AD0(fp167b6), .DO0(wyb3db6)) ; defparam vx9edb0.initval = 16'h6996 ; ROM16X1 vx9edb0 (.AD3(mgb6c3e), .AD2(qib61f0), .AD1(dbb0f81), .AD0(aa87c0f), .DO0(aa3e078)) ; defparam gof03c1.initval = 16'h6996 ; ROM16X1 gof03c1 (.AD3(xjc2cf6), .AD2(fp167b6), .AD1(ip54b06), .AD0(ip54b06), .DO0(rg47205)) ; defparam mt3902b.initval = 16'h6996 ; ROM16X1 mt3902b (.AD3(zz3859e), .AD2(xjc2cf6), .AD1(fp167b6), .AD0(ip54b06), .DO0(pfe6e08)) ; defparam nt37047.initval = 16'h6996 ; ROM16X1 nt37047 (.AD3(aa87c0f), .AD2(rv270b3), .AD1(zz3859e), .AD0(rg47205), .DO0(cmfa153)) ; defparam xwd0a99.initval = 16'h6996 ; ROM16X1 xwd0a99 (.AD3(dbb0f81), .AD2(aa87c0f), .AD1(rv270b3), .AD0(pfe6e08), .DO0(sh5441a)) ; defparam baa20d5.initval = 16'h6996 ; ROM16X1 baa20d5 (.AD3(qib61f0), .AD2(dbb0f81), .AD1(aa87c0f), .AD0(wyb3db6), .DO0(mr5165e)) ; defparam hd8b2f5.initval = 16'h6996 ; ROM16X1 hd8b2f5 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ip54b06), .AD0(ip54b06), .DO0(fa7914e)) ; defparam thc8a72.initval = 16'h6996 ; ROM16X1 thc8a72 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ww72fa5), .AD0(ip54b06), .DO0(cbbe941)) ; defparam tuf4a0c.initval = 16'h6996 ; ROM16X1 tuf4a0c (.AD3(wyb3db6), .AD2(aa3e078), .AD1(phc7fd), .AD0(ww72fa5), .DO0(gq1ff73)) ; defparam meffb99.initval = 16'h6996 ; ROM16X1 meffb99 (.AD3(byee645), .AD2(phc7fd), .AD1(ww72fa5), .AD0(ip54b06), .DO0(th454df)) ; defparam xy2a6fe.initval = 16'h6996 ; ROM16X1 xy2a6fe (.AD3(wyb3db6), .AD2(aa3e078), .AD1(th454df), .AD0(ip54b06), .DO0(yz90edd)) ; XOR2 sw876ec (.A(dz6e32c), .B(fp167b6), .Z(xjdd849)); XOR2 rtec24e (.A(fc3a70a), .B(qt755c6), .Z(me49dfe)); defparam cz4eff1.initval = 16'h0410 ; ROM16X1 cz4eff1 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(en60cda)) ; defparam zz66d4.initval = 16'h1004 ; ROM16X1 zz66d4 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(db3dcc3)) ; defparam faee61f.initval = 16'h0140 ; ROM16X1 faee61f (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ipd3301)) ; defparam vk9980c.initval = 16'h4001 ; ROM16X1 vk9980c (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ba14af1)) ; INV tja578a (.A(qi2bc57), .Z(yx5e2b9)); AND2 xwf15cc (.A(cb8ae62), .B(yx5e2b9), .Z(ukb98a2)); AND2 mrcc511 (.A(cb8ae62), .B(qi2bc57), .Z(doa230b)); defparam pu1185a.CSDECODE_R = 3'b000 ; defparam pu1185a.CSDECODE_W = 3'b000 ; defparam pu1185a.GSR = "ENABLED" ; defparam pu1185a.RESETMODE = "ASYNC" ; defparam pu1185a.REGMODE = "NOREG" ; defparam pu1185a.DATA_WIDTH_R = 18 ; defparam pu1185a.DATA_WIDTH_W = 18 ; PDP16KA pu1185a (.DI0(icdbb13[0]), .DI1(icdbb13[1]), .DI2(icdbb13[2]), .DI3(icdbb13[3]), .DI4(icdbb13[4]), .DI5(icdbb13[5]), .DI6(icdbb13[6]), .DI7(icdbb13[7]), .DI8(icdbb13[8]), .DI9(icdbb13[9]), .DI10(icdbb13[10]), .DI11(icdbb13[11]), .DI12(icdbb13[12]), .DI13(icdbb13[13]), .DI14(icdbb13[14]), .DI15(icdbb13[15]), .DI16(icdbb13[16]), .DI17(icdbb13[17]), .DI18(icdbb13[0]), .DI19(icdbb13[1]), .DI20(icdbb13[2]), .DI21(icdbb13[3]), .DI22(icdbb13[4]), .DI23(icdbb13[5]), .DI24(icdbb13[6]), .DI25(icdbb13[7]), .DI26(icdbb13[8]), .DI27(icdbb13[9]), .DI28(icdbb13[10]), .DI29(icdbb13[11]), .DI30(icdbb13[12]), .DI31(icdbb13[13]), .DI32(icdbb13[14]), .DI33(icdbb13[15]), .DI34(icdbb13[16]), .DI35(icdbb13[17]), .ADW0(vve93e5), .ADW1(vve93e5), .ADW2(ip54b06), .ADW3(ip54b06), .ADW4(uie5e73), .ADW5(vk2f398), .ADW6(ho79cc2), .ADW7(kqce613), .ADW8(lq73099), .ADW9(mg984cb), .ADW10(ldc2659), .ADW11(rv132cf), .ADW12(pu9967e), .ADW13(gocb3f3), .CEW(su43126), .CLKW(fndd899), .WE(vve93e5), .CSW0(ip54b06), .CSW1(ip54b06), .CSW2(ip54b06), .ADR0(ip54b06), .ADR1(ip54b06), .ADR2(ip54b06), .ADR3(ip54b06), .ADR4(icde57a), .ADR5(alf2bd0), .ADR6(an95e84), .ADR7(lfaf424), .ADR8(gb7a126), .ADR9(dmd0935), .ADR10(jr849aa), .ADR11(ym24d57), .ADR12(cb26ab8), .ADR13(ls355c5), .CER(dz6110a), .CLKR(dzec4ce), .CSR0(ip54b06), .CSR1(ip54b06), .CSR2(ip54b06), .RST(je99dc6), .DO0(gbc640b[0]), .DO1(gbc640b[1]), .DO2(gbc640b[2]), .DO3(gbc640b[3]), .DO4(gbc640b[4]), .DO5(gbc640b[5]), .\r
+DO6(gbc640b[6]), .DO7(gbc640b[7]), .DO8(gbc640b[8]), .DO9(gbc640b[9]), .DO10(gbc640b[10]), .DO11(gbc640b[11]), .DO12(gbc640b[12]), .DO13(gbc640b[13]), .DO14(gbc640b[14]), .DO15(gbc640b[15]), .DO16(gbc640b[16]), .DO17(gbc640b[17]), .DO18(), .DO19(), .DO20(), .DO21(), .DO22(), .DO23(), .DO24(), .DO25(), .DO26(), .DO27(), .DO28(), .DO29(), .DO30(), .DO31(), .DO32(), .DO33(), .DO34(), .DO35()) ; defparam mt9f303.GSR = "ENABLED" ; FD1P3BX mt9f303 (.D(mrcc0e7), .SP(su43126), .CK(fndd899), .PD(je99dc6), .Q(wl86ff)) ; defparam kf3cbb5.GSR = "ENABLED" ; FD1P3DX kf3cbb5 (.D(co2ed68), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(yk437fc)) ; defparam of415d9.GSR = "ENABLED" ; FD1P3DX of415d9 (.D(rg57660), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jpfc2d8)) ; defparam ir2b25.GSR = "ENABLED" ; FD1P3DX ir2b25 (.D(aaac960), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbd8cdc)) ; defparam gqcad.GSR = "ENABLED" ; FD1P3DX gqcad (.D(fp32b4f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mrdcd6f)) ; defparam rt7e8d6.GSR = "ENABLED" ; FD1P3DX rt7e8d6 (.D(swa35b2), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ip6f9da)) ; defparam gd9034b.GSR = "ENABLED" ; FD1P3DX gd9034b (.D(qvd2ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nedaae1)) ; defparam ps7c711.GSR = "ENABLED" ; FD1P3DX ps7c711 (.D(jr1c457), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hoe16e9)) ; defparam vxbf8e3.GSR = "ENABLED" ; FD1P3DX vxbf8e3 (.D(lde38f6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nre96ef)) ; defparam lsb7fde.GSR = "ENABLED" ; FD1P3DX lsb7fde (.D(meff796), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ykeff6e)) ; defparam kfb1422.GSR = "ENABLED" ; FD1P3DX kfb1422 (.D(bl508a5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(dz6e32c)) ; defparam aa2edd6.GSR = "ENABLED" ; FD1P3DX aa2edd6 (.D(pu1bfe1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(oh8a7a4)) ; defparam qg53d25.GSR = "ENABLED" ; FD1P3DX qg53d25 (.D(xwe16c6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ld4f71c)) ; defparam vv7b8e7.GSR = "ENABLED" ; FD1P3DX vv7b8e7 (.D(shc66e6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uif5fa8)) ; defparam jrafd41.GSR = "ENABLED" ; FD1P3DX jrafd41 (.D(hbe6b7c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jp7e0e8)) ; defparam ayf0747.GSR = "ENABLED" ; FD1P3DX ayf0747 (.D(lq7ced5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbc2b1e)) ; defparam ec158f6.GSR = "ENABLED" ; FD1P3DX ec158f6 (.D(rgd570b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv816fe)) ; defparam hqb7f6.GSR = "ENABLED" ; FD1P3DX hqb7f6 (.D(zmb74b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(co9d6b8)) ; defparam hoeb5c1.GSR = "ENABLED" ; FD1P3DX hoeb5c1 (.D(al4b77f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(xw57c80)) ; defparam qvbe403.GSR = "ENABLED" ; FD1P3DX qvbe403 (.D(kd7fb71), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gbedb34)) ; defparam qg6d9a4.GSR = "ENABLED" ; FD1P3DX qg6d9a4 (.D(vv71966), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ep266b)) ; defparam nt1335d.GSR = "ENABLED" ; FD1P3DX nt1335d (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ay72dd2)) ; defparam zm96e90.GSR = "ENABLED" ; FD1P3DX zm96e90 (.D(wl86ff), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uie5e73)) ; defparam vidfd5b.GSR = "ENABLED" ; FD1P3DX vidfd5b (.D(yk437fc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(vk2f398)) ; defparam hod0902.GSR = "ENABLED" ; FD1P3DX hod0902 (.D(jpfc2d8), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ho79cc2)) ; defparam mgb9768.GSR = "ENABLED" ; FD1P3DX mgb9768 (.D(hbd8cdc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(kqce613)) ; defparam mt2a70.GSR = "ENABLED" ; FD1P3DX mt2a70 (.D(mrdcd6f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(lq73099)) ; defparam yz8b71.GSR = "ENABLED" ; FD1P3DX yz8b71 (.D(ip6f9da), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mg984cb)) ; defparam fn7edcd.GSR = "ENABLED" ; FD1P3DX fn7edcd (.D(nedaae1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ldc2659)) ; defparam qib33fb.GSR = "ENABLED" ; FD1P3DX qib33fb (.D(hoe16e9), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv132cf)) ; defparam vi52aeb.GSR = "ENABLED" ; FD1P3DX vi52aeb (.D(nre96ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(pu9967e)) ; defparam czed6ae.GSR = "ENABLED" ; FD1P3DX czed6ae (.D(ykeff6e), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gocb3f3)) ; defparam ea7756d.GSR = "ENABLED" ; FD1P3DX ea7756d (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(wy987d3)) ; defparam ic72455.GSR = "ENABLED" ; FD1P3BX ic72455 (.D(tj91548), .SP(dz6110a), .CK(dzec4ce), .PD(vvec21b), .Q(al659bb)) ; defparam vi479cb.GSR = "ENABLED" ; FD1P3DX vi479cb (.D(qte72d5), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj2cddc)) ; defparam qiac87a.GSR = "ENABLED" ; FD1P3DX qiac87a (.D(gq21e87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gbdc8fc)) ; defparam jr38351.GSR = "ENABLED" ; FD1P3DX jr38351 (.D(and471), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbfc8e9)) ; defparam ba8c93f.GSR = "ENABLED" ; FD1P3DX ba8c93f (.D(mg24fde), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbe9eed)) ; defparam uif0ed0.GSR = "ENABLED" ; FD1P3DX uif0ed0 (.D(aa3b409), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(wjeddf6)) ; defparam ui494f6.GSR = "ENABLED" ; FD1P3DX ui494f6 (.D(su53d87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(blf69c4)) ; defparam yz39ff9.GSR = "ENABLED" ; FD1P3DX yz39ff9 (.D(mr7fe6a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ayc4d57)) ; defparam al543f5.GSR = "ENABLED" ; FD1P3DX al543f5 (.D(ymfd6c), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57357)) ; defparam kd60c53.GSR = "ENABLED" ; FD1P3DX kd60c53 (.D(qi314e7), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57475)) ; defparam nt38a54.GSR = "ENABLED" ; FD1P3DX nt38a54 (.D(gd2953a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(qt755c6)) ; defparam byd5d12.GSR = "ENABLED" ; FD1P3DX byd5d12 (.D(jc66ee4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj95ca1)) ; defparam yzae50c.GSR = "ENABLED" ; FD1P3DX yzae50c (.D(jce47e4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls20f3f)) ; defparam cb79fa.GSR = "ENABLED" ; FD1P3DX cb79fa (.D(lqe474f), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpa5ac0)) ; defparam hd2d606.GSR = "ENABLED" ; FD1P3DX hd2d606 (.D(bl4f76e), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(uxbe6f6)) ; defparam nrf37b5.GSR = "ENABLED" ; FD1P3DX nrf37b5 (.D(rt6efb4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ip7f3bf)) ; defparam vvf9dfd.GSR = "ENABLED" ; FD1P3DX vvf9dfd (.D(irb4e26), \r
+.SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ww781a8)) ; defparam xwc0d40.GSR = "ENABLED" ; FD1P3DX xwc0d40 (.D(gd26ab9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ba1e4f6)) ; defparam wjf27b2.GSR = "ENABLED" ; FD1P3DX wjf27b2 (.D(gqb9aba), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gdabfd5)) ; defparam xj5fead.GSR = "ENABLED" ; FD1P3DX xj5fead (.D(jrba3aa), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ps79094)) ; defparam vvc84a2.GSR = "ENABLED" ; FD1P3DX vvc84a2 (.D(zzaae34), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqb3bde)) ; defparam uk9def0.GSR = "ENABLED" ; FD1P3DX uk9def0 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqc04)) ; defparam db6021.GSR = "ENABLED" ; FD1P3DX db6021 (.D(al659bb), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(icde57a)) ; defparam rgd540c.GSR = "ENABLED" ; FD1P3DX rgd540c (.D(sj2cddc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(alf2bd0)) ; defparam enf7f9b.GSR = "ENABLED" ; FD1P3DX enf7f9b (.D(gbdc8fc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(an95e84)) ; defparam cb33fe6.GSR = "ENABLED" ; FD1P3DX cb33fe6 (.D(hbfc8e9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(lfaf424)) ; defparam xl28fcf.GSR = "ENABLED" ; FD1P3DX xl28fcf (.D(hbe9eed), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gb7a126)) ; defparam ls93ebd.GSR = "ENABLED" ; FD1P3DX ls93ebd (.D(wjeddf6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dmd0935)) ; defparam wwe0e99.GSR = "ENABLED" ; FD1P3DX wwe0e99 (.D(blf69c4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(jr849aa)) ; defparam uk2b8fe.GSR = "ENABLED" ; FD1P3DX uk2b8fe (.D(ayc4d57), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ym24d57)) ; defparam rt4f2d3.GSR = "ENABLED" ; FD1P3DX rt4f2d3 (.D(dm57357), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(cb26ab8)) ; defparam osd1d61.GSR = "ENABLED" ; FD1P3DX osd1d61 (.D(dm57475), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls355c5)) ; defparam ba353e8.GSR = "ENABLED" ; FD1P3DX ba353e8 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpbfc60)) ; defparam xy86158.GSR = "ENABLED" ; FD1S3DX xy86158 (.D(oh8a7a4), .CK(dzec4ce), .CD(je99dc6), .Q(shc3703)) ; defparam tw1b81d.GSR = "ENABLED" ; FD1S3DX tw1b81d (.D(ld4f71c), .CK(dzec4ce), .CD(je99dc6), .Q(neeba75)) ; defparam zk5d3ab.GSR = "ENABLED" ; FD1S3DX zk5d3ab (.D(uif5fa8), .CK(dzec4ce), .CD(je99dc6), .Q(uv5bd24)) ; defparam uide925.GSR = "ENABLED" ; FD1S3DX uide925 (.D(jp7e0e8), .CK(dzec4ce), .CD(je99dc6), .Q(oh29703)) ; defparam ip4b81d.GSR = "ENABLED" ; FD1S3DX ip4b81d (.D(hbc2b1e), .CK(dzec4ce), .CD(je99dc6), .Q(nrec274)) ; defparam bl613a7.GSR = "ENABLED" ; FD1S3DX bl613a7 (.D(rv816fe), .CK(dzec4ce), .CD(je99dc6), .Q(pu3ff3b)) ; defparam suff9da.GSR = "ENABLED" ; FD1S3DX suff9da (.D(co9d6b8), .CK(dzec4ce), .CD(je99dc6), .Q(vid0f0a)) ; defparam uk87852.GSR = "ENABLED" ; FD1S3DX uk87852 (.D(xw57c80), .CK(dzec4ce), .CD(je99dc6), .Q(sj908ca)) ; defparam zz84654.GSR = "ENABLED" ; FD1S3DX zz84654 (.D(gbedb34), .CK(dzec4ce), .CD(je99dc6), .Q(yma69d4)) ; defparam ec34ea1.GSR = "ENABLED" ; FD1S3DX ec34ea1 (.D(ep266b), .CK(dzec4ce), .CD(je99dc6), .Q(tj948d)) ; defparam dz4a46e.GSR = "ENABLED" ; FD1S3DX dz4a46e (.D(ay72dd2), .CK(dzec4ce), .CD(je99dc6), .Q(kq7758f)) ; defparam hdbac79.GSR = "ENABLED" ; FD1S3DX hdbac79 (.D(sj95ca1), .CK(fndd899), .CD(vvec21b), .Q(cmce96b)) ; defparam xj74b58.GSR = "ENABLED" ; FD1S3DX xj74b58 (.D(ls20f3f), .CK(fndd899), .CD(vvec21b), .Q(ldc7df2)) ; defparam ks3ef96.GSR = "ENABLED" ; FD1S3DX ks3ef96 (.D(fpa5ac0), .CK(fndd899), .CD(vvec21b), .Q(bnb0962)) ; defparam nt84b17.GSR = "ENABLED" ; FD1S3DX nt84b17 (.D(uxbe6f6), .CK(fndd899), .CD(vvec21b), .Q(phbf54d)) ; defparam wwfaa6f.GSR = "ENABLED" ; FD1S3DX wwfaa6f (.D(ip7f3bf), .CK(fndd899), .CD(vvec21b), .Q(go7861c)) ; defparam alc30e0.GSR = "ENABLED" ; FD1S3DX alc30e0 (.D(ww781a8), .CK(fndd899), .CD(vvec21b), .Q(qi5b38)) ; defparam fp2d9c1.GSR = "ENABLED" ; FD1S3DX fp2d9c1 (.D(ba1e4f6), .CK(fndd899), .CD(vvec21b), .Q(hqe431)) ; defparam me7218b.GSR = "ENABLED" ; FD1S3DX me7218b (.D(gdabfd5), .CK(fndd899), .CD(vvec21b), .Q(os598c7)) ; defparam wjcc638.GSR = "ENABLED" ; FD1S3DX wjcc638 (.D(ps79094), .CK(fndd899), .CD(vvec21b), .Q(goc453f)) ; defparam zz229f8.GSR = "ENABLED" ; FD1S3DX zz229f8 (.D(gqb3bde), .CK(fndd899), .CD(vvec21b), .Q(thc74dd)) ; defparam ba3a6ef.GSR = "ENABLED" ; FD1S3DX ba3a6ef (.D(gqc04), .CK(fndd899), .CD(vvec21b), .Q(pf7b9f0)) ; defparam uvdcf86.GSR = "ENABLED" ; FD1S3DX uvdcf86 (.D(shc3703), .CK(dzec4ce), .CD(je99dc6), .Q(bn8b1b5)) ; defparam bna5251.GSR = "ENABLED" ; FD1S3DX bna5251 (.D(neeba75), .CK(dzec4ce), .CD(je99dc6), .Q(xy96d51)) ; defparam ww7d1e4.GSR = "ENABLED" ; FD1S3DX ww7d1e4 (.D(uv5bd24), .CK(dzec4ce), .CD(je99dc6), .Q(tud5b8a)) ; defparam ls455a.GSR = "ENABLED" ; FD1S3DX ls455a (.D(oh29703), .CK(dzec4ce), .CD(je99dc6), .Q(gqa75b)) ; defparam ec97337.GSR = "ENABLED" ; FD1S3DX ec97337 (.D(nrec274), .CK(dzec4ce), .CD(je99dc6), .Q(ea53ad9)) ; defparam mec1afa.GSR = "ENABLED" ; FD1S3DX mec1afa (.D(pu3ff3b), .CK(dzec4ce), .CD(je99dc6), .Q(sw9d6c9)) ; defparam pu8cf88.GSR = "ENABLED" ; FD1S3DX pu8cf88 (.D(vid0f0a), .CK(dzec4ce), .CD(je99dc6), .Q(faeb649)) ; defparam ph19281.GSR = "ENABLED" ; FD1S3DX ph19281 (.D(sj908ca), .CK(dzec4ce), .CD(je99dc6), .Q(yxc69d3)) ; defparam fa7cff8.GSR = "ENABLED" ; FD1S3DX fa7cff8 (.D(yma69d4), .CK(dzec4ce), .CD(je99dc6), .Q(ks34e9c)) ; defparam mt1d464.GSR = "ENABLED" ; FD1S3DX mt1d464 (.D(tj948d), .CK(dzec4ce), .CD(je99dc6), .Q(fca74e1)) ; defparam tj288f3.GSR = "ENABLED" ; FD1S3DX tj288f3 (.D(kq7758f), .CK(dzec4ce), .CD(je99dc6), .Q(fc3a70a)) ; defparam byf4ab7.GSR = "ENABLED" ; FD1S3DX byf4ab7 (.D(cmce96b), .CK(fndd899), .CD(vvec21b), .Q(byee645)) ; defparam hodf65c.GSR = "ENABLED" ; FD1S3DX hodf65c (.D(ldc7df2), .CK(fndd899), .CD(vvec21b), .Q(phc7fd)) ; defparam tj13a0c.GSR = "ENABLED" ; FD1S3DX tj13a0c (.D(bnb0962), .CK(fndd899), .CD(vvec21b), .Q(ww72fa5)) ; defparam oh39b78.GSR = "ENABLED" ; FD1S3DX oh39b78 (.D(phbf54d), .CK(fndd899), .CD(vvec21b), .Q(mgb6c3e)) ; defparam je2f10b.GSR = "ENABLED" ; FD1S3DX je2f10b (.D(go7861c), .CK(fndd899), .CD(vvec21b), .Q(qib61f0)) ; defparam cmd105e.GSR = "ENABLED" ; FD1S3DX cmd105e (.D(qi5b38), .CK(fndd899), .CD(vvec21b), .Q(dbb0f81)) ; defparam gq888a2.GSR = "ENABLED" ; FD1S3DX gq888a2 (.D(hqe431), .CK(fndd899), .CD(vvec21b), .Q(aa87c0f)) ; defparam pu8ced2.GSR = "ENABLED" ; FD1S3DX pu8ced2 (.D(os598c7), .CK(fndd899), .CD(vvec21b), .Q(rv270b3)) ; defparam wy982b2.GSR = "ENABLED" ; FD1S3DX wy982b2 (.D(goc453f), .CK(fndd899), .CD(vvec21b), .Q(zz3859e)) ; defparam aa8801f.GSR = "ENABLED" ; FD1S3DX aa8801f (.D(thc74dd), .CK(fndd899), .\r
+CD(vvec21b), .Q(xjc2cf6)) ; defparam wjc6185.GSR = "ENABLED" ; FD1S3DX wjc6185 (.D(pf7b9f0), .CK(fndd899), .CD(vvec21b), .Q(fp167b6)) ; defparam vv6b6ef.GSR = "ENABLED" ; FD1S3BX vv6b6ef (.D(ykdbbf4), .CK(dzec4ce), .PD(vvec21b), .Q(of442bd)) ; defparam byf438e.GSR = "ENABLED" ; FD1S3DX byf438e (.D(doe394), .CK(fndd899), .CD(je99dc6), .Q(qgc498c)) ; defparam bn947f8.GSR = "ENABLED" ; FD1S3BX bn947f8 (.D(ym1fe39), .CK(dzec4ce), .PD(vvec21b), .Q(fp8177d)) ; defparam sj3926f.GSR = "ENABLED" ; FD1S3DX sj3926f (.D(su49be4), .CK(fndd899), .CD(je99dc6), .Q(pubbee)) ; CU2 nee42eb (.CI(vve93e5), .PC1(yk437fc), .PC0(wl86ff), .CO(tueb653), .NC1(co2ed68), .NC0(mrcc0e7)); CU2 hoca6a5 (.CI(tueb653), .PC1(hbd8cdc), .PC0(jpfc2d8), .CO(hda5628), .NC1(aaac960), .NC0(rg57660)); CU2 byc51c2 (.CI(hda5628), .PC1(ip6f9da), .PC0(mrdcd6f), .CO(rtc259e), .NC1(swa35b2), .NC0(fp32b4f)); CU2 wyb3dea (.CI(rtc259e), .PC1(hoe16e9), .PC0(nedaae1), .CO(qgea1e2), .NC1(jr1c457), .NC0(qvd2ef)); CU2 gd3c430 (.CI(qgea1e2), .PC1(ykeff6e), .PC0(nre96ef), .CO(je30903), .NC1(meff796), .NC0(lde38f6)); CU2 pu206f9 (.CI(je30903), .PC1(ip54b06), .PC0(dz6e32c), .CO(dzf97da), .NC1(), .NC0(bl508a5)); CU2 hb5f6b0 (.CI(vve93e5), .PC1(sj2cddc), .PC0(al659bb), .CO(zzb0cdc), .NC1(qte72d5), .NC0(tj91548)); CU2 tj9b9dd (.CI(zzb0cdc), .PC1(hbfc8e9), .PC0(gbdc8fc), .CO(hbddf20), .NC1(and471), .NC0(gq21e87)); CU2 jce418b (.CI(hbddf20), .PC1(wjeddf6), .PC0(hbe9eed), .CO(lf8b6b8), .NC1(aa3b409), .NC0(mg24fde)); CU2 zxd71e3 (.CI(lf8b6b8), .PC1(ayc4d57), .PC0(blf69c4), .CO(rte3cf4), .NC1(mr7fe6a), .NC0(su53d87)); CU2 db9e9d6 (.CI(rte3cf4), .PC1(dm57475), .PC0(dm57357), .CO(end6ed2), .NC1(qi314e7), .NC0(ymfd6c)); CU2 hoda55d (.CI(end6ed2), .PC1(ip54b06), .PC0(qt755c6), .CO(xw5d5ed), .NC1(), .NC0(gd2953a)); FSUB2 ne57b59 (.A1(yk437fc), .A0(wl86ff), .B1(gq1ff73), .B0(yz90edd), .BI(vve93e5), .BOUT1(of5bb89), .BOUT0(), .S1(blddc4d), .S0(viee26e)); FSUB2 jp71372 (.A1(hbd8cdc), .A0(jpfc2d8), .B1(fa7914e), .B0(cbbe941), .BI(of5bb89), .BOUT1(oub3782), .BOUT0(), .S1(nt9bc11), .S0(qgde08f)); FSUB2 kqf0478 (.A1(ip6f9da), .A0(mrdcd6f), .B1(sh5441a), .B0(mr5165e), .BI(oub3782), .BOUT1(hq1542), .BOUT0(), .S1(ecaa12), .S0(nr55090)); FSUB2 nga8487 (.A1(hoe16e9), .A0(nedaae1), .B1(wyb3db6), .B0(cmfa153), .BI(hq1542), .BOUT1(aydb909), .BOUT0(), .S1(shdc849), .S0(bye424c)); FSUB2 co21263 (.A1(ykeff6e), .A0(nre96ef), .B1(rg47205), .B0(pfe6e08), .BI(aydb909), .BOUT1(icce216), .BOUT0(), .S1(xj710b1), .S0(aa8858e)); FSUB2 fa42c70 (.A1(ip54b06), .A0(xjdd849), .B1(ip54b06), .B0(ip54b06), .BI(icce216), .BOUT1(), .BOUT0(), .S1(), .S0(fp16be0)); VHI dbb5f07 (.Z(vve93e5)); FSUB2 xw7c1ff (.A1(jrb5458), .A0(byf4138), .B1(sj2cddc), .B0(al659bb), .BI(vve93e5), .BOUT1(rgd0889), .BOUT0(), .S1(rv8444a), .S0(jr22256)); FSUB2 ls112b6 (.A1(en5143a), .A0(ld6e292), .B1(hbfc8e9), .B0(gbdc8fc), .BI(rgd0889), .BOUT1(yz9ac49), .BOUT0(), .S1(czd624d), .S0(anb126c)); FSUB2 zm89364 (.A1(yzddb5), .A0(al7a1d4), .B1(wjeddf6), .B0(hbe9eed), .BI(yz9ac49), .BOUT1(fc116be), .BOUT0(), .S1(db8b5f3), .S0(jp5af9f)); FSUB2 nrd7cff (.A1(wjd3853), .A0(mg82af9), .B1(ayc4d57), .B0(blf69c4), .BI(fc116be), .BOUT1(ipfdd40), .BOUT0(), .S1(tueea05), .S0(wj7502b)); FSUB2 twa815c (.A1(ux2c1a6), .A0(fa66258), .B1(dm57475), .B0(dm57357), .BI(ipfdd40), .BOUT1(ym1f04f), .BOUT0(), .S1(qi2bc57), .S0(mec13c4)); FSUB2 vx9e20 (.A1(ip54b06), .A0(me49dfe), .B1(ip54b06), .B0(ip54b06), .BI(ym1f04f), .BOUT1(), .BOUT0(), .S1(), .S0(cb8ae62)); AGEB2 zxf90a3 (.A1(sj2cddc), .A0(al659bb), .B1(jrb5458), .B0(byf4138), .CI(dz6110a), .GE(fnf64cb)); AGEB2 rib265f (.A1(hbfc8e9), .A0(gbdc8fc), .B1(en5143a), .B0(ld6e292), .CI(fnf64cb), .GE(fada633)); AGEB2 czd319a (.A1(wjeddf6), .A0(hbe9eed), .B1(yzddb5), .B0(al7a1d4), .CI(fada633), .GE(lfa0b69)); AGEB2 aa5b4e (.A1(ayc4d57), .A0(blf69c4), .B1(wjd3853), .B0(mg82af9), .CI(lfa0b69), .GE(zz8e2af)); AGEB2 dz7157f (.A1(dm57475), .A0(dm57357), .B1(ux2c1a6), .B0(fa66258), .CI(zz8e2af), .GE(vif4de2)); AGEB2 oua6f13 (.A1(ip54b06), .A0(en60cda), .B1(ip54b06), .B0(db3dcc3), .CI(vif4de2), .GE(goce132)); FADD2 gb70991 (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(goce132), .COUT1(), .COUT0(), .S1(), .S0(ykdbbf4)); AGEB2 tu7cf8d (.A1(yk437fc), .A0(wl86ff), .B1(gq1ff73), .B0(yz90edd), .CI(su43126), .GE(xw5d2c7)); AGEB2 vve963b (.A1(hbd8cdc), .A0(jpfc2d8), .B1(fa7914e), .B0(cbbe941), .CI(xw5d2c7), .GE(kdfd5c3)); AGEB2 eaeae1c (.A1(ip6f9da), .A0(mrdcd6f), .B1(sh5441a), .B0(mr5165e), .CI(kdfd5c3), .GE(oua53b)); AGEB2 rg529d9 (.A1(hoe16e9), .A0(nedaae1), .B1(wyb3db6), .B0(cmfa153), .CI(oua53b), .GE(gb5e468)); AGEB2 kdf2344 (.A1(ykeff6e), .A0(nre96ef), .B1(rg47205), .B0(pfe6e08), .CI(gb5e468), .GE(ou2e02a)); AGEB2 en70150 (.A1(ip54b06), .A0(ipd3301), .B1(ip54b06), .B0(ba14af1), .CI(ou2e02a), .GE(xy250b7)); FADD2 zz285bb (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(xy250b7), .COUT1(), .COUT0(), .S1(), .S0(doe394)); AGEB2 vvd7710 (.A1(ay77190[1]), .A0(ay77190[0]), .B1(rv8444a), .B0(jr22256), .CI(dz6110a), .GE(ou34b02)); AGEB2 nta5811 (.A1(ay77190[3]), .A0(ay77190[2]), .B1(czd624d), .B0(anb126c), .CI(ou34b02), .GE(sh75b56)); AGEB2 riadab5 (.A1(ay77190[5]), .A0(ay77190[4]), .B1(db8b5f3), .B0(jp5af9f), .CI(sh75b56), .GE(sh51a76)); AGEB2 wy8d3b4 (.A1(ay77190[7]), .A0(ay77190[6]), .B1(tueea05), .B0(wj7502b), .CI(sh51a76), .GE(ep28a7)); AGEB2 hq1453c (.A1(ay77190[9]), .A0(ay77190[8]), .B1(qi2bc57), .B0(mec13c4), .CI(ep28a7), .GE(do1b4e6)); AGEB2 lqda732 (.A1(ip54b06), .A0(doa230b), .B1(ip54b06), .B0(ukb98a2), .CI(do1b4e6), .GE(sj955b9)); FADD2 dbaadcd (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(sj955b9), .COUT1(), .COUT0(), .S1(), .S0(ym1fe39)); AGEB2 qgcb3e2 (.A1(blddc4d), .A0(viee26e), .B1(dob8c81[1]), .B0(dob8c81[0]), .CI(su43126), .GE(wy884a3)); AGEB2 zk42518 (.A1(nt9bc11), .A0(qgde08f), .B1(dob8c81[3]), .B0(dob8c81[2]), .CI(wy884a3), .GE(sj1de2c)); AGEB2 ayef164 (.A1(ecaa12), .A0(nr55090), .B1(dob8c81[5]), .B0(dob8c81[4]), .CI(sj1de2c), .GE(zm173b)); AGEB2 twb9da (.A1(shdc849), .A0(bye424c), .B1(dob8c81[7]), .B0(dob8c81[6]), .CI(zm173b), .GE(wl90856)); AGEB2 fp842b4 (.A1(xj710b1), .A0(aa8858e), .B1(dob8c81[9]), .B0(dob8c81[8]), .CI(wl90856), .GE(ou31068)); AGEB2 gq88340 (.A1(ip54b06), .A0(fp16be0), .B1(ip54b06), .B0(ip54b06), .CI(ou31068), .GE(gq5871)); VLO cb2c389 (.Z(ip54b06)); FADD2 xye26e (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(gq5871), .COUT1(), .COUT0(), .S1(), .S0(su49be4)); assign db3205d = of442bd; assign cb902ef = qgc498c;\r
+ \r
+always@* begin hqbd2d7<={icdbb13>>1,nrf6ece[0]};jpe96ba<=nrf6ece[1];uv4b5d7<=nrf6ece[2];pf5aebd<=nrf6ece[3];jpd75ef<=nrf6ece[4];fpbaf7e<=nrf6ece[5];thd7bf6<=nrf6ece[6];jebdfb7<={ay77190>>1,nrf6ece[7]};ayefdbb<={dob8c81>>1,nrf6ece[8]};end\r
+always@* begin bl7edd9[2047]<=fndd899;bl7edd9[2046]<=dzec4ce;bl7edd9[2044]<=ww62677;bl7edd9[2040]<=nt133b8;bl7edd9[2032]<=je99dc6;bl7edd9[2016]<=gocee32;bl7edd9[1984]<=ay77190[0];bl7edd9[1920]<=dob8c81[0];bl7edd9[1023]<=icdbb13[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module rg69299 (icdbb13, fndd899, dzec4ce, ww62677, nt133b8, je99dc6, \r
+ gocee32, ay77190, dob8c81, gbc640b, db3205d, cb902ef, fp8177d, \r
+ pubbee);\r
+input [17:0] icdbb13;\r
+input fndd899;\r
+input dzec4ce;\r
+input ww62677;\r
+input nt133b8;\r
+input je99dc6;\r
+input gocee32;\r
+input [9:0] ay77190;\r
+input [9:0] dob8c81;\r
+output [17:0] gbc640b;\r
+output db3205d;\r
+output cb902ef;\r
+output fp8177d;\r
+output pubbee;\r
+reg [17 : 0] hqbd2d7;\r
+reg jpe96ba;\r
+reg uv4b5d7;\r
+reg pf5aebd;\r
+reg jpd75ef;\r
+reg fpbaf7e;\r
+reg thd7bf6;\r
+reg [9 : 0] jebdfb7;\r
+reg [9 : 0] ayefdbb;\r
+reg [2047:0] bl7edd9;\r
+wire [8:0] nrf6ece;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam zmb7676 = 9,wlbb3b3 = 32'hfdffc68b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+AND2 ofe7218 (.A(ww62677), .B(fnc8624), .Z(su43126)); INV ux18931 (.A(qgc498c), .Z(fnc8624)); AND2 ir26308 (.A(nt133b8), .B(tw8c221), .Z(dz6110a)); INV ux8857 (.A(of442bd), .Z(tw8c221)); OR2 gqaf61 (.A(je99dc6), .B(gocee32), .Z(vvec21b)); XOR2 nr610df (.A(wl86ff), .B(yk437fc), .Z(pu1bfe1)); XOR2 hodff0b (.A(yk437fc), .B(jpfc2d8), .Z(xwe16c6)); XOR2 xyb633 (.A(jpfc2d8), .B(hbd8cdc), .Z(shc66e6)); XOR2 ux33735 (.A(hbd8cdc), .B(mrdcd6f), .Z(hbe6b7c)); XOR2 zm35be7 (.A(mrdcd6f), .B(ip6f9da), .Z(lq7ced5)); XOR2 she76ab (.A(ip6f9da), .B(nedaae1), .Z(rgd570b)); XOR2 uxab85b (.A(nedaae1), .B(hoe16e9), .Z(zmb74b)); XOR2 cz5ba5b (.A(hoe16e9), .B(nre96ef), .Z(al4b77f)); XOR2 kd5bbfd (.A(nre96ef), .B(ykeff6e), .Z(kd7fb71)); XOR2 gbfdb8c (.A(ykeff6e), .B(dz6e32c), .Z(vv71966)); XOR2 pu8cb37 (.A(al659bb), .B(sj2cddc), .Z(jc66ee4)); XOR2 rv37723 (.A(sj2cddc), .B(gbdc8fc), .Z(jce47e4)); XOR2 qv23f23 (.A(gbdc8fc), .B(hbfc8e9), .Z(lqe474f)); XOR2 gd23a7b (.A(hbfc8e9), .B(hbe9eed), .Z(bl4f76e)); XOR2 th7bb77 (.A(hbe9eed), .B(wjeddf6), .Z(rt6efb4)); XOR2 ea77da7 (.A(wjeddf6), .B(blf69c4), .Z(irb4e26)); XOR2 pua7135 (.A(blf69c4), .B(ayc4d57), .Z(gd26ab9)); XOR2 ri355cd (.A(ayc4d57), .B(dm57357), .Z(gqb9aba)); XOR2 fncd5d1 (.A(dm57357), .B(dm57475), .Z(jrba3aa)); XOR2 ayd1d57 (.A(dm57475), .B(qt755c6), .Z(zzaae34)); defparam rg571a7.initval = 16'h6996 ; ROM16X1 rg571a7 (.AD3(yxc69d3), .AD2(ks34e9c), .AD1(fca74e1), .AD0(fc3a70a), .DO0(wjd3853)) ; defparam hq9c29d.initval = 16'h6996 ; ROM16X1 hq9c29d (.AD3(gqa75b), .AD2(ea53ad9), .AD1(sw9d6c9), .AD0(faeb649), .DO0(kd5b24a)) ; defparam qtd9254.initval = 16'h6996 ; ROM16X1 qtd9254 (.AD3(fca74e1), .AD2(fc3a70a), .AD1(ip54b06), .AD0(ip54b06), .DO0(ux2c1a6)) ; defparam en60d35.initval = 16'h6996 ; ROM16X1 en60d35 (.AD3(ks34e9c), .AD2(fca74e1), .AD1(fc3a70a), .AD0(ip54b06), .DO0(fa66258)) ; defparam ec312c2.initval = 16'h6996 ; ROM16X1 ec312c2 (.AD3(faeb649), .AD2(yxc69d3), .AD1(ks34e9c), .AD0(ux2c1a6), .DO0(mg82af9)) ; defparam sw157c8.initval = 16'h6996 ; ROM16X1 sw157c8 (.AD3(sw9d6c9), .AD2(faeb649), .AD1(yxc69d3), .AD0(fa66258), .DO0(yzddb5)) ; defparam vv6edad.initval = 16'h6996 ; ROM16X1 vv6edad (.AD3(ea53ad9), .AD2(sw9d6c9), .AD1(faeb649), .AD0(wjd3853), .DO0(al7a1d4)) ; defparam hod0ea5.initval = 16'h6996 ; ROM16X1 hod0ea5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(ip54b06), .AD0(ip54b06), .DO0(en5143a)) ; defparam ux8a1d5.initval = 16'h6996 ; ROM16X1 ux8a1d5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(tud5b8a), .AD0(ip54b06), .DO0(ld6e292)) ; defparam hb71496.initval = 16'h6996 ; ROM16X1 hb71496 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xy96d51), .AD0(tud5b8a), .DO0(jrb5458)) ; defparam vxaa2c6.initval = 16'h6996 ; ROM16X1 vxaa2c6 (.AD3(bn8b1b5), .AD2(xy96d51), .AD1(tud5b8a), .AD0(ip54b06), .DO0(xlb5ea4)) ; defparam hdaf527.initval = 16'h6996 ; ROM16X1 hdaf527 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xlb5ea4), .AD0(ip54b06), .DO0(byf4138)) ; defparam jra09c2.initval = 16'h6996 ; ROM16X1 jra09c2 (.AD3(rv270b3), .AD2(zz3859e), .AD1(xjc2cf6), .AD0(fp167b6), .DO0(wyb3db6)) ; defparam vx9edb0.initval = 16'h6996 ; ROM16X1 vx9edb0 (.AD3(mgb6c3e), .AD2(qib61f0), .AD1(dbb0f81), .AD0(aa87c0f), .DO0(aa3e078)) ; defparam gof03c1.initval = 16'h6996 ; ROM16X1 gof03c1 (.AD3(xjc2cf6), .AD2(fp167b6), .AD1(ip54b06), .AD0(ip54b06), .DO0(rg47205)) ; defparam mt3902b.initval = 16'h6996 ; ROM16X1 mt3902b (.AD3(zz3859e), .AD2(xjc2cf6), .AD1(fp167b6), .AD0(ip54b06), .DO0(pfe6e08)) ; defparam nt37047.initval = 16'h6996 ; ROM16X1 nt37047 (.AD3(aa87c0f), .AD2(rv270b3), .AD1(zz3859e), .AD0(rg47205), .DO0(cmfa153)) ; defparam xwd0a99.initval = 16'h6996 ; ROM16X1 xwd0a99 (.AD3(dbb0f81), .AD2(aa87c0f), .AD1(rv270b3), .AD0(pfe6e08), .DO0(sh5441a)) ; defparam baa20d5.initval = 16'h6996 ; ROM16X1 baa20d5 (.AD3(qib61f0), .AD2(dbb0f81), .AD1(aa87c0f), .AD0(wyb3db6), .DO0(mr5165e)) ; defparam hd8b2f5.initval = 16'h6996 ; ROM16X1 hd8b2f5 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ip54b06), .AD0(ip54b06), .DO0(fa7914e)) ; defparam thc8a72.initval = 16'h6996 ; ROM16X1 thc8a72 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ww72fa5), .AD0(ip54b06), .DO0(cbbe941)) ; defparam tuf4a0c.initval = 16'h6996 ; ROM16X1 tuf4a0c (.AD3(wyb3db6), .AD2(aa3e078), .AD1(phc7fd), .AD0(ww72fa5), .DO0(gq1ff73)) ; defparam meffb99.initval = 16'h6996 ; ROM16X1 meffb99 (.AD3(byee645), .AD2(phc7fd), .AD1(ww72fa5), .AD0(ip54b06), .DO0(th454df)) ; defparam xy2a6fe.initval = 16'h6996 ; ROM16X1 xy2a6fe (.AD3(wyb3db6), .AD2(aa3e078), .AD1(th454df), .AD0(ip54b06), .DO0(yz90edd)) ; XOR2 sw876ec (.A(dz6e32c), .B(fp167b6), .Z(xjdd849)); XOR2 rtec24e (.A(fc3a70a), .B(qt755c6), .Z(me49dfe)); defparam cz4eff1.initval = 16'h0410 ; ROM16X1 cz4eff1 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(en60cda)) ; defparam zz66d4.initval = 16'h1004 ; ROM16X1 zz66d4 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(db3dcc3)) ; defparam faee61f.initval = 16'h0140 ; ROM16X1 faee61f (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ipd3301)) ; defparam vk9980c.initval = 16'h4001 ; ROM16X1 vk9980c (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ba14af1)) ; INV tja578a (.A(qi2bc57), .Z(yx5e2b9)); AND2 xwf15cc (.A(cb8ae62), .B(yx5e2b9), .Z(ukb98a2)); AND2 mrcc511 (.A(cb8ae62), .B(qi2bc57), .Z(doa230b)); defparam pu1185a.CSDECODE_B = 3'b000 ; defparam pu1185a.CSDECODE_A = 3'b000 ; defparam pu1185a.WRITEMODE_B = "NORMAL" ; defparam pu1185a.WRITEMODE_A = "NORMAL" ; defparam pu1185a.GSR = "ENABLED" ; defparam pu1185a.RESETMODE = "ASYNC" ; defparam pu1185a.REGMODE_B = "NOREG" ; defparam pu1185a.REGMODE_A = "NOREG" ; defparam pu1185a.DATA_WIDTH_B = 18 ; defparam pu1185a.DATA_WIDTH_A = 18 ; DP16KB pu1185a (.DIA0(icdbb13[0]), .DIA1(icdbb13[1]), .DIA2(icdbb13[2]), .DIA3(icdbb13[3]), .DIA4(icdbb13[4]), .DIA5(icdbb13[5]), .DIA6(icdbb13[6]), .DIA7(icdbb13[7]), .DIA8(icdbb13[8]), .DIA9(icdbb13[9]), .DIA10(icdbb13[10]), .DIA11(icdbb13[11]), .DIA12(icdbb13[12]), .DIA13(icdbb13[13]), .DIA14(icdbb13[14]), .DIA15(icdbb13[15]), .DIA16(icdbb13[16]), .DIA17(icdbb13[17]), .ADA0(vve93e5), .ADA1(vve93e5), .ADA2(ip54b06), .ADA3(ip54b06), .ADA4(uie5e73), .ADA5(vk2f398), .ADA6(ho79cc2), .ADA7(kqce613), .ADA8(lq73099), .ADA9(mg984cb), .ADA10(ldc2659), .ADA11(rv132cf), .ADA12(pu9967e), .ADA13(gocb3f3), .CEA(su43126), .CLKA(fndd899), .WEA(vve93e5), .CSA0(ip54b06), .CSA1(ip54b06), .CSA2(ip54b06), .RSTA(je99dc6), .DIB0(ip54b06), .DIB1(ip54b06), .DIB2(ip54b06), .DIB3(ip54b06), .DIB4(ip54b06), .DIB5(ip54b06), .DIB6(ip54b06), .DIB7(ip54b06), .DIB8(ip54b06), .DIB9(ip54b06), .DIB10(ip54b06), .DIB11(ip54b06), .DIB12(ip54b06), .DIB13(ip54b06), .DIB14(ip54b06), .DIB15(ip54b06), .DIB16(ip54b06), .DIB17(ip54b06), .ADB0(ip54b06), .ADB1(ip54b06), .ADB2(ip54b06), .ADB3(ip54b06), .ADB4(icde57a), .ADB5(alf2bd0), .ADB6(an95e84), .ADB7(lfaf424), .ADB8(gb7a126), .ADB9(dmd0935), .ADB10(jr849aa), .ADB11(ym24d57), .ADB12(cb26ab8), .ADB13(ls355c5), .CEB(dz6110a), .CLKB(dzec4ce), .WEB(ip54b06), .CSB0(ip54b06), .CSB1(ip54b06), .CSB2(ip54b06), .\r
+RSTB(je99dc6), .DOA0(), .DOA1(), .DOA2(), .DOA3(), .DOA4(), .DOA5(), .DOA6(), .DOA7(), .DOA8(), .DOA9(), .DOA10(), .DOA11(), .DOA12(), .DOA13(), .DOA14(), .DOA15(), .DOA16(), .DOA17(), .DOB0(gbc640b[0]), .DOB1(gbc640b[1]), .DOB2(gbc640b[2]), .DOB3(gbc640b[3]), .DOB4(gbc640b[4]), .DOB5(gbc640b[5]), .DOB6(gbc640b[6]), .DOB7(gbc640b[7]), .DOB8(gbc640b[8]), .DOB9(gbc640b[9]), .DOB10(gbc640b[10]), .DOB11(gbc640b[11]), .DOB12(gbc640b[12]), .DOB13(gbc640b[13]), .DOB14(gbc640b[14]), .DOB15(gbc640b[15]), .DOB16(gbc640b[16]), .DOB17(gbc640b[17])) ; defparam mt9f303.GSR = "ENABLED" ; FD1P3BX mt9f303 (.D(mrcc0e7), .SP(su43126), .CK(fndd899), .PD(je99dc6), .Q(wl86ff)) ; defparam kf3cbb5.GSR = "ENABLED" ; FD1P3DX kf3cbb5 (.D(co2ed68), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(yk437fc)) ; defparam of415d9.GSR = "ENABLED" ; FD1P3DX of415d9 (.D(rg57660), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jpfc2d8)) ; defparam ir2b25.GSR = "ENABLED" ; FD1P3DX ir2b25 (.D(aaac960), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbd8cdc)) ; defparam gqcad.GSR = "ENABLED" ; FD1P3DX gqcad (.D(fp32b4f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mrdcd6f)) ; defparam rt7e8d6.GSR = "ENABLED" ; FD1P3DX rt7e8d6 (.D(swa35b2), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ip6f9da)) ; defparam gd9034b.GSR = "ENABLED" ; FD1P3DX gd9034b (.D(qvd2ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nedaae1)) ; defparam ps7c711.GSR = "ENABLED" ; FD1P3DX ps7c711 (.D(jr1c457), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hoe16e9)) ; defparam vxbf8e3.GSR = "ENABLED" ; FD1P3DX vxbf8e3 (.D(lde38f6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nre96ef)) ; defparam lsb7fde.GSR = "ENABLED" ; FD1P3DX lsb7fde (.D(meff796), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ykeff6e)) ; defparam kfb1422.GSR = "ENABLED" ; FD1P3DX kfb1422 (.D(bl508a5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(dz6e32c)) ; defparam aa2edd6.GSR = "ENABLED" ; FD1P3DX aa2edd6 (.D(pu1bfe1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(oh8a7a4)) ; defparam qg53d25.GSR = "ENABLED" ; FD1P3DX qg53d25 (.D(xwe16c6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ld4f71c)) ; defparam vv7b8e7.GSR = "ENABLED" ; FD1P3DX vv7b8e7 (.D(shc66e6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uif5fa8)) ; defparam jrafd41.GSR = "ENABLED" ; FD1P3DX jrafd41 (.D(hbe6b7c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jp7e0e8)) ; defparam ayf0747.GSR = "ENABLED" ; FD1P3DX ayf0747 (.D(lq7ced5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbc2b1e)) ; defparam ec158f6.GSR = "ENABLED" ; FD1P3DX ec158f6 (.D(rgd570b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv816fe)) ; defparam hqb7f6.GSR = "ENABLED" ; FD1P3DX hqb7f6 (.D(zmb74b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(co9d6b8)) ; defparam hoeb5c1.GSR = "ENABLED" ; FD1P3DX hoeb5c1 (.D(al4b77f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(xw57c80)) ; defparam qvbe403.GSR = "ENABLED" ; FD1P3DX qvbe403 (.D(kd7fb71), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gbedb34)) ; defparam qg6d9a4.GSR = "ENABLED" ; FD1P3DX qg6d9a4 (.D(vv71966), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ep266b)) ; defparam nt1335d.GSR = "ENABLED" ; FD1P3DX nt1335d (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ay72dd2)) ; defparam zm96e90.GSR = "ENABLED" ; FD1P3DX zm96e90 (.D(wl86ff), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uie5e73)) ; defparam vidfd5b.GSR = "ENABLED" ; FD1P3DX vidfd5b (.D(yk437fc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(vk2f398)) ; defparam hod0902.GSR = "ENABLED" ; FD1P3DX hod0902 (.D(jpfc2d8), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ho79cc2)) ; defparam mgb9768.GSR = "ENABLED" ; FD1P3DX mgb9768 (.D(hbd8cdc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(kqce613)) ; defparam mt2a70.GSR = "ENABLED" ; FD1P3DX mt2a70 (.D(mrdcd6f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(lq73099)) ; defparam yz8b71.GSR = "ENABLED" ; FD1P3DX yz8b71 (.D(ip6f9da), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mg984cb)) ; defparam fn7edcd.GSR = "ENABLED" ; FD1P3DX fn7edcd (.D(nedaae1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ldc2659)) ; defparam qib33fb.GSR = "ENABLED" ; FD1P3DX qib33fb (.D(hoe16e9), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv132cf)) ; defparam vi52aeb.GSR = "ENABLED" ; FD1P3DX vi52aeb (.D(nre96ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(pu9967e)) ; defparam czed6ae.GSR = "ENABLED" ; FD1P3DX czed6ae (.D(ykeff6e), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gocb3f3)) ; defparam ea7756d.GSR = "ENABLED" ; FD1P3DX ea7756d (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(wy987d3)) ; defparam ic72455.GSR = "ENABLED" ; FD1P3BX ic72455 (.D(tj91548), .SP(dz6110a), .CK(dzec4ce), .PD(vvec21b), .Q(al659bb)) ; defparam vi479cb.GSR = "ENABLED" ; FD1P3DX vi479cb (.D(qte72d5), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj2cddc)) ; defparam qiac87a.GSR = "ENABLED" ; FD1P3DX qiac87a (.D(gq21e87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gbdc8fc)) ; defparam jr38351.GSR = "ENABLED" ; FD1P3DX jr38351 (.D(and471), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbfc8e9)) ; defparam ba8c93f.GSR = "ENABLED" ; FD1P3DX ba8c93f (.D(mg24fde), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbe9eed)) ; defparam uif0ed0.GSR = "ENABLED" ; FD1P3DX uif0ed0 (.D(aa3b409), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(wjeddf6)) ; defparam ui494f6.GSR = "ENABLED" ; FD1P3DX ui494f6 (.D(su53d87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(blf69c4)) ; defparam yz39ff9.GSR = "ENABLED" ; FD1P3DX yz39ff9 (.D(mr7fe6a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ayc4d57)) ; defparam al543f5.GSR = "ENABLED" ; FD1P3DX al543f5 (.D(ymfd6c), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57357)) ; defparam kd60c53.GSR = "ENABLED" ; FD1P3DX kd60c53 (.D(qi314e7), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57475)) ; defparam nt38a54.GSR = "ENABLED" ; FD1P3DX nt38a54 (.D(gd2953a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(qt755c6)) ; defparam byd5d12.GSR = "ENABLED" ; FD1P3DX byd5d12 (.D(jc66ee4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj95ca1)) ; defparam yzae50c.GSR = "ENABLED" ; FD1P3DX yzae50c (.D(jce47e4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls20f3f)) ; defparam cb79fa.GSR = "ENABLED" ; FD1P3DX cb79fa (.D(lqe474f), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpa5ac0)) ; defparam hd2d606.GSR = "ENABLED" ; FD1P3DX hd2d606 (.D(bl4f76e), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(uxbe6f6)) ; defparam nrf37b5.GSR = \r
+"ENABLED" ; FD1P3DX nrf37b5 (.D(rt6efb4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ip7f3bf)) ; defparam vvf9dfd.GSR = "ENABLED" ; FD1P3DX vvf9dfd (.D(irb4e26), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ww781a8)) ; defparam xwc0d40.GSR = "ENABLED" ; FD1P3DX xwc0d40 (.D(gd26ab9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ba1e4f6)) ; defparam wjf27b2.GSR = "ENABLED" ; FD1P3DX wjf27b2 (.D(gqb9aba), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gdabfd5)) ; defparam xj5fead.GSR = "ENABLED" ; FD1P3DX xj5fead (.D(jrba3aa), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ps79094)) ; defparam vvc84a2.GSR = "ENABLED" ; FD1P3DX vvc84a2 (.D(zzaae34), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqb3bde)) ; defparam uk9def0.GSR = "ENABLED" ; FD1P3DX uk9def0 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqc04)) ; defparam db6021.GSR = "ENABLED" ; FD1P3DX db6021 (.D(al659bb), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(icde57a)) ; defparam rgd540c.GSR = "ENABLED" ; FD1P3DX rgd540c (.D(sj2cddc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(alf2bd0)) ; defparam enf7f9b.GSR = "ENABLED" ; FD1P3DX enf7f9b (.D(gbdc8fc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(an95e84)) ; defparam cb33fe6.GSR = "ENABLED" ; FD1P3DX cb33fe6 (.D(hbfc8e9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(lfaf424)) ; defparam xl28fcf.GSR = "ENABLED" ; FD1P3DX xl28fcf (.D(hbe9eed), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gb7a126)) ; defparam ls93ebd.GSR = "ENABLED" ; FD1P3DX ls93ebd (.D(wjeddf6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dmd0935)) ; defparam wwe0e99.GSR = "ENABLED" ; FD1P3DX wwe0e99 (.D(blf69c4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(jr849aa)) ; defparam uk2b8fe.GSR = "ENABLED" ; FD1P3DX uk2b8fe (.D(ayc4d57), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ym24d57)) ; defparam rt4f2d3.GSR = "ENABLED" ; FD1P3DX rt4f2d3 (.D(dm57357), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(cb26ab8)) ; defparam osd1d61.GSR = "ENABLED" ; FD1P3DX osd1d61 (.D(dm57475), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls355c5)) ; defparam ba353e8.GSR = "ENABLED" ; FD1P3DX ba353e8 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpbfc60)) ; defparam xy86158.GSR = "ENABLED" ; FD1S3DX xy86158 (.D(oh8a7a4), .CK(dzec4ce), .CD(je99dc6), .Q(shc3703)) ; defparam tw1b81d.GSR = "ENABLED" ; FD1S3DX tw1b81d (.D(ld4f71c), .CK(dzec4ce), .CD(je99dc6), .Q(neeba75)) ; defparam zk5d3ab.GSR = "ENABLED" ; FD1S3DX zk5d3ab (.D(uif5fa8), .CK(dzec4ce), .CD(je99dc6), .Q(uv5bd24)) ; defparam uide925.GSR = "ENABLED" ; FD1S3DX uide925 (.D(jp7e0e8), .CK(dzec4ce), .CD(je99dc6), .Q(oh29703)) ; defparam ip4b81d.GSR = "ENABLED" ; FD1S3DX ip4b81d (.D(hbc2b1e), .CK(dzec4ce), .CD(je99dc6), .Q(nrec274)) ; defparam bl613a7.GSR = "ENABLED" ; FD1S3DX bl613a7 (.D(rv816fe), .CK(dzec4ce), .CD(je99dc6), .Q(pu3ff3b)) ; defparam suff9da.GSR = "ENABLED" ; FD1S3DX suff9da (.D(co9d6b8), .CK(dzec4ce), .CD(je99dc6), .Q(vid0f0a)) ; defparam uk87852.GSR = "ENABLED" ; FD1S3DX uk87852 (.D(xw57c80), .CK(dzec4ce), .CD(je99dc6), .Q(sj908ca)) ; defparam zz84654.GSR = "ENABLED" ; FD1S3DX zz84654 (.D(gbedb34), .CK(dzec4ce), .CD(je99dc6), .Q(yma69d4)) ; defparam ec34ea1.GSR = "ENABLED" ; FD1S3DX ec34ea1 (.D(ep266b), .CK(dzec4ce), .CD(je99dc6), .Q(tj948d)) ; defparam dz4a46e.GSR = "ENABLED" ; FD1S3DX dz4a46e (.D(ay72dd2), .CK(dzec4ce), .CD(je99dc6), .Q(kq7758f)) ; defparam hdbac79.GSR = "ENABLED" ; FD1S3DX hdbac79 (.D(sj95ca1), .CK(fndd899), .CD(vvec21b), .Q(cmce96b)) ; defparam xj74b58.GSR = "ENABLED" ; FD1S3DX xj74b58 (.D(ls20f3f), .CK(fndd899), .CD(vvec21b), .Q(ldc7df2)) ; defparam ks3ef96.GSR = "ENABLED" ; FD1S3DX ks3ef96 (.D(fpa5ac0), .CK(fndd899), .CD(vvec21b), .Q(bnb0962)) ; defparam nt84b17.GSR = "ENABLED" ; FD1S3DX nt84b17 (.D(uxbe6f6), .CK(fndd899), .CD(vvec21b), .Q(phbf54d)) ; defparam wwfaa6f.GSR = "ENABLED" ; FD1S3DX wwfaa6f (.D(ip7f3bf), .CK(fndd899), .CD(vvec21b), .Q(go7861c)) ; defparam alc30e0.GSR = "ENABLED" ; FD1S3DX alc30e0 (.D(ww781a8), .CK(fndd899), .CD(vvec21b), .Q(qi5b38)) ; defparam fp2d9c1.GSR = "ENABLED" ; FD1S3DX fp2d9c1 (.D(ba1e4f6), .CK(fndd899), .CD(vvec21b), .Q(hqe431)) ; defparam me7218b.GSR = "ENABLED" ; FD1S3DX me7218b (.D(gdabfd5), .CK(fndd899), .CD(vvec21b), .Q(os598c7)) ; defparam wjcc638.GSR = "ENABLED" ; FD1S3DX wjcc638 (.D(ps79094), .CK(fndd899), .CD(vvec21b), .Q(goc453f)) ; defparam zz229f8.GSR = "ENABLED" ; FD1S3DX zz229f8 (.D(gqb3bde), .CK(fndd899), .CD(vvec21b), .Q(thc74dd)) ; defparam ba3a6ef.GSR = "ENABLED" ; FD1S3DX ba3a6ef (.D(gqc04), .CK(fndd899), .CD(vvec21b), .Q(pf7b9f0)) ; defparam uvdcf86.GSR = "ENABLED" ; FD1S3DX uvdcf86 (.D(shc3703), .CK(dzec4ce), .CD(je99dc6), .Q(bn8b1b5)) ; defparam bna5251.GSR = "ENABLED" ; FD1S3DX bna5251 (.D(neeba75), .CK(dzec4ce), .CD(je99dc6), .Q(xy96d51)) ; defparam ww7d1e4.GSR = "ENABLED" ; FD1S3DX ww7d1e4 (.D(uv5bd24), .CK(dzec4ce), .CD(je99dc6), .Q(tud5b8a)) ; defparam ls455a.GSR = "ENABLED" ; FD1S3DX ls455a (.D(oh29703), .CK(dzec4ce), .CD(je99dc6), .Q(gqa75b)) ; defparam ec97337.GSR = "ENABLED" ; FD1S3DX ec97337 (.D(nrec274), .CK(dzec4ce), .CD(je99dc6), .Q(ea53ad9)) ; defparam mec1afa.GSR = "ENABLED" ; FD1S3DX mec1afa (.D(pu3ff3b), .CK(dzec4ce), .CD(je99dc6), .Q(sw9d6c9)) ; defparam pu8cf88.GSR = "ENABLED" ; FD1S3DX pu8cf88 (.D(vid0f0a), .CK(dzec4ce), .CD(je99dc6), .Q(faeb649)) ; defparam ph19281.GSR = "ENABLED" ; FD1S3DX ph19281 (.D(sj908ca), .CK(dzec4ce), .CD(je99dc6), .Q(yxc69d3)) ; defparam fa7cff8.GSR = "ENABLED" ; FD1S3DX fa7cff8 (.D(yma69d4), .CK(dzec4ce), .CD(je99dc6), .Q(ks34e9c)) ; defparam mt1d464.GSR = "ENABLED" ; FD1S3DX mt1d464 (.D(tj948d), .CK(dzec4ce), .CD(je99dc6), .Q(fca74e1)) ; defparam tj288f3.GSR = "ENABLED" ; FD1S3DX tj288f3 (.D(kq7758f), .CK(dzec4ce), .CD(je99dc6), .Q(fc3a70a)) ; defparam byf4ab7.GSR = "ENABLED" ; FD1S3DX byf4ab7 (.D(cmce96b), .CK(fndd899), .CD(vvec21b), .Q(byee645)) ; defparam hodf65c.GSR = "ENABLED" ; FD1S3DX hodf65c (.D(ldc7df2), .CK(fndd899), .CD(vvec21b), .Q(phc7fd)) ; defparam tj13a0c.GSR = "ENABLED" ; FD1S3DX tj13a0c (.D(bnb0962), .CK(fndd899), .CD(vvec21b), .Q(ww72fa5)) ; defparam oh39b78.GSR = "ENABLED" ; FD1S3DX oh39b78 (.D(phbf54d), .CK(fndd899), .CD(vvec21b), .Q(mgb6c3e)) ; defparam je2f10b.GSR = "ENABLED" ; FD1S3DX je2f10b (.D(go7861c), .CK(fndd899), .CD(vvec21b), .Q(qib61f0)) ; defparam cmd105e.GSR = "ENABLED" ; FD1S3DX cmd105e (.D(qi5b38), .CK(fndd899), .CD(vvec21b), .Q(dbb0f81)) ; defparam gq888a2.GSR = "ENABLED" ; FD1S3DX gq888a2 (.D(hqe431), .CK(fndd899), .CD(vvec21b), .Q(aa87c0f)) ; defparam pu8ced2.GSR = "ENABLED" ; FD1S3DX pu8ced2 (.D(os598c7), .CK(fndd899), .CD(vvec21b), .Q(rv270b3)) ; defparam wy982b2.\r
+GSR = "ENABLED" ; FD1S3DX wy982b2 (.D(goc453f), .CK(fndd899), .CD(vvec21b), .Q(zz3859e)) ; defparam aa8801f.GSR = "ENABLED" ; FD1S3DX aa8801f (.D(thc74dd), .CK(fndd899), .CD(vvec21b), .Q(xjc2cf6)) ; defparam wjc6185.GSR = "ENABLED" ; FD1S3DX wjc6185 (.D(pf7b9f0), .CK(fndd899), .CD(vvec21b), .Q(fp167b6)) ; defparam vv6b6ef.GSR = "ENABLED" ; FD1S3BX vv6b6ef (.D(ykdbbf4), .CK(dzec4ce), .PD(vvec21b), .Q(of442bd)) ; defparam byf438e.GSR = "ENABLED" ; FD1S3DX byf438e (.D(doe394), .CK(fndd899), .CD(je99dc6), .Q(qgc498c)) ; defparam bn947f8.GSR = "ENABLED" ; FD1S3BX bn947f8 (.D(ym1fe39), .CK(dzec4ce), .PD(vvec21b), .Q(fp8177d)) ; defparam sj3926f.GSR = "ENABLED" ; FD1S3DX sj3926f (.D(su49be4), .CK(fndd899), .CD(je99dc6), .Q(pubbee)) ; FADD2B wje7c42 (.A0(ip54b06), .A1(vve93e5), .B0(ip54b06), .B1(vve93e5), .CI(ip54b06), .COUT(mga9411), .S0(), .S1()); CU2 nee42eb (.CI(mga9411), .PC0(wl86ff), .PC1(yk437fc), .CO(tueb653), .NC0(mrcc0e7), .NC1(co2ed68)); CU2 hoca6a5 (.CI(tueb653), .PC0(jpfc2d8), .PC1(hbd8cdc), .CO(hda5628), .NC0(rg57660), .NC1(aaac960)); CU2 byc51c2 (.CI(hda5628), .PC0(mrdcd6f), .PC1(ip6f9da), .CO(rtc259e), .NC0(fp32b4f), .NC1(swa35b2)); CU2 wyb3dea (.CI(rtc259e), .PC0(nedaae1), .PC1(hoe16e9), .CO(qgea1e2), .NC0(qvd2ef), .NC1(jr1c457)); CU2 gd3c430 (.CI(qgea1e2), .PC0(nre96ef), .PC1(ykeff6e), .CO(je30903), .NC0(lde38f6), .NC1(meff796)); CU2 pu206f9 (.CI(je30903), .PC0(dz6e32c), .PC1(ip54b06), .CO(dzf97da), .NC0(bl508a5), .NC1()); FADD2B rtcd253 (.A0(ip54b06), .A1(vve93e5), .B0(ip54b06), .B1(vve93e5), .CI(ip54b06), .COUT(blf31ce), .S0(), .S1()); CU2 hb5f6b0 (.CI(blf31ce), .PC0(al659bb), .PC1(sj2cddc), .CO(zzb0cdc), .NC0(tj91548), .NC1(qte72d5)); CU2 tj9b9dd (.CI(zzb0cdc), .PC0(gbdc8fc), .PC1(hbfc8e9), .CO(hbddf20), .NC0(gq21e87), .NC1(and471)); CU2 jce418b (.CI(hbddf20), .PC0(hbe9eed), .PC1(wjeddf6), .CO(lf8b6b8), .NC0(mg24fde), .NC1(aa3b409)); CU2 zxd71e3 (.CI(lf8b6b8), .PC0(blf69c4), .PC1(ayc4d57), .CO(rte3cf4), .NC0(su53d87), .NC1(mr7fe6a)); CU2 db9e9d6 (.CI(rte3cf4), .PC0(dm57357), .PC1(dm57475), .CO(end6ed2), .NC0(ymfd6c), .NC1(qi314e7)); CU2 hoda55d (.CI(end6ed2), .PC0(qt755c6), .PC1(ip54b06), .CO(xw5d5ed), .NC0(gd2953a), .NC1()); FSUB2B ne57b59 (.A0(vve93e5), .A1(wl86ff), .B0(ip54b06), .B1(yz90edd), .BI(ip54b06), .BOUT(of5bb89), .S0(), .S1(viee26e)); FSUB2B jp71372 (.A0(yk437fc), .A1(jpfc2d8), .B0(gq1ff73), .B1(cbbe941), .BI(of5bb89), .BOUT(oub3782), .S0(blddc4d), .S1(qgde08f)); FSUB2B kqf0478 (.A0(hbd8cdc), .A1(mrdcd6f), .B0(fa7914e), .B1(mr5165e), .BI(oub3782), .BOUT(hq1542), .S0(nt9bc11), .S1(nr55090)); FSUB2B nga8487 (.A0(ip6f9da), .A1(nedaae1), .B0(sh5441a), .B1(cmfa153), .BI(hq1542), .BOUT(aydb909), .S0(ecaa12), .S1(bye424c)); FSUB2B co21263 (.A0(hoe16e9), .A1(nre96ef), .B0(wyb3db6), .B1(pfe6e08), .BI(aydb909), .BOUT(icce216), .S0(shdc849), .S1(aa8858e)); FSUB2B fa42c70 (.A0(ykeff6e), .A1(xjdd849), .B0(rg47205), .B1(ip54b06), .BI(icce216), .BOUT(), .S0(xj710b1), .S1(fp16be0)); VHI dbb5f07 (.Z(vve93e5)); FSUB2B xw7c1ff (.A0(vve93e5), .A1(byf4138), .B0(ip54b06), .B1(al659bb), .BI(ip54b06), .BOUT(rgd0889), .S0(), .S1(jr22256)); FSUB2B ls112b6 (.A0(jrb5458), .A1(ld6e292), .B0(sj2cddc), .B1(gbdc8fc), .BI(rgd0889), .BOUT(yz9ac49), .S0(rv8444a), .S1(anb126c)); FSUB2B zm89364 (.A0(en5143a), .A1(al7a1d4), .B0(hbfc8e9), .B1(hbe9eed), .BI(yz9ac49), .BOUT(fc116be), .S0(czd624d), .S1(jp5af9f)); FSUB2B nrd7cff (.A0(yzddb5), .A1(mg82af9), .B0(wjeddf6), .B1(blf69c4), .BI(fc116be), .BOUT(ipfdd40), .S0(db8b5f3), .S1(wj7502b)); FSUB2B twa815c (.A0(wjd3853), .A1(fa66258), .B0(ayc4d57), .B1(dm57357), .BI(ipfdd40), .BOUT(ym1f04f), .S0(tueea05), .S1(mec13c4)); FSUB2B vx9e20 (.A0(ux2c1a6), .A1(me49dfe), .B0(dm57475), .B1(ip54b06), .BI(ym1f04f), .BOUT(), .S0(qi2bc57), .S1(cb8ae62)); FADD2B xy30e89 (.A0(ip54b06), .A1(dz6110a), .B0(ip54b06), .B1(dz6110a), .CI(ip54b06), .COUT(go59655), .S0(), .S1()); AGEB2 zxf90a3 (.A0(al659bb), .A1(sj2cddc), .B0(byf4138), .B1(jrb5458), .CI(go59655), .GE(fnf64cb)); AGEB2 rib265f (.A0(gbdc8fc), .A1(hbfc8e9), .B0(ld6e292), .B1(en5143a), .CI(fnf64cb), .GE(fada633)); AGEB2 czd319a (.A0(hbe9eed), .A1(wjeddf6), .B0(al7a1d4), .B1(yzddb5), .CI(fada633), .GE(lfa0b69)); AGEB2 aa5b4e (.A0(blf69c4), .A1(ayc4d57), .B0(mg82af9), .B1(wjd3853), .CI(lfa0b69), .GE(zz8e2af)); AGEB2 dz7157f (.A0(dm57357), .A1(dm57475), .B0(fa66258), .B1(ux2c1a6), .CI(zz8e2af), .GE(vif4de2)); AGEB2 oua6f13 (.A0(en60cda), .A1(ip54b06), .B0(db3dcc3), .B1(ip54b06), .CI(vif4de2), .GE(goce132)); FADD2B gb70991 (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(goce132), .COUT(), .S0(ykdbbf4), .S1()); FADD2B cmef57b (.A0(ip54b06), .A1(su43126), .B0(ip54b06), .B1(su43126), .CI(ip54b06), .COUT(uic45be), .S0(), .S1()); AGEB2 tu7cf8d (.A0(wl86ff), .A1(yk437fc), .B0(yz90edd), .B1(gq1ff73), .CI(uic45be), .GE(xw5d2c7)); AGEB2 vve963b (.A0(jpfc2d8), .A1(hbd8cdc), .B0(cbbe941), .B1(fa7914e), .CI(xw5d2c7), .GE(kdfd5c3)); AGEB2 eaeae1c (.A0(mrdcd6f), .A1(ip6f9da), .B0(mr5165e), .B1(sh5441a), .CI(kdfd5c3), .GE(oua53b)); AGEB2 rg529d9 (.A0(nedaae1), .A1(hoe16e9), .B0(cmfa153), .B1(wyb3db6), .CI(oua53b), .GE(gb5e468)); AGEB2 kdf2344 (.A0(nre96ef), .A1(ykeff6e), .B0(pfe6e08), .B1(rg47205), .CI(gb5e468), .GE(ou2e02a)); AGEB2 en70150 (.A0(ipd3301), .A1(ip54b06), .B0(ba14af1), .B1(ip54b06), .CI(ou2e02a), .GE(xy250b7)); FADD2B zz285bb (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(xy250b7), .COUT(), .S0(doe394), .S1()); FADD2B vx943c6 (.A0(ip54b06), .A1(dz6110a), .B0(ip54b06), .B1(dz6110a), .CI(ip54b06), .COUT(swbc266), .S0(), .S1()); AGEB2 vvd7710 (.A0(ay77190[0]), .A1(ay77190[1]), .B0(jr22256), .B1(rv8444a), .CI(swbc266), .GE(ou34b02)); AGEB2 nta5811 (.A0(ay77190[2]), .A1(ay77190[3]), .B0(anb126c), .B1(czd624d), .CI(ou34b02), .GE(sh75b56)); AGEB2 riadab5 (.A0(ay77190[4]), .A1(ay77190[5]), .B0(jp5af9f), .B1(db8b5f3), .CI(sh75b56), .GE(sh51a76)); AGEB2 wy8d3b4 (.A0(ay77190[6]), .A1(ay77190[7]), .B0(wj7502b), .B1(tueea05), .CI(sh51a76), .GE(ep28a7)); AGEB2 hq1453c (.A0(ay77190[8]), .A1(ay77190[9]), .B0(mec13c4), .B1(qi2bc57), .CI(ep28a7), .GE(do1b4e6)); AGEB2 lqda732 (.A0(doa230b), .A1(ip54b06), .B0(ukb98a2), .B1(ip54b06), .CI(do1b4e6), .GE(sj955b9)); FADD2B dbaadcd (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(sj955b9), .COUT(), .S0(ym1fe39), .S1()); FADD2B ks2e00f (.A0(ip54b06), .A1(su43126), .B0(ip54b06), .B1(su43126), .CI(ip54b06), .COUT(qgc48c9), .S0(), .S1()); AGEB2 qgcb3e2 (.A0(viee26e), .A1(blddc4d), .B0(dob8c81[0]), .B1(dob8c81[1]), .CI(qgc48c9), .GE(wy884a3)); AGEB2 zk42518 (.A0(qgde08f), .A1(nt9bc11), .B0(dob8c81[2]), .B1(dob8c81[3]), .CI(wy884a3), .GE(sj1de2c)); AGEB2 ayef164 (.A0(nr55090), .A1(ecaa12), .B0(dob8c81[4]), .B1(dob8c81[5]), .CI(sj1de2c), .GE(zm173b)); AGEB2 twb9da (.A0(bye424c), .A1(shdc849), .B0(dob8c81[6]), .B1(dob8c81[7]), .CI(zm173b), .GE(wl90856)); AGEB2 fp842b4 (.A0(aa8858e), .A1(xj710b1), .B0(dob8c81[8]), .B1(dob8c81[9]), .CI(wl90856), .GE(ou31068)); AGEB2 gq88340 (.A0(fp16be0), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(ou31068), .GE(gq5871)); VLO cb2c389 (.Z(ip54b06)); FADD2B xye26e (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(gq5871), .COUT(), .S0(su49be4), .S1()); assign db3205d = of442bd; assign cb902ef = qgc498c;\r
+ \r
+always@* begin hqbd2d7<={icdbb13>>1,nrf6ece[0]};jpe96ba<=nrf6ece[1];uv4b5d7<=nrf6ece[2];pf5aebd<=nrf6ece[3];jpd75ef<=nrf6ece[4];fpbaf7e<=nrf6ece[5];thd7bf6<=nrf6ece[6];jebdfb7<={ay77190>>1,nrf6ece[7]};ayefdbb<={dob8c81>>1,nrf6ece[8]};end\r
+always@* begin bl7edd9[2047]<=fndd899;bl7edd9[2046]<=dzec4ce;bl7edd9[2044]<=ww62677;bl7edd9[2040]<=nt133b8;bl7edd9[2032]<=je99dc6;bl7edd9[2017]<=gocee32;bl7edd9[1987]<=ay77190[0];bl7edd9[1926]<=dob8c81[0];bl7edd9[1023]<=icdbb13[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ba4f04 (icdbb13, fndd899, dzec4ce, ww62677, nt133b8, je99dc6, \r
+ gocee32, ay77190, dob8c81, gbc640b, db3205d, cb902ef, fp8177d, \r
+ pubbee);\r
+input wire [17:0] icdbb13;\r
+input wire fndd899;\r
+input wire dzec4ce;\r
+input wire ww62677;\r
+input wire nt133b8;\r
+input wire je99dc6;\r
+input wire gocee32;\r
+input wire [9:0] ay77190;\r
+input wire [9:0] dob8c81;\r
+output wire [17:0] gbc640b;\r
+output wire db3205d;\r
+output wire cb902ef;\r
+output wire fp8177d;\r
+output wire pubbee;\r
+wire fnc8624;\r
+wire tw8c221;\r
+wire xlb5ea4;\r
+wire kd5b24a;\r
+wire th454df;\r
+wire aa3e078;\r
+wire gd1d5e2;\r
+wire pu1bfe1;\r
+wire xwe16c6;\r
+wire shc66e6;\r
+wire hbe6b7c;\r
+wire lq7ced5;\r
+wire rgd570b;\r
+wire zmb74b;\r
+wire al4b77f;\r
+wire kd7fb71;\r
+wire vv71966;\r
+wire uie5e73;\r
+wire vk2f398;\r
+wire ho79cc2;\r
+wire kqce613;\r
+wire lq73099;\r
+wire mg984cb;\r
+wire ldc2659;\r
+wire rv132cf;\r
+wire pu9967e;\r
+wire gocb3f3;\r
+wire wy987d3;\r
+wire jc66ee4;\r
+wire jce47e4;\r
+wire lqe474f;\r
+wire bl4f76e;\r
+wire rt6efb4;\r
+wire irb4e26;\r
+wire gd26ab9;\r
+wire gqb9aba;\r
+wire jrba3aa;\r
+wire zzaae34;\r
+wire icde57a;\r
+wire alf2bd0;\r
+wire an95e84;\r
+wire lfaf424;\r
+wire gb7a126;\r
+wire dmd0935;\r
+wire jr849aa;\r
+wire ym24d57;\r
+wire cb26ab8;\r
+wire ls355c5;\r
+wire fpbfc60;\r
+wire oh8a7a4;\r
+wire ld4f71c;\r
+wire uif5fa8;\r
+wire jp7e0e8;\r
+wire hbc2b1e;\r
+wire rv816fe;\r
+wire co9d6b8;\r
+wire xw57c80;\r
+wire gbedb34;\r
+wire ep266b;\r
+wire ay72dd2;\r
+wire sj95ca1;\r
+wire ls20f3f;\r
+wire fpa5ac0;\r
+wire uxbe6f6;\r
+wire ip7f3bf;\r
+wire ww781a8;\r
+wire ba1e4f6;\r
+wire gdabfd5;\r
+wire ps79094;\r
+wire gqb3bde;\r
+wire gqc04;\r
+wire bn8b1b5;\r
+wire shc3703;\r
+wire xy96d51;\r
+wire neeba75;\r
+wire tud5b8a;\r
+wire uv5bd24;\r
+wire gqa75b;\r
+wire oh29703;\r
+wire ea53ad9;\r
+wire nrec274;\r
+wire sw9d6c9;\r
+wire pu3ff3b;\r
+wire faeb649;\r
+wire vid0f0a;\r
+wire yxc69d3;\r
+wire sj908ca;\r
+wire ks34e9c;\r
+wire yma69d4;\r
+wire fca74e1;\r
+wire tj948d;\r
+wire fc3a70a;\r
+wire kq7758f;\r
+wire byee645;\r
+wire cmce96b;\r
+wire phc7fd;\r
+wire ldc7df2;\r
+wire ww72fa5;\r
+wire bnb0962;\r
+wire mgb6c3e;\r
+wire phbf54d;\r
+wire qib61f0;\r
+wire go7861c;\r
+wire dbb0f81;\r
+wire qi5b38;\r
+wire aa87c0f;\r
+wire hqe431;\r
+wire rv270b3;\r
+wire os598c7;\r
+wire zz3859e;\r
+wire goc453f;\r
+wire xjc2cf6;\r
+wire thc74dd;\r
+wire fp167b6;\r
+wire pf7b9f0;\r
+wire oh8012e;\r
+wire of442bd;\r
+wire qgc498c;\r
+wire vvec21b;\r
+wire mrcc0e7;\r
+wire co2ed68;\r
+wire mga9411;\r
+wire rg57660;\r
+wire aaac960;\r
+wire tueb653;\r
+wire fp32b4f;\r
+wire swa35b2;\r
+wire hda5628;\r
+wire qvd2ef;\r
+wire jr1c457;\r
+wire rtc259e;\r
+wire lde38f6;\r
+wire meff796;\r
+wire qgea1e2;\r
+wire bl508a5;\r
+wire dzf97da;\r
+wire dz6e32c;\r
+wire je30903;\r
+wire tj91548;\r
+wire qte72d5;\r
+wire blf31ce;\r
+wire gq21e87;\r
+wire and471;\r
+wire zzb0cdc;\r
+wire mg24fde;\r
+wire aa3b409;\r
+wire hbddf20;\r
+wire su53d87;\r
+wire mr7fe6a;\r
+wire lf8b6b8;\r
+wire ymfd6c;\r
+wire qi314e7;\r
+wire rte3cf4;\r
+wire gd2953a;\r
+wire xw5d5ed;\r
+wire qt755c6;\r
+wire end6ed2;\r
+wire viee26e;\r
+wire blddc4d;\r
+wire qgde08f;\r
+wire of5bb89;\r
+wire nt9bc11;\r
+wire nr55090;\r
+wire oub3782;\r
+wire ecaa12;\r
+wire bye424c;\r
+wire hq1542;\r
+wire shdc849;\r
+wire aa8858e;\r
+wire aydb909;\r
+wire xj710b1;\r
+wire fp16be0;\r
+wire icce216;\r
+wire xjdd849;\r
+wire fn4afe2;\r
+wire tu57f16;\r
+wire jr22256;\r
+wire vve93e5;\r
+wire rv8444a;\r
+wire anb126c;\r
+wire rgd0889;\r
+wire czd624d;\r
+wire jp5af9f;\r
+wire yz9ac49;\r
+wire db8b5f3;\r
+wire wj7502b;\r
+wire fc116be;\r
+wire tueea05;\r
+wire mec13c4;\r
+wire ipfdd40;\r
+wire qi2bc57;\r
+wire cb8ae62;\r
+wire ym1f04f;\r
+wire me49dfe;\r
+wire sw213fa;\r
+wire lf9fd1;\r
+wire go59655;\r
+wire byf4138;\r
+wire jrb5458;\r
+wire al659bb;\r
+wire sj2cddc;\r
+wire fnf64cb;\r
+wire ld6e292;\r
+wire en5143a;\r
+wire gbdc8fc;\r
+wire hbfc8e9;\r
+wire fada633;\r
+wire al7a1d4;\r
+wire yzddb5;\r
+wire hbe9eed;\r
+wire wjeddf6;\r
+wire lfa0b69;\r
+wire mg82af9;\r
+wire wjd3853;\r
+wire blf69c4;\r
+wire ayc4d57;\r
+wire zz8e2af;\r
+wire fa66258;\r
+wire ux2c1a6;\r
+wire dm57357;\r
+wire dm57475;\r
+wire vif4de2;\r
+wire db3dcc3;\r
+wire en60cda;\r
+wire ykdbbf4;\r
+wire goce132;\r
+wire uic45be;\r
+wire yz90edd;\r
+wire gq1ff73;\r
+wire wl86ff;\r
+wire yk437fc;\r
+wire xw5d2c7;\r
+wire cbbe941;\r
+wire fa7914e;\r
+wire jpfc2d8;\r
+wire hbd8cdc;\r
+wire kdfd5c3;\r
+wire mr5165e;\r
+wire sh5441a;\r
+wire mrdcd6f;\r
+wire ip6f9da;\r
+wire oua53b;\r
+wire cmfa153;\r
+wire wyb3db6;\r
+wire nedaae1;\r
+wire hoe16e9;\r
+wire gb5e468;\r
+wire pfe6e08;\r
+wire rg47205;\r
+wire nre96ef;\r
+wire ykeff6e;\r
+wire ou2e02a;\r
+wire ba14af1;\r
+wire ipd3301;\r
+wire doe394;\r
+wire xy250b7;\r
+wire dz6110a;\r
+wire swbc266;\r
+wire jr3e16;\r
+wire uk1f0b6;\r
+wire ou34b02;\r
+wire thc2d84;\r
+wire zz16c26;\r
+wire sh75b56;\r
+wire lfb09b9;\r
+wire ph84dcf;\r
+wire sh51a76;\r
+wire rv373fd;\r
+wire ieb9fef;\r
+wire ep28a7;\r
+wire cz7fbca;\r
+wire uvfde54;\r
+wire do1b4e6;\r
+wire ukb98a2;\r
+wire doa230b;\r
+wire ym1fe39;\r
+wire sj955b9;\r
+wire su43126;\r
+wire qgc48c9;\r
+wire yz129fb;\r
+wire zz94fdd;\r
+wire wy884a3;\r
+wire do3f773;\r
+wire fafbb9b;\r
+wire sj1de2c;\r
+wire jcee6d6;\r
+wire ps736b2;\r
+wire zm173b;\r
+wire zkdac9e;\r
+wire kdd64f3;\r
+wire wl90856;\r
+wire ng93ce3;\r
+wire ph9e71b;\r
+wire ou31068;\r
+wire ng9c6f6;\r
+wire su49be4;\r
+wire gq5871;\r
+wire ip54b06;\r
+reg [17 : 0] hqbd2d7;\r
+reg jpe96ba;\r
+reg uv4b5d7;\r
+reg pf5aebd;\r
+reg jpd75ef;\r
+reg fpbaf7e;\r
+reg thd7bf6;\r
+reg [9 : 0] jebdfb7;\r
+reg [9 : 0] ayefdbb;\r
+reg fpba667;\r
+reg wjd333f;\r
+reg do999fc;\r
+reg mrccfe2;\r
+reg ay67f15;\r
+reg vk3f8a9;\r
+reg rtfc54a;\r
+reg kde2a56;\r
+reg wy152b5;\r
+reg gqa95a9;\r
+reg xj4ad49;\r
+reg go56a4e;\r
+reg lfb5271;\r
+reg iea938e;\r
+reg kq49c71;\r
+reg mr4e389;\r
+reg dz71c4d;\r
+reg db8e26f;\r
+reg jp7137f;\r
+reg tw89bfe;\r
+reg fa4dff3;\r
+reg yx6ff9b;\r
+reg kd7fcdd;\r
+reg fafe6ec;\r
+reg xjf3763;\r
+reg hd9bb1f;\r
+reg xjdd8ff;\r
+reg rtec7fe;\r
+reg fa63ff7;\r
+reg ie1ffbb;\r
+reg cmffdd9;\r
+reg tufeec8;\r
+reg alf7642;\r
+reg epbb215;\r
+reg ofd90ad;\r
+reg blc856d;\r
+reg ne42b6e;\r
+reg aa15b72;\r
+reg jeadb90;\r
+reg os6dc82;\r
+reg fn6e410;\r
+reg ic72086;\r
+reg qv90431;\r
+reg ks8218d;\r
+reg gq10c69;\r
+reg fc86348;\r
+reg sw31a43;\r
+reg qi8d219;\r
+reg dm690c8;\r
+reg xw48643;\r
+reg go4321a;\r
+reg db190d1;\r
+reg rtc868f;\r
+reg kq4347e;\r
+reg gq1a3f1;\r
+reg icd1f88;\r
+reg wl8fc40;\r
+reg bl7e204;\r
+reg psf1027;\r
+reg ou8813a;\r
+reg pf409d0;\r
+reg vk4e87;\r
+reg tj2743b;\r
+reg ng3a1de;\r
+reg hod0ef3;\r
+reg mg8779a;\r
+reg wy3bcd1;\r
+reg wwde688;\r
+reg jpf3440;\r
+reg yz9a207;\r
+reg wwd103c;\r
+reg gq881e1;\r
+reg dz40f08;\r
+reg ep7847;\r
+reg ir3c23c;\r
+reg pse11e4;\r
+reg mt8f23;\r
+reg by4791d;\r
+reg kf3c8ea;\r
+reg jce4755;\r
+reg qv23aab;\r
+reg ux1d55d;\r
+reg uieaaed;\r
+reg fn55769;\r
+reg cbabb4f;\r
+reg ps5da7d;\r
+reg ened3ea;\r
+reg fa69f55;\r
+reg ld4faaa;\r
+reg os7d556;\r
+reg kqeaab0;\r
+reg dz55581;\r
+reg ntaac0f;\r
+reg yk5607f;\r
+reg vxb03f8;\r
+reg nt81fc6;\r
+reg ecfe37;\r
+reg mr7f1b8;\r
+reg alf8dc5;\r
+reg ipc6e2d;\r
+reg ph3716c;\r
+reg twb8b67;\r
+reg dmc5b3b;\r
+reg fp2d9db;\r
+reg jc6cede;\r
+reg os676f2;\r
+reg ym3b790;\r
+reg qgdbc81;\r
+reg osde409;\r
+reg wjf2048;\r
+reg yz90245;\r
+reg zz8122d;\r
+reg vx916e;\r
+reg rg48b76;\r
+reg rt45bb3;\r
+reg nt2dd9f;\r
+reg xj6ecfe;\r
+reg by767f7;\r
+reg ksb3fbb;\r
+reg cb9fdd8;\r
+reg hofeec5;\r
+reg ipf762a;\r
+reg qvbb155;\r
+reg hbd8aad;\r
+reg rgc556e;\r
+reg hq2ab72;\r
+reg fn55b93;\r
+reg xyadc9e;\r
+reg zx6e4f2;\r
+reg me72796;\r
+reg vk93cb1;\r
+reg bn9e58b;\r
+reg wjf2c5e;\r
+reg tw962f4;\r
+reg gdb17a2;\r
+reg xl8bd14;\r
+reg ip5e8a6;\r
+reg dmf4535;\r
+reg xya29aa;\r
+reg xy14d53;\r
+reg ksa6a9a;\r
+reg xy354d1;\r
+reg dbaa68a;\r
+reg su53456;\r
+reg yz9a2b0;\r
+reg wwd1584;\r
+reg ir8ac21;\r
+reg qg5610c;\r
+reg jrb0860;\r
+reg zz84307;\r
+reg sw2183e;\r
+reg hdc1f0;\r
+reg kd60f86;\r
+reg ux7c34;\r
+reg ec3e1a4;\r
+reg mef0d21;\r
+reg vk86909;\r
+reg mg3484a;\r
+reg wla4251;\r
+reg ou2128d;\r
+reg fp9469;\r
+reg vv4a34e;\r
+reg wj51a77;\r
+reg co8d3bc;\r
+reg vi69de0;\r
+reg ip4ef02;\r
+reg qg77814;\r
+reg wybc0a0;\r
+reg wwe0500;\r
+reg qv2801;\r
+reg zm1400c;\r
+reg hda0063;\r
+reg ym31f;\r
+reg ls18f8;\r
+reg rvc7c3;\r
+reg lq63e1e;\r
+reg ec1f0f3;\r
+reg uvf8798;\r
+reg ipc3cc4;\r
+reg ng1e624;\r
+reg pff3122;\r
+reg sw98917;\r
+reg ayc48b8;\r
+reg ec245c1;\r
+reg db22e09;\r
+reg ux1704f;\r
+reg ngb827c;\r
+reg cmc13e2;\r
+reg tj9f10;\r
+reg hb4f887;\r
+reg jc7c43e;\r
+reg yxe21f2;\r
+reg aa10f94;\r
+reg ie87ca0;\r
+reg mg3e500;\r
+reg alf2801;\r
+reg bn9400c;\r
+reg xla0067;\r
+reg wy33f;\r
+reg vk19f8;\r
+reg tjcfc3;\r
+reg ea67e1f;\r
+reg ba3f0f9;\r
+reg qtf87cb;\r
+reg czc3e5c;\r
+reg qi1f2e4;\r
+reg nrf9720;\r
+reg cmcb906;\r
+reg ui5c830;\r
+reg rge4180;\r
+reg ba20c03;\r
+reg bn601a;\r
+reg ng300d7;\r
+reg an806ba;\r
+reg db35d4;\r
+reg co1aea7;\r
+reg jpd7539;\r
+reg fpba9ce;\r
+reg qgd4e76;\r
+reg hqa73b0;\r
+reg wl39d82;\r
+reg aycec15;\r
+reg fa760a8;\r
+reg tjb0543;\r
+reg uk82a1e;\r
+reg ec150f0;\r
+reg twa8781;\r
+reg ui43c0b;\r
+reg fc1e058;\r
+reg ayf02c2;\r
+reg bn81610;\r
+reg jeb086;\r
+reg dm58433;\r
+reg rtc219b;\r
+reg ks10cdf;\r
+reg ls866f8;\r
+reg qv337c3;\r
+reg db9be1d;\r
+reg psdf0ef;\r
+reg enf877a;\r
+reg kdc3bd4;\r
+reg ep1dea4;\r
+reg suef524;\r
+reg kd7a920;\r
+reg ead4904;\r
+reg qva4820;\r
+reg ou24104;\r
+reg do20821;\r
+reg pu410a;\r
+reg ri20852;\r
+reg xy4292;\r
+reg co21492;\r
+reg ksa491;\r
+reg lq52489;\r
+reg sw9244a;\r
+reg wy92250;\r
+reg fp91280;\r
+reg ba89407;\r
+reg pf4a03a;\r
+reg bl501d7;\r
+reg wl80ebf;\r
+reg an75fd;\r
+reg fc3afed;\r
+reg thd7f6a;\r
+reg lfbfb57;\r
+reg offdabe;\r
+reg qted5f5;\r
+reg yx6afad;\r
+reg jc57d6d;\r
+reg irbeb6b;\r
+reg osf5b5c;\r
+reg lsadae1;\r
+reg ea6d70a;\r
+reg hb6b851;\r
+reg cm5c28f;\r
+reg hoe1479;\r
+reg yma3cb;\r
+reg uv51e59;\r
+reg oh8f2cc;\r
+reg rg79665;\r
+reg iccb32a;\r
+reg ui59951;\r
+reg shcca88;\r
+reg yx65445;\r
+reg fc2a22d;\r
+reg kd5116a;\r
+reg ou88b55;\r
+reg th45aa9;\r
+reg db2d54f;\r
+reg yx6aa7f;\r
+reg ld553fd;\r
+reg wya9fef;\r
+reg rt4ff78;\r
+reg mr7fbc6;\r
+reg wjfde34;\r
+reg ayef1a5;\r
+reg ui78d28;\r
+reg mrc6941;\r
+reg [2047:0] bl7edd9;\r
+wire [306:0] nrf6ece;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam zmb7676 = 307,wlbb3b3 = 32'hfdffc68b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+AND2 ofe7218 (.A(ww62677), .B(fnc8624), .Z(su43126)); INV ux18931 (.A(qgc498c), .Z(fnc8624)); AND2 ir26308 (.A(nt133b8), .B(tw8c221), .Z(dz6110a)); INV ux8857 (.A(of442bd), .Z(tw8c221)); OR2 gqaf61 (.A(je99dc6), .B(gocee32), .Z(vvec21b)); XOR2 nr610df (.A(wl86ff), .B(yk437fc), .Z(pu1bfe1)); XOR2 hodff0b (.A(yk437fc), .B(jpfc2d8), .Z(xwe16c6)); XOR2 xyb633 (.A(jpfc2d8), .B(hbd8cdc), .Z(shc66e6)); XOR2 ux33735 (.A(hbd8cdc), .B(mrdcd6f), .Z(hbe6b7c)); XOR2 zm35be7 (.A(mrdcd6f), .B(ip6f9da), .Z(lq7ced5)); XOR2 she76ab (.A(ip6f9da), .B(nedaae1), .Z(rgd570b)); XOR2 uxab85b (.A(nedaae1), .B(hoe16e9), .Z(zmb74b)); XOR2 cz5ba5b (.A(hoe16e9), .B(nre96ef), .Z(al4b77f)); XOR2 kd5bbfd (.A(nre96ef), .B(ykeff6e), .Z(kd7fb71)); XOR2 gbfdb8c (.A(ykeff6e), .B(dz6e32c), .Z(vv71966)); XOR2 pu8cb37 (.A(al659bb), .B(sj2cddc), .Z(jc66ee4)); XOR2 rv37723 (.A(sj2cddc), .B(gbdc8fc), .Z(jce47e4)); XOR2 qv23f23 (.A(gbdc8fc), .B(hbfc8e9), .Z(lqe474f)); XOR2 gd23a7b (.A(hbfc8e9), .B(hbe9eed), .Z(bl4f76e)); XOR2 th7bb77 (.A(hbe9eed), .B(wjeddf6), .Z(rt6efb4)); XOR2 ea77da7 (.A(wjeddf6), .B(blf69c4), .Z(irb4e26)); XOR2 pua7135 (.A(blf69c4), .B(ayc4d57), .Z(gd26ab9)); XOR2 ri355cd (.A(ayc4d57), .B(dm57357), .Z(gqb9aba)); XOR2 fncd5d1 (.A(dm57357), .B(dm57475), .Z(jrba3aa)); XOR2 ayd1d57 (.A(dm57475), .B(qt755c6), .Z(zzaae34)); defparam rg571a7.initval = 16'h6996 ; ROM16X1A rg571a7 (.AD3(yxc69d3), .AD2(ks34e9c), .AD1(fca74e1), .AD0(fc3a70a), .DO0(wjd3853)); defparam hq9c29d.initval = 16'h6996 ; ROM16X1A hq9c29d (.AD3(gqa75b), .AD2(ea53ad9), .AD1(sw9d6c9), .AD0(faeb649), .DO0(kd5b24a)); defparam qtd9254.initval = 16'h6996 ; ROM16X1A qtd9254 (.AD3(fca74e1), .AD2(fc3a70a), .AD1(ip54b06), .AD0(ip54b06), .DO0(ux2c1a6)); defparam en60d35.initval = 16'h6996 ; ROM16X1A en60d35 (.AD3(ks34e9c), .AD2(fca74e1), .AD1(fc3a70a), .AD0(ip54b06), .DO0(fa66258)); defparam ec312c2.initval = 16'h6996 ; ROM16X1A ec312c2 (.AD3(faeb649), .AD2(yxc69d3), .AD1(ks34e9c), .AD0(ux2c1a6), .DO0(mg82af9)); defparam sw157c8.initval = 16'h6996 ; ROM16X1A sw157c8 (.AD3(sw9d6c9), .AD2(faeb649), .AD1(yxc69d3), .AD0(fa66258), .DO0(yzddb5)); defparam vv6edad.initval = 16'h6996 ; ROM16X1A vv6edad (.AD3(ea53ad9), .AD2(sw9d6c9), .AD1(faeb649), .AD0(wjd3853), .DO0(al7a1d4)); defparam hod0ea5.initval = 16'h6996 ; ROM16X1A hod0ea5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(ip54b06), .AD0(ip54b06), .DO0(en5143a)); defparam ux8a1d5.initval = 16'h6996 ; ROM16X1A ux8a1d5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(tud5b8a), .AD0(ip54b06), .DO0(ld6e292)); defparam hb71496.initval = 16'h6996 ; ROM16X1A hb71496 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xy96d51), .AD0(tud5b8a), .DO0(jrb5458)); defparam vxaa2c6.initval = 16'h6996 ; ROM16X1A vxaa2c6 (.AD3(bn8b1b5), .AD2(xy96d51), .AD1(tud5b8a), .AD0(ip54b06), .DO0(xlb5ea4)); defparam hdaf527.initval = 16'h6996 ; ROM16X1A hdaf527 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xlb5ea4), .AD0(ip54b06), .DO0(byf4138)); defparam jra09c2.initval = 16'h6996 ; ROM16X1A jra09c2 (.AD3(rv270b3), .AD2(zz3859e), .AD1(xjc2cf6), .AD0(fp167b6), .DO0(wyb3db6)); defparam vx9edb0.initval = 16'h6996 ; ROM16X1A vx9edb0 (.AD3(mgb6c3e), .AD2(qib61f0), .AD1(dbb0f81), .AD0(aa87c0f), .DO0(aa3e078)); defparam gof03c1.initval = 16'h6996 ; ROM16X1A gof03c1 (.AD3(xjc2cf6), .AD2(fp167b6), .AD1(ip54b06), .AD0(ip54b06), .DO0(rg47205)); defparam mt3902b.initval = 16'h6996 ; ROM16X1A mt3902b (.AD3(zz3859e), .AD2(xjc2cf6), .AD1(fp167b6), .AD0(ip54b06), .DO0(pfe6e08)); defparam nt37047.initval = 16'h6996 ; ROM16X1A nt37047 (.AD3(aa87c0f), .AD2(rv270b3), .AD1(zz3859e), .AD0(rg47205), .DO0(cmfa153)); defparam xwd0a99.initval = 16'h6996 ; ROM16X1A xwd0a99 (.AD3(dbb0f81), .AD2(aa87c0f), .AD1(rv270b3), .AD0(pfe6e08), .DO0(sh5441a)); defparam baa20d5.initval = 16'h6996 ; ROM16X1A baa20d5 (.AD3(qib61f0), .AD2(dbb0f81), .AD1(aa87c0f), .AD0(wyb3db6), .DO0(mr5165e)); defparam hd8b2f5.initval = 16'h6996 ; ROM16X1A hd8b2f5 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ip54b06), .AD0(ip54b06), .DO0(fa7914e)); defparam thc8a72.initval = 16'h6996 ; ROM16X1A thc8a72 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ww72fa5), .AD0(ip54b06), .DO0(cbbe941)); defparam tuf4a0c.initval = 16'h6996 ; ROM16X1A tuf4a0c (.AD3(wyb3db6), .AD2(aa3e078), .AD1(phc7fd), .AD0(ww72fa5), .DO0(gq1ff73)); defparam meffb99.initval = 16'h6996 ; ROM16X1A meffb99 (.AD3(byee645), .AD2(phc7fd), .AD1(ww72fa5), .AD0(ip54b06), .DO0(th454df)); defparam xy2a6fe.initval = 16'h6996 ; ROM16X1A xy2a6fe (.AD3(wyb3db6), .AD2(aa3e078), .AD1(th454df), .AD0(ip54b06), .DO0(yz90edd)); XOR2 sw876ec (.A(dz6e32c), .B(fp167b6), .Z(xjdd849)); XOR2 rtec24e (.A(fc3a70a), .B(qt755c6), .Z(me49dfe)); defparam cz4eff1.initval = 16'h0410 ; ROM16X1A cz4eff1 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(en60cda)); defparam zz66d4.initval = 16'h1004 ; ROM16X1A zz66d4 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(db3dcc3)); defparam faee61f.initval = 16'h0140 ; ROM16X1A faee61f (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ipd3301)); defparam vk9980c.initval = 16'h4001 ; ROM16X1A vk9980c (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ba14af1)); INV tja578a (.A(uvfde54), .Z(gd1d5e2)); AND2 xwf15cc (.A(oh8012e), .B(gd1d5e2), .Z(ukb98a2)); AND2 mrcc511 (.A(oh8012e), .B(uvfde54), .Z(doa230b)); defparam pu1185a.CSDECODE_B = "0b000" ; defparam pu1185a.CSDECODE_A = "0b000" ; defparam pu1185a.WRITEMODE_B = "NORMAL" ; defparam pu1185a.WRITEMODE_A = "NORMAL" ; defparam pu1185a.GSR = "ENABLED" ; defparam pu1185a.REGMODE_B = "NOREG" ; defparam pu1185a.REGMODE_A = "NOREG" ; defparam pu1185a.DATA_WIDTH_B = 18 ; defparam pu1185a.DATA_WIDTH_A = 18 ; DP16KC pu1185a (.DIA0(icdbb13[0]), .DIA1(icdbb13[1]), .DIA2(icdbb13[2]), .DIA3(icdbb13[3]), .DIA4(icdbb13[4]), .DIA5(icdbb13[5]), .DIA6(icdbb13[6]), .DIA7(icdbb13[7]), .DIA8(icdbb13[8]), .DIA9(icdbb13[9]), .DIA10(icdbb13[10]), .DIA11(icdbb13[11]), .DIA12(icdbb13[12]), .DIA13(icdbb13[13]), .DIA14(icdbb13[14]), .DIA15(icdbb13[15]), .DIA16(icdbb13[16]), .DIA17(icdbb13[17]), .ADA0(vve93e5), .ADA1(vve93e5), .ADA2(ip54b06), .ADA3(ip54b06), .ADA4(uie5e73), .ADA5(vk2f398), .ADA6(ho79cc2), .ADA7(kqce613), .ADA8(lq73099), .ADA9(mg984cb), .ADA10(ldc2659), .ADA11(rv132cf), .ADA12(pu9967e), .ADA13(gocb3f3), .CEA(su43126), .CLKA(fndd899), .OCEA(su43126), .WEA(vve93e5), .CSA0(ip54b06), .CSA1(ip54b06), .CSA2(ip54b06), .RSTA(je99dc6), .DIB0(ip54b06), .DIB1(ip54b06), .DIB2(ip54b06), .DIB3(ip54b06), .DIB4(ip54b06), .DIB5(ip54b06), .DIB6(ip54b06), .DIB7(ip54b06), .DIB8(ip54b06), .DIB9(ip54b06), .DIB10(ip54b06), .DIB11(ip54b06), .DIB12(ip54b06), .DIB13(ip54b06), .DIB14(ip54b06), .DIB15(ip54b06), .DIB16(ip54b06), .DIB17(ip54b06), .ADB0(ip54b06), .ADB1(ip54b06), .ADB2(ip54b06), .ADB3(ip54b06), .ADB4(icde57a), .ADB5(alf2bd0), .ADB6(an95e84), .ADB7(lfaf424), .ADB8(gb7a126), .ADB9(dmd0935), .ADB10(jr849aa), .ADB11(ym24d57), .ADB12(cb26ab8), .ADB13(ls355c5), .CEB(dz6110a), .CLKB(dzec4ce), .OCEB(dz6110a), .WEB(ip54b06), .CSB0(ip54b06), .CSB1(ip54b06), .CSB2(ip54b06), .RSTB(je99dc6), .DOA0(), .DOA1(), .DOA2(), .DOA3(), .DOA4(), .DOA5(), .DOA6(), .DOA7(), .DOA8(), .DOA9(), .DOA10(), .DOA11(), .DOA12(), .DOA13(), .DOA14(), .DOA15(), .DOA16(), .DOA17(), .DOB0(gbc640b[0]), .DOB1(gbc640b[1]), .DOB2(gbc640b[2]), .DOB3(gbc640b[3]), .DOB4(gbc640b[4]), .DOB5(gbc640b[5]), .DOB6(gbc640b[6]), .DOB7(gbc640b[7]), .DOB8(gbc640b[8]), .DOB9(gbc640b[9]), .DOB10(gbc640b[10]), .DOB11(gbc640b[11]), .DOB12(gbc640b[12]), .DOB13(gbc640b[13]), .DOB14(gbc640b[14]), .DOB15(gbc640b[15]), .DOB16(gbc640b[16]), .DOB17(gbc640b[17\r
+])) ; FD1P3BX fn7e468 (.D(mrcc0e7), .SP(su43126), .CK(fndd899), .PD(je99dc6), .Q(wl86ff)) ; FD1P3DX lf3dc02 (.D(co2ed68), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(yk437fc)) ; FD1P3DX bnba924 (.D(rg57660), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jpfc2d8)) ; FD1P3DX do30804 (.D(aaac960), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbd8cdc)) ; FD1P3DX ba1e655 (.D(fp32b4f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mrdcd6f)) ; FD1P3DX ho576bf (.D(swa35b2), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ip6f9da)) ; FD1P3DX dmd57f8 (.D(qvd2ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nedaae1)) ; FD1P3DX ir1de64 (.D(jr1c457), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hoe16e9)) ; FD1P3DX ba20771 (.D(lde38f6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nre96ef)) ; FD1P3DX uv5e8b6 (.D(meff796), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ykeff6e)) ; FD1P3DX tjaa7c6 (.D(bl508a5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(dz6e32c)) ; FD1P3DX qvb1965 (.D(pu1bfe1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(oh8a7a4)) ; FD1P3DX fn6b927 (.D(xwe16c6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ld4f71c)) ; FD1P3DX ipf843b (.D(shc66e6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uif5fa8)) ; FD1P3DX ofe7044 (.D(hbe6b7c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jp7e0e8)) ; FD1P3DX ir26168 (.D(lq7ced5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbc2b1e)) ; FD1P3DX wl23bc4 (.D(rgd570b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv816fe)) ; FD1P3DX gd2c04a (.D(zmb74b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(co9d6b8)) ; FD1P3DX xyad5b6 (.D(al4b77f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(xw57c80)) ; FD1P3DX mg9d208 (.D(kd7fb71), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gbedb34)) ; FD1P3DX ymf525 (.D(vv71966), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ep266b)) ; FD1P3DX os4c11c (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ay72dd2)) ; FD1P3DX mt9f303 (.D(wl86ff), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uie5e73)) ; FD1P3DX kf3cbb5 (.D(yk437fc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(vk2f398)) ; FD1P3DX of415d9 (.D(jpfc2d8), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ho79cc2)) ; FD1P3DX ir2b25 (.D(hbd8cdc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(kqce613)) ; FD1P3DX gqcad (.D(mrdcd6f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(lq73099)) ; FD1P3DX rt7e8d6 (.D(ip6f9da), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mg984cb)) ; FD1P3DX gd9034b (.D(nedaae1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ldc2659)) ; FD1P3DX ps7c711 (.D(hoe16e9), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv132cf)) ; FD1P3DX vxbf8e3 (.D(nre96ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(pu9967e)) ; FD1P3DX lsb7fde (.D(ykeff6e), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gocb3f3)) ; FD1P3DX kfb1422 (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(wy987d3)) ; FD1P3BX aa2edd6 (.D(tj91548), .SP(dz6110a), .CK(dzec4ce), .PD(vvec21b), .Q(al659bb)) ; FD1P3DX qg53d25 (.D(qte72d5), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj2cddc)) ; FD1P3DX vv7b8e7 (.D(gq21e87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gbdc8fc)) ; FD1P3DX jrafd41 (.D(and471), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbfc8e9)) ; FD1P3DX ayf0747 (.D(mg24fde), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbe9eed)) ; FD1P3DX ec158f6 (.D(aa3b409), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(wjeddf6)) ; FD1P3DX hqb7f6 (.D(su53d87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(blf69c4)) ; FD1P3DX hoeb5c1 (.D(mr7fe6a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ayc4d57)) ; FD1P3DX qvbe403 (.D(ymfd6c), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57357)) ; FD1P3DX qg6d9a4 (.D(qi314e7), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57475)) ; FD1P3DX nt1335d (.D(gd2953a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(qt755c6)) ; FD1P3DX zm96e90 (.D(jc66ee4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj95ca1)) ; FD1P3DX vidfd5b (.D(jce47e4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls20f3f)) ; FD1P3DX hod0902 (.D(lqe474f), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpa5ac0)) ; FD1P3DX mgb9768 (.D(bl4f76e), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(uxbe6f6)) ; FD1P3DX mt2a70 (.D(rt6efb4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ip7f3bf)) ; FD1P3DX yz8b71 (.D(irb4e26), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ww781a8)) ; FD1P3DX fn7edcd (.D(gd26ab9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ba1e4f6)) ; FD1P3DX qib33fb (.D(gqb9aba), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gdabfd5)) ; FD1P3DX vi52aeb (.D(jrba3aa), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ps79094)) ; FD1P3DX czed6ae (.D(zzaae34), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqb3bde)) ; FD1P3DX ea7756d (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqc04)) ; FD1P3DX ic72455 (.D(al659bb), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(icde57a)) ; FD1P3DX vi479cb (.D(sj2cddc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(alf2bd0)) ; FD1P3DX qiac87a (.D(gbdc8fc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(an95e84)) ; FD1P3DX jr38351 (.D(hbfc8e9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(lfaf424)) ; FD1P3DX ba8c93f (.D(hbe9eed), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gb7a126)) ; FD1P3DX uif0ed0 (.D(wjeddf6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dmd0935)) ; FD1P3DX ui494f6 (.D(blf69c4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(jr849aa)) ; FD1P3DX yz39ff9 (.D(ayc4d57), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ym24d57)) ; FD1P3DX al543f5 (.D(dm57357), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(cb26ab8)) ; FD1P3DX kd60c53 (.D(dm57475), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls355c5)) ; FD1P3DX nt38a54 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpbfc60)) ; FD1S3DX byd5d12 (.D(oh8a7a4), .CK(dzec4ce), .CD(je99dc6), .Q(shc3703)) ; FD1S3DX yzae50c (.D(ld4f71c), .CK(dzec4ce), .CD(je99dc6), .Q(neeba75)) ; FD1S3DX cb79fa (.D(uif5fa8), .CK(dzec4ce), .CD(je99dc6), .Q(uv5bd24)) ; FD1S3DX hd2d606 (.D(jp7e0e8), .CK(dzec4ce), .CD(je99dc6), .Q(oh29703)) ; FD1S3DX nrf37b5 (.D(hbc2b1e), .CK(dzec4ce), .CD(je99dc6), .Q(nrec274)) ; FD1S3DX vvf9dfd (.D(rv816fe), .CK(dzec4ce), .CD(je99dc6), .Q(pu3ff3b)) ; FD1S3DX xwc0d40 (.D(co9d6b8), .CK(dzec4ce), .CD(je99dc6), .Q(vid0f0a)) ; FD1S3DX wjf27b2 (.D(xw57c80), .CK(dzec4ce), .CD(je99dc6), .Q(sj908ca)) ; FD1S3DX xj5fead (.D(gbedb34), .CK(dzec4ce), .CD(je99dc6), .Q(yma69d4)) ; FD1S3DX vvc84a2 (.D(ep266b), .CK(dzec4ce), .CD(je99dc6), .Q(tj948d)) ; FD1S3DX uk9def0 (.D(ay72dd2), .CK(dzec4ce), .CD(je99dc6), .Q(kq7758f)) ; FD1S3DX db6021 (.D(sj95ca1), .CK(fndd899), .CD(vvec21b), .Q(cmce96b))\r
+ ; FD1S3DX rgd540c (.D(ls20f3f), .CK(fndd899), .CD(vvec21b), .Q(ldc7df2)) ; FD1S3DX enf7f9b (.D(fpa5ac0), .CK(fndd899), .CD(vvec21b), .Q(bnb0962)) ; FD1S3DX cb33fe6 (.D(uxbe6f6), .CK(fndd899), .CD(vvec21b), .Q(phbf54d)) ; FD1S3DX xl28fcf (.D(ip7f3bf), .CK(fndd899), .CD(vvec21b), .Q(go7861c)) ; FD1S3DX ls93ebd (.D(ww781a8), .CK(fndd899), .CD(vvec21b), .Q(qi5b38)) ; FD1S3DX wwe0e99 (.D(ba1e4f6), .CK(fndd899), .CD(vvec21b), .Q(hqe431)) ; FD1S3DX uk2b8fe (.D(gdabfd5), .CK(fndd899), .CD(vvec21b), .Q(os598c7)) ; FD1S3DX rt4f2d3 (.D(ps79094), .CK(fndd899), .CD(vvec21b), .Q(goc453f)) ; FD1S3DX osd1d61 (.D(gqb3bde), .CK(fndd899), .CD(vvec21b), .Q(thc74dd)) ; FD1S3DX ba353e8 (.D(gqc04), .CK(fndd899), .CD(vvec21b), .Q(pf7b9f0)) ; FD1S3DX xy86158 (.D(shc3703), .CK(dzec4ce), .CD(je99dc6), .Q(bn8b1b5)) ; FD1S3DX tw1b81d (.D(neeba75), .CK(dzec4ce), .CD(je99dc6), .Q(xy96d51)) ; FD1S3DX zk5d3ab (.D(uv5bd24), .CK(dzec4ce), .CD(je99dc6), .Q(tud5b8a)) ; FD1S3DX uide925 (.D(oh29703), .CK(dzec4ce), .CD(je99dc6), .Q(gqa75b)) ; FD1S3DX ip4b81d (.D(nrec274), .CK(dzec4ce), .CD(je99dc6), .Q(ea53ad9)) ; FD1S3DX bl613a7 (.D(pu3ff3b), .CK(dzec4ce), .CD(je99dc6), .Q(sw9d6c9)) ; FD1S3DX suff9da (.D(vid0f0a), .CK(dzec4ce), .CD(je99dc6), .Q(faeb649)) ; FD1S3DX uk87852 (.D(sj908ca), .CK(dzec4ce), .CD(je99dc6), .Q(yxc69d3)) ; FD1S3DX zz84654 (.D(yma69d4), .CK(dzec4ce), .CD(je99dc6), .Q(ks34e9c)) ; FD1S3DX ec34ea1 (.D(tj948d), .CK(dzec4ce), .CD(je99dc6), .Q(fca74e1)) ; FD1S3DX dz4a46e (.D(kq7758f), .CK(dzec4ce), .CD(je99dc6), .Q(fc3a70a)) ; FD1S3DX hdbac79 (.D(cmce96b), .CK(fndd899), .CD(vvec21b), .Q(byee645)) ; FD1S3DX xj74b58 (.D(ldc7df2), .CK(fndd899), .CD(vvec21b), .Q(phc7fd)) ; FD1S3DX ks3ef96 (.D(bnb0962), .CK(fndd899), .CD(vvec21b), .Q(ww72fa5)) ; FD1S3DX nt84b17 (.D(phbf54d), .CK(fndd899), .CD(vvec21b), .Q(mgb6c3e)) ; FD1S3DX wwfaa6f (.D(go7861c), .CK(fndd899), .CD(vvec21b), .Q(qib61f0)) ; FD1S3DX alc30e0 (.D(qi5b38), .CK(fndd899), .CD(vvec21b), .Q(dbb0f81)) ; FD1S3DX fp2d9c1 (.D(hqe431), .CK(fndd899), .CD(vvec21b), .Q(aa87c0f)) ; FD1S3DX me7218b (.D(os598c7), .CK(fndd899), .CD(vvec21b), .Q(rv270b3)) ; FD1S3DX wjcc638 (.D(goc453f), .CK(fndd899), .CD(vvec21b), .Q(zz3859e)) ; FD1S3DX zz229f8 (.D(thc74dd), .CK(fndd899), .CD(vvec21b), .Q(xjc2cf6)) ; FD1S3DX ba3a6ef (.D(pf7b9f0), .CK(fndd899), .CD(vvec21b), .Q(fp167b6)) ; FD1S3DX uvdcf86 (.D(viee26e), .CK(fndd899), .CD(je99dc6), .Q(yz129fb)) ; FD1S3DX bna5251 (.D(blddc4d), .CK(fndd899), .CD(je99dc6), .Q(zz94fdd)) ; FD1S3DX ww7d1e4 (.D(qgde08f), .CK(fndd899), .CD(je99dc6), .Q(do3f773)) ; FD1S3DX ls455a (.D(nt9bc11), .CK(fndd899), .CD(je99dc6), .Q(fafbb9b)) ; FD1S3DX ec97337 (.D(nr55090), .CK(fndd899), .CD(je99dc6), .Q(jcee6d6)) ; FD1S3DX mec1afa (.D(ecaa12), .CK(fndd899), .CD(je99dc6), .Q(ps736b2)) ; FD1S3DX pu8cf88 (.D(bye424c), .CK(fndd899), .CD(je99dc6), .Q(zkdac9e)) ; FD1S3DX ph19281 (.D(shdc849), .CK(fndd899), .CD(je99dc6), .Q(kdd64f3)) ; FD1S3DX fa7cff8 (.D(aa8858e), .CK(fndd899), .CD(je99dc6), .Q(ng93ce3)) ; FD1S3DX mt1d464 (.D(xj710b1), .CK(fndd899), .CD(je99dc6), .Q(ph9e71b)) ; FD1S3DX tj288f3 (.D(fp16be0), .CK(fndd899), .CD(je99dc6), .Q(ng9c6f6)) ; FD1S3DX byf4ab7 (.D(jr22256), .CK(dzec4ce), .CD(vvec21b), .Q(jr3e16)) ; FD1S3DX hodf65c (.D(rv8444a), .CK(dzec4ce), .CD(vvec21b), .Q(uk1f0b6)) ; FD1S3DX tj13a0c (.D(anb126c), .CK(dzec4ce), .CD(vvec21b), .Q(thc2d84)) ; FD1S3DX oh39b78 (.D(czd624d), .CK(dzec4ce), .CD(vvec21b), .Q(zz16c26)) ; FD1S3DX je2f10b (.D(jp5af9f), .CK(dzec4ce), .CD(vvec21b), .Q(lfb09b9)) ; FD1S3DX cmd105e (.D(db8b5f3), .CK(dzec4ce), .CD(vvec21b), .Q(ph84dcf)) ; FD1S3DX gq888a2 (.D(wj7502b), .CK(dzec4ce), .CD(vvec21b), .Q(rv373fd)) ; FD1S3DX pu8ced2 (.D(tueea05), .CK(dzec4ce), .CD(vvec21b), .Q(ieb9fef)) ; FD1S3DX wy982b2 (.D(mec13c4), .CK(dzec4ce), .CD(vvec21b), .Q(cz7fbca)) ; FD1S3DX aa8801f (.D(qi2bc57), .CK(dzec4ce), .CD(vvec21b), .Q(uvfde54)) ; FD1S3DX wjc6185 (.D(cb8ae62), .CK(dzec4ce), .CD(vvec21b), .Q(oh8012e)) ; FD1S3BX vv6b6ef (.D(ykdbbf4), .CK(dzec4ce), .PD(vvec21b), .Q(of442bd)) ; FD1S3DX byf438e (.D(doe394), .CK(fndd899), .CD(je99dc6), .Q(qgc498c)) ; FD1S3BX bn947f8 (.D(ym1fe39), .CK(dzec4ce), .PD(vvec21b), .Q(fp8177d)) ; FD1S3DX sj3926f (.D(su49be4), .CK(fndd899), .CD(je99dc6), .Q(pubbee)) ; FADD2B wje7c42 (.A0(ip54b06), .A1(vve93e5), .B0(ip54b06), .B1(vve93e5), .CI(ip54b06), .COUT(mga9411), .S0(), .S1()); CU2 nee42eb (.CI(mga9411), .PC0(wl86ff), .PC1(yk437fc), .CO(tueb653), .NC0(mrcc0e7), .NC1(co2ed68)); CU2 hoca6a5 (.CI(tueb653), .PC0(jpfc2d8), .PC1(hbd8cdc), .CO(hda5628), .NC0(rg57660), .NC1(aaac960)); CU2 byc51c2 (.CI(hda5628), .PC0(mrdcd6f), .PC1(ip6f9da), .CO(rtc259e), .NC0(fp32b4f), .NC1(swa35b2)); CU2 wyb3dea (.CI(rtc259e), .PC0(nedaae1), .PC1(hoe16e9), .CO(qgea1e2), .NC0(qvd2ef), .NC1(jr1c457)); CU2 gd3c430 (.CI(qgea1e2), .PC0(nre96ef), .PC1(ykeff6e), .CO(je30903), .NC0(lde38f6), .NC1(meff796)); CU2 pu206f9 (.CI(je30903), .PC0(dz6e32c), .PC1(ip54b06), .CO(dzf97da), .NC0(bl508a5), .NC1()); FADD2B rtcd253 (.A0(ip54b06), .A1(vve93e5), .B0(ip54b06), .B1(vve93e5), .CI(ip54b06), .COUT(blf31ce), .S0(), .S1()); CU2 hb5f6b0 (.CI(blf31ce), .PC0(al659bb), .PC1(sj2cddc), .CO(zzb0cdc), .NC0(tj91548), .NC1(qte72d5)); CU2 tj9b9dd (.CI(zzb0cdc), .PC0(gbdc8fc), .PC1(hbfc8e9), .CO(hbddf20), .NC0(gq21e87), .NC1(and471)); CU2 jce418b (.CI(hbddf20), .PC0(hbe9eed), .PC1(wjeddf6), .CO(lf8b6b8), .NC0(mg24fde), .NC1(aa3b409)); CU2 zxd71e3 (.CI(lf8b6b8), .PC0(blf69c4), .PC1(ayc4d57), .CO(rte3cf4), .NC0(su53d87), .NC1(mr7fe6a)); CU2 db9e9d6 (.CI(rte3cf4), .PC0(dm57357), .PC1(dm57475), .CO(end6ed2), .NC0(ymfd6c), .NC1(qi314e7)); CU2 hoda55d (.CI(end6ed2), .PC0(qt755c6), .PC1(ip54b06), .CO(xw5d5ed), .NC0(gd2953a), .NC1()); FSUB2B ne57b59 (.A0(vve93e5), .A1(wl86ff), .B0(ip54b06), .B1(yz90edd), .BI(ip54b06), .BOUT(of5bb89), .S0(), .S1(viee26e)); FSUB2B jp71372 (.A0(yk437fc), .A1(jpfc2d8), .B0(gq1ff73), .B1(cbbe941), .BI(of5bb89), .BOUT(oub3782), .S0(blddc4d), .S1(qgde08f)); FSUB2B kqf0478 (.A0(hbd8cdc), .A1(mrdcd6f), .B0(fa7914e), .B1(mr5165e), .BI(oub3782), .BOUT(hq1542), .S0(nt9bc11), .S1(nr55090)); FSUB2B nga8487 (.A0(ip6f9da), .A1(nedaae1), .B0(sh5441a), .B1(cmfa153), .BI(hq1542), .BOUT(aydb909), .S0(ecaa12), .S1(bye424c)); FSUB2B co21263 (.A0(hoe16e9), .A1(nre96ef), .B0(wyb3db6), .B1(pfe6e08), .BI(aydb909), .BOUT(icce216), .S0(shdc849), .S1(aa8858e)); FSUB2B fa42c70 (.A0(ykeff6e), .A1(xjdd849), .B0(rg47205), .B1(ip54b06), .BI(icce216), .BOUT(tu57f16), .S0(xj710b1), .S1(fp16be0)); FADD2B ic72f67 (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(tu57f16), .COUT(), .S0(fn4afe2), .S1()); VHI dbb5f07 (.Z(vve93e5)); FSUB2B xw7c1ff (.A0(vve93e5), .A1(byf4138), .B0(ip54b06), .B1(al659bb), .BI(ip54b06), .BOUT(rgd0889), .S0(), .S1(jr22256)); FSUB2B ls112b6 (.A0(jrb5458), .A1(ld6e292), .B0(sj2cddc), .B1(gbdc8fc), .BI(rgd0889), .BOUT(yz9ac49), .S0(rv8444a), .S1(anb126c)); FSUB2B zm89364 (.A0(en5143a), .A1(al7a1d4), .B0(hbfc8e9), .B1(hbe9eed), .BI(yz9ac49), .BOUT(fc116be), .S0(czd624d), .S1(jp5af9f)); FSUB2B nrd7cff (.A0(yzddb5), .A1(mg82af9), .B0(wjeddf6), .B1(blf69c4), .BI(fc116be), .\r
+BOUT(ipfdd40), .S0(db8b5f3), .S1(wj7502b)); FSUB2B twa815c (.A0(wjd3853), .A1(fa66258), .B0(ayc4d57), .B1(dm57357), .BI(ipfdd40), .BOUT(ym1f04f), .S0(tueea05), .S1(mec13c4)); FSUB2B vx9e20 (.A0(ux2c1a6), .A1(me49dfe), .B0(dm57475), .B1(ip54b06), .BI(ym1f04f), .BOUT(lf9fd1), .S0(qi2bc57), .S1(cb8ae62)); FADD2B cm77fdc (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(lf9fd1), .COUT(), .S0(sw213fa), .S1()); FADD2B xy30e89 (.A0(ip54b06), .A1(dz6110a), .B0(ip54b06), .B1(dz6110a), .CI(ip54b06), .COUT(go59655), .S0(), .S1()); AGEB2 zxf90a3 (.A0(al659bb), .A1(sj2cddc), .B0(byf4138), .B1(jrb5458), .CI(go59655), .GE(fnf64cb)); AGEB2 rib265f (.A0(gbdc8fc), .A1(hbfc8e9), .B0(ld6e292), .B1(en5143a), .CI(fnf64cb), .GE(fada633)); AGEB2 czd319a (.A0(hbe9eed), .A1(wjeddf6), .B0(al7a1d4), .B1(yzddb5), .CI(fada633), .GE(lfa0b69)); AGEB2 aa5b4e (.A0(blf69c4), .A1(ayc4d57), .B0(mg82af9), .B1(wjd3853), .CI(lfa0b69), .GE(zz8e2af)); AGEB2 dz7157f (.A0(dm57357), .A1(dm57475), .B0(fa66258), .B1(ux2c1a6), .CI(zz8e2af), .GE(vif4de2)); AGEB2 oua6f13 (.A0(en60cda), .A1(ip54b06), .B0(db3dcc3), .B1(ip54b06), .CI(vif4de2), .GE(goce132)); FADD2B gb70991 (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(goce132), .COUT(), .S0(ykdbbf4), .S1()); FADD2B cmef57b (.A0(ip54b06), .A1(su43126), .B0(ip54b06), .B1(su43126), .CI(ip54b06), .COUT(uic45be), .S0(), .S1()); AGEB2 tu7cf8d (.A0(wl86ff), .A1(yk437fc), .B0(yz90edd), .B1(gq1ff73), .CI(uic45be), .GE(xw5d2c7)); AGEB2 vve963b (.A0(jpfc2d8), .A1(hbd8cdc), .B0(cbbe941), .B1(fa7914e), .CI(xw5d2c7), .GE(kdfd5c3)); AGEB2 eaeae1c (.A0(mrdcd6f), .A1(ip6f9da), .B0(mr5165e), .B1(sh5441a), .CI(kdfd5c3), .GE(oua53b)); AGEB2 rg529d9 (.A0(nedaae1), .A1(hoe16e9), .B0(cmfa153), .B1(wyb3db6), .CI(oua53b), .GE(gb5e468)); AGEB2 kdf2344 (.A0(nre96ef), .A1(ykeff6e), .B0(pfe6e08), .B1(rg47205), .CI(gb5e468), .GE(ou2e02a)); AGEB2 en70150 (.A0(ipd3301), .A1(ip54b06), .B0(ba14af1), .B1(ip54b06), .CI(ou2e02a), .GE(xy250b7)); FADD2B zz285bb (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(xy250b7), .COUT(), .S0(doe394), .S1()); FADD2B vx943c6 (.A0(ip54b06), .A1(dz6110a), .B0(ip54b06), .B1(dz6110a), .CI(ip54b06), .COUT(swbc266), .S0(), .S1()); AGEB2 vvd7710 (.A0(ay77190[0]), .A1(ay77190[1]), .B0(jr3e16), .B1(uk1f0b6), .CI(swbc266), .GE(ou34b02)); AGEB2 nta5811 (.A0(ay77190[2]), .A1(ay77190[3]), .B0(thc2d84), .B1(zz16c26), .CI(ou34b02), .GE(sh75b56)); AGEB2 riadab5 (.A0(ay77190[4]), .A1(ay77190[5]), .B0(lfb09b9), .B1(ph84dcf), .CI(sh75b56), .GE(sh51a76)); AGEB2 wy8d3b4 (.A0(ay77190[6]), .A1(ay77190[7]), .B0(rv373fd), .B1(ieb9fef), .CI(sh51a76), .GE(ep28a7)); AGEB2 hq1453c (.A0(ay77190[8]), .A1(ay77190[9]), .B0(cz7fbca), .B1(uvfde54), .CI(ep28a7), .GE(do1b4e6)); AGEB2 lqda732 (.A0(doa230b), .A1(ip54b06), .B0(ukb98a2), .B1(ip54b06), .CI(do1b4e6), .GE(sj955b9)); FADD2B dbaadcd (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(sj955b9), .COUT(), .S0(ym1fe39), .S1()); FADD2B ks2e00f (.A0(ip54b06), .A1(su43126), .B0(ip54b06), .B1(su43126), .CI(ip54b06), .COUT(qgc48c9), .S0(), .S1()); AGEB2 qgcb3e2 (.A0(yz129fb), .A1(zz94fdd), .B0(dob8c81[0]), .B1(dob8c81[1]), .CI(qgc48c9), .GE(wy884a3)); AGEB2 zk42518 (.A0(do3f773), .A1(fafbb9b), .B0(dob8c81[2]), .B1(dob8c81[3]), .CI(wy884a3), .GE(sj1de2c)); AGEB2 ayef164 (.A0(jcee6d6), .A1(ps736b2), .B0(dob8c81[4]), .B1(dob8c81[5]), .CI(sj1de2c), .GE(zm173b)); AGEB2 twb9da (.A0(zkdac9e), .A1(kdd64f3), .B0(dob8c81[6]), .B1(dob8c81[7]), .CI(zm173b), .GE(wl90856)); AGEB2 fp842b4 (.A0(ng93ce3), .A1(ph9e71b), .B0(dob8c81[8]), .B1(dob8c81[9]), .CI(wl90856), .GE(ou31068)); AGEB2 gq88340 (.A0(ng9c6f6), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(ou31068), .GE(gq5871)); VLO cb2c389 (.Z(ip54b06)); FADD2B xye26e (.A0(ip54b06), .A1(ip54b06), .B0(ip54b06), .B1(ip54b06), .CI(gq5871), .COUT(), .S0(su49be4), .S1()); assign db3205d = xj6ecfe; assign cb902ef = by767f7;\r
+ \r
+always@* begin hqbd2d7<={icdbb13>>1,nrf6ece[0]};jpe96ba<=nrf6ece[1];uv4b5d7<=nrf6ece[2];pf5aebd<=nrf6ece[3];jpd75ef<=nrf6ece[4];fpbaf7e<=nrf6ece[5];thd7bf6<=nrf6ece[6];jebdfb7<={ay77190>>1,nrf6ece[7]};ayefdbb<={dob8c81>>1,nrf6ece[8]};fpba667<=nrf6ece[9];wjd333f<=nrf6ece[10];do999fc<=nrf6ece[11];mrccfe2<=nrf6ece[12];ay67f15<=nrf6ece[13];vk3f8a9<=nrf6ece[14];rtfc54a<=nrf6ece[15];kde2a56<=nrf6ece[16];wy152b5<=nrf6ece[17];gqa95a9<=nrf6ece[18];xj4ad49<=nrf6ece[19];go56a4e<=nrf6ece[20];lfb5271<=nrf6ece[21];iea938e<=nrf6ece[22];kq49c71<=nrf6ece[23];mr4e389<=nrf6ece[24];dz71c4d<=nrf6ece[25];db8e26f<=nrf6ece[26];jp7137f<=nrf6ece[27];tw89bfe<=nrf6ece[28];fa4dff3<=nrf6ece[29];yx6ff9b<=nrf6ece[30];kd7fcdd<=nrf6ece[31];fafe6ec<=nrf6ece[32];xjf3763<=nrf6ece[33];hd9bb1f<=nrf6ece[34];xjdd8ff<=nrf6ece[35];rtec7fe<=nrf6ece[36];fa63ff7<=nrf6ece[37];ie1ffbb<=nrf6ece[38];cmffdd9<=nrf6ece[39];tufeec8<=nrf6ece[40];alf7642<=nrf6ece[41];epbb215<=nrf6ece[42];ofd90ad<=nrf6ece[43];blc856d<=nrf6ece[44];ne42b6e<=nrf6ece[45];aa15b72<=nrf6ece[46];jeadb90<=nrf6ece[47];os6dc82<=nrf6ece[48];fn6e410<=nrf6ece[49];ic72086<=nrf6ece[50];qv90431<=nrf6ece[51];ks8218d<=nrf6ece[52];gq10c69<=nrf6ece[53];fc86348<=nrf6ece[54];sw31a43<=nrf6ece[55];qi8d219<=nrf6ece[56];dm690c8<=nrf6ece[57];xw48643<=nrf6ece[58];go4321a<=nrf6ece[59];db190d1<=nrf6ece[60];rtc868f<=nrf6ece[61];kq4347e<=nrf6ece[62];gq1a3f1<=nrf6ece[63];icd1f88<=nrf6ece[64];wl8fc40<=nrf6ece[65];bl7e204<=nrf6ece[66];psf1027<=nrf6ece[67];ou8813a<=nrf6ece[68];pf409d0<=nrf6ece[69];vk4e87<=nrf6ece[70];tj2743b<=nrf6ece[71];ng3a1de<=nrf6ece[72];hod0ef3<=nrf6ece[73];mg8779a<=nrf6ece[74];wy3bcd1<=nrf6ece[75];wwde688<=nrf6ece[76];jpf3440<=nrf6ece[77];yz9a207<=nrf6ece[78];wwd103c<=nrf6ece[79];gq881e1<=nrf6ece[80];dz40f08<=nrf6ece[81];ep7847<=nrf6ece[82];ir3c23c<=nrf6ece[83];pse11e4<=nrf6ece[84];mt8f23<=nrf6ece[85];by4791d<=nrf6ece[86];kf3c8ea<=nrf6ece[87];jce4755<=nrf6ece[88];qv23aab<=nrf6ece[89];ux1d55d<=nrf6ece[90];uieaaed<=nrf6ece[91];fn55769<=nrf6ece[92];cbabb4f<=nrf6ece[93];ps5da7d<=nrf6ece[94];ened3ea<=nrf6ece[95];fa69f55<=nrf6ece[96];ld4faaa<=nrf6ece[97];os7d556<=nrf6ece[98];kqeaab0<=nrf6ece[99];dz55581<=nrf6ece[100];ntaac0f<=nrf6ece[101];yk5607f<=nrf6ece[102];vxb03f8<=nrf6ece[103];nt81fc6<=nrf6ece[104];ecfe37<=nrf6ece[105];mr7f1b8<=nrf6ece[106];alf8dc5<=nrf6ece[107];ipc6e2d<=nrf6ece[108];ph3716c<=nrf6ece[109];twb8b67<=nrf6ece[110];dmc5b3b<=nrf6ece[111];fp2d9db<=nrf6ece[112];jc6cede<=nrf6ece[113];os676f2<=nrf6ece[114];ym3b790<=nrf6ece[115];qgdbc81<=nrf6ece[116];osde409<=nrf6ece[117];wjf2048<=nrf6ece[118];yz90245<=nrf6ece[119];zz8122d<=nrf6ece[120];vx916e<=nrf6ece[121];rg48b76<=nrf6ece[122];rt45bb3<=nrf6ece[123];nt2dd9f<=nrf6ece[124];xj6ecfe<=nrf6ece[125];by767f7<=nrf6ece[126];ksb3fbb<=nrf6ece[127];cb9fdd8<=nrf6ece[128];hofeec5<=nrf6ece[129];ipf762a<=nrf6ece[130];qvbb155<=nrf6ece[131];hbd8aad<=nrf6ece[132];rgc556e<=nrf6ece[133];hq2ab72<=nrf6ece[134];fn55b93<=nrf6ece[135];xyadc9e<=nrf6ece[136];zx6e4f2<=nrf6ece[137];me72796<=nrf6ece[138];vk93cb1<=nrf6ece[139];bn9e58b<=nrf6ece[140];wjf2c5e<=nrf6ece[141];tw962f4<=nrf6ece[142];gdb17a2<=nrf6ece[143];xl8bd14<=nrf6ece[144];ip5e8a6<=nrf6ece[145];dmf4535<=nrf6ece[146];xya29aa<=nrf6ece[147];xy14d53<=nrf6ece[148];ksa6a9a<=nrf6ece[149];xy354d1<=nrf6ece[150];dbaa68a<=nrf6ece[151];su53456<=nrf6ece[152];yz9a2b0<=nrf6ece[153];wwd1584<=nrf6ece[154];ir8ac21<=nrf6ece[155];qg5610c<=nrf6ece[156];jrb0860<=nrf6ece[157];zz84307<=nrf6ece[158];sw2183e<=nrf6ece[159];hdc1f0<=nrf6ece[160];kd60f86<=nrf6ece[161];ux7c34<=nrf6ece[162];ec3e1a4<=nrf6ece[163];mef0d21<=nrf6ece[164];vk86909<=nrf6ece[165];mg3484a<=nrf6ece[166];wla4251<=nrf6ece[167];ou2128d<=nrf6ece[168];fp9469<=nrf6ece[169];vv4a34e<=nrf6ece[170];wj51a77<=nrf6ece[171];co8d3bc<=nrf6ece[172];vi69de0<=nrf6ece[173];ip4ef02<=nrf6ece[174];qg77814<=nrf6ece[175];wybc0a0<=nrf6ece[176];wwe0500<=nrf6ece[177];qv2801<=nrf6ece[178];zm1400c<=nrf6ece[179];hda0063<=nrf6ece[180];ym31f<=nrf6ece[181];ls18f8<=nrf6ece[182];rvc7c3<=nrf6ece[183];lq63e1e<=nrf6ece[184];ec1f0f3<=nrf6ece[185];uvf8798<=nrf6ece[186];ipc3cc4<=nrf6ece[187];ng1e624<=nrf6ece[188];pff3122<=nrf6ece[189];sw98917<=nrf6ece[190];ayc48b8<=nrf6ece[191];ec245c1<=nrf6ece[192];db22e09<=nrf6ece[193];ux1704f<=nrf6ece[194];ngb827c<=nrf6ece[195];cmc13e2<=nrf6ece[196];tj9f10<=nrf6ece[197];hb4f887<=nrf6ece[198];jc7c43e<=nrf6ece[199];yxe21f2<=nrf6ece[200];aa10f94<=nrf6ece[201];ie87ca0<=nrf6ece[202];mg3e500<=nrf6ece[203];alf2801<=nrf6ece[204];bn9400c<=nrf6ece[205];xla0067<=nrf6ece[206];wy33f<=nrf6ece[207];vk19f8<=nrf6ece[208];tjcfc3<=nrf6ece[209];ea67e1f<=nrf6ece[210];ba3f0f9<=nrf6ece[211];qtf87cb<=nrf6ece[212];czc3e5c<=nrf6ece[213];qi1f2e4<=nrf6ece[214];nrf9720<=nrf6ece[215];cmcb906<=nrf6ece[216];ui5c830<=nrf6ece[217];rge4180<=nrf6ece[218];ba20c03<=nrf6ece[219];bn601a<=nrf6ece[220];ng300d7<=nrf6ece[221];an806ba<=nrf6ece[222];db35d4<=nrf6ece[223];co1aea7<=nrf6ece[224];jpd7539<=nrf6ece[225];fpba9ce<=nrf6ece[226];qgd4e76<=nrf6ece[227];hqa73b0<=nrf6ece[228];wl39d82<=nrf6ece[229];aycec15<=nrf6ece[230];fa760a8<=nrf6ece[231];tjb0543<=nrf6ece[232];uk82a1e<=nrf6ece[233];ec150f0<=nrf6ece[234];twa8781<=nrf6ece[235];ui43c0b<=nrf6ece[236];fc1e058<=nrf6ece[237];ayf02c2<=nrf6ece[238];bn81610<=nrf6ece[239];jeb086<=nrf6ece[240];dm58433<=nrf6ece[241];rtc219b<=\r
+nrf6ece[242];ks10cdf<=nrf6ece[243];ls866f8<=nrf6ece[244];qv337c3<=nrf6ece[245];db9be1d<=nrf6ece[246];psdf0ef<=nrf6ece[247];enf877a<=nrf6ece[248];kdc3bd4<=nrf6ece[249];ep1dea4<=nrf6ece[250];suef524<=nrf6ece[251];kd7a920<=nrf6ece[252];ead4904<=nrf6ece[253];qva4820<=nrf6ece[254];ou24104<=nrf6ece[255];do20821<=nrf6ece[256];pu410a<=nrf6ece[257];ri20852<=nrf6ece[258];xy4292<=nrf6ece[259];co21492<=nrf6ece[260];ksa491<=nrf6ece[261];lq52489<=nrf6ece[262];sw9244a<=nrf6ece[263];wy92250<=nrf6ece[264];fp91280<=nrf6ece[265];ba89407<=nrf6ece[266];pf4a03a<=nrf6ece[267];bl501d7<=nrf6ece[268];wl80ebf<=nrf6ece[269];an75fd<=nrf6ece[270];fc3afed<=nrf6ece[271];thd7f6a<=nrf6ece[272];lfbfb57<=nrf6ece[273];offdabe<=nrf6ece[274];qted5f5<=nrf6ece[275];yx6afad<=nrf6ece[276];jc57d6d<=nrf6ece[277];irbeb6b<=nrf6ece[278];osf5b5c<=nrf6ece[279];lsadae1<=nrf6ece[280];ea6d70a<=nrf6ece[281];hb6b851<=nrf6ece[282];cm5c28f<=nrf6ece[283];hoe1479<=nrf6ece[284];yma3cb<=nrf6ece[285];uv51e59<=nrf6ece[286];oh8f2cc<=nrf6ece[287];rg79665<=nrf6ece[288];iccb32a<=nrf6ece[289];ui59951<=nrf6ece[290];shcca88<=nrf6ece[291];yx65445<=nrf6ece[292];fc2a22d<=nrf6ece[293];kd5116a<=nrf6ece[294];ou88b55<=nrf6ece[295];th45aa9<=nrf6ece[296];db2d54f<=nrf6ece[297];yx6aa7f<=nrf6ece[298];ld553fd<=nrf6ece[299];wya9fef<=nrf6ece[300];rt4ff78<=nrf6ece[301];mr7fbc6<=nrf6ece[302];wjfde34<=nrf6ece[303];ayef1a5<=nrf6ece[304];ui78d28<=nrf6ece[305];mrc6941<=nrf6ece[306];end\r
+always@* begin bl7edd9[2047]<=fndd899;bl7edd9[2046]<=dzec4ce;bl7edd9[2044]<=ww62677;bl7edd9[2043]<=tu57f16;bl7edd9[2040]<=nt133b8;bl7edd9[2038]<=jr22256;bl7edd9[2032]<=je99dc6;bl7edd9[2029]<=vve93e5;bl7edd9[2017]<=gocee32;bl7edd9[2013]<=go7861c;bl7edd9[2010]<=rv8444a;bl7edd9[2003]<=sj955b9;bl7edd9[1990]<=tueb653;bl7edd9[1987]<=ay77190[0];bl7edd9[1982]<=uvfde54;bl7edd9[1980]<=an95e84;bl7edd9[1979]<=dbb0f81;bl7edd9[1973]<=anb126c;bl7edd9[1963]<=rv132cf;bl7edd9[1958]<=su43126;bl7edd9[1957]<=thc74dd;bl7edd9[1953]<=cbbe941;bl7edd9[1942]<=ym24d57;bl7edd9[1932]<=fp32b4f;bl7edd9[1929]<=blddc4d;bl7edd9[1926]<=dob8c81[0];bl7edd9[1923]<=lf8b6b8;bl7edd9[1921]<=al4b77f;bl7edd9[1917]<=do1b4e6;bl7edd9[1914]<=os598c7;bl7edd9[1913]<=lfaf424;bl7edd9[1911]<=qi5b38;bl7edd9[1903]<=irb4e26;bl7edd9[1898]<=rgd0889;bl7edd9[1879]<=pu9967e;bl7edd9[1876]<=nrec274;bl7edd9[1872]<=xw57c80;bl7edd9[1868]<=qgc48c9;bl7edd9[1866]<=fp167b6;bl7edd9[1863]<=ou2e02a;bl7edd9[1858]<=fa7914e;bl7edd9[1837]<=cb26ab8;bl7edd9[1816]<=swa35b2;bl7edd9[1810]<=qgde08f;bl7edd9[1805]<=fnc8624;bl7edd9[1802]<=uk1f0b6;bl7edd9[1799]<=ymfd6c;bl7edd9[1795]<=kd7fb71;bl7edd9[1786]<=ukb98a2;bl7edd9[1783]<=zzaae34;bl7edd9[1780]<=zz3859e;bl7edd9[1778]<=gb7a126;bl7edd9[1775]<=aa87c0f;bl7edd9[1770]<=hbc2b1e;bl7edd9[1758]<=gd26ab9;bl7edd9[1748]<=czd624d;bl7edd9[1710]<=gocb3f3;bl7edd9[1704]<=sw9d6c9;bl7edd9[1701]<=ux2c1a6;bl7edd9[1699]<=db8b5f3;bl7edd9[1696]<=gbedb34;bl7edd9[1689]<=yz129fb;bl7edd9[1685]<=pf7b9f0;bl7edd9[1679]<=pu1bfe1;bl7edd9[1678]<=ba14af1;bl7edd9[1668]<=jpfc2d8;bl7edd9[1627]<=ls355c5;bl7edd9[1624]<=me49dfe;bl7edd9[1605]<=jcee6d6;bl7edd9[1589]<=wjd3853;bl7edd9[1584]<=hda5628;bl7edd9[1573]<=of5bb89;bl7edd9[1572]<=al659bb;bl7edd9[1567]<=ldc7df2;bl7edd9[1562]<=tw8c221;bl7edd9[1557]<=qte72d5;bl7edd9[1556]<=ou34b02;bl7edd9[1551]<=qi314e7;bl7edd9[1548]<=meff796;bl7edd9[1543]<=vv71966;bl7edd9[1524]<=doa230b;bl7edd9[1521]<=rg57660;bl7edd9[1519]<=icde57a;bl7edd9[1513]<=goc453f;bl7edd9[1512]<=yk437fc;bl7edd9[1509]<=dmd0935;bl7edd9[1504]<=su53d87;bl7edd9[1502]<=hqe431;bl7edd9[1499]<=bl4f76e;bl7edd9[1493]<=oh29703;bl7edd9[1492]<=rv816fe;bl7edd9[1469]<=gqb9aba;bl7edd9[1466]<=uif5fa8;bl7edd9[1448]<=jp5af9f;bl7edd9[1421]<=lfa0b69;bl7edd9[1417]<=byf4138;bl7edd9[1415]<=cmce96b;bl7edd9[1404]<=co2ed68;bl7edd9[1402]<=gq1ff73;bl7edd9[1400]<=aa3b409;bl7edd9[1398]<=jce47e4;bl7edd9[1377]<=kq7758f;bl7edd9[1374]<=uic45be;bl7edd9[1373]<=wy987d3;bl7edd9[1361]<=pu3ff3b;bl7edd9[1359]<=aa8858e;bl7edd9[1355]<=bn8b1b5;bl7edd9[1354]<=dm57357;bl7edd9[1350]<=wj7502b;bl7edd9[1349]<=vid0f0a;bl7edd9[1344]<=ep266b;bl7edd9[1343]<=xj710b1;bl7edd9[1341]<=sh51a76;bl7edd9[1330]<=zz94fdd;bl7edd9[1326]<=xy96d51;bl7edd9[1323]<=oh8012e;bl7edd9[1322]<=vif4de2;bl7edd9[1310]<=xwe16c6;bl7edd9[1308]<=ipd3301;bl7edd9[1305]<=tueea05;bl7edd9[1301]<=sj908ca;bl7edd9[1289]<=hbd8cdc;bl7edd9[1286]<=ou31068;bl7edd9[1280]<=sj95ca1;bl7edd9[1279]<=icce216;bl7edd9[1271]<=ieb9fef;bl7edd9[1224]<=do3f773;bl7edd9[1210]<=tud5b8a;bl7edd9[1207]<=fpbfc60;bl7edd9[1201]<=sw213fa;bl7edd9[1199]<=qgc498c;bl7edd9[1195]<=en60cda;bl7edd9[1193]<=bye424c;bl7edd9[1163]<=gbdc8fc;bl7edd9[1162]<=ps736b2;bl7edd9[1155]<=cmfa153;bl7edd9[1144]<=hbe6b7c;bl7edd9[1136]<=xy250b7;bl7edd9[1130]<=blf69c4;bl7edd9[1125]<=ipfdd40;bl7edd9[1120]<=qvd2ef;bl7edd9[1112]<=al7a1d4;bl7edd9[1110]<=yma69d4;bl7edd9[1104]<=kdd64f3;bl7edd9[1098]<=nt9bc11;bl7edd9[1096]<=sj2cddc;bl7edd9[1086]<=ww72fa5;bl7edd9[1076]<=xlb5ea4;bl7edd9[1067]<=blf31ce;bl7edd9[1065]<=thc2d84;bl7edd9[1060]<=mr5165e;bl7edd9[1054]<=rte3cf4;bl7edd9[1053]<=hoe16e9;bl7edd9[1051]<=su49be4;bl7edd9[1048]<=qgea1e2;bl7edd9[1039]<=uie5e73;bl7edd9[1026]<=fpa5ac0;bl7edd9[1023]<=icdbb13[0];bl7edd9[1021]<=fn4afe2;bl7edd9[1006]<=qib61f0;bl7edd9[1001]<=ym1fe39;bl7edd9[995]<=aaac960;bl7edd9[991]<=cz7fbca;bl7edd9[990]<=alf2bd0;bl7edd9[981]<=ldc2659;bl7edd9[978]<=xjc2cf6;bl7edd9[976]<=xw5d2c7;bl7edd9[971]<=jr849aa;bl7edd9[964]<=viee26e;bl7edd9[961]<=mr7fe6a;bl7edd9[960]<=zmb74b;bl7edd9[957]<=rv270b3;bl7edd9[951]<=rt6efb4;bl7edd9[938]<=ea53ad9;bl7edd9[936]<=co9d6b8;bl7edd9[931]<=ykeff6e;bl7edd9[901]<=jr3e16;bl7edd9[891]<=jrba3aa;bl7edd9[885]<=jp7e0e8;bl7edd9[850]<=fa66258;bl7edd9[849]<=yz9ac49;bl7edd9[839]<=gd1d5e2;bl7edd9[812]<=ym1f04f;bl7edd9[802]<=sj1de2c;bl7edd9[794]<=mg82af9;bl7edd9[786]<=jrb5458;bl7edd9[783]<=phc7fd;bl7edd9[778]<=tj91548;bl7edd9[774]<=lde38f6;bl7edd9[760]<=mga9411;bl7edd9[756]<=wl86ff;bl7edd9[752]<=hbddf20;bl7edd9[749]<=lqe474f;bl7edd9[746]<=gqa75b;bl7edd9[733]<=ld4f71c;bl7edd9[710]<=wjeddf6;bl7edd9[708]<=go59655;bl7edd9[707]<=byee645;bl7edd9[702]<=mrcc0e7;bl7edd9[701]<=yz90edd;bl7edd9[700]<=mg24fde;bl7edd9[699]<=jc66ee4;bl7edd9[688]<=fc3a70a;bl7edd9[687]<=goce132;bl7edd9[679]<=shdc849;bl7edd9[677]<=gqc04;bl7edd9[674]<=faeb649;bl7edd9[671]<=aydb909;bl7edd9[670]<=ph84dcf;bl7edd9[663]<=shc3703;bl7edd9[661]<=dm57475;bl7edd9[652]<=fc116be;bl7edd9[650]<=yxc69d3;bl7edd9[643]<=ph9e71b;bl7edd9[640]<=ay72dd2;bl7edd9[639]<=fp16be0;bl7edd9[635]<=rv373fd;bl7edd9[612]<=wy884a3;bl7edd9[605]<=neeba75;bl7edd9[599]<=of442bd;bl7edd9[597]<=db3dcc3;bl7edd9[596]<=ecaa12;bl7edd9[581]<=en5143a;bl7edd9[577]<=oua53b;bl7edd9[572]<=shc66e6;bl7edd9[568]<=doe394;bl7edd9[562]<=mec13c4;bl7edd9[556]<=fada633;bl7edd9[555]<=ks34e9c;bl7edd9[552]<=zkdac9e;bl7edd9[530]<=kdfd5c3;bl7edd9[526]<=nedaae1;bl7edd9[525]<=ng9c6f6;bl7edd9[513]<=ls20f3f;bl7edd9[510]<=xjdd849;bl7edd9[503]<=phbf54d;bl7edd9[495]<=ep28a7;bl7edd9[490]<=mg984cb;bl7edd9[482]<=end6ed2;bl7edd9[480]<=rgd570b;bl7edd9[465]<=nre96ef;bl7edd9[450]<=swbc266;bl7edd9[425]<=zz8e2af;bl7edd9[419]<=aa3e078;bl7edd9[406]<=cb8ae62;bl7edd9[401]<=fafbb9b;bl7edd9[389]<=je30903;bl7edd9[387]<=rtc259e;bl7edd9[373]<=uv5bd24;bl7edd9[366]<=oh8a7a4;bl7edd9[355]<=hbe9eed;bl7edd9[354]<=lf9fd1;bl7edd9[351]<=vvec21b;bl7edd9[350]<=zzb0cdc;bl7edd9[344]<=tj948d;bl7edd9[343]<=ykdbbf4;bl7edd9[339]<=hq1542;bl7edd9[338]<=gqb3bde;bl7edd9[335]<=lfb09b9;bl7edd9[321]<=ng93ce3;bl7edd9[298]<=oub3782;bl7edd9[290]<=ld6e292;bl7edd9[288]<=ip6f9da;bl7edd9[278]<=hbfc8e9;bl7edd9[276]<=zm173b;bl7edd9[263]<=wyb3db6;bl7edd9[251]<=mgb6c3e;bl7edd9[245]<=lq73099;bl7edd9[241]<=qt755c6;bl7edd9[240]<=lq7ced5;bl7edd9[232]<=rg47205;bl7edd9[225]<=dz6110a;bl7edd9[212]<=ayc4d57;bl7edd9[209]<=th454df;bl7edd9[203]<=qi2bc57;bl7edd9[194]<=dz6e32c;bl7edd9[193]<=jr1c457;bl7edd9[177]<=yzddb5;bl7edd9[175]<=and471;bl7edd9[172]<=fca74e1;bl7edd9[169]<=ps79094;bl7edd9[167]<=sh75b56;bl7edd9[160]<=wl90856;bl7edd9[149]<=nr55090;bl7edd9[145]<=fnf64cb;bl7edd9[144]<=mrdcd6f;bl7edd9[125]<=bnb0962;bl7edd9[122]<=kqce613;bl7edd9[120]<=xw5d5ed;bl7edd9[116]<=pfe6e08;bl7edd9[109]<=ip54b06;bl7edd9[104]<=kd5b24a;bl7edd9[97]<=dzf97da;bl7edd9[87]<=gq21e87;bl7edd9[84]<=gdabfd5;bl7edd9[83]<=zz16c26;bl7edd9[72]<=sh5441a;bl7edd9[61]<=ho79cc2;bl7edd9[60]<=gd2953a;\r
+bl7edd9[58]<=gb5e468;bl7edd9[54]<=gq5871;bl7edd9[48]<=bl508a5;bl7edd9[42]<=ba1e4f6;bl7edd9[30]<=vk2f398;bl7edd9[21]<=ww781a8;bl7edd9[10]<=ip7f3bf;bl7edd9[5]<=uxbe6f6;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module oh39ef9 (rst_n,\r
+ gbe_mode,\r
+ lqdf35e,\r
+\r
+ zxf9af2,\r
+\r
+ blcd797,\r
+ pf6bcbe,\r
+ qt5e5f7,\r
+\r
+ mrf2fbc,\r
+ qi97de4,\r
+ mtbef21,\r
+ wjf790c\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input lqdf35e;\r
+input [1:0] zxf9af2;\r
+input [7:0] blcd797;\r
+input pf6bcbe;\r
+input qt5e5f7;\r
+input mrf2fbc;\r
+output [7:0] qi97de4;\r
+output mtbef21;\r
+output wjf790c;\r
+reg [7:0] qi97de4;\r
+reg mtbef21;\r
+reg wjf790c;\r
+reg [1:0] oub3c7c;\r
+reg [1:0] jr9e3e0;\r
+reg [1:0] hof1f06;\r
+reg [1:0] ir8f833;\r
+reg [1:0] rg7c19c;\r
+reg [1:0] ice0ce2;\r
+reg fp6710;\r
+reg ux33887;\r
+reg do9c43c;\r
+reg qte21e3;\r
+reg [7:0] ou10f1c;\r
+reg qi878e0;\r
+reg an3c700;\r
+reg [7:0] fne3804;\r
+reg lf1c027;\r
+reg aye013f;\r
+reg [7:0] uk9f9;\r
+reg je4fc9;\r
+reg bn27e4b;\r
+reg do3f25f;\r
+wire hbf92fb;\r
+wire ipc97de;\r
+wire [7:0] sh4bef4;\r
+wire vv5f7a4;\r
+reg zkfbd26;\r
+reg uide932;\r
+reg vif4991;\r
+reg qva4c8b;\r
+reg qv2645c;\r
+reg rv322e4;\r
+reg lf91727;\r
+reg zz8b93f;\r
+reg [6:0] ww5c9fe;\r
+reg hoe4ff0;\r
+reg xl27f82;\r
+reg xy3fc17;\r
+reg fafe0ba;\r
+reg uif05d3;\r
+reg co82e98;\r
+reg sj174c0;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+`endif\r
+reg zzba604;\r
+reg [1 : 0] ald3027;\r
+reg [7 : 0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b;\r
+reg [1 : 0] fp2785b;\r
+reg [1 : 0] kf3c2df;\r
+reg [1 : 0] fae16fc;\r
+reg [1 : 0] jeb7e3;\r
+reg [1 : 0] en5bf1b;\r
+reg [1 : 0] xwdf8dd;\r
+reg vvfc6eb;\r
+reg rte375e;\r
+reg xy1baf6;\r
+reg vvdd7b3;\r
+reg [7 : 0] dmebd9f;\r
+reg yx5ecfb;\r
+reg dzf67dd;\r
+reg [7 : 0] aab3eef;\r
+reg an9f778;\r
+reg nefbbc4;\r
+reg [7 : 0] fndde22;\r
+reg ayef116;\r
+reg ui788b0;\r
+reg kqc4581;\r
+reg fp22c08;\r
+reg lf16047;\r
+reg [7 : 0] vxb023e;\r
+reg nt811f6;\r
+reg cb8fb6;\r
+reg zk47db5;\r
+reg sw3edaa;\r
+reg thf6d50;\r
+reg ukb6a86;\r
+reg fpb5430;\r
+reg phaa186;\r
+reg nr50c36;\r
+reg [6 : 0] je861b6;\r
+reg zm30db3;\r
+reg vk86d9b;\r
+reg oh36cda;\r
+reg gqb66d4;\r
+reg ymb36a1;\r
+reg ph9b509;\r
+reg dmda84e;\r
+reg [2047:0] bl7edd9;\r
+wire [44:0] nrf6ece;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+localparam zmb7676 = 45,wlbb3b3 = 32'hfdffca8b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4991 <= 1'd1; qva4c8b <= 1'd1; end else begin vif4991 <= zk47db5; qva4c8b <= sw3edaa; end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qv2645c <= 1'd1; rv322e4 <= 1'd1; end else begin qv2645c <= rte375e; rv322e4 <= ukb6a86; end\r
+end\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qi878e0 <= 1'b0; an3c700 <= 1'b0; ou10f1c <= 8'd0; lf1c027 <= 1'b0; aye013f <= 1'b0; fne3804 <= 8'd0; je4fc9 <= 1'b0; bn27e4b <= 1'b0; uk9f9 <= 8'd0; do3f25f <= 1'b0; end else begin ou10f1c <= ie9813c; an3c700 <= do4f0b; qi878e0 <= dmc09e1; fne3804 <= dmebd9f; aye013f <= dzf67dd; lf1c027 <= yx5ecfb;\r
+ if (thf6d50) begin do3f25f <= 1'b0; end else if (((dzf67dd==1'd1) && (nefbbc4==1'd0)) || (fpb5430 ==1'd1)) do3f25f <= 1'b1; else do3f25f <= (~kqc4581); if (kqc4581) begin uk9f9[3:0] <= aab3eef[3:0]; bn27e4b <= nefbbc4; je4fc9 <= an9f778; if (fpb5430) begin uk9f9[7:4] <= aab3eef[7:4]; end else begin uk9f9[7:4] <= dmebd9f[3:0]; end end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) ead4aa4 ( .Reset(qva4c8b), .RPReset(qva4c8b), .WrClock(lqdf35e), .WrEn(do3f25f), .Data({je4fc9, bn27e4b, uk9f9}),\r
+ .RdClock(mrf2fbc), .RdEn(zkfbd26), .Q({hbf92fb, ipc97de, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) ead4aa4 ( .Reset(qva4c8b), .RPReset(qva4c8b), .WrClock(lqdf35e), .WrEn(do3f25f), .Data({je4fc9, bn27e4b, uk9f9}),\r
+ .RdClock(mrf2fbc), .RdEn(zkfbd26), .Q({hbf92fb, ipc97de, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) ead4aa4 ( .Reset(qva4c8b), .RPReset(qva4c8b), .WrClock(lqdf35e), .WrEn(do3f25f), .Data({je4fc9, bn27e4b, uk9f9}),\r
+ .RdClock(mrf2fbc), .RdEn(zkfbd26), .Q({hbf92fb, ipc97de, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+\r
+`endif\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= 1'b0; xy3fc17 <= 1'b0; fafe0ba <= 1'b0; uif05d3 <= 1'b0; co82e98 <= 1'b0; sj174c0 <= 1'b0; end else begin xl27f82 <= zzba604; xy3fc17 <= vk86d9b;\r
+ fafe0ba <= oh36cda; uif05d3 <= oh36cda & (~gqb66d4); co82e98 <= ~oh36cda & (gqb66d4); sj174c0 <= ymb36a1 || ph9b509; end\r
+end\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin oub3c7c <= 2'd0; jr9e3e0 <= 2'd0; hof1f06 <= 2'd0; ir8f833 <= 2'd0; rg7c19c <= 2'd0; ice0ce2 <= 2'd0; fp6710 <= 1'b0; ux33887 <= 1'b0; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin oub3c7c <= ald3027; jr9e3e0 <= fp2785b; hof1f06 <= kf3c2df; ir8f833 <= fae16fc; if ((kf3c2df==fae16fc) && (kf3c2df==jeb7e3)) rg7c19c <= jeb7e3; ice0ce2 <= en5bf1b; if (dmda84e) fp6710 <= 1'b1; else if (en5bf1b != xwdf8dd) fp6710 <= 1'b1; else fp6710 <= 1'b0; if (gqb66d4) begin ux33887 <= 1'b1; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin ux33887 <= en5bf1b[1]; do9c43c <= !en5bf1b[1] & ( en5bf1b[0]); qte21e3 <= !en5bf1b[1] & (!en5bf1b[0]); end\r
+ case (phaa186) 1'd0: begin if (vvfc6eb) begin uide932 <= 1'b1; lf91727 <= 1'd1; end else begin uide932 <= 1'b0; lf91727 <= 1'd0; end end 1'd1: begin uide932 <= 1'b1; if (nr50c36) begin lf91727 <= 1'd0; end else begin lf91727 <= 1'd1; end end default: begin lf91727 <= 1'd0; end endcase\r
+ end\r
+end\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ww5c9fe <= 7'd0; hoe4ff0 <= 1'b0; end else begin if (vvfc6eb || zm30db3) begin ww5c9fe <= 7'd0; end else begin ww5c9fe <= je861b6 + 1; end\r
+ if (vvfc6eb) begin hoe4ff0 <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd8) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd98) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else begin hoe4ff0 <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zz8b93f <= 1'b0; end else begin if (vvfc6eb) begin zz8b93f <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd3) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd49) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else begin zz8b93f <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zkfbd26 <= 1'b0; end else begin if (zk47db5) begin zkfbd26 <= 1'b0; end else if (!nt811f6 && nr50c36) begin zkfbd26 <= 1'b1; end else begin zkfbd26 <= 1'b0; end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qi97de4 <= 8'd0; mtbef21 <= 1'd0; wjf790c <= 1'd0; end else begin qi97de4 <= vxb023e; mtbef21 <= fp22c08; wjf790c <= lf16047; end\r
+end\r
+\r
+always@* begin zzba604<=nrf6ece[0];ald3027<={zxf9af2>>1,nrf6ece[1]};ie9813c<={blcd797>>1,nrf6ece[2]};dmc09e1<=nrf6ece[3];do4f0b<=nrf6ece[4];fp2785b<={oub3c7c>>1,nrf6ece[5]};kf3c2df<={jr9e3e0>>1,nrf6ece[6]};fae16fc<={hof1f06>>1,nrf6ece[7]};jeb7e3<={ir8f833>>1,nrf6ece[8]};en5bf1b<={rg7c19c>>1,nrf6ece[9]};xwdf8dd<={ice0ce2>>1,nrf6ece[10]};vvfc6eb<=nrf6ece[11];rte375e<=nrf6ece[12];xy1baf6<=nrf6ece[13];vvdd7b3<=nrf6ece[14];dmebd9f<={ou10f1c>>1,nrf6ece[15]};yx5ecfb<=nrf6ece[16];dzf67dd<=nrf6ece[17];aab3eef<={fne3804>>1,nrf6ece[18]};an9f778<=nrf6ece[19];nefbbc4<=nrf6ece[20];fndde22<={uk9f9>>1,nrf6ece[21]};ayef116<=nrf6ece[22];ui788b0<=nrf6ece[23];kqc4581<=nrf6ece[24];fp22c08<=nrf6ece[25];lf16047<=nrf6ece[26];vxb023e<={sh4bef4>>1,nrf6ece[27]};nt811f6<=nrf6ece[28];cb8fb6<=nrf6ece[29];zk47db5<=nrf6ece[30];sw3edaa<=nrf6ece[31];thf6d50<=nrf6ece[32];ukb6a86<=nrf6ece[33];fpb5430<=nrf6ece[34];phaa186<=nrf6ece[35];nr50c36<=nrf6ece[36];je861b6<={ww5c9fe>>1,nrf6ece[37]};zm30db3<=nrf6ece[38];vk86d9b<=nrf6ece[39];oh36cda<=nrf6ece[40];gqb66d4<=nrf6ece[41];ymb36a1<=nrf6ece[42];ph9b509<=nrf6ece[43];dmda84e<=nrf6ece[44];end\r
+always@* begin bl7edd9[2047]<=zxf9af2[0];bl7edd9[2046]<=blcd797[0];bl7edd9[2044]<=pf6bcbe;bl7edd9[2040]<=qt5e5f7;bl7edd9[2032]<=oub3c7c[0];bl7edd9[2017]<=jr9e3e0[0];bl7edd9[1987]<=hof1f06[0];bl7edd9[1926]<=ir8f833[0];bl7edd9[1805]<=lf91727;bl7edd9[1804]<=rg7c19c[0];bl7edd9[1803]<=bn27e4b;bl7edd9[1761]<=qva4c8b;bl7edd9[1668]<=uif05d3;bl7edd9[1562]<=zz8b93f;bl7edd9[1560]<=ice0ce2[0];bl7edd9[1558]<=do3f25f;bl7edd9[1550]<=qi878e0;bl7edd9[1475]<=qv2645c;bl7edd9[1464]<=uide932;bl7edd9[1288]<=co82e98;bl7edd9[1076]<=ww5c9fe[0];bl7edd9[1072]<=fp6710;bl7edd9[1069]<=hbf92fb;bl7edd9[1052]<=an3c700;bl7edd9[1023]<=gbe_mode;bl7edd9[902]<=rv322e4;bl7edd9[901]<=je4fc9;bl7edd9[880]<=vif4991;bl7edd9[834]<=fafe0ba;bl7edd9[775]<=ou10f1c[0];bl7edd9[732]<=zkfbd26;bl7edd9[528]<=sj174c0;bl7edd9[450]<=uk9f9[0];bl7edd9[417]<=xy3fc17;bl7edd9[387]<=qte21e3;bl7edd9[366]<=vv5f7a4;bl7edd9[225]<=aye013f;bl7edd9[208]<=xl27f82;bl7edd9[193]<=do9c43c;bl7edd9[183]<=sh4bef4[0];bl7edd9[112]<=lf1c027;bl7edd9[104]<=hoe4ff0;bl7edd9[96]<=ux33887;bl7edd9[91]<=ipc97de;bl7edd9[56]<=fne3804[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module vx9b22c (rst_n,\r
+ gbe_mode,\r
+ lqdf35e,\r
+\r
+ blcd797,\r
+ pf6bcbe,\r
+ qt5e5f7,\r
+ hdaff1b,\r
+ en7f8df,\r
+\r
+ mrf2fbc,\r
+ pfe37e6,\r
+ qi97de4,\r
+ mtbef21,\r
+ wjf790c,\r
+ xje6cdf,\r
+ yz366ff\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input lqdf35e;\r
+input [7:0] blcd797;\r
+input pf6bcbe;\r
+input qt5e5f7;\r
+input hdaff1b;\r
+input en7f8df;\r
+input mrf2fbc;\r
+input [1:0] pfe37e6;\r
+output [7:0] qi97de4;\r
+output mtbef21;\r
+output wjf790c;\r
+output xje6cdf;\r
+output yz366ff;\r
+reg [7:0] qi97de4;\r
+reg mtbef21;\r
+reg wjf790c;\r
+reg xje6cdf;\r
+reg yz366ff;\r
+reg [1:0] oub3c7c;\r
+reg [1:0] jr9e3e0;\r
+reg [1:0] hof1f06;\r
+reg [1:0] ir8f833;\r
+reg [1:0] rg7c19c;\r
+reg [1:0] ice0ce2;\r
+reg fp6710;\r
+reg ux33887;\r
+reg do9c43c;\r
+reg qte21e3;\r
+reg uide932;\r
+reg vif4991;\r
+reg ri89c38;\r
+reg zz8b93f;\r
+reg [6:0] ww5c9fe;\r
+reg hoe4ff0;\r
+reg ph38769;\r
+reg uvc3b4e;\r
+reg zkfbd26;\r
+reg [7:0] ou10f1c;\r
+reg an3c700;\r
+reg qi878e0;\r
+reg nr74954;\r
+reg epa4aa7;\r
+wire [7:0] sh4bef4;\r
+wire ipc97de;\r
+wire hbf92fb;\r
+wire zma78dc;\r
+wire oh3c6e3;\r
+reg [7:0] nre371c;\r
+reg ri1b8e6;\r
+reg yxdc733;\r
+reg dze399d;\r
+reg vx1ccef;\r
+reg lf91727;\r
+reg qv2645c;\r
+reg ym9dfb4;\r
+reg xl27f82;\r
+reg xy3fc17;\r
+reg fafe0ba;\r
+reg uif05d3;\r
+reg co82e98;\r
+reg sj174c0;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+`endif\r
+reg zzba604;\r
+reg [7 : 0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b;\r
+reg uk3b4aa;\r
+reg lqda551;\r
+reg [1 : 0] zxd2a8a;\r
+reg [1 : 0] fp2785b;\r
+reg [1 : 0] kf3c2df;\r
+reg [1 : 0] fae16fc;\r
+reg [1 : 0] jeb7e3;\r
+reg [1 : 0] en5bf1b;\r
+reg [1 : 0] xwdf8dd;\r
+reg vvfc6eb;\r
+reg rte375e;\r
+reg xy1baf6;\r
+reg vvdd7b3;\r
+reg zk47db5;\r
+reg sw3edaa;\r
+reg rtdd291;\r
+reg nr50c36;\r
+reg [6 : 0] je861b6;\r
+reg zm30db3;\r
+reg zz91ffa;\r
+reg cb8ffd6;\r
+reg cb8fb6;\r
+reg [7 : 0] dmebd9f;\r
+reg dzf67dd;\r
+reg yx5ecfb;\r
+reg uxb4845;\r
+reg ira422d;\r
+reg [7 : 0] vxb023e;\r
+reg lf16047;\r
+reg fp22c08;\r
+reg zz2da6f;\r
+reg me6d37e;\r
+reg [7 : 0] rg69bf1;\r
+reg by4df8a;\r
+reg sh6fc53;\r
+reg pf7e29d;\r
+reg xwf14ef;\r
+reg phaa186;\r
+reg ukb6a86;\r
+reg wy9dea9;\r
+reg vk86d9b;\r
+reg oh36cda;\r
+reg gqb66d4;\r
+reg ymb36a1;\r
+reg ph9b509;\r
+reg dmda84e;\r
+reg [2047:0] bl7edd9;\r
+wire [49:0] nrf6ece;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+localparam zmb7676 = 50,wlbb3b3 = 32'hfdffe06b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= 1'b0; xy3fc17 <= 1'b0; fafe0ba <= 1'b0; uif05d3 <= 1'b0; co82e98 <= 1'b0; sj174c0 <= 1'b0; end else begin xl27f82 <= zzba604; xy3fc17 <= vk86d9b;\r
+ fafe0ba <= oh36cda; uif05d3 <= oh36cda & (~gqb66d4); co82e98 <= ~oh36cda & (gqb66d4); sj174c0 <= ymb36a1 || ph9b509; end\r
+end\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin oub3c7c <= 2'd0; jr9e3e0 <= 2'd0; hof1f06 <= 2'd0; ir8f833 <= 2'd0; rg7c19c <= 2'd0; ice0ce2 <= 2'd0; fp6710 <= 1'b1; ux33887 <= 1'b0; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin oub3c7c <= zxd2a8a; jr9e3e0 <= fp2785b; hof1f06 <= kf3c2df; ir8f833 <= fae16fc; if ((kf3c2df==fae16fc) && (kf3c2df==jeb7e3)) rg7c19c <= jeb7e3; ice0ce2 <= en5bf1b; if (dmda84e) fp6710 <= 1'b1; else if (en5bf1b != xwdf8dd) fp6710 <= 1'b1; else fp6710 <= 1'b0; if (gqb66d4) begin ux33887 <= 1'b1; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin ux33887 <= en5bf1b[1]; do9c43c <= !en5bf1b[1] & ( en5bf1b[0]); qte21e3 <= !en5bf1b[1] & (!en5bf1b[0]); end case (phaa186) 1'd0: begin if (vvfc6eb) begin uide932 <= 1'b1; lf91727 <= 1'd1; end else begin uide932 <= 1'b0; lf91727 <= 1'd0; end end 1'd1: begin uide932 <= 1'b1; if (nr50c36) begin lf91727 <= 1'd0; end else begin lf91727 <= 1'd1; end end default: begin lf91727 <= 1'd0; end endcase end\r
+end\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ww5c9fe <= 7'd0; hoe4ff0 <= 1'b0; end else begin if (vvfc6eb || zm30db3) begin ww5c9fe <= 7'd0; end else begin ww5c9fe <= je861b6 + 1; end\r
+ if (vvfc6eb) begin hoe4ff0 <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd8) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd98) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else begin hoe4ff0 <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zz8b93f <= 1'b0; end else begin if (vvfc6eb) begin zz8b93f <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd3) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd49) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else begin zz8b93f <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge lqdf35e or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qi878e0 <= 0; an3c700 <= 0; nr74954 <= 0; epa4aa7 <= 0; ou10f1c <= 8'd0; end else begin ou10f1c <= ie9813c; an3c700 <= do4f0b; qi878e0 <= dmc09e1; nr74954 <= uk3b4aa; epa4aa7 <= lqda551; end\r
+end\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(12), .pmi_data_width_r(12), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) vx9e54 ( .Reset(ri89c38), .RPReset(ri89c38), .WrClock(lqdf35e), .WrEn(zz8b93f), .Data({epa4aa7, nr74954, qi878e0, an3c700, ou10f1c}),\r
+ .RdClock(mrf2fbc), .RdEn(zkfbd26), .Q({oh3c6e3, zma78dc, hbf92fb, ipc97de, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(12), .pmi_data_width_r(12), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) vx9e54 ( .Reset(ri89c38), .RPReset(ri89c38), .WrClock(lqdf35e), .WrEn(zz8b93f), .Data({epa4aa7, nr74954, qi878e0, an3c700, ou10f1c}),\r
+ .RdClock(mrf2fbc), .RdEn(zkfbd26), .Q({oh3c6e3, zma78dc, hbf92fb, ipc97de, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(12), .pmi_data_width_r(12), .pmi_data_depth_w(8), .pmi_data_depth_r(8), .pmi_full_flag(8), .pmi_empty_flag(0), .pmi_almost_full_flag(3), .pmi_almost_empty_flag(1), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) vx9e54 ( .Reset(ri89c38), .RPReset(ri89c38), .WrClock(lqdf35e), .WrEn(zz8b93f), .Data({epa4aa7, nr74954, qi878e0, an3c700, ou10f1c}),\r
+ .RdClock(mrf2fbc), .RdEn(zkfbd26), .Q({oh3c6e3, zma78dc, hbf92fb, ipc97de, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(), .AlmostEmpty(), .AlmostFull() );\r
+\r
+`endif\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vif4991 <= 1'd1; ri89c38 <= 1'd1; end else begin vif4991 <= zk47db5; ri89c38 <= sw3edaa; end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qv2645c <= 1'd1; ym9dfb4 <= 1'd1; end else begin qv2645c <= rte375e; ym9dfb4 <= ukb6a86; end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ph38769 <= 1'b0; uvc3b4e <= 1'b0; end else begin if (rtdd291) begin ph38769 <= 1'b0; end else begin if (wy9dea9) begin ph38769 <= 1'b1; end else begin ph38769 <= ~zz91ffa; end end\r
+ uvc3b4e <= zz91ffa;\r
+ end\r
+end\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zkfbd26 <= 1'b0; end else begin if (rtdd291) begin zkfbd26 <= 1'b0; end else begin if (!vv5f7a4 && zz91ffa) begin zkfbd26 <= 1'b1; end else begin zkfbd26 <= 1'b0; end end end\r
+end\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin yxdc733 <= 0; ri1b8e6 <= 0; dze399d <= 0; vx1ccef <= 0; nre371c <= 8'd0; end else begin if (zz91ffa) begin ri1b8e6 <= lf16047; dze399d <= zz2da6f; vx1ccef <= me6d37e; if ((!wy9dea9) && fp22c08 && (vxb023e == 8'h0F) && (!lf16047) && by4df8a) begin yxdc733 <= 1'b0; nre371c <= 8'h00; end else begin yxdc733 <= fp22c08; nre371c <= vxb023e; end end\r
+ end\r
+end\r
+\r
+\r
+\r
+always @(posedge mrf2fbc or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mtbef21 <= 0; wjf790c <= 0; xje6cdf <= 0; yz366ff <= 0; qi97de4 <= 8'd0; end else begin if (cb8ffd6) begin qi97de4[3:0] <= rg69bf1[3:0]; end else begin qi97de4[3:0] <= rg69bf1[7:4]; end qi97de4[7:4] <= rg69bf1[7:4]; wjf790c <= by4df8a; mtbef21 <= sh6fc53; xje6cdf <= pf7e29d; yz366ff <= xwf14ef; end\r
+end\r
+\r
+\r
+\r
+always@* begin zzba604<=nrf6ece[0];ie9813c<={blcd797>>1,nrf6ece[1]};dmc09e1<=nrf6ece[2];do4f0b<=nrf6ece[3];uk3b4aa<=nrf6ece[4];lqda551<=nrf6ece[5];zxd2a8a<={pfe37e6>>1,nrf6ece[6]};fp2785b<={oub3c7c>>1,nrf6ece[7]};kf3c2df<={jr9e3e0>>1,nrf6ece[8]};fae16fc<={hof1f06>>1,nrf6ece[9]};jeb7e3<={ir8f833>>1,nrf6ece[10]};en5bf1b<={rg7c19c>>1,nrf6ece[11]};xwdf8dd<={ice0ce2>>1,nrf6ece[12]};vvfc6eb<=nrf6ece[13];rte375e<=nrf6ece[14];xy1baf6<=nrf6ece[15];vvdd7b3<=nrf6ece[16];zk47db5<=nrf6ece[17];sw3edaa<=nrf6ece[18];rtdd291<=nrf6ece[19];nr50c36<=nrf6ece[20];je861b6<={ww5c9fe>>1,nrf6ece[21]};zm30db3<=nrf6ece[22];zz91ffa<=nrf6ece[23];cb8ffd6<=nrf6ece[24];cb8fb6<=nrf6ece[25];dmebd9f<={ou10f1c>>1,nrf6ece[26]};dzf67dd<=nrf6ece[27];yx5ecfb<=nrf6ece[28];uxb4845<=nrf6ece[29];ira422d<=nrf6ece[30];vxb023e<={sh4bef4>>1,nrf6ece[31]};lf16047<=nrf6ece[32];fp22c08<=nrf6ece[33];zz2da6f<=nrf6ece[34];me6d37e<=nrf6ece[35];rg69bf1<={nre371c>>1,nrf6ece[36]};by4df8a<=nrf6ece[37];sh6fc53<=nrf6ece[38];pf7e29d<=nrf6ece[39];xwf14ef<=nrf6ece[40];phaa186<=nrf6ece[41];ukb6a86<=nrf6ece[42];wy9dea9<=nrf6ece[43];vk86d9b<=nrf6ece[44];oh36cda<=nrf6ece[45];gqb66d4<=nrf6ece[46];ymb36a1<=nrf6ece[47];ph9b509<=nrf6ece[48];dmda84e<=nrf6ece[49];end\r
+always@* begin bl7edd9[2047]<=blcd797[0];bl7edd9[2046]<=pf6bcbe;bl7edd9[2044]<=qt5e5f7;bl7edd9[2041]<=hdaff1b;bl7edd9[2034]<=en7f8df;bl7edd9[2021]<=pfe37e6[0];bl7edd9[2017]<=ww5c9fe[0];bl7edd9[1995]<=oub3c7c[0];bl7edd9[1986]<=hoe4ff0;bl7edd9[1943]<=jr9e3e0[0];bl7edd9[1925]<=ph38769;bl7edd9[1908]<=hbf92fb;bl7edd9[1864]<=ri1b8e6;bl7edd9[1855]<=qte21e3;bl7edd9[1838]<=hof1f06[0];bl7edd9[1803]<=uvc3b4e;bl7edd9[1769]<=zma78dc;bl7edd9[1680]<=yxdc733;bl7edd9[1662]<=uide932;bl7edd9[1628]<=ir8f833[0];bl7edd9[1559]<=zkfbd26;bl7edd9[1501]<=sh4bef4[0];bl7edd9[1490]<=oh3c6e3;bl7edd9[1487]<=ux33887;bl7edd9[1312]<=dze399d;bl7edd9[1276]<=vif4991;bl7edd9[1209]<=rg7c19c[0];bl7edd9[1155]<=lf91727;bl7edd9[1070]<=ou10f1c[0];bl7edd9[1048]<=xl27f82;bl7edd9[1023]<=gbe_mode;bl7edd9[1008]<=zz8b93f;bl7edd9[954]<=ipc97de;bl7edd9[932]<=nre371c[0];bl7edd9[927]<=do9c43c;bl7edd9[791]<=sj174c0;bl7edd9[750]<=epa4aa7;bl7edd9[743]<=fp6710;bl7edd9[577]<=vx1ccef;bl7edd9[524]<=ym9dfb4;bl7edd9[504]<=ri89c38;bl7edd9[395]<=co82e98;bl7edd9[375]<=nr74954;bl7edd9[371]<=ice0ce2[0];bl7edd9[262]<=qv2645c;bl7edd9[197]<=uif05d3;bl7edd9[187]<=qi878e0;bl7edd9[98]<=fafe0ba;bl7edd9[93]<=an3c700;bl7edd9[49]<=xy3fc17;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module hq25f53 (rst_n,\r
+ gbe_mode,\r
+ eaea698,\r
+ ay534c0,\r
+ zz8b93f,\r
+\r
+ blcd797,\r
+ pf6bcbe,\r
+ qt5e5f7,\r
+\r
+ aa90a,\r
+ qi97de4,\r
+ mtbef21,\r
+ wjf790c\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input eaea698;\r
+input ay534c0;\r
+output zz8b93f;\r
+input [7:0] blcd797;\r
+input pf6bcbe;\r
+input qt5e5f7;\r
+input [1:0] aa90a;\r
+output [7:0] qi97de4;\r
+output mtbef21;\r
+output wjf790c;\r
+reg [7:0] qi97de4;\r
+reg mtbef21;\r
+reg wjf790c;\r
+reg [1:0] oub3c7c;\r
+reg [1:0] jr9e3e0;\r
+reg [1:0] hof1f06;\r
+reg [1:0] ir8f833;\r
+reg [1:0] rg7c19c;\r
+reg [1:0] ice0ce2;\r
+reg fp6710;\r
+reg ux33887;\r
+reg do9c43c;\r
+reg qte21e3;\r
+reg zz8b93f;\r
+reg [6:0] ww5c9fe;\r
+reg hoe4ff0;\r
+reg ph38769;\r
+reg zkfbd26;\r
+reg [7:0] ou10f1c;\r
+reg an3c700;\r
+reg qi878e0;\r
+reg xl27f82;\r
+reg xy3fc17;\r
+reg fafe0ba;\r
+reg uif05d3;\r
+reg co82e98;\r
+reg sj174c0;\r
+reg zzba604;\r
+reg pfcdf9a;\r
+reg [7 : 0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b;\r
+reg [1 : 0] kf9af7f;\r
+reg [1 : 0] fp2785b;\r
+reg [1 : 0] kf3c2df;\r
+reg [1 : 0] fae16fc;\r
+reg [1 : 0] jeb7e3;\r
+reg [1 : 0] en5bf1b;\r
+reg [1 : 0] xwdf8dd;\r
+reg vvfc6eb;\r
+reg rte375e;\r
+reg xy1baf6;\r
+reg vvdd7b3;\r
+reg [6 : 0] je861b6;\r
+reg zm30db3;\r
+reg zz91ffa;\r
+reg cb8fb6;\r
+reg [7 : 0] dmebd9f;\r
+reg dzf67dd;\r
+reg yx5ecfb;\r
+reg vk86d9b;\r
+reg oh36cda;\r
+reg gqb66d4;\r
+reg ymb36a1;\r
+reg ph9b509;\r
+reg dmda84e;\r
+reg [2047:0] bl7edd9;\r
+wire [28:0] nrf6ece;\r
+\r
+localparam zmb7676 = 29,wlbb3b3 = 32'hfdffca8b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= 1'b0; xy3fc17 <= 1'b0; fafe0ba <= 1'b0; uif05d3 <= 1'b0; co82e98 <= 1'b0; sj174c0 <= 1'b0; end else begin xl27f82 <= zzba604; xy3fc17 <= vk86d9b;\r
+ fafe0ba <= oh36cda; uif05d3 <= oh36cda & (~gqb66d4); co82e98 <= ~oh36cda & (gqb66d4); sj174c0 <= ymb36a1 || ph9b509; end\r
+end\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin oub3c7c <= 2'd0; jr9e3e0 <= 2'd0; hof1f06 <= 2'd0; ir8f833 <= 2'd0; rg7c19c <= 2'd0; ice0ce2 <= 2'd0; fp6710 <= 1'b1; ux33887 <= 1'b0; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin oub3c7c <= kf9af7f; jr9e3e0 <= fp2785b; hof1f06 <= kf3c2df; ir8f833 <= fae16fc; if ((kf3c2df==fae16fc) && (kf3c2df==jeb7e3)) rg7c19c <= jeb7e3; ice0ce2 <= en5bf1b; if (dmda84e) fp6710 <= 1'b1; else if (en5bf1b != xwdf8dd) fp6710 <= 1'b1; else fp6710 <= 1'b0; if (gqb66d4) begin ux33887 <= 1'b1; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin ux33887 <= en5bf1b[1]; do9c43c <= !en5bf1b[1] & ( en5bf1b[0]); qte21e3 <= !en5bf1b[1] & (!en5bf1b[0]); end end\r
+end\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ww5c9fe <= 7'd0; hoe4ff0 <= 1'b0; end else begin if (vvfc6eb || zm30db3) begin ww5c9fe <= 7'd0; end else begin ww5c9fe <= je861b6 + 1; end\r
+ if (vvfc6eb) begin hoe4ff0 <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd8) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd98) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else begin hoe4ff0 <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zz8b93f <= 1'b0; end else begin if (vvfc6eb) begin zz8b93f <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd3) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd49) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else begin zz8b93f <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qi878e0 <= 0; an3c700 <= 0; ou10f1c <= 8'd0; end else begin if (pfcdf9a) begin ou10f1c <= ie9813c; an3c700 <= do4f0b; qi878e0 <= dmc09e1; end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mtbef21 <= 0; wjf790c <= 0; qi97de4 <= 8'd0; end else begin if (pfcdf9a) begin wjf790c <= dzf67dd; mtbef21 <= yx5ecfb; qi97de4 <= dmebd9f; end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always@* begin zzba604<=nrf6ece[0];pfcdf9a<=nrf6ece[1];ie9813c<={blcd797>>1,nrf6ece[2]};dmc09e1<=nrf6ece[3];do4f0b<=nrf6ece[4];kf9af7f<={aa90a>>1,nrf6ece[5]};fp2785b<={oub3c7c>>1,nrf6ece[6]};kf3c2df<={jr9e3e0>>1,nrf6ece[7]};fae16fc<={hof1f06>>1,nrf6ece[8]};jeb7e3<={ir8f833>>1,nrf6ece[9]};en5bf1b<={rg7c19c>>1,nrf6ece[10]};xwdf8dd<={ice0ce2>>1,nrf6ece[11]};vvfc6eb<=nrf6ece[12];rte375e<=nrf6ece[13];xy1baf6<=nrf6ece[14];vvdd7b3<=nrf6ece[15];je861b6<={ww5c9fe>>1,nrf6ece[16]};zm30db3<=nrf6ece[17];zz91ffa<=nrf6ece[18];cb8fb6<=nrf6ece[19];dmebd9f<={ou10f1c>>1,nrf6ece[20]};dzf67dd<=nrf6ece[21];yx5ecfb<=nrf6ece[22];vk86d9b<=nrf6ece[23];oh36cda<=nrf6ece[24];gqb66d4<=nrf6ece[25];ymb36a1<=nrf6ece[26];ph9b509<=nrf6ece[27];dmda84e<=nrf6ece[28];end\r
+always@* begin bl7edd9[2047]<=ay534c0;bl7edd9[2046]<=blcd797[0];bl7edd9[2044]<=pf6bcbe;bl7edd9[2040]<=qt5e5f7;bl7edd9[2032]<=aa90a[0];bl7edd9[2017]<=oub3c7c[0];bl7edd9[1987]<=jr9e3e0[0];bl7edd9[1926]<=hof1f06[0];bl7edd9[1804]<=ir8f833[0];bl7edd9[1803]<=xl27f82;bl7edd9[1560]<=rg7c19c[0];bl7edd9[1558]<=xy3fc17;bl7edd9[1550]<=ww5c9fe[0];bl7edd9[1072]<=ice0ce2[0];bl7edd9[1069]<=fafe0ba;bl7edd9[1052]<=hoe4ff0;bl7edd9[1023]<=gbe_mode;bl7edd9[901]<=qi878e0;bl7edd9[775]<=qte21e3;bl7edd9[450]<=an3c700;bl7edd9[387]<=do9c43c;bl7edd9[366]<=sj174c0;bl7edd9[225]<=ou10f1c[0];bl7edd9[193]<=ux33887;bl7edd9[183]<=co82e98;bl7edd9[112]<=zkfbd26;bl7edd9[96]<=fp6710;bl7edd9[91]<=uif05d3;bl7edd9[56]<=ph38769;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module yx5b9d8 (rst_n,\r
+ gbe_mode,\r
+ eaea698,\r
+ ay534c0,\r
+ zz8b93f,\r
+\r
+ blcd797,\r
+ pf6bcbe,\r
+ qt5e5f7,\r
+ hdaff1b,\r
+ en7f8df,\r
+\r
+ aa90a,\r
+ qi97de4,\r
+ mtbef21,\r
+ wjf790c,\r
+ xje6cdf,\r
+ yz366ff\r
+ );\r
+input rst_n;\r
+input gbe_mode;\r
+input eaea698;\r
+input ay534c0;\r
+output zz8b93f;\r
+input [7:0] blcd797;\r
+input pf6bcbe;\r
+input qt5e5f7;\r
+input hdaff1b;\r
+input en7f8df;\r
+input [1:0] aa90a;\r
+output [7:0] qi97de4;\r
+output mtbef21;\r
+output wjf790c;\r
+output xje6cdf;\r
+output yz366ff;\r
+reg [7:0] qi97de4;\r
+reg mtbef21;\r
+reg wjf790c;\r
+reg xje6cdf;\r
+reg yz366ff;\r
+reg [1:0] oub3c7c;\r
+reg [1:0] jr9e3e0;\r
+reg [1:0] hof1f06;\r
+reg [1:0] ir8f833;\r
+reg [1:0] rg7c19c;\r
+reg [1:0] ice0ce2;\r
+reg fp6710;\r
+reg ux33887;\r
+reg do9c43c;\r
+reg qte21e3;\r
+reg zz8b93f;\r
+reg [6:0] ww5c9fe;\r
+reg hoe4ff0;\r
+reg ph38769;\r
+reg zkfbd26;\r
+reg [7:0] ou10f1c;\r
+reg an3c700;\r
+reg qi878e0;\r
+reg nr74954;\r
+reg epa4aa7;\r
+reg xl27f82;\r
+reg xy3fc17;\r
+reg fafe0ba;\r
+reg uif05d3;\r
+reg co82e98;\r
+reg sj174c0;\r
+reg zzba604;\r
+reg pfcdf9a;\r
+reg [7 : 0] ie9813c;\r
+reg dmc09e1;\r
+reg do4f0b;\r
+reg uk3b4aa;\r
+reg lqda551;\r
+reg [1 : 0] kf9af7f;\r
+reg [1 : 0] fp2785b;\r
+reg [1 : 0] kf3c2df;\r
+reg [1 : 0] fae16fc;\r
+reg [1 : 0] jeb7e3;\r
+reg [1 : 0] en5bf1b;\r
+reg [1 : 0] xwdf8dd;\r
+reg vvfc6eb;\r
+reg rte375e;\r
+reg xy1baf6;\r
+reg vvdd7b3;\r
+reg [6 : 0] je861b6;\r
+reg zm30db3;\r
+reg zz91ffa;\r
+reg cb8fb6;\r
+reg [7 : 0] dmebd9f;\r
+reg dzf67dd;\r
+reg yx5ecfb;\r
+reg uxb4845;\r
+reg ira422d;\r
+reg vk86d9b;\r
+reg oh36cda;\r
+reg gqb66d4;\r
+reg ymb36a1;\r
+reg ph9b509;\r
+reg dmda84e;\r
+reg [2047:0] bl7edd9;\r
+wire [32:0] nrf6ece;\r
+\r
+localparam zmb7676 = 33,wlbb3b3 = 32'hfdffd42b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= 1'b0; xy3fc17 <= 1'b0; fafe0ba <= 1'b0; uif05d3 <= 1'b0; co82e98 <= 1'b0; sj174c0 <= 1'b0; end else begin xl27f82 <= zzba604; xy3fc17 <= vk86d9b;\r
+ fafe0ba <= oh36cda; uif05d3 <= oh36cda & (~gqb66d4); co82e98 <= ~oh36cda & (gqb66d4); sj174c0 <= ymb36a1 || ph9b509; end\r
+end\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin oub3c7c <= 2'd0; jr9e3e0 <= 2'd0; hof1f06 <= 2'd0; ir8f833 <= 2'd0; rg7c19c <= 2'd0; ice0ce2 <= 2'd0; fp6710 <= 1'b1; ux33887 <= 1'b0; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin oub3c7c <= kf9af7f; jr9e3e0 <= fp2785b; hof1f06 <= kf3c2df; ir8f833 <= fae16fc; if ((kf3c2df==fae16fc) && (kf3c2df==jeb7e3)) rg7c19c <= jeb7e3; ice0ce2 <= en5bf1b; if (dmda84e) fp6710 <= 1'b1; else if (en5bf1b != xwdf8dd) fp6710 <= 1'b1; else fp6710 <= 1'b0; if (gqb66d4) begin ux33887 <= 1'b1; do9c43c <= 1'b0; qte21e3 <= 1'b0; end else begin ux33887 <= en5bf1b[1]; do9c43c <= !en5bf1b[1] & ( en5bf1b[0]); qte21e3 <= !en5bf1b[1] & (!en5bf1b[0]); end end\r
+end\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin ww5c9fe <= 7'd0; hoe4ff0 <= 1'b0; end else begin if (vvfc6eb || zm30db3) begin ww5c9fe <= 7'd0; end else begin ww5c9fe <= je861b6 + 1; end\r
+ if (vvfc6eb) begin hoe4ff0 <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd8) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd98) begin hoe4ff0 <= 1'b1; end else begin hoe4ff0 <= 1'b0; end end else begin hoe4ff0 <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin zz8b93f <= 1'b0; end else begin if (vvfc6eb) begin zz8b93f <= 1'b0; end else if (xy1baf6) begin if (je861b6 == 7'd3) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else if (vvdd7b3) begin if (je861b6 == 7'd49) begin zz8b93f <= 1'b1; end else begin zz8b93f <= 1'b0; end end else begin zz8b93f <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qi878e0 <= 0; an3c700 <= 0; nr74954 <= 0; epa4aa7 <= 0; ou10f1c <= 8'd0; end else begin if (pfcdf9a) begin ou10f1c <= ie9813c; an3c700 <= do4f0b; qi878e0 <= dmc09e1; nr74954 <= uk3b4aa; epa4aa7 <= lqda551; end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mtbef21 <= 0; wjf790c <= 0; xje6cdf <= 0; yz366ff <= 0; qi97de4 <= 8'd0; end else begin if (pfcdf9a) begin wjf790c <= dzf67dd; xje6cdf <= uxb4845; yz366ff <= ira422d; if ((!rte375e) && yx5ecfb && (dmebd9f == 8'h0F) && (!dzf67dd) && wjf790c) begin mtbef21 <= 1'b0; qi97de4 <= 8'h00; end else begin mtbef21 <= yx5ecfb; qi97de4 <= dmebd9f; end end\r
+ end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always@* begin zzba604<=nrf6ece[0];pfcdf9a<=nrf6ece[1];ie9813c<={blcd797>>1,nrf6ece[2]};dmc09e1<=nrf6ece[3];do4f0b<=nrf6ece[4];uk3b4aa<=nrf6ece[5];lqda551<=nrf6ece[6];kf9af7f<={aa90a>>1,nrf6ece[7]};fp2785b<={oub3c7c>>1,nrf6ece[8]};kf3c2df<={jr9e3e0>>1,nrf6ece[9]};fae16fc<={hof1f06>>1,nrf6ece[10]};jeb7e3<={ir8f833>>1,nrf6ece[11]};en5bf1b<={rg7c19c>>1,nrf6ece[12]};xwdf8dd<={ice0ce2>>1,nrf6ece[13]};vvfc6eb<=nrf6ece[14];rte375e<=nrf6ece[15];xy1baf6<=nrf6ece[16];vvdd7b3<=nrf6ece[17];je861b6<={ww5c9fe>>1,nrf6ece[18]};zm30db3<=nrf6ece[19];zz91ffa<=nrf6ece[20];cb8fb6<=nrf6ece[21];dmebd9f<={ou10f1c>>1,nrf6ece[22]};dzf67dd<=nrf6ece[23];yx5ecfb<=nrf6ece[24];uxb4845<=nrf6ece[25];ira422d<=nrf6ece[26];vk86d9b<=nrf6ece[27];oh36cda<=nrf6ece[28];gqb66d4<=nrf6ece[29];ymb36a1<=nrf6ece[30];ph9b509<=nrf6ece[31];dmda84e<=nrf6ece[32];end\r
+always@* begin bl7edd9[2047]<=ay534c0;bl7edd9[2046]<=blcd797[0];bl7edd9[2044]<=pf6bcbe;bl7edd9[2041]<=qt5e5f7;bl7edd9[2035]<=hdaff1b;bl7edd9[2022]<=en7f8df;bl7edd9[1996]<=aa90a[0];bl7edd9[1945]<=oub3c7c[0];bl7edd9[1922]<=nr74954;bl7edd9[1842]<=jr9e3e0[0];bl7edd9[1797]<=epa4aa7;bl7edd9[1776]<=ou10f1c[0];bl7edd9[1637]<=hof1f06[0];bl7edd9[1622]<=fp6710;bl7edd9[1546]<=xl27f82;bl7edd9[1504]<=an3c700;bl7edd9[1468]<=ph38769;bl7edd9[1391]<=ww5c9fe[0];bl7edd9[1226]<=ir8f833[0];bl7edd9[1197]<=ux33887;bl7edd9[1044]<=xy3fc17;bl7edd9[1023]<=gbe_mode;bl7edd9[961]<=qi878e0;bl7edd9[888]<=zkfbd26;bl7edd9[811]<=ice0ce2[0];bl7edd9[734]<=hoe4ff0;bl7edd9[695]<=qte21e3;bl7edd9[405]<=rg7c19c[0];bl7edd9[347]<=do9c43c;bl7edd9[328]<=sj174c0;bl7edd9[164]<=co82e98;bl7edd9[82]<=uif05d3;bl7edd9[41]<=fafe0ba;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module hq11045 ( eaea698,\r
+ rst_n,\r
+\r
+ gbe_mode,\r
+ sgmii_mode,\r
+\r
+ mr_main_reset,\r
+ mr_restart_an,\r
+ mr_an_enable,\r
+ mr_adv_ability,\r
+ mr_lp_adv_ability,\r
+ ip70450, \r
+ mr_page_rx,\r
+ mr_an_complete,\r
+ \r
+ sj8a10b,\r
+ \r
+ zx5085d,\r
+ db842ee,\r
+ ym21776,\r
+ \r
+ fcbbb1,\r
+ tu5dd8a,\r
+ jceec52,\r
+ tu76293\r
+ );\r
+input eaea698;\r
+input rst_n;\r
+input gbe_mode;\r
+input sgmii_mode;\r
+input mr_main_reset;\r
+input mr_restart_an;\r
+input mr_an_enable;\r
+input [16:1] mr_adv_ability;\r
+output [16:1] mr_lp_adv_ability;\r
+input ip70450;\r
+output mr_page_rx;\r
+output mr_an_complete;\r
+input sj8a10b;\r
+input zx5085d;\r
+input db842ee;\r
+input ym21776;\r
+input [15:0] fcbbb1;\r
+output [15:0] tu5dd8a;\r
+output [1:0] jceec52;\r
+output tu76293;\r
+reg [16:1] mr_lp_adv_ability;\r
+reg mr_page_rx;\r
+reg mr_an_complete;\r
+reg [15:0] tu5dd8a;\r
+reg [1:0] jceec52;\r
+reg [15:0] qi82738;\r
+reg [15:0] fp139c1;\r
+reg [15:0] ba9ce0f;\r
+reg [15:0] wje707a;\r
+reg [15:0] nt383d4;\r
+reg cmc1ea6;\r
+localparam ukf531 = 2'b00, of7a989 = 2'b01, kqd4c4c = 2'b10;\r
+localparam ksa6260 = 4'b0000, sw31300 = 4'b0001, ng89800 = 4'b0010, ic4c003 = 4'b0011, sh60018 = 4'b0100, iec5 = 4'b0101, mg628 = 4'b0111, vx3140 = 4'b1000;\r
+parameter sj18a07 = 21'h1cf2bf, byc503c = 21'h0ced2f, LINK_TIMER_SH = 21'h1fff01;\r
+reg ld40f17;\r
+reg qv78bf;\r
+reg an3c5fc;\r
+reg ene2fe4;\r
+reg cb17f25;\r
+reg [20:0] fpbf92d;\r
+reg thfc96e;\r
+reg [20:0] dme4b77;\r
+reg pu25bba;\r
+reg [3:0] jr2ddd2, rt6ee96, ea774b7;\r
+wire [15:0] fcbbb1;\r
+reg [15:0] thd2dcf;\r
+reg [15:0] je96e7f;\r
+reg [15:0] hqb73fb;\r
+reg [ 1:0] gqb9fdb;\r
+reg [ 1:0] dmcfed9;\r
+reg [ 1:0] en7f6ca;\r
+wire ym21776;\r
+reg godb2ab;\r
+wire db842ee;\r
+reg tucaac5;\r
+reg dz5562a;\r
+reg mtab157;\r
+reg ne58abc;\r
+reg nec55e2;\r
+reg ba2af12;\r
+reg ho57893;\r
+reg qibc49b;\r
+reg cze24d8;\r
+reg ir126c4;\r
+reg vk93624;\r
+reg xl9b122;\r
+reg [16:1] rtd8916;\r
+reg suc48b6;\r
+reg sw245b1;\r
+reg lf22d89;\r
+reg hd16c4f;\r
+reg qib627f;\r
+reg[15:0] cbb13f9;\r
+reg pu89fcc;\r
+reg bl4fe63;\r
+reg of7f31e;\r
+reg rtf98f5;\r
+reg [16:1] ofcc7af;\r
+reg ne63d7c;\r
+reg vk1ebe3;\r
+reg cmf5f1b;\r
+reg vxaf8d9;\r
+reg lq7c6cf;\r
+reg[15:0] pfe367a;\r
+reg hq1b3d6;\r
+reg shd9eb2;\r
+wire bycf594 ;\r
+reg [(22*8):1] sh7aca3;\r
+reg zzba604;\r
+reg xyb28e4;\r
+reg db94722;\r
+reg ksa3917;\r
+reg zz1c8b9;\r
+reg [16 : 1] fae45ce;\r
+reg lf22e73;\r
+reg gd1739f;\r
+reg ecb9cfc;\r
+reg mece7e1;\r
+reg by73f09;\r
+reg [15 : 0] ir9f84a;\r
+reg [15 : 0] fnfc255;\r
+reg [15 : 0] rge12ae;\r
+reg [15 : 0] db9573;\r
+reg [15 : 0] fn4ab9e;\r
+reg vv55cf6;\r
+reg wlae7b6;\r
+reg ps73db4;\r
+reg rv9eda2;\r
+reg zxf6d17;\r
+reg qib68be;\r
+reg [20 : 0] yzb45f0;\r
+reg jea2f84;\r
+reg [20 : 0] qv17c23;\r
+reg mtbe11d;\r
+reg [3 : 0] ykf08ed;\r
+reg [3 : 0] ph84769;\r
+reg [3 : 0] sj23b4e;\r
+reg [15 : 0] kf1da75;\r
+reg [15 : 0] qted3ac;\r
+reg [15 : 0] xw69d65;\r
+reg [1 : 0] yx4eb2a;\r
+reg [1 : 0] qt75954;\r
+reg [1 : 0] aaacaa5;\r
+reg wj65528;\r
+reg pu2a945;\r
+reg qt54a2b;\r
+reg jra515c;\r
+reg rv28ae7;\r
+reg dz4573e;\r
+reg ym2b9f6;\r
+reg ic5cfb1;\r
+reg ale7d88;\r
+reg uk3ec45;\r
+reg jpf6228;\r
+reg yzb1147;\r
+reg ie88a3c;\r
+reg [16 : 1] xj451e1;\r
+reg fp28f0e;\r
+reg jc47876;\r
+reg wl3c3b2;\r
+reg xwe1d94;\r
+reg tweca2;\r
+reg [15 : 0] by76512;\r
+reg lsb2893;\r
+reg lf9449a;\r
+reg xya24d7;\r
+reg ux126ba;\r
+reg [16 : 1] ng935d4;\r
+reg kf9aea2;\r
+reg vvd7515;\r
+reg xlba8ae;\r
+reg ead4576;\r
+reg twa2bb1;\r
+reg [15 : 0] qi15d8b;\r
+reg iraec58;\r
+reg lq762c5;\r
+reg [(22 * 8) : 1] ohb162b;\r
+reg [2047:0] bl7edd9;\r
+wire [68:0] nrf6ece;\r
+\r
+localparam zmb7676 = 69,wlbb3b3 = 32'hfdffd84b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+\r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if(!rst_n) begin hq1b3d6 <= 1'b1; shd9eb2 <= 1'b1; end else begin hq1b3d6 <= db94722; shd9eb2 <= iraec58; end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin if(!rst_n) begin cze24d8 <= 1'b0; ir126c4 <= 1'b0; vk93624 <= 1'b0; xl9b122 <= 1'b0; rtd8916 <= 16'd0; suc48b6 <= 1'b0; sw245b1 <= 1'b0; lf22d89 <= 1'b0; hd16c4f <= 1'b0; qib627f <= 1'b0; cbb13f9 <= 16'b0; pu89fcc <= 1'b0; bl4fe63 <= 1'b0; of7f31e <= 1'b0; rtf98f5 <= 1'b0; ofcc7af <= 16'b0; ne63d7c <= 1'b0; vk1ebe3 <= 1'b0; cmf5f1b <= 1'b0; vxaf8d9 <= 1'b0; lq7c6cf <= 1'b0; pfe367a <= 16'b0; end else begin cze24d8 <= zzba604; ir126c4 <= xyb28e4; vk93624 <= ksa3917; xl9b122 <= zz1c8b9; rtd8916 <= fae45ce; suc48b6 <= lf22e73; sw245b1 <= gd1739f; lf22d89 <= ecb9cfc; hd16c4f <= mece7e1; qib627f <= by73f09; cbb13f9 <= fcbbb1; pu89fcc <= uk3ec45; bl4fe63 <= jpf6228; of7f31e <= yzb1147; rtf98f5 <= ie88a3c; ofcc7af <= xj451e1; ne63d7c <= fp28f0e; vk1ebe3 <= jc47876; cmf5f1b <= wl3c3b2; vxaf8d9 <= xwe1d94; lq7c6cf <= tweca2; pfe367a <= by76512; end\r
+end\r
+\r
+\r
+\r
+assign bycf594 = (qi15d8b[15] == qted3ac[15]) & (qi15d8b[13:0] == qted3ac[13:0]);\r
+\r
+always @(posedge eaea698 or negedge rst_n or posedge shd9eb2) begin : gb51f46 if(!rst_n || shd9eb2) begin tucaac5 <= 1'b0; dz5562a <= 1'b0; mtab157 <= 1'b0; ne58abc <= 1'b0; nec55e2 <= 1'b0; ba2af12 <= 1'b0; ho57893 <= 1'b0; qibc49b <= 1'b0; end else begin tucaac5 <= (wlae7b6 != ux126ba); dz5562a <= lq762c5 ; mtab157 <= lq762c5 & qi15d8b[14] & qted3ac[14]; ne58abc <= (yx4eb2a == 2'b10) & wj65528; nec55e2 <= (qt75954 == 2'b10) & wj65528; ba2af12 <= (aaacaa5 == 2'b11); ho57893 <= (yx4eb2a == 2'b10) & wj65528 & lq762c5 ; qibc49b <= (sj23b4e != ph84769); end\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n or posedge shd9eb2) begin : tue4626 if(!rst_n || shd9eb2) begin rt6ee96 <= ksa6260; end else begin if(xya24d7 || !mtbe11d || pu2a945 || xlba8ae || vv55cf6) begin rt6ee96 <= ksa6260; end else begin rt6ee96 <= ykf08ed; end end\r
+end\r
+always @(posedge eaea698 or negedge rst_n or posedge shd9eb2) begin if(!rst_n || shd9eb2) begin ea774b7 <= ksa6260; ld40f17 <= 1'b0; godb2ab <= 1'b0; thd2dcf <= 16'h0000; end else begin ea774b7 <= ph84769; ld40f17 <= ux126ba; godb2ab <= twa2bb1; thd2dcf <= qi15d8b; end\r
+end\r
+\r
+always @( ph84769 or ux126ba or jea2f84 or rv28ae7 or kf1da75 or dz4573e or ic5cfb1 or ym2b9f6) begin : rtc8cce case (ph84769) ksa6260 : begin if(ux126ba) jr2ddd2 = sw31300; else jr2ddd2 = ng89800; end\r
+ sw31300 : begin if(jea2f84) jr2ddd2 = ic4c003; else jr2ddd2 = sw31300; end\r
+ ic4c003 : begin if(rv28ae7 && kf1da75 != 16'd0) jr2ddd2 = sh60018; else jr2ddd2 = ic4c003; end\r
+ sh60018 : begin if((dz4573e && !ic5cfb1) || (rv28ae7 && kf1da75 == 16'h0000)) jr2ddd2 = ksa6260; else if(dz4573e && ic5cfb1) jr2ddd2 = iec5; else jr2ddd2 = sh60018; end\r
+ iec5 : begin if(rv28ae7 && kf1da75 == 16'h0000) jr2ddd2 = ksa6260; else if((jea2f84) && (!rv28ae7 || kf1da75 != 16'h0000)) jr2ddd2 = mg628; else jr2ddd2 = iec5; end\r
+ mg628 : begin if (rv28ae7 && kf1da75 == 16'h0000) jr2ddd2 = ksa6260; else if (ym2b9f6 && jea2f84) jr2ddd2 = vx3140; else jr2ddd2 = mg628; end\r
+ vx3140 : begin if (rv28ae7) jr2ddd2 = ksa6260; else jr2ddd2 = vx3140; end\r
+ ng89800 : jr2ddd2 = ng89800;\r
+ default : begin jr2ddd2 = ksa6260; end\r
+ endcase\r
+end \r
+\r
+always @(posedge eaea698 or negedge rst_n) begin if(!rst_n) begin mr_page_rx <= 1'b0; end else begin if (ph84769 == iec5) begin mr_page_rx <= 1'b1; end else begin mr_page_rx <= 1'b0; end end\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin if(!rst_n ) begin mr_lp_adv_ability <= 16'h0000; end else begin if ((ph84769 == ksa6260) || (ph84769 == ic4c003)) begin mr_lp_adv_ability <= 16'h0000; end else if (ph84769 == iec5) begin mr_lp_adv_ability <= qi15d8b; end else begin mr_lp_adv_ability <= mr_lp_adv_ability; end end\r
+end\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : os5347c if(!rst_n ) begin mr_an_complete <= 1'b0; end else begin if (ph84769 == ksa6260) begin mr_an_complete <= 1'b0; end else if (ph84769 == vx3140) begin mr_an_complete <= 1'b1; end else begin mr_an_complete <= mr_an_complete; end end\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : co92c4c if(!rst_n ) begin tu5dd8a <= 16'd0; end else begin if ((ph84769 == ksa6260 && ux126ba) || ph84769 == sw31300) begin tu5dd8a <= 16'd0; end else if (ph84769 == ic4c003) begin tu5dd8a <= {ng935d4[16],1'b0,ng935d4[14:1]}; end else if (ph84769 == sh60018) begin tu5dd8a <= (tu5dd8a | 16'h4000); end else begin tu5dd8a <= tu5dd8a; end end\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : bld8bf7 if(!rst_n ) begin jceec52 <= ukf531; end else begin if (((ph84769 == ksa6260) && ux126ba) || (ph84769 == sw31300)) begin jceec52 <= of7a989; end else if (((ph84769 == ksa6260) && !ux126ba) || (ph84769 == mg628)) begin jceec52 <= ukf531; end else if ((ph84769 == ng89800) || (ph84769 == vx3140)) begin jceec52 <= kqd4c4c; end else begin jceec52 <= jceec52; end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin if(!rst_n ) begin an3c5fc <= 1'b0; ene2fe4 <= 1'b0; cb17f25 <= 1'b0; qv78bf <= 1'b0; end else begin if ((ph84769 == sw31300) && (sj23b4e == ksa6260)) an3c5fc <= 1'b1; else an3c5fc <= 1'b0; if ((ph84769 == iec5) && (sj23b4e == sh60018)) ene2fe4 <= 1'b1; else ene2fe4 <= 1'b0; if ((ph84769 == mg628) && (sj23b4e == iec5)) cb17f25 <= 1'b1; else cb17f25 <= 1'b0; if (rv9eda2 || zxf6d17 || qib68be) qv78bf <= 1'b1; else qv78bf <= 1'b0; end\r
+end\r
+always @(posedge eaea698 or negedge rst_n) begin : lq47793 if(!rst_n ) begin fpbf92d <= sj18a07; end else if (ps73db4) begin if (kf9aea2) begin fpbf92d <= LINK_TIMER_SH; end else if (lsb2893) begin fpbf92d <= byc503c; end else begin fpbf92d <= sj18a07; end end else if (!jea2f84) begin fpbf92d <= yzb45f0 + 'd1; end else begin fpbf92d <= yzb45f0; end\r
+end\r
+always @(posedge eaea698 or negedge rst_n) begin : icef089 if(!rst_n ) begin thfc96e <= 1'b0; end else begin if (yzb45f0 == 'd0 && !ps73db4) begin thfc96e <= 1'b1; end else begin thfc96e <= 1'b0; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : vida5e9 if(!rst_n ) begin dme4b77 <= 21'd0; end else if (vvd7515) begin if (kf9aea2) begin dme4b77 <= LINK_TIMER_SH; end else if (lsb2893) begin dme4b77 <= byc503c; end else begin dme4b77 <= sj18a07; end end else begin dme4b77 <= qv17c23 + 'd1; end\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : ipeda78 if(!rst_n ) begin pu25bba <= 1'b0; end else begin case(mtbe11d) 1'b0: begin if (vvd7515) begin pu25bba <= 1'b1; end end 1'b1: begin if(qv17c23 == 21'd0) begin pu25bba <= 1'b0; end end default: begin pu25bba <= 1'b0; end endcase end\r
+end\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : wj41086 if(!rst_n ) je96e7f <= 16'h0000; else if(ale7d88) je96e7f <= 16'h0000; else if(twa2bb1) je96e7f <= qi15d8b; else je96e7f <= qted3ac;\r
+end\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : fa6cbd1 if(!rst_n ) begin hqb73fb <= 16'h0000; end else begin if(rv28ae7) begin hqb73fb <= qi15d8b; end else begin hqb73fb <= xw69d65; end end\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : me4cac8 if(!rst_n ) gqb9fdb <= 2'b00; else if(ale7d88 || ead4576) gqb9fdb <= 2'b00; else if(twa2bb1 && qt54a2b && (yx4eb2a != 2'b10)) gqb9fdb <= yx4eb2a + 2'b01; else gqb9fdb <= yx4eb2a;\r
+end\r
+always @(posedge eaea698 or negedge rst_n) begin : xj553a5 if(!rst_n ) dmcfed9 <= 2'b00; else if(ale7d88 || ead4576) dmcfed9 <= 2'b00; else if(twa2bb1 && jra515c && (qt75954 != 2'b10)) dmcfed9 <= qt75954 + 2'b01; else dmcfed9 <= qt75954;\r
+end\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin : dzcdefb if(!rst_n ) en7f6ca <= 2'b00; else if(ale7d88) en7f6ca <= 2'b00; else if(ead4576 && !ym2b9f6) en7f6ca <= aaacaa5 + 2'b01; else en7f6ca <= aaacaa5;\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin if(!rst_n) begin qi82738 <= 16'd0; fp139c1 <= 16'd0; ba9ce0f <= 16'd0; wje707a <= 16'd0; nt383d4 <= 16'd0; cmc1ea6 <= 1'b0; end else begin qi82738[15:0] <= ng935d4[16:1]; fp139c1 <= ir9f84a; ba9ce0f <= fnfc255; if ((ir9f84a == fnfc255) && (ir9f84a == rge12ae)) nt383d4 <= rge12ae; else nt383d4 <= fn4ab9e; wje707a <= fn4ab9e; if ((lf9449a==1'b1) && (lsb2893==1'b0)) begin if (fn4ab9e != db9573) begin cmc1ea6 <= 1'b1; end else begin cmc1ea6 <= 1'b0; end end else begin cmc1ea6 <= 1'b0; end end\r
+end\r
+assign tu76293 = ((ph84769==vx3140) || (ph84769==ng89800)) ? 1'b1 : 1'b0;\r
+\r
+\r
+\r
+\r
+always @(ph84769) begin case (ph84769) ksa6260 : sh7aca3 = "AN_ENABLE"; sw31300 : sh7aca3 = "AN_RESTART"; ng89800 : sh7aca3 = "AN_DISABLE_LINK_OK"; ic4c003 : sh7aca3 = "ABILITY_DETECT"; sh60018 : sh7aca3 = "ACKNOWLEDGE_DETECT"; iec5 : sh7aca3 = "COMPLETE_ACKNOWLEDGE"; mg628 : sh7aca3 = "IDLE_DETECT"; vx3140 : sh7aca3 = "LINK_OK";\r
+ default : sh7aca3 = "***ERROR***"; endcase\r
+end\r
+\r
+always@* begin zzba604<=nrf6ece[0];xyb28e4<=nrf6ece[1];db94722<=nrf6ece[2];ksa3917<=nrf6ece[3];zz1c8b9<=nrf6ece[4];fae45ce<={mr_adv_ability>>1,nrf6ece[5]};lf22e73<=nrf6ece[6];gd1739f<=nrf6ece[7];ecb9cfc<=nrf6ece[8];mece7e1<=nrf6ece[9];by73f09<=nrf6ece[10];ir9f84a<={qi82738>>1,nrf6ece[11]};fnfc255<={fp139c1>>1,nrf6ece[12]};rge12ae<={ba9ce0f>>1,nrf6ece[13]};db9573<={wje707a>>1,nrf6ece[14]};fn4ab9e<={nt383d4>>1,nrf6ece[15]};vv55cf6<=nrf6ece[16];wlae7b6<=nrf6ece[17];ps73db4<=nrf6ece[18];rv9eda2<=nrf6ece[19];zxf6d17<=nrf6ece[20];qib68be<=nrf6ece[21];yzb45f0<={fpbf92d>>1,nrf6ece[22]};jea2f84<=nrf6ece[23];qv17c23<={dme4b77>>1,nrf6ece[24]};mtbe11d<=nrf6ece[25];ykf08ed<={jr2ddd2>>1,nrf6ece[26]};ph84769<={rt6ee96>>1,nrf6ece[27]};sj23b4e<={ea774b7>>1,nrf6ece[28]};kf1da75<={thd2dcf>>1,nrf6ece[29]};qted3ac<={je96e7f>>1,nrf6ece[30]};xw69d65<={hqb73fb>>1,nrf6ece[31]};yx4eb2a<={gqb9fdb>>1,nrf6ece[32]};qt75954<={dmcfed9>>1,nrf6ece[33]};aaacaa5<={en7f6ca>>1,nrf6ece[34]};wj65528<=nrf6ece[35];pu2a945<=nrf6ece[36];qt54a2b<=nrf6ece[37];jra515c<=nrf6ece[38];rv28ae7<=nrf6ece[39];dz4573e<=nrf6ece[40];ym2b9f6<=nrf6ece[41];ic5cfb1<=nrf6ece[42];ale7d88<=nrf6ece[43];uk3ec45<=nrf6ece[44];jpf6228<=nrf6ece[45];yzb1147<=nrf6ece[46];ie88a3c<=nrf6ece[47];xj451e1<={rtd8916>>1,nrf6ece[48]};fp28f0e<=nrf6ece[49];jc47876<=nrf6ece[50];wl3c3b2<=nrf6ece[51];xwe1d94<=nrf6ece[52];tweca2<=nrf6ece[53];by76512<={cbb13f9>>1,nrf6ece[54]};lsb2893<=nrf6ece[55];lf9449a<=nrf6ece[56];xya24d7<=nrf6ece[57];ux126ba<=nrf6ece[58];ng935d4<={ofcc7af>>1,nrf6ece[59]};kf9aea2<=nrf6ece[60];vvd7515<=nrf6ece[61];xlba8ae<=nrf6ece[62];ead4576<=nrf6ece[63];twa2bb1<=nrf6ece[64];qi15d8b<={pfe367a>>1,nrf6ece[65]};iraec58<=nrf6ece[66];lq762c5<=nrf6ece[67];ohb162b<={sh7aca3>>1,nrf6ece[68]};end\r
+always@* begin bl7edd9[2047]<=sgmii_mode;bl7edd9[2046]<=mr_main_reset;bl7edd9[2044]<=mr_restart_an;bl7edd9[2040]<=mr_an_enable;bl7edd9[2033]<=mr_adv_ability[1];bl7edd9[2019]<=ip70450;bl7edd9[1999]<=godb2ab;bl7edd9[1991]<=sj8a10b;bl7edd9[1981]<=lf22d89;bl7edd9[1958]<=bl4fe63;bl7edd9[1950]<=tucaac5;bl7edd9[1947]<=ho57893;bl7edd9[1934]<=zx5085d;bl7edd9[1914]<=hd16c4f;bl7edd9[1892]<=ene2fe4;bl7edd9[1868]<=of7f31e;bl7edd9[1852]<=dz5562a;bl7edd9[1851]<=nt383d4[0];bl7edd9[1847]<=qibc49b;bl7edd9[1820]<=db842ee;bl7edd9[1783]<=rtd8916[1];bl7edd9[1780]<=qib627f;bl7edd9[1737]<=cb17f25;bl7edd9[1689]<=rtf98f5;bl7edd9[1657]<=mtab157;bl7edd9[1654]<=cmc1ea6;bl7edd9[1647]<=cze24d8;bl7edd9[1610]<=dme4b77[0];bl7edd9[1608]<=lq7c6cf;bl7edd9[1593]<=ym21776;bl7edd9[1523]<=dmcfed9[0];bl7edd9[1519]<=suc48b6;bl7edd9[1513]<=cbb13f9[0];bl7edd9[1426]<=fpbf92d[0];bl7edd9[1404]<=hqb73fb[0];bl7edd9[1330]<=ofcc7af[1];bl7edd9[1267]<=ne58abc;bl7edd9[1260]<=ld40f17;bl7edd9[1246]<=ir126c4;bl7edd9[1225]<=vk1ebe3;bl7edd9[1199]<=ea774b7[0];bl7edd9[1173]<=pu25bba;bl7edd9[1169]<=pfe367a[0];bl7edd9[1163]<=sh7aca3[1];bl7edd9[1139]<=qi82738[0];bl7edd9[1023]<=gbe_mode;bl7edd9[999]<=en7f6ca[0];bl7edd9[990]<=sw245b1;bl7edd9[979]<=pu89fcc;bl7edd9[973]<=ba2af12;bl7edd9[946]<=an3c5fc;bl7edd9[925]<=wje707a[0];bl7edd9[891]<=xl9b122;bl7edd9[805]<=thfc96e;bl7edd9[804]<=vxaf8d9;bl7edd9[761]<=gqb9fdb[0];bl7edd9[702]<=je96e7f[0];bl7edd9[612]<=ne63d7c;bl7edd9[599]<=rt6ee96[0];bl7edd9[581]<=bycf594;bl7edd9[486]<=nec55e2;bl7edd9[473]<=qv78bf;bl7edd9[462]<=ba9ce0f[0];bl7edd9[445]<=vk93624;bl7edd9[402]<=cmf5f1b;bl7edd9[351]<=thd2dcf[0];bl7edd9[299]<=jr2ddd2[0];bl7edd9[290]<=hq1b3d6;bl7edd9[231]<=fp139c1[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module kf95b26 (\r
+ \r
+ lsad934 ,\r
+ rst_n ,\r
+ mr_main_reset ,\r
+ \r
+ \r
+ rx_data ,\r
+ rx_kcntl ,\r
+ baa25a8 ,\r
+ \r
+ sj8a10b ,\r
+ rx_even ,\r
+ jceec52 ,\r
+ \r
+ \r
+ fcbbb1 ,\r
+ ps42af3 ,\r
+ qi1579a ,\r
+ mtabcd1 ,\r
+\r
+ sh5e68d ,\r
+ dzf346c ,\r
+ cb9a367 \r
+ );\r
+input lsad934;\r
+input rst_n;\r
+input mr_main_reset;\r
+input [7:0] rx_data;\r
+input rx_kcntl;\r
+input baa25a8;\r
+input sj8a10b;\r
+input rx_even;\r
+input [1:0] jceec52;\r
+output [15:0] fcbbb1;\r
+output ps42af3;\r
+output qi1579a;\r
+output mtabcd1;\r
+output [7:0] sh5e68d;\r
+output dzf346c;\r
+output cb9a367;\r
+localparam ksb988c = 8'hBC , kdcc461 = 8'hFE , go6230c = 8'hFB , ng11860 = 8'hFD , je8c302 = 8'hF7 , bl61812 = 8'hB5 , bnc096 = 8'h42 , of604b6 = 8'hC5 , ep25b5 = 8'h50 , mt12dad = 8'h00 , fc96d6d = 8'hFC , aab6b6b = 8'h3C ;\r
+localparam bnb5b58 = 5'd0 , lsadac7 = 5'd1 , ea6d63a = 5'd2 , hb6b1d1 = 5'd3 , zk58e8e = 5'd4 , hbc7472 = 5'd5 , je3a397 = 5'd6 , ayd1cbb = 5'd7 , lf8e5d8 = 5'd8 , su72ec7 = 5'd9 , mg97638 = 5'd10 , cbbb1c0 = 5'd11 , zxd8e05 = 5'd12 , hbc702e = 5'd13 , lf38177 = 5'd14 , nec0bbe = 5'd15 , ec5df3 = 5'd16 , ks2ef99 = 5'd17 ;\r
+reg cb9a367;\r
+reg dzf346c;\r
+reg [7:0] sh5e68d;\r
+reg xy9922f;\r
+reg [15:0] fcbbb1;\r
+reg ps42af3;\r
+reg qi1579a;\r
+reg mtabcd1;\r
+reg [7:0] th7b8fa ;\r
+reg [7:0] aldc7d6 ;\r
+reg [7:0] the3eb5 ;\r
+reg [7:0] qi1f5af ;\r
+reg [7:0] kqfad78 ;\r
+reg gbd6bc0 ;\r
+reg lfb5e05 ;\r
+reg phaf02f ;\r
+reg kq7817e ;\r
+reg fac0bf0 ;\r
+reg sw5f83 ;\r
+reg tw2fc19 ;\r
+reg xj7e0cb ;\r
+reg icf065f ;\r
+reg ls832f8 ;\r
+reg rv197c3 ;\r
+reg wwcbe1f ;\r
+reg bl5f0f8 ;\r
+reg wjf87c6 ;\r
+reg yxc3e34 ;\r
+reg ks1f1a4 ;\r
+reg qtf8d20 ;\r
+reg gbc6905 ;\r
+reg wy3482c ;\r
+reg yza4161 ;\r
+reg fc20b0d ;\r
+reg ec586a ;\r
+reg mt2c351 ;\r
+reg th61a8d ;\r
+reg [4:0] ohd46e ;\r
+reg [4:0] of6a374 ;\r
+wire en51ba4 ;\r
+wire ou8dd24 ;\r
+wire th6e921 ;\r
+wire xj7490f ;\r
+wire gda487f ;\r
+wire mg243fc ;\r
+wire mg21fe1 ;\r
+wire ieff08 ;\r
+wire sh7f840 ;\r
+wire thfc204 ;\r
+wire zke1026 ;\r
+wire oh8133 ;\r
+wire pf4099c ;\r
+wire vk4ce7 ;\r
+reg [1:0] sj2673b;\r
+reg [1:0] gd339dc;\r
+reg [(20*8):1] vk9cee4;\r
+reg db94722;\r
+reg [7 : 0] qi3b914;\r
+reg czdc8a0;\r
+reg dme4504;\r
+reg gd1739f;\r
+reg hq1411f;\r
+reg [1 : 0] tja08fb;\r
+reg ng47de;\r
+reg [7 : 0] cb23ef2;\r
+reg [7 : 0] ks1f795;\r
+reg [7 : 0] psfbca8;\r
+reg [7 : 0] sude542;\r
+reg [7 : 0] czf2a10;\r
+reg qv95084;\r
+reg lsa8425;\r
+reg jc4212b;\r
+reg ym1095b;\r
+reg vx84ad8;\r
+reg ri256c7;\r
+reg aa2b63b;\r
+reg wj5b1d8;\r
+reg jpd8ec4;\r
+reg jpc7626;\r
+reg ym3b137;\r
+reg thd89b9;\r
+reg icc4dce;\r
+reg sj26e71;\r
+reg lf3738d;\r
+reg mgb9c6f;\r
+reg ykce379;\r
+reg vv71bc9;\r
+reg ou8de4f;\r
+reg sh6f279;\r
+reg jc793cc;\r
+reg yxc9e62;\r
+reg vv4f315;\r
+reg vi798af;\r
+reg [4 : 0] uvcc57b;\r
+reg [4 : 0] ic62bdc;\r
+reg gq15ee1;\r
+reg hdaf708;\r
+reg bl7b846;\r
+reg mrdc236;\r
+reg jce11b5;\r
+reg qv8dab;\r
+reg go46d5d;\r
+reg yz36ae8;\r
+reg lfb5744;\r
+reg kfaba26;\r
+reg by5d135;\r
+reg ale89ab;\r
+reg wj44d5c;\r
+reg an26ae5;\r
+reg [1 : 0] vk3572d;\r
+reg [1 : 0] mtab96a;\r
+reg [(20 * 8) : 1] qg5cb55;\r
+reg [2047:0] bl7edd9;\r
+wire [55:0] nrf6ece;\r
+\r
+localparam zmb7676 = 56,wlbb3b3 = 32'hfdffc70b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) begin th7b8fa <= 8'h00 ; aldc7d6 <= 8'h00 ; the3eb5 <= 8'h00 ; qi1f5af <= 8'h00 ; kqfad78 <= 8'h00 ; gbd6bc0 <= 1'b0 ; lfb5e05 <= 1'b0 ; phaf02f <= 1'b0 ; kq7817e <= 1'b0 ; fac0bf0 <= 1'b0 ; sw5f83 <= 1'b0 ; tw2fc19 <= 1'b0 ; xj7e0cb <= 1'b0 ; icf065f <= 1'b0 ; ls832f8 <= 1'b0 ; rv197c3 <= 1'b0 ; wwcbe1f <= 1'b0 ; end else begin th7b8fa <= qi3b914 ; aldc7d6 <= cb23ef2 ; the3eb5 <= ks1f795 ; qi1f5af <= psfbca8 ; kqfad78 <= sude542 ; gbd6bc0 <= czdc8a0 ; lfb5e05 <= qv95084 ; phaf02f <= lsa8425 ; kq7817e <= jc4212b ; fac0bf0 <= dme4504 ; sw5f83 <= vx84ad8 ; tw2fc19 <= ri256c7 ; xj7e0cb <= aa2b63b ; ls832f8 <= hq1411f ; rv197c3 <= jpc7626 ; wwcbe1f <= ym3b137 ; end\r
+end\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) begin sj2673b <= 2'd0 ; gd339dc <= 2'd0 ; end else begin sj2673b <= tja08fb ; gd339dc <= vk3572d ; end\r
+end\r
+\r
+assign en51ba4 = ((psfbca8 == ksb988c) & jc4212b) ;\r
+assign ou8dd24 = ((psfbca8 == go6230c) & jc4212b) ;\r
+assign th6e921 = ((psfbca8 == bl61812) & ~jc4212b) ;\r
+assign xj7490f = ((psfbca8 == bnc096) & ~jc4212b) ;\r
+assign gda487f = ~((psfbca8 == ksb988c) & jc4212b) ;\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) begin bl5f0f8 <= 1'b0 ; wjf87c6 <= 1'b0 ; yxc3e34 <= 1'b0 ; ks1f1a4 <= 1'b0 ; qtf8d20 <= 1'b0 ; end else begin bl5f0f8 <= gq15ee1 ; wjf87c6 <= hdaf708 ; yxc3e34 <= bl7b846 ; ks1f1a4 <= mrdc236 ; qtf8d20 <= jce11b5 ; end\r
+end\r
+\r
+\r
+assign mg243fc = (((psfbca8 == ksb988c) & jc4212b ) & ( ~lsa8425 ) & ((cb23ef2 == ksb988c) & qv95084 ) ) ;\r
+\r
+\r
+assign mg21fe1 = (((psfbca8 == ksb988c) & jc4212b ) & (((ks1f795 == bl61812) | (ks1f795 == bnc096)) & ~lsa8425 ) & ((cb23ef2 == mt12dad) & ~qv95084 ) ) ;\r
+\r
+\r
+assign ieff08 = (((psfbca8 == ng11860) & jc4212b ) & ((ks1f795 == je8c302) & lsa8425 ) & ((cb23ef2 == ksb988c) & qv95084 ) ) ;\r
+\r
+\r
+assign sh7f840 = (((psfbca8 == ng11860) & jc4212b ) & ((ks1f795 == je8c302) & lsa8425 ) & ((cb23ef2 == je8c302) & qv95084 ) ) ;\r
+\r
+\r
+assign thfc204 = (((psfbca8 == je8c302) & jc4212b ) & ((ks1f795 == je8c302) & lsa8425 ) & ((cb23ef2 == je8c302) & qv95084 ) ) ;\r
+\r
+\r
+assign zke1026 = (((psfbca8 == je8c302) & jc4212b ) & ((ks1f795 == je8c302) & lsa8425 ) & ((cb23ef2 == ksb988c) & qv95084 ) ) ;\r
+\r
+\r
+assign oh8133 = (((psfbca8 == je8c302) & jc4212b ) & ((ks1f795 == je8c302) & lsa8425 ) & ((cb23ef2 == go6230c) & qv95084 ) ) ;\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) begin gbc6905 <= 1'b0 ; wy3482c <= 1'b0 ; yza4161 <= 1'b0 ; fc20b0d <= 1'b0 ; ec586a <= 1'b0 ; mt2c351 <= 1'b0 ; th61a8d <= 1'b0 ; end else begin gbc6905 <= qv8dab ; wy3482c <= go46d5d ; yza4161 <= yz36ae8 ; fc20b0d <= lfb5744 ; ec586a <= kfaba26 ; mt2c351 <= by5d135 ; th61a8d <= ale89ab ; end\r
+end\r
+\r
+ assign pf4099c = (mtab96a == 2'b01) ; assign vk4ce7 = (mtab96a == 2'b10) ;\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) ohd46e <= lsadac7 ; else if (db94722) ohd46e <= lsadac7 ; else if (!gd1739f) ohd46e <= bnb5b58 ; else ohd46e <= ic62bdc ;\r
+end\r
+\r
+\r
+always @(uvcc57b or ym3b137 or an26ae5 or wj44d5c or ym1095b or wj5b1d8 or vv71bc9 or ou8de4f or sh6f279 or jc793cc or yxc9e62 or vv4f315 or vi798af or icc4dce or sj26e71 or lf3738d or mgb9c6f or ykce379 ) begin case (uvcc57b) bnb5b58 : of6a374 = lsadac7 ; lsadac7 : if (icc4dce && ym3b137) of6a374 = ea6d63a ; else of6a374 = lsadac7 ; ea6d63a : if (lf3738d || mgb9c6f) of6a374 = hb6b1d1 ; else if (!an26ae5 && (ym1095b || wj5b1d8)) of6a374 = je3a397 ; else if ((!an26ae5 && (!ym1095b && !wj5b1d8) && !lf3738d && !mgb9c6f) || (an26ae5 && !lf3738d && !mgb9c6f)) of6a374 = ayd1cbb ; else of6a374 = ea6d63a ; hb6b1d1 : if (!ym1095b && !wj5b1d8) of6a374 = zk58e8e ; else of6a374 = je3a397 ; zk58e8e : if (!ym1095b && !wj5b1d8) of6a374 = hbc7472 ; else of6a374 = je3a397 ; hbc7472 : if (icc4dce && ym3b137) of6a374 = ea6d63a ; else if (!icc4dce || !ym3b137) of6a374 = je3a397 ; else of6a374 = hbc7472 ; je3a397 : if (icc4dce && ym3b137) of6a374 = ea6d63a; else if (!icc4dce && ym3b137) of6a374 = lsadac7; else of6a374 = je3a397; ayd1cbb : if (!icc4dce && !an26ae5) of6a374 = je3a397; else if (an26ae5 && ykce379) if (!sj26e71) of6a374 = lf8e5d8; else of6a374 = su72ec7; else if ((an26ae5 && !ykce379) || icc4dce) of6a374 = ea6d63a; else of6a374 = ayd1cbb; lf8e5d8 : if (icc4dce && ym3b137) of6a374 = ea6d63a; else of6a374 = lf8e5d8; su72ec7 : if ((vv71bc9 || ou8de4f) && ym3b137) of6a374 = mg97638; else if (sh6f279 && ym3b137) of6a374 = cbbb1c0; else if (jc793cc) of6a374 = zxd8e05; else if (yxc9e62) of6a374 = nec0bbe; else if (!ym1095b && !wj5b1d8) of6a374 = lf38177; else of6a374 = hbc702e; mg97638 : if (!lf3738d && !mgb9c6f) of6a374 = ayd1cbb; else of6a374 = hb6b1d1; cbbb1c0 : if (icc4dce) of6a374 = ea6d63a; else of6a374 = cbbb1c0; zxd8e05 : if (yxc9e62) of6a374 = zxd8e05; else if (vv4f315 && ym3b137) of6a374 = cbbb1c0; else if (vi798af) of6a374 = ec5df3; else of6a374 = ks2ef99; hbc702e : if ((vv71bc9 || ou8de4f) && ym3b137) of6a374 = mg97638; else if (sh6f279 && ym3b137) of6a374 = cbbb1c0; else if (jc793cc) of6a374 = zxd8e05; else if (yxc9e62) of6a374 = nec0bbe; else if (!ym1095b && !wj5b1d8) of6a374 = lf38177; else of6a374 = hbc702e; lf38177 : if ((vv71bc9 || ou8de4f) && ym3b137) of6a374 = mg97638; else if (sh6f279 && ym3b137) of6a374 = cbbb1c0; else if (jc793cc) of6a374 = zxd8e05; else if (yxc9e62) of6a374 = nec0bbe; else if (!ym1095b && !wj5b1d8) of6a374 = lf38177; else of6a374 = hbc702e; nec0bbe : if (yxc9e62) of6a374 = zxd8e05; else if (vv4f315 && ym3b137) of6a374 = cbbb1c0; else if (vi798af) of6a374 = ec5df3; else of6a374 = ks2ef99; ec5df3 : if (sj26e71) of6a374 = su72ec7; else of6a374 = ec5df3; ks2ef99 : if (sj26e71) of6a374 = su72ec7; else if (icc4dce && ym3b137) of6a374 = ea6d63a; else if (!sj26e71 && !icc4dce && ym3b137) if (yxc9e62) of6a374 = zxd8e05; else if (vv4f315 && ym3b137) of6a374 = cbbb1c0; else if (vi798af) of6a374 = ec5df3; else of6a374 = ks2ef99; else of6a374 = ks2ef99; default : of6a374 = lsadac7; endcase\r
+end\r
+\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) cb9a367 <= 1'b0; else if (uvcc57b == lf8e5d8 || uvcc57b == mg97638 || uvcc57b == hbc702e || uvcc57b == nec0bbe || uvcc57b == zxd8e05 || (uvcc57b == bnb5b58 && ng47de)) cb9a367 <= 1'b1; else if (uvcc57b == zk58e8e || uvcc57b == hbc7472 || uvcc57b == je3a397 || uvcc57b == ks2ef99 || uvcc57b == ec5df3) cb9a367 <= cb9a367; else cb9a367 <= 1'b0;\r
+end\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) dzf346c <= 1'b0; else if (uvcc57b == su72ec7) dzf346c <= 1'b1; else if (uvcc57b == zk58e8e || uvcc57b == hbc7472 || uvcc57b == je3a397 || uvcc57b == lf8e5d8 || (uvcc57b == bnb5b58 && ng47de == 1'b1) || uvcc57b == mg97638 || uvcc57b == hbc702e || uvcc57b == lf38177 || uvcc57b == nec0bbe) dzf346c <= dzf346c; else dzf346c <= 1'b0;\r
+end\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) sh5e68d <= 8'b00000000; else if (uvcc57b == su72ec7) sh5e68d <= 8'b01010101; else if (uvcc57b == ec5df3 || uvcc57b == zxd8e05) sh5e68d <= 8'b00001111; else if (uvcc57b == ks2ef99) sh5e68d <= 8'b00011111; else if (uvcc57b == lf8e5d8) sh5e68d <= 8'b00001110; else if (uvcc57b == lf38177) sh5e68d <= czf2a10; else sh5e68d <= 8'b00000000;\r
+end\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) xy9922f <= 1'b0; else if (uvcc57b == ayd1cbb && an26ae5 && ykce379) xy9922f <= 1'b1; else if (uvcc57b == cbbb1c0 || (uvcc57b == bnb5b58 && ng47de) || uvcc57b == lsadac7 || uvcc57b == ea6d63a || uvcc57b == hb6b1d1 || uvcc57b == ayd1cbb) xy9922f <= 1'b0; else xy9922f <= ng47de;\r
+end always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) fcbbb1 <= 16'h0000; else if(uvcc57b == zk58e8e) begin fcbbb1[7:0] <= czf2a10; fcbbb1[15:8] <= fcbbb1[15:8]; end else if(uvcc57b == hbc7472) begin fcbbb1[ 7:0] <= fcbbb1[7:0]; fcbbb1[15:8] <= czf2a10; end else fcbbb1 <= fcbbb1; end\r
+ always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) ps42af3 <= 1'b0; else if(uvcc57b == hbc7472) ps42af3 <= 1'b1; else ps42af3 <= 1'b0; end always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) qi1579a <= 1'b0; else if(uvcc57b == ayd1cbb) qi1579a <= 1'b1; else qi1579a <= 1'b0; end always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) mtabcd1 <= 1'b0; else if((uvcc57b == je3a397 && wj44d5c) || (uvcc57b == bnb5b58 && !an26ae5)) mtabcd1 <= 1'b1; else mtabcd1 <= 1'b0; end\r
+\r
+\r
+\r
+\r
+\r
+always @(uvcc57b)\r
+begin case (uvcc57b) bnb5b58 : vk9cee4 = "LNK_FAIL"; lsadac7 : vk9cee4 = "WAIT_K"; ea6d63a : vk9cee4 = "RX_K"; hb6b1d1 : vk9cee4 = "RX_CB"; zk58e8e : vk9cee4 = "RX_CC"; hbc7472 : vk9cee4 = "RX_CD"; je3a397 : vk9cee4 = "RX_INV"; ayd1cbb : vk9cee4 = "IDL_D"; lf8e5d8 : vk9cee4 = "FLS_CARR"; su72ec7 : vk9cee4 = "SOP"; mg97638 : vk9cee4 = "ERLY_END"; cbbb1c0 : vk9cee4 = "TRI_RRI"; zxd8e05 : vk9cee4 = "TRR_EXT"; hbc702e : vk9cee4 = "RXD_ERR"; lf38177 : vk9cee4 = "RX_D"; nec0bbe : vk9cee4 = "EEND_EXT"; ec5df3 : vk9cee4 = "PKT_BRRS"; ks2ef99 : vk9cee4 = "EXT_ERR"; default : vk9cee4 = " ERROR "; endcase\r
+end\r
+\r
+\r
+always@* begin db94722<=nrf6ece[0];qi3b914<={rx_data>>1,nrf6ece[1]};czdc8a0<=nrf6ece[2];dme4504<=nrf6ece[3];gd1739f<=nrf6ece[4];hq1411f<=nrf6ece[5];tja08fb<={jceec52>>1,nrf6ece[6]};ng47de<=nrf6ece[7];cb23ef2<={th7b8fa>>1,nrf6ece[8]};ks1f795<={aldc7d6>>1,nrf6ece[9]};psfbca8<={the3eb5>>1,nrf6ece[10]};sude542<={qi1f5af>>1,nrf6ece[11]};czf2a10<={kqfad78>>1,nrf6ece[12]};qv95084<=nrf6ece[13];lsa8425<=nrf6ece[14];jc4212b<=nrf6ece[15];ym1095b<=nrf6ece[16];vx84ad8<=nrf6ece[17];ri256c7<=nrf6ece[18];aa2b63b<=nrf6ece[19];wj5b1d8<=nrf6ece[20];jpd8ec4<=nrf6ece[21];jpc7626<=nrf6ece[22];ym3b137<=nrf6ece[23];thd89b9<=nrf6ece[24];icc4dce<=nrf6ece[25];sj26e71<=nrf6ece[26];lf3738d<=nrf6ece[27];mgb9c6f<=nrf6ece[28];ykce379<=nrf6ece[29];vv71bc9<=nrf6ece[30];ou8de4f<=nrf6ece[31];sh6f279<=nrf6ece[32];jc793cc<=nrf6ece[33];yxc9e62<=nrf6ece[34];vv4f315<=nrf6ece[35];vi798af<=nrf6ece[36];uvcc57b<={ohd46e>>1,nrf6ece[37]};ic62bdc<={of6a374>>1,nrf6ece[38]};gq15ee1<=nrf6ece[39];hdaf708<=nrf6ece[40];bl7b846<=nrf6ece[41];mrdc236<=nrf6ece[42];jce11b5<=nrf6ece[43];qv8dab<=nrf6ece[44];go46d5d<=nrf6ece[45];yz36ae8<=nrf6ece[46];lfb5744<=nrf6ece[47];kfaba26<=nrf6ece[48];by5d135<=nrf6ece[49];ale89ab<=nrf6ece[50];wj44d5c<=nrf6ece[51];an26ae5<=nrf6ece[52];vk3572d<={sj2673b>>1,nrf6ece[53]};mtab96a<={gd339dc>>1,nrf6ece[54]};qg5cb55<={vk9cee4>>1,nrf6ece[55]};end\r
+always@* begin bl7edd9[2047]<=rx_data[0];bl7edd9[2046]<=rx_kcntl;bl7edd9[2044]<=baa25a8;bl7edd9[2040]<=sj8a10b;bl7edd9[2032]<=rx_even;bl7edd9[2016]<=jceec52[0];bl7edd9[1985]<=xy9922f;bl7edd9[1922]<=th7b8fa[0];bl7edd9[1867]<=tw2fc19;bl7edd9[1804]<=sh7f840;bl7edd9[1797]<=aldc7d6[0];bl7edd9[1761]<=mg243fc;bl7edd9[1686]<=xj7e0cb;bl7edd9[1623]<=gd339dc[0];bl7edd9[1561]<=thfc204;bl7edd9[1558]<=ks1f1a4;bl7edd9[1547]<=the3eb5[0];bl7edd9[1542]<=th61a8d;bl7edd9[1490]<=fac0bf0;bl7edd9[1475]<=mg21fe1;bl7edd9[1413]<=wjf87c6;bl7edd9[1409]<=ec586a;bl7edd9[1324]<=icf065f;bl7edd9[1200]<=rv197c3;bl7edd9[1199]<=vk9cee4[1];bl7edd9[1074]<=zke1026;bl7edd9[1068]<=qtf8d20;bl7edd9[1047]<=qi1f5af[0];bl7edd9[1037]<=ohd46e[0];bl7edd9[1023]<=mr_main_reset;bl7edd9[933]<=sw5f83;bl7edd9[902]<=ieff08;bl7edd9[880]<=gda487f;bl7edd9[811]<=sj2673b[0];bl7edd9[779]<=yxc3e34;bl7edd9[771]<=mt2c351;bl7edd9[745]<=kq7817e;bl7edd9[706]<=bl5f0f8;bl7edd9[704]<=fc20b0d;bl7edd9[600]<=ls832f8;bl7edd9[440]<=xj7490f;bl7edd9[405]<=vk4ce7;bl7edd9[372]<=phaf02f;bl7edd9[353]<=wwcbe1f;bl7edd9[352]<=yza4161;bl7edd9[220]<=th6e921;bl7edd9[202]<=pf4099c;bl7edd9[186]<=lfb5e05;bl7edd9[176]<=wy3482c;bl7edd9[110]<=ou8dd24;bl7edd9[101]<=oh8133;bl7edd9[93]<=gbd6bc0;bl7edd9[88]<=gbc6905;bl7edd9[55]<=en51ba4;bl7edd9[46]<=kqfad78[0];bl7edd9[27]<=of6a374[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module fp8bd4a (\r
+ lsad934 ,\r
+ rst_n ,\r
+ mr_main_reset ,\r
+ \r
+ signal_detect ,\r
+ rx_data ,\r
+ rx_kcntl ,\r
+ baa25a8 ,\r
+ \r
+ sj8a10b ,\r
+ rx_even \r
+ );\r
+input lsad934 ;\r
+input rst_n ;\r
+input mr_main_reset ;\r
+input signal_detect ;\r
+input [7:0] rx_data ;\r
+input rx_kcntl ;\r
+input baa25a8 ;\r
+output sj8a10b ;\r
+output rx_even ;\r
+localparam lf8be49 = 4'b0000 , rt5f24e = 4'b0001 , vvf9276 = 4'b0010 , qtc93b6 = 4'b0011 , cm49db4 = 4'b0100 , al4eda1 = 4'b0101 , fa76d0c = 4'b0110 , mgb6862 = 4'b0111 , sjb4310 = 4'b1000 , oha1884 = 4'b1001 , bnc424 = 4'b1010 , me62126 = 4'b1011 , ie10930 = 4'b1100 ;\r
+reg sj8a10b ;\r
+reg rx_even ;\r
+reg [3:0] oh26038 ;\r
+reg [3:0] zm301c4 ;\r
+reg [1:0] sj80e22 ;\r
+reg ux7115 ;\r
+reg lf388ad ;\r
+reg qgc456f ;\r
+reg bn22b78 ;\r
+reg co15bc7 ;\r
+wire zmade38 ;\r
+reg of6f1c2 ;\r
+reg cm78e14 ;\r
+reg zxc70a1 ;\r
+reg [(20*8):1] zz3850f;\r
+reg db94722;\r
+reg do143f6;\r
+reg [7 : 0] qi3b914;\r
+reg czdc8a0;\r
+reg dme4504;\r
+reg [3 : 0] blf6706;\r
+reg [3 : 0] ksb3837;\r
+reg [1 : 0] ba9c1b8;\r
+reg yke0dc3;\r
+reg db6e18;\r
+reg bn370c6;\r
+reg lsb8637;\r
+reg ofc31ba;\r
+reg oh18dd5;\r
+reg wjc6eac;\r
+reg xl37564;\r
+reg hdbab27;\r
+reg [(20 * 8) : 1] hod593e;\r
+reg [2047:0] bl7edd9;\r
+wire [17:0] nrf6ece;\r
+\r
+localparam zmb7676 = 18,wlbb3b3 = 32'hfdffe0cb;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign zmade38 = ( czdc8a0 & (qi3b914[5:0] == 6'b111100) & ((qi3b914[7:6] == 2'b00) | (qi3b914[7:6] == 2'b10) | (qi3b914[7:6] == 2'b11)));\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) begin zxc70a1 <= 1'b0 ; of6f1c2 <= 1'b0 ; cm78e14 <= 1'b0 ; end else begin zxc70a1 <= oh18dd5 & ~dme4504; of6f1c2 <= (dme4504 | (oh18dd5 & ofc31ba)); cm78e14 <= ~czdc8a0 & ~wjc6eac ; end\r
+end\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) begin ux7115 <= 1'b0 ; lf388ad <= 1'b0 ; qgc456f <= 1'b0 ; bn22b78 <= 1'b0 ; co15bc7 <= 1'b0 ; end else begin ux7115 <= do143f6 ; lf388ad <= yke0dc3 ; qgc456f <= db6e18 ; bn22b78 <= (db6e18 ^ bn370c6) ; co15bc7 <= rx_even ; end\r
+end\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if(!rst_n) oh26038 <= lf8be49 ; else if (db94722 || lsb8637) oh26038 <= lf8be49 ; else oh26038 <= ksb3837 ;\r
+end\r
+\r
+\r
+always @(blf6706 or hdbab27 or xl37564 or ba9c1b8 or rx_even or wjc6eac) begin case (blf6706) lf8be49 : if (hdbab27 && bn370c6) zm301c4 = rt5f24e ; else zm301c4 = lf8be49 ; rt5f24e : if (xl37564) zm301c4 = vvf9276 ; else zm301c4 = lf8be49 ; vvf9276 : if (!rx_even && hdbab27) zm301c4 = qtc93b6 ; else if (wjc6eac) zm301c4 = lf8be49 ; else zm301c4 = vvf9276 ; qtc93b6 : if (xl37564) zm301c4 = cm49db4 ; else zm301c4 = lf8be49 ; cm49db4 : if (!rx_even && hdbab27) zm301c4 = al4eda1 ; else if (wjc6eac) zm301c4 = lf8be49 ; else zm301c4 = cm49db4 ; al4eda1: if (xl37564) zm301c4 = fa76d0c; else zm301c4 = lf8be49; fa76d0c: if (wjc6eac) zm301c4 = mgb6862; else zm301c4 = fa76d0c; mgb6862: if (wjc6eac) zm301c4 = oha1884; else zm301c4 = sjb4310; sjb4310: if (wjc6eac) zm301c4 = oha1884; else if (ba9c1b8 == 2'b11) zm301c4 = fa76d0c; else zm301c4 = sjb4310; oha1884: if (wjc6eac) zm301c4 = me62126; else zm301c4 = bnc424; bnc424: if (wjc6eac) zm301c4 = me62126; else if (ba9c1b8 == 2'b11) zm301c4 = mgb6862; else zm301c4 = bnc424; me62126: if (wjc6eac) zm301c4 = lf8be49; else zm301c4 = ie10930; ie10930: if (wjc6eac) zm301c4 = lf8be49; else if (ba9c1b8 == 2'b11) zm301c4 = oha1884; else zm301c4 = ie10930; default: zm301c4 = lf8be49; endcase\r
+end\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) sj80e22 <= 2'b00; else if ((blf6706 == sjb4310) || (blf6706 == bnc424) || (blf6706 == ie10930)) sj80e22 <= ba9c1b8 + 1'b1; else sj80e22 <= 2'b00;\r
+end\r
+\r
+\r
+always @(posedge lsad934 or negedge rst_n) begin if (!rst_n) sj8a10b <= 1'b0; else if (blf6706 == lf8be49) sj8a10b <= 1'b0; else if (blf6706 == fa76d0c) sj8a10b <= 1'b1; else sj8a10b <= sj8a10b ;\r
+end\r
+always @(blf6706 or ofc31ba) begin case (blf6706) rt5f24e, qtc93b6, al4eda1 : rx_even = 1'b1 ; lf8be49, vvf9276, cm49db4, fa76d0c, mgb6862, oha1884, me62126, sjb4310, bnc424, ie10930 : rx_even = ~ofc31ba ; default : rx_even = 1'b0; endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always @(blf6706)\r
+begin case (blf6706) lf8be49 : zz3850f = "LOS"; rt5f24e : zz3850f = "COM_DET1"; vvf9276 : zz3850f = "AQR_SYNC1"; qtc93b6 : zz3850f = "COM_DET2"; cm49db4 : zz3850f = "AQR_SYNC2"; al4eda1 : zz3850f = "COM_DET3"; fa76d0c : zz3850f = "SYNC_AQRD1"; mgb6862 : zz3850f = "SYNC_AQRD2"; sjb4310 : zz3850f = "SYNC_AQRD2A"; oha1884 : zz3850f = "SYNC_AQRD3"; bnc424 : zz3850f = "SYNC_AQRD3A"; me62126 : zz3850f = "SYNC_AQRD4"; ie10930 : zz3850f = "SYNC_AQRD4A"; default : zz3850f = " ERROR "; endcase\r
+end\r
+\r
+\r
+always@* begin db94722<=nrf6ece[0];do143f6<=nrf6ece[1];qi3b914<={rx_data>>1,nrf6ece[2]};czdc8a0<=nrf6ece[3];dme4504<=nrf6ece[4];blf6706<={oh26038>>1,nrf6ece[5]};ksb3837<={zm301c4>>1,nrf6ece[6]};ba9c1b8<={sj80e22>>1,nrf6ece[7]};yke0dc3<=nrf6ece[8];db6e18<=nrf6ece[9];bn370c6<=nrf6ece[10];lsb8637<=nrf6ece[11];ofc31ba<=nrf6ece[12];oh18dd5<=nrf6ece[13];wjc6eac<=nrf6ece[14];xl37564<=nrf6ece[15];hdbab27<=nrf6ece[16];hod593e<={zz3850f>>1,nrf6ece[17]};end\r
+always@* begin bl7edd9[2047]<=signal_detect;bl7edd9[2046]<=rx_data[0];bl7edd9[2044]<=rx_kcntl;bl7edd9[2040]<=baa25a8;bl7edd9[2033]<=oh26038[0];bl7edd9[2018]<=zm301c4[0];bl7edd9[1988]<=sj80e22[0];bl7edd9[1929]<=ux7115;bl7edd9[1811]<=lf388ad;bl7edd9[1574]<=qgc456f;bl7edd9[1247]<=cm78e14;bl7edd9[1101]<=bn22b78;bl7edd9[1023]<=mr_main_reset;bl7edd9[894]<=zz3850f[1];bl7edd9[623]<=of6f1c2;bl7edd9[447]<=zxc70a1;bl7edd9[311]<=zmade38;bl7edd9[155]<=co15bc7;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ieac3e6 (\r
+ nr61f31,\r
+ qif98e,\r
+ tu76293,\r
+ hbe6383,\r
+\r
+ gbe_mode,\r
+ operational_rate,\r
+\r
+ en7078f,\r
+ fc83c7c,\r
+ pu1e3e2,\r
+ dmf1f12,\r
+\r
+ cb8f893,\r
+ vi7c49c,\r
+ qte24e2,\r
+ qv12714,\r
+ hq938a4\r
+);\r
+input nr61f31;\r
+input qif98e;\r
+input tu76293;\r
+input hbe6383;\r
+input gbe_mode;\r
+input [1:0] operational_rate;\r
+input en7078f;\r
+input [7:0] fc83c7c;\r
+input pu1e3e2;\r
+input dmf1f12;\r
+input cb8f893;\r
+output [7:0] vi7c49c;\r
+output qte24e2;\r
+output qv12714;\r
+output hq938a4;\r
+parameter DYNAMIC_LT_10MBPS = 340;\r
+parameter DYNAMIC_HT_10MBPS = 680;\r
+parameter DYNAMIC_LT_100MBPS = 34;\r
+parameter DYNAMIC_HT_100MBPS = 68;\r
+parameter DYNAMIC_LT_1000MBPS = 16;\r
+parameter DYNAMIC_HT_1000MBPS = 32;\r
+localparam vxbaf41 = 2'd0, rtd7a0e = 2'd1, twbd077 = 2'd2, ale83ba = 2'd3;\r
+reg[1:0] al41dd5;\r
+localparam fceead = 3'd0, su7756a = 3'd1, tjbab51 = 3'd2, zkd5a8e = 3'd3, baad472 = 3'd4, ip6a393 = 3'd5;\r
+reg [2:0] ip51c9c;\r
+reg [7:0] xl8e4e4;\r
+reg kq72727;\r
+reg fc93939;\r
+reg [7:0] ba9c9cb;\r
+reg vie4e5a;\r
+reg fp272d2;\r
+reg [7:0] gd39696;\r
+reg ykcb4b4;\r
+reg vv5a5a1;\r
+reg bld2d0e;\r
+reg vk96877;\r
+reg anb43bb;\r
+reg ana1ddc;\r
+reg rieee4;\r
+reg kq77722;\r
+reg gdbb911;\r
+reg zkfbd26;\r
+reg [7:0] vi7c49c;\r
+reg qte24e2;\r
+reg qv12714;\r
+wire [7:0] sh4bef4;\r
+wire zx64793;\r
+wire hbf92fb;\r
+wire do1e4fd;\r
+wire mrf27ea;\r
+wire vk93f55;\r
+reg an9faab;\r
+wire vv5f7a4;\r
+reg qgeaaec;\r
+reg zx55761;\r
+reg gdabb0f;\r
+reg vi5d87d;\r
+reg blec3ea;\r
+reg jp61f57;\r
+reg ksfabe;\r
+wire hq938a4;\r
+wire [7:0] ykeaf80;\r
+reg [1:0] jr9e3e0;\r
+reg [1:0] hof1f06;\r
+reg [1:0] suf005d;\r
+reg [1:0] oh802e8;\r
+reg je1744;\r
+reg vkba22;\r
+reg rg5d112;\r
+reg yxe8893;\r
+reg fp6710;\r
+reg zz224e4;\r
+reg kf12726;\r
+reg ls93934;\r
+reg xy9c9a3;\r
+reg pse4d1a;\r
+reg ir268d2;\r
+reg [9:0] gq34695;\r
+reg [9:0] uka34a8;\r
+reg xl27f82;\r
+reg xy3fc17;\r
+reg [1:0] kf95007;\r
+reg [1:0] pua803d;\r
+reg rt401eb;\r
+reg gqf5e;\r
+reg cb7af1;\r
+reg tj3d78c;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+`endif\r
+reg [(22*8):1] zkebc66;\r
+reg [(22*8):1] sh5e333;\r
+reg byf199c;\r
+reg ls8cce3;\r
+reg zzba604;\r
+reg [1 : 0] oh338da;\r
+reg [7 : 0] hq9c6d4;\r
+reg xje36a3;\r
+reg tw1b51e;\r
+reg [1 : 0] jcda8f2;\r
+reg [2 : 0] kqd4793;\r
+reg [7 : 0] oua3c99;\r
+reg do1e4c9;\r
+reg mrf264a;\r
+reg [7 : 0] vk93255;\r
+reg vk992aa;\r
+reg enc9553;\r
+reg [7 : 0] nr4aa9c;\r
+reg hb554e6;\r
+reg tjaa737;\r
+reg qg539be;\r
+reg ls9cdf1;\r
+reg zxe6f8a;\r
+reg ph37c57;\r
+reg qvbe2be;\r
+reg faf15f5;\r
+reg oh8afaa;\r
+reg cb8fb6;\r
+reg [7 : 0] vxb023e;\r
+reg kqf551c;\r
+reg fp22c08;\r
+reg yx54707;\r
+reg wya383d;\r
+reg rv1c1e9;\r
+reg wwe0f4f;\r
+reg nt811f6;\r
+reg vx3d3c4;\r
+reg rte9e26;\r
+reg dz4f136;\r
+reg go789b7;\r
+reg cmc4db9;\r
+reg wl26dc9;\r
+reg qv36e4d;\r
+reg [7 : 0] xyb726c;\r
+reg [1 : 0] kf3c2df;\r
+reg [1 : 0] fae16fc;\r
+reg [1 : 0] lq4d8c5;\r
+reg [1 : 0] tu6c62b;\r
+reg vi6315b;\r
+reg ep18ada;\r
+reg tuc56d4;\r
+reg wy2b6a2;\r
+reg vvfc6eb;\r
+reg lqda884;\r
+reg ayd4423;\r
+reg nga2119;\r
+reg qi108ca;\r
+reg jr84650;\r
+reg wl23286;\r
+reg [9 : 0] nt19434;\r
+reg [9 : 0] rgca1a7;\r
+reg vk86d9b;\r
+reg oh36cda;\r
+reg [1 : 0] qi34ef2;\r
+reg [1 : 0] baa7791;\r
+reg ym3bc8a;\r
+reg mede450;\r
+reg gbf2280;\r
+reg bn91405;\r
+reg [(22 * 8) : 1] wl8a02f;\r
+reg [(22 * 8) : 1] fn5017d;\r
+reg [2047:0] bl7edd9;\r
+wire [68:0] nrf6ece;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+localparam zmb7676 = 69,wlbb3b3 = 32'hfdffd48b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin xl27f82 <= 1'b0; xy3fc17 <= 1'b0; end else begin xl27f82 <= zzba604; xy3fc17 <= vk86d9b; end\r
+end\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin kf95007 <= 2'b10; pua803d <= 2'b10; end else begin kf95007 <= oh338da; pua803d <= qi34ef2; end\r
+end\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin rt401eb <= 1'b0; gqf5e <= 1'b0; cb7af1 <= 1'b1; tj3d78c <= 1'b1; end else begin rt401eb <= byf199c; gqf5e <= ym3bc8a; cb7af1 <= ls8cce3; tj3d78c <= gbf2280; end\r
+end\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin jr9e3e0 <= 2'b10; hof1f06 <= 2'b10; je1744 <= 1'b1; vkba22 <= 1'b1; rg5d112 <= 1'b1; yxe8893 <= 1'b1; fp6710 <= 1'b1; end else begin if (oh36cda) begin jr9e3e0 <= 2'b10; end else if (mede450 || (!bn91405)) begin jr9e3e0 <= baa7791; end else begin jr9e3e0 <= 2'b10; end hof1f06 <= kf3c2df; je1744 <= kf3c2df != fae16fc; vkba22 <= vi6315b; rg5d112 <= ep18ada; yxe8893 <= tuc56d4; fp6710 <= vi6315b | ep18ada | tuc56d4 | wy2b6a2; end\r
+end\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin suf005d <= 2'b10; oh802e8 <= 2'b10; end else begin suf005d <= kf3c2df; oh802e8 <= lq4d8c5; end\r
+end\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin zz224e4 <= 1'b0; kf12726 <= 1'b0; ls93934 <= 1'b1; end else begin zz224e4 <= vvfc6eb; kf12726 <= lqda884; ls93934 <= ayd4423; end\r
+end\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin xy9c9a3 <= 1'b0; pse4d1a <= 1'b0; ir268d2 <= 1'b1; end else begin xy9c9a3 <= vvfc6eb; pse4d1a <= qi108ca; ir268d2 <= jr84650; end\r
+end\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin gq34695 <= 10'd16; end else begin case (fae16fc) 2'd0: begin gq34695 <= DYNAMIC_LT_10MBPS; end 2'd1: begin gq34695 <= DYNAMIC_LT_100MBPS; end 2'd2: begin gq34695 <= DYNAMIC_LT_1000MBPS; end 2'd3: begin gq34695 <= DYNAMIC_LT_1000MBPS; end default: begin gq34695 <= nt19434; end endcase end\r
+end\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin uka34a8 <= 10'd32; end else begin case (tu6c62b) 2'd0: begin uka34a8 <= DYNAMIC_HT_10MBPS; end 2'd1: begin uka34a8 <= DYNAMIC_HT_100MBPS; end 2'd2: begin uka34a8 <= DYNAMIC_HT_1000MBPS; end 2'd3: begin uka34a8 <= DYNAMIC_HT_1000MBPS; end default: begin uka34a8 <= rgca1a7; end endcase end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin xl8e4e4 <= 1'd0; kq72727 <= 1'd0; fc93939 <= 8'd0; ba9c9cb <= 1'd0; vie4e5a <= 1'd0; fp272d2 <= 8'd0; gd39696 <= 1'd0; ykcb4b4 <= 1'd0; vv5a5a1 <= 8'd0; end else begin xl8e4e4 <= hq9c6d4; kq72727 <= xje36a3; fc93939 <= tw1b51e; ba9c9cb <= oua3c99; vie4e5a <= do1e4c9; fp272d2 <= mrf264a; gd39696 <= vk93255; ykcb4b4 <= vk992aa; vv5a5a1 <= enc9553; end\r
+end\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin bld2d0e <= 1'b0; vk96877 <= 1'b0; anb43bb <= 1'b0; ana1ddc <= 1'b0; rieee4 <= 1'b0; kq77722 <= 1'b0; end else begin if ((do1e4c9 == 1'b1) && (oua3c99 == 8'hBC)) begin bld2d0e <= 1'b1; end else begin bld2d0e <= 1'b0; end\r
+ if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'hC5)) begin vk96877 <= 1'b1; end else begin vk96877 <= 1'b0; end if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'h50)) begin anb43bb <= 1'b1; end else begin anb43bb <= 1'b0; end if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'h42)) begin ana1ddc <= 1'b1; end else begin ana1ddc <= 1'b0; end if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'hB5)) begin rieee4 <= 1'b1; end else begin rieee4 <= 1'b0; end if (qg539be && (ph37c57 || qvbe2be)) begin kq77722 <= 1'b1; end else begin kq77722 <= 1'b0; end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin al41dd5 <= vxbaf41; gdbb911 <= 1'b0; end else begin if (nga2119) begin al41dd5 <= vxbaf41; gdbb911 <= 1'b0; end else begin case (jcda8f2) vxbaf41: begin if (wya383d) begin if (qg539be && (zxe6f8a || ph37c57 || qvbe2be)) begin gdbb911 <= 1'b0; al41dd5 <= rtd7a0e; end end else begin gdbb911 <= 1'b1; al41dd5 <= vxbaf41; end end rtd7a0e: begin gdbb911 <= 1'b0; if (faf15f5) begin al41dd5 <= twbd077; end else begin al41dd5 <= vxbaf41; end end twbd077: begin gdbb911 <= 1'b0; al41dd5 <= ale83ba; end ale83ba: begin gdbb911 <= 1'b0; al41dd5 <= vxbaf41; end\r
+ default: begin al41dd5 <= vxbaf41; end endcase end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin ip51c9c <= fceead; vi7c49c <= 8'd0; qte24e2 <= 1'b0; qv12714 <= 1'b0; zkfbd26 <= 1'b0; end else begin if (wl23286) begin vi7c49c <= vxb023e; qte24e2 <= kqf551c; qv12714 <= fp22c08; zkfbd26 <= 1'b0; ip51c9c <= fceead; end else begin vi7c49c <= vxb023e; qte24e2 <= kqf551c; qv12714 <= fp22c08; zkfbd26 <= 1'b0; case (kqd4793) fceead: begin qte24e2 <= 1'b1; qv12714 <= 1'b0; vi7c49c <= 8'hBC; if (yx54707) begin ip51c9c <= ip6a393; end else begin zkfbd26 <= 1'b1; ip51c9c <= su7756a; end end su7756a: begin qte24e2 <= 1'b0; qv12714 <= 1'b0; vi7c49c <= 8'h50; zkfbd26 <= 1'b1; ip51c9c <= baad472; end tjbab51: begin qte24e2 <= 1'b1; qv12714 <= 1'b0; vi7c49c <= 8'hBC; if (yx54707) begin ip51c9c <= ip6a393; end else begin zkfbd26 <= 1'b1; ip51c9c <= zkd5a8e; end end\r
+ zkd5a8e: begin zkfbd26 <= 1'b1; ip51c9c <= baad472; end\r
+\r
+ baad472: begin if (yx54707 && kqf551c && (vxb023e == 8'hBC)) begin qte24e2 <= 1'b1; qv12714 <= 1'b0; vi7c49c <= 8'hBC; ip51c9c <= ip6a393; end else begin zkfbd26 <= 1'b1; ip51c9c <= baad472; end end ip6a393: begin qte24e2 <= 1'b0; qv12714 <= 1'b0; vi7c49c <= 8'h50; ip51c9c <= tjbab51; end default: begin ip51c9c <= fceead; end endcase\r
+ end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin zx55761 <= 1'd0; gdabb0f <= 1'd0; vi5d87d <= 1'd0; an9faab <= 1'd0; end else begin if (nga2119) begin zx55761 <= 1'd0; gdabb0f <= 1'd0; vi5d87d <= 1'd0; an9faab <= 1'd0; end else begin an9faab <= rv1c1e9; if (wwe0f4f && oh8afaa) begin zx55761 <= 1'd1; end else begin zx55761 <= 1'd0; end gdabb0f <= rte9e26; vi5d87d <= rte9e26 | dz4f136; end end\r
+end\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin blec3ea <= 1'd0; jp61f57 <= 1'd0; ksfabe <= 1'd0; qgeaaec <= 1'd1; end else begin if (wl23286) begin blec3ea <= 1'd0; jp61f57 <= 1'd0; ksfabe <= 1'd0; qgeaaec <= 1'd1; end else begin qgeaaec <= nt811f6; if (vx3d3c4 && cb8fb6) begin blec3ea <= 1'd1; end else begin blec3ea <= 1'd0; end jp61f57 <= cmc4db9; ksfabe <= cmc4db9 | wl26dc9; end end\r
+end\r
+\r
+\r
+assign hq938a4 = go789b7 | qv36e4d;\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ xwfb762 sj8d30 ( .je99dc6(nga2119), .fndd899(en7078f), .ww62677(oh8afaa), .dob8c81(rgca1a7), .icdbb13({8'd0, tjaa737, hb554e6, nr4aa9c}),\r
+ .gocee32(wl23286), .dzec4ce(cb8f893), .nt133b8(cb8fb6), .ay77190(nt19434), .gbc640b({ykeaf80, hbf92fb, zx64793, sh4bef4}),\r
+ .db3205d(vv5f7a4), .cb902ef(vk93f55), .fp8177d(do1e4fd), .pubbee(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ rg69299 sj8d30 ( .je99dc6(nga2119), .fndd899(en7078f), .ww62677(oh8afaa), .dob8c81(rgca1a7), .icdbb13({8'd0, tjaa737, hb554e6, nr4aa9c}),\r
+ .gocee32(wl23286), .dzec4ce(cb8f893), .nt133b8(cb8fb6), .ay77190(nt19434), .gbc640b({ykeaf80, hbf92fb, zx64793, sh4bef4}),\r
+ .db3205d(vv5f7a4), .cb902ef(vk93f55), .fp8177d(do1e4fd), .pubbee(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ ba4f04 sj8d30 ( .je99dc6(nga2119), .fndd899(en7078f), .ww62677(oh8afaa), .dob8c81(rgca1a7), .icdbb13({8'd0, tjaa737, hb554e6, nr4aa9c}),\r
+ .gocee32(wl23286), .dzec4ce(cb8f893), .nt133b8(cb8fb6), .ay77190(nt19434), .gbc640b({ykeaf80, hbf92fb, zx64793, sh4bef4}),\r
+ .db3205d(vv5f7a4), .cb902ef(vk93f55), .fp8177d(do1e4fd), .pubbee(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(jcda8f2) begin case (jcda8f2) vxbaf41 : zkebc66 = "SEEK_IDLE_START"; rtd7a0e : zkebc66 = "DISABLE_WRITES"; twbd077 : zkebc66 = "DISABLE_W3"; ale83ba : zkebc66 = "DISABLE_W4"; default : zkebc66 = "***ERROR***"; endcase\r
+end\r
+\r
+always @(kqd4793) begin case (kqd4793) fceead : sh5e333 = "SEEK_BEGIN_THRESH"; su7756a : sh5e333 = "DELAY_BEGIN"; tjbab51 : sh5e333 = "SEEK_START_THRESH"; zkd5a8e : sh5e333 = "DELAY"; baad472 : sh5e333 = "SEEK_STOP_THRESH"; ip6a393 : sh5e333 = "INSERT_IDLE"; default : sh5e333 = "***ERROR***"; endcase\r
+end\r
+\r
+\r
+\r
+\r
+always@* begin byf199c<=nrf6ece[0];ls8cce3<=nrf6ece[1];zzba604<=nrf6ece[2];oh338da<={operational_rate>>1,nrf6ece[3]};hq9c6d4<={fc83c7c>>1,nrf6ece[4]};xje36a3<=nrf6ece[5];tw1b51e<=nrf6ece[6];jcda8f2<={al41dd5>>1,nrf6ece[7]};kqd4793<={ip51c9c>>1,nrf6ece[8]};oua3c99<={xl8e4e4>>1,nrf6ece[9]};do1e4c9<=nrf6ece[10];mrf264a<=nrf6ece[11];vk93255<={ba9c9cb>>1,nrf6ece[12]};vk992aa<=nrf6ece[13];enc9553<=nrf6ece[14];nr4aa9c<={gd39696>>1,nrf6ece[15]};hb554e6<=nrf6ece[16];tjaa737<=nrf6ece[17];qg539be<=nrf6ece[18];ls9cdf1<=nrf6ece[19];zxe6f8a<=nrf6ece[20];ph37c57<=nrf6ece[21];qvbe2be<=nrf6ece[22];faf15f5<=nrf6ece[23];oh8afaa<=nrf6ece[24];cb8fb6<=nrf6ece[25];vxb023e<={sh4bef4>>1,nrf6ece[26]};kqf551c<=nrf6ece[27];fp22c08<=nrf6ece[28];yx54707<=nrf6ece[29];wya383d<=nrf6ece[30];rv1c1e9<=nrf6ece[31];wwe0f4f<=nrf6ece[32];nt811f6<=nrf6ece[33];vx3d3c4<=nrf6ece[34];rte9e26<=nrf6ece[35];dz4f136<=nrf6ece[36];go789b7<=nrf6ece[37];cmc4db9<=nrf6ece[38];wl26dc9<=nrf6ece[39];qv36e4d<=nrf6ece[40];xyb726c<={ykeaf80>>1,nrf6ece[41]};kf3c2df<={jr9e3e0>>1,nrf6ece[42]};fae16fc<={hof1f06>>1,nrf6ece[43]};lq4d8c5<={suf005d>>1,nrf6ece[44]};tu6c62b<={oh802e8>>1,nrf6ece[45]};vi6315b<=nrf6ece[46];ep18ada<=nrf6ece[47];tuc56d4<=nrf6ece[48];wy2b6a2<=nrf6ece[49];vvfc6eb<=nrf6ece[50];lqda884<=nrf6ece[51];ayd4423<=nrf6ece[52];nga2119<=nrf6ece[53];qi108ca<=nrf6ece[54];jr84650<=nrf6ece[55];wl23286<=nrf6ece[56];nt19434<={gq34695>>1,nrf6ece[57]};rgca1a7<={uka34a8>>1,nrf6ece[58]};vk86d9b<=nrf6ece[59];oh36cda<=nrf6ece[60];qi34ef2<={kf95007>>1,nrf6ece[61]};baa7791<={pua803d>>1,nrf6ece[62]};ym3bc8a<=nrf6ece[63];mede450<=nrf6ece[64];gbf2280<=nrf6ece[65];bn91405<=nrf6ece[66];wl8a02f<={zkebc66>>1,nrf6ece[67]};fn5017d<={sh5e333>>1,nrf6ece[68]};end\r
+always@* begin bl7edd9[2047]<=hbe6383;bl7edd9[2046]<=gbe_mode;bl7edd9[2044]<=operational_rate[0];bl7edd9[2040]<=fc83c7c[0];bl7edd9[2032]<=pu1e3e2;bl7edd9[2018]<=jr9e3e0[0];bl7edd9[2017]<=dmf1f12;bl7edd9[1989]<=hof1f06[0];bl7edd9[1987]<=al41dd5[0];bl7edd9[1931]<=suf005d[0];bl7edd9[1927]<=ip51c9c[0];bl7edd9[1865]<=ykcb4b4;bl7edd9[1859]<=vv5f7a4;bl7edd9[1844]<=ls93934;bl7edd9[1815]<=oh802e8[0];bl7edd9[1806]<=xl8e4e4[0];bl7edd9[1682]<=vv5a5a1;bl7edd9[1674]<=uka34a8[0];bl7edd9[1671]<=qgeaaec;bl7edd9[1640]<=xy9c9a3;bl7edd9[1582]<=je1744;bl7edd9[1565]<=kq72727;bl7edd9[1488]<=vk93f55;bl7edd9[1485]<=zz224e4;bl7edd9[1326]<=sh4bef4[0];bl7edd9[1317]<=bld2d0e;bl7edd9[1300]<=xl27f82;bl7edd9[1297]<=cb7af1;bl7edd9[1295]<=zx55761;bl7edd9[1233]<=pse4d1a;bl7edd9[1210]<=hbf92fb;bl7edd9[1189]<=kq77722;bl7edd9[1172]<=anb43bb;bl7edd9[1116]<=vkba22;bl7edd9[1105]<=kf95007[0];bl7edd9[1092]<=zkebc66[1];bl7edd9[1087]<=vi5d87d;bl7edd9[1082]<=fc93939;bl7edd9[1023]<=tu76293;bl7edd9[1009]<=ykeaf80[0];bl7edd9[932]<=gd39696[0];bl7edd9[929]<=an9faab;bl7edd9[922]<=kf12726;bl7edd9[837]<=gq34695[0];bl7edd9[744]<=mrf27ea;bl7edd9[742]<=fp6710;bl7edd9[663]<=zkfbd26;bl7edd9[648]<=gqf5e;bl7edd9[605]<=zx64793;bl7edd9[594]<=rieee4;bl7edd9[586]<=vk96877;bl7edd9[552]<=xy3fc17;bl7edd9[546]<=tj3d78c;bl7edd9[543]<=gdabb0f;bl7edd9[504]<=ksfabe;bl7edd9[466]<=fp272d2;bl7edd9[418]<=ir268d2;bl7edd9[372]<=do1e4fd;bl7edd9[371]<=yxe8893;bl7edd9[331]<=gdbb911;bl7edd9[324]<=rt401eb;bl7edd9[297]<=ana1ddc;bl7edd9[252]<=jp61f57;bl7edd9[233]<=vie4e5a;bl7edd9[185]<=rg5d112;bl7edd9[162]<=pua803d[0];bl7edd9[136]<=sh5e333[1];bl7edd9[126]<=blec3ea;bl7edd9[116]<=ba9c9cb[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module fadf356 (\r
+ nr61f31,\r
+ qif98e,\r
+\r
+ en7078f,\r
+ fc83c7c,\r
+ pu1e3e2,\r
+ dmf1f12,\r
+\r
+ cb8f893,\r
+ vi7c49c,\r
+ qte24e2,\r
+ qv12714,\r
+ hq938a4\r
+);\r
+input nr61f31;\r
+input qif98e;\r
+input en7078f;\r
+input [7:0] fc83c7c;\r
+input pu1e3e2;\r
+input dmf1f12;\r
+input cb8f893;\r
+output [7:0] vi7c49c;\r
+output qte24e2;\r
+output qv12714;\r
+output hq938a4;\r
+parameter STATIC_HI_THRESH = 32;\r
+parameter STATIC_LO_THRESH = 16;\r
+localparam vxbaf41 = 2'd0, rtd7a0e = 2'd1, twbd077 = 2'd2, ale83ba = 2'd3;\r
+reg[1:0] al41dd5;\r
+localparam fceead = 3'd0, su7756a = 3'd1, tjbab51 = 3'd2, zkd5a8e = 3'd3, baad472 = 3'd4, ip6a393 = 3'd5;\r
+reg [2:0] ip51c9c;\r
+reg [7:0] xl8e4e4;\r
+reg kq72727;\r
+reg fc93939;\r
+reg [7:0] ba9c9cb;\r
+reg vie4e5a;\r
+reg fp272d2;\r
+reg [7:0] gd39696;\r
+reg ykcb4b4;\r
+reg vv5a5a1;\r
+reg bld2d0e;\r
+reg vk96877;\r
+reg anb43bb;\r
+reg ana1ddc;\r
+reg rieee4;\r
+reg kq77722;\r
+reg gdbb911;\r
+reg zkfbd26;\r
+reg [7:0] vi7c49c;\r
+reg qte24e2;\r
+reg qv12714;\r
+wire [7:0] sh4bef4;\r
+wire zx64793;\r
+wire hbf92fb;\r
+wire do1e4fd;\r
+wire mrf27ea;\r
+wire vk93f55;\r
+reg an9faab;\r
+wire vv5f7a4;\r
+reg qgeaaec;\r
+reg zx55761;\r
+reg gdabb0f;\r
+reg vi5d87d;\r
+reg blec3ea;\r
+reg jp61f57;\r
+reg ksfabe;\r
+wire hq938a4;\r
+wire [7:0] ykeaf80;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+`endif\r
+reg [(22*8):1] zkebc66;\r
+reg [(22*8):1] sh5e333;\r
+reg [7 : 0] hq9c6d4;\r
+reg xje36a3;\r
+reg tw1b51e;\r
+reg [1 : 0] jcda8f2;\r
+reg [2 : 0] kqd4793;\r
+reg [7 : 0] oua3c99;\r
+reg do1e4c9;\r
+reg mrf264a;\r
+reg [7 : 0] vk93255;\r
+reg vk992aa;\r
+reg enc9553;\r
+reg [7 : 0] nr4aa9c;\r
+reg hb554e6;\r
+reg tjaa737;\r
+reg qg539be;\r
+reg ls9cdf1;\r
+reg zxe6f8a;\r
+reg ph37c57;\r
+reg qvbe2be;\r
+reg faf15f5;\r
+reg oh8afaa;\r
+reg cb8fb6;\r
+reg [7 : 0] vxb023e;\r
+reg kqf551c;\r
+reg fp22c08;\r
+reg yx54707;\r
+reg wya383d;\r
+reg rv1c1e9;\r
+reg wwe0f4f;\r
+reg nt811f6;\r
+reg vx3d3c4;\r
+reg rte9e26;\r
+reg dz4f136;\r
+reg go789b7;\r
+reg cmc4db9;\r
+reg wl26dc9;\r
+reg qv36e4d;\r
+reg [7 : 0] xyb726c;\r
+reg [(22 * 8) : 1] wl8a02f;\r
+reg [(22 * 8) : 1] fn5017d;\r
+reg [2047:0] bl7edd9;\r
+wire [39:0] nrf6ece;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+localparam zmb7676 = 40,wlbb3b3 = 32'hfdffe44b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin xl8e4e4 <= 1'd0; kq72727 <= 1'd0; fc93939 <= 8'd0; ba9c9cb <= 1'd0; vie4e5a <= 1'd0; fp272d2 <= 8'd0; gd39696 <= 1'd0; ykcb4b4 <= 1'd0; vv5a5a1 <= 8'd0; end else begin xl8e4e4 <= hq9c6d4; kq72727 <= xje36a3; fc93939 <= tw1b51e; ba9c9cb <= oua3c99; vie4e5a <= do1e4c9; fp272d2 <= mrf264a; gd39696 <= vk93255; ykcb4b4 <= vk992aa; vv5a5a1 <= enc9553; end\r
+end\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin bld2d0e <= 1'b0; vk96877 <= 1'b0; anb43bb <= 1'b0; ana1ddc <= 1'b0; rieee4 <= 1'b0; kq77722 <= 1'b0; end else begin if ((do1e4c9 == 1'b1) && (oua3c99 == 8'hBC)) begin bld2d0e <= 1'b1; end else begin bld2d0e <= 1'b0; end\r
+ if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'hC5)) begin vk96877 <= 1'b1; end else begin vk96877 <= 1'b0; end if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'h50)) begin anb43bb <= 1'b1; end else begin anb43bb <= 1'b0; end if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'h42)) begin ana1ddc <= 1'b1; end else begin ana1ddc <= 1'b0; end if ((xje36a3 == 1'b0) && (hq9c6d4 == 8'hB5)) begin rieee4 <= 1'b1; end else begin rieee4 <= 1'b0; end if (qg539be && (ph37c57 || qvbe2be)) begin kq77722 <= 1'b1; end else begin kq77722 <= 1'b0; end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin al41dd5 <= vxbaf41; gdbb911 <= 1'b0; end else begin case (jcda8f2) vxbaf41: begin if (wya383d) begin if (qg539be && (zxe6f8a || ph37c57 || qvbe2be)) begin gdbb911 <= 1'b0; al41dd5 <= rtd7a0e; end end else begin gdbb911 <= 1'b1; al41dd5 <= vxbaf41; end end rtd7a0e: begin gdbb911 <= 1'b0; if (faf15f5) begin al41dd5 <= twbd077; end else begin al41dd5 <= vxbaf41; end end twbd077: begin gdbb911 <= 1'b0; al41dd5 <= ale83ba; end ale83ba: begin gdbb911 <= 1'b0; al41dd5 <= vxbaf41; end\r
+ default: begin al41dd5 <= vxbaf41; end endcase end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin ip51c9c <= fceead; vi7c49c <= 8'd0; qte24e2 <= 1'b0; qv12714 <= 1'b0; zkfbd26 <= 1'b0; end else begin vi7c49c <= vxb023e; qte24e2 <= kqf551c; qv12714 <= fp22c08; zkfbd26 <= 1'b0; case (kqd4793) fceead: begin qte24e2 <= 1'b1; qv12714 <= 1'b0; vi7c49c <= 8'hBC; if (yx54707) begin ip51c9c <= ip6a393; end else begin zkfbd26 <= 1'b1; ip51c9c <= su7756a; end end su7756a: begin qte24e2 <= 1'b0; qv12714 <= 1'b0; vi7c49c <= 8'h50; zkfbd26 <= 1'b1; ip51c9c <= baad472; end tjbab51: begin qte24e2 <= 1'b1; qv12714 <= 1'b0; vi7c49c <= 8'hBC; if (yx54707) begin ip51c9c <= ip6a393; end else begin zkfbd26 <= 1'b1; ip51c9c <= zkd5a8e; end end\r
+ zkd5a8e: begin zkfbd26 <= 1'b1; ip51c9c <= baad472; end\r
+\r
+ baad472: begin if (yx54707 && kqf551c && (vxb023e == 8'hBC)) begin qte24e2 <= 1'b1; qv12714 <= 1'b0; vi7c49c <= 8'hBC; ip51c9c <= ip6a393; end else begin zkfbd26 <= 1'b1; ip51c9c <= baad472; end end ip6a393: begin qte24e2 <= 1'b0; qv12714 <= 1'b0; vi7c49c <= 8'h50; ip51c9c <= tjbab51; end default: begin ip51c9c <= fceead; end endcase end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin zx55761 <= 1'd0; gdabb0f <= 1'd0; vi5d87d <= 1'd0; an9faab <= 1'd0; end else begin an9faab <= rv1c1e9; if (wwe0f4f && oh8afaa) begin zx55761 <= 1'd1; end else begin zx55761 <= 1'd0; end gdabb0f <= rte9e26; vi5d87d <= rte9e26 | dz4f136; end\r
+end\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin blec3ea <= 1'd0; jp61f57 <= 1'd0; ksfabe <= 1'd0; qgeaaec <= 1'd1; end else begin qgeaaec <= nt811f6; if (vx3d3c4 && cb8fb6) begin blec3ea <= 1'd1; end else begin blec3ea <= 1'd0; end jp61f57 <= cmc4db9; ksfabe <= cmc4db9 | wl26dc9; end\r
+end\r
+\r
+\r
+assign hq938a4 = go789b7 | qv36e4d;\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(18), .pmi_data_width_r(18), .pmi_data_depth_w(1024), .pmi_data_depth_r(1024), .pmi_full_flag(1024), .pmi_empty_flag(0), .pmi_almost_full_flag(STATIC_HI_THRESH), .pmi_almost_empty_flag(STATIC_LO_THRESH), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("EBR")) sj8d30 ( .Reset(~nr61f31), .RPReset(~qif98e), .WrClock(en7078f), .WrEn(gdbb911), .Data({8'd0, vv5a5a1, ykcb4b4, gd39696}),\r
+ .RdClock(cb8f893), .RdEn(zkfbd26), .Q({ykeaf80, hbf92fb, zx64793, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(vk93f55), .AlmostEmpty(do1e4fd), .AlmostFull(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(18), .pmi_data_width_r(18), .pmi_data_depth_w(1024), .pmi_data_depth_r(1024), .pmi_full_flag(1024), .pmi_empty_flag(0), .pmi_almost_full_flag(STATIC_HI_THRESH), .pmi_almost_empty_flag(STATIC_LO_THRESH), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("EBR")) sj8d30 ( .Reset(~nr61f31), .RPReset(~qif98e), .WrClock(en7078f), .WrEn(gdbb911), .Data({8'd0, vv5a5a1, ykcb4b4, gd39696}),\r
+ .RdClock(cb8f893), .RdEn(zkfbd26), .Q({ykeaf80, hbf92fb, zx64793, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(vk93f55), .AlmostEmpty(do1e4fd), .AlmostFull(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(18), .pmi_data_width_r(18), .pmi_data_depth_w(1024), .pmi_data_depth_r(1024), .pmi_full_flag(1024), .pmi_empty_flag(0), .pmi_almost_full_flag(STATIC_HI_THRESH), .pmi_almost_empty_flag(STATIC_LO_THRESH), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("EBR")) sj8d30 ( .Reset(~nr61f31), .RPReset(~qif98e), .WrClock(en7078f), .WrEn(gdbb911), .Data({8'd0, vv5a5a1, ykcb4b4, gd39696}),\r
+ .RdClock(cb8f893), .RdEn(zkfbd26), .Q({ykeaf80, hbf92fb, zx64793, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(vk93f55), .AlmostEmpty(do1e4fd), .AlmostFull(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(jcda8f2) begin case (jcda8f2) vxbaf41 : zkebc66 = "SEEK_IDLE_START"; rtd7a0e : zkebc66 = "DISABLE_WRITES"; twbd077 : zkebc66 = "DISABLE_W3"; ale83ba : zkebc66 = "DISABLE_W4"; default : zkebc66 = "***ERROR***"; endcase\r
+end\r
+\r
+always @(kqd4793) begin case (kqd4793) fceead : sh5e333 = "SEEK_BEGIN_THRESH"; su7756a : sh5e333 = "DELAY_BEGIN"; tjbab51 : sh5e333 = "SEEK_START_THRESH"; zkd5a8e : sh5e333 = "DELAY"; baad472 : sh5e333 = "SEEK_STOP_THRESH"; ip6a393 : sh5e333 = "INSERT_IDLE"; default : sh5e333 = "***ERROR***"; endcase\r
+end\r
+\r
+\r
+\r
+\r
+always@* begin hq9c6d4<={fc83c7c>>1,nrf6ece[0]};xje36a3<=nrf6ece[1];tw1b51e<=nrf6ece[2];jcda8f2<={al41dd5>>1,nrf6ece[3]};kqd4793<={ip51c9c>>1,nrf6ece[4]};oua3c99<={xl8e4e4>>1,nrf6ece[5]};do1e4c9<=nrf6ece[6];mrf264a<=nrf6ece[7];vk93255<={ba9c9cb>>1,nrf6ece[8]};vk992aa<=nrf6ece[9];enc9553<=nrf6ece[10];nr4aa9c<={gd39696>>1,nrf6ece[11]};hb554e6<=nrf6ece[12];tjaa737<=nrf6ece[13];qg539be<=nrf6ece[14];ls9cdf1<=nrf6ece[15];zxe6f8a<=nrf6ece[16];ph37c57<=nrf6ece[17];qvbe2be<=nrf6ece[18];faf15f5<=nrf6ece[19];oh8afaa<=nrf6ece[20];cb8fb6<=nrf6ece[21];vxb023e<={sh4bef4>>1,nrf6ece[22]};kqf551c<=nrf6ece[23];fp22c08<=nrf6ece[24];yx54707<=nrf6ece[25];wya383d<=nrf6ece[26];rv1c1e9<=nrf6ece[27];wwe0f4f<=nrf6ece[28];nt811f6<=nrf6ece[29];vx3d3c4<=nrf6ece[30];rte9e26<=nrf6ece[31];dz4f136<=nrf6ece[32];go789b7<=nrf6ece[33];cmc4db9<=nrf6ece[34];wl26dc9<=nrf6ece[35];qv36e4d<=nrf6ece[36];xyb726c<={ykeaf80>>1,nrf6ece[37]};wl8a02f<={zkebc66>>1,nrf6ece[38]};fn5017d<={sh5e333>>1,nrf6ece[39]};end\r
+always@* begin bl7edd9[2047]<=pu1e3e2;bl7edd9[2046]<=dmf1f12;bl7edd9[2044]<=al41dd5[0];bl7edd9[2040]<=ip51c9c[0];bl7edd9[2033]<=xl8e4e4[0];bl7edd9[2019]<=kq72727;bl7edd9[1991]<=fc93939;bl7edd9[1934]<=ba9c9cb[0];bl7edd9[1898]<=vk96877;bl7edd9[1821]<=vie4e5a;bl7edd9[1749]<=anb43bb;bl7edd9[1707]<=kq77722;bl7edd9[1666]<=an9faab;bl7edd9[1595]<=fp272d2;bl7edd9[1450]<=ana1ddc;bl7edd9[1440]<=mrf27ea;bl7edd9[1384]<=hbf92fb;bl7edd9[1370]<=sh4bef4[0];bl7edd9[1366]<=gdbb911;bl7edd9[1284]<=vv5f7a4;bl7edd9[1142]<=gd39696[0];bl7edd9[1125]<=ykeaf80[0];bl7edd9[1041]<=zx55761;bl7edd9[1023]<=fc83c7c[0];bl7edd9[949]<=bld2d0e;bl7edd9[853]<=rieee4;bl7edd9[833]<=vk93f55;bl7edd9[720]<=do1e4fd;bl7edd9[692]<=zx64793;bl7edd9[685]<=zkfbd26;bl7edd9[562]<=ksfabe;bl7edd9[520]<=qgeaaec;bl7edd9[474]<=vv5a5a1;bl7edd9[407]<=sh5e333[1];bl7edd9[281]<=jp61f57;bl7edd9[237]<=ykcb4b4;bl7edd9[203]<=zkebc66[1];bl7edd9[140]<=blec3ea;bl7edd9[70]<=vi5d87d;bl7edd9[35]<=gdabb0f;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module eac16a7 (\r
+ nr61f31,\r
+ qif98e,\r
+\r
+ en7078f,\r
+ fc83c7c,\r
+ pu1e3e2,\r
+ dmf1f12,\r
+\r
+ cb8f893,\r
+ vi7c49c,\r
+ qte24e2,\r
+ qv12714,\r
+ hq938a4\r
+);\r
+input nr61f31;\r
+input qif98e;\r
+input en7078f;\r
+input [7:0] fc83c7c;\r
+input pu1e3e2;\r
+input dmf1f12;\r
+input cb8f893;\r
+output [7:0] vi7c49c;\r
+output qte24e2;\r
+output qv12714;\r
+output hq938a4;\r
+reg [7:0] xl8e4e4;\r
+reg kq72727;\r
+reg fc93939;\r
+reg gdbb911;\r
+reg zkfbd26;\r
+reg [7:0] vi7c49c;\r
+reg qte24e2;\r
+reg qv12714;\r
+wire [7:0] sh4bef4;\r
+wire zx64793;\r
+wire hbf92fb;\r
+wire do1e4fd;\r
+wire mrf27ea;\r
+wire vk93f55;\r
+wire vv5f7a4;\r
+reg zx55761;\r
+reg gdabb0f;\r
+reg vi5d87d;\r
+reg blec3ea;\r
+reg jp61f57;\r
+reg ksfabe;\r
+wire hq938a4;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+`endif\r
+reg [7 : 0] hq9c6d4;\r
+reg xje36a3;\r
+reg tw1b51e;\r
+reg [7 : 0] oua3c99;\r
+reg do1e4c9;\r
+reg mrf264a;\r
+reg oh8afaa;\r
+reg cb8fb6;\r
+reg [7 : 0] vxb023e;\r
+reg kqf551c;\r
+reg fp22c08;\r
+reg yx54707;\r
+reg wya383d;\r
+reg rv1c1e9;\r
+reg nt811f6;\r
+reg rte9e26;\r
+reg dz4f136;\r
+reg go789b7;\r
+reg cmc4db9;\r
+reg wl26dc9;\r
+reg qv36e4d;\r
+reg [2047:0] bl7edd9;\r
+wire [20:0] nrf6ece;\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+localparam zmb7676 = 21,wlbb3b3 = 32'hfdffd14b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+\r
+\r
+`endif\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin xl8e4e4 <= 1'd0; kq72727 <= 1'd0; fc93939 <= 8'd0; end else begin xl8e4e4 <= hq9c6d4; kq72727 <= xje36a3; fc93939 <= tw1b51e; end\r
+end\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin vi7c49c <= 8'd0; qte24e2 <= 1'b0; qv12714 <= 1'b0; end else begin vi7c49c <= vxb023e; qte24e2 <= kqf551c; qv12714 <= fp22c08; end\r
+end\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin gdbb911 <= 1'b0; end else begin if (rv1c1e9) begin gdbb911 <= 1'b0; end else begin gdbb911 <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin zkfbd26 <= 1'b0; end else begin if (nt811f6) begin zkfbd26 <= 1'b0; end else if (yx54707) begin zkfbd26 <= 1'b0; end else begin zkfbd26 <= 1'b1; end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(posedge en7078f or negedge nr61f31)\r
+begin if (nr61f31 == 1'b0) begin zx55761 <= 1'd0; gdabb0f <= 1'd0; vi5d87d <= 1'd0; end else begin if (rv1c1e9 && oh8afaa) begin zx55761 <= 1'd1; end else begin zx55761 <= 1'd0; end gdabb0f <= rte9e26; vi5d87d <= rte9e26 | dz4f136; end\r
+end\r
+\r
+\r
+\r
+always @(posedge cb8f893 or negedge qif98e)\r
+begin if (qif98e == 1'b0) begin blec3ea <= 1'd0; jp61f57 <= 1'd0; ksfabe <= 1'd0; end else begin if (nt811f6 && cb8fb6) begin blec3ea <= 1'd1; end else begin blec3ea <= 1'd0; end jp61f57 <= cmc4db9; ksfabe <= cmc4db9 | wl26dc9; end\r
+end\r
+\r
+\r
+assign hq938a4 = go789b7 | qv36e4d;\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_SC\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(16), .pmi_data_depth_r(16), .pmi_full_flag(16), .pmi_empty_flag(0), .pmi_almost_full_flag(13), .pmi_almost_empty_flag(7), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("SC"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) sj8d30 ( .Reset(~nr61f31), .RPReset(~qif98e), .WrClock(en7078f), .WrEn(gdbb911), .Data({fc93939, kq72727, xl8e4e4}),\r
+ .RdClock(cb8f893), .RdEn(zkfbd26), .Q({hbf92fb, zx64793, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(vk93f55), .AlmostEmpty(do1e4fd), .AlmostFull(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(16), .pmi_data_depth_r(16), .pmi_full_flag(16), .pmi_empty_flag(0), .pmi_almost_full_flag(13), .pmi_almost_empty_flag(7), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP2M"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) sj8d30 ( .Reset(~nr61f31), .RPReset(~qif98e), .WrClock(en7078f), .WrEn(gdbb911), .Data({fc93939, kq72727, xl8e4e4}),\r
+ .RdClock(cb8f893), .RdEn(zkfbd26), .Q({hbf92fb, zx64793, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(vk93f55), .AlmostEmpty(do1e4fd), .AlmostFull(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+ pmi_fifo_dc #( .pmi_data_width_w(10), .pmi_data_width_r(10), .pmi_data_depth_w(16), .pmi_data_depth_r(16), .pmi_full_flag(16), .pmi_empty_flag(0), .pmi_almost_full_flag(13), .pmi_almost_empty_flag(7), .pmi_regmode("no_reg"), .pmi_resetmode("async"), .pmi_family("ECP3"), .module_type("pmi_fifo_dc"), .pmi_implementation("LUT")) sj8d30 ( .Reset(~nr61f31), .RPReset(~qif98e), .WrClock(en7078f), .WrEn(gdbb911), .Data({fc93939, kq72727, xl8e4e4}),\r
+ .RdClock(cb8f893), .RdEn(zkfbd26), .Q({hbf92fb, zx64793, sh4bef4}),\r
+ .Empty(vv5f7a4), .Full(vk93f55), .AlmostEmpty(do1e4fd), .AlmostFull(mrf27ea) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+always@* begin hq9c6d4<={fc83c7c>>1,nrf6ece[0]};xje36a3<=nrf6ece[1];tw1b51e<=nrf6ece[2];oua3c99<={xl8e4e4>>1,nrf6ece[3]};do1e4c9<=nrf6ece[4];mrf264a<=nrf6ece[5];oh8afaa<=nrf6ece[6];cb8fb6<=nrf6ece[7];vxb023e<={sh4bef4>>1,nrf6ece[8]};kqf551c<=nrf6ece[9];fp22c08<=nrf6ece[10];yx54707<=nrf6ece[11];wya383d<=nrf6ece[12];rv1c1e9<=nrf6ece[13];nt811f6<=nrf6ece[14];rte9e26<=nrf6ece[15];dz4f136<=nrf6ece[16];go789b7<=nrf6ece[17];cmc4db9<=nrf6ece[18];wl26dc9<=nrf6ece[19];qv36e4d<=nrf6ece[20];end\r
+always@* begin bl7edd9[2047]<=pu1e3e2;bl7edd9[2046]<=dmf1f12;bl7edd9[2044]<=xl8e4e4[0];bl7edd9[2040]<=kq72727;bl7edd9[2033]<=fc93939;bl7edd9[2019]<=gdbb911;bl7edd9[1990]<=zkfbd26;bl7edd9[1939]<=blec3ea;bl7edd9[1933]<=sh4bef4[0];bl7edd9[1831]<=jp61f57;bl7edd9[1819]<=zx64793;bl7edd9[1778]<=zx55761;bl7edd9[1615]<=ksfabe;bl7edd9[1591]<=hbf92fb;bl7edd9[1508]<=gdabb0f;bl7edd9[1135]<=do1e4fd;bl7edd9[1023]<=fc83c7c[0];bl7edd9[969]<=vi5d87d;bl7edd9[889]<=vv5f7a4;bl7edd9[444]<=vk93f55;bl7edd9[222]<=mrf27ea;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module an2c1c8 ( eaea698,\r
+ rst_n,\r
+ mr_main_reset,\r
+ jceec52,\r
+ fn45967,\r
+ tx_en,\r
+ tx_er,\r
+ tu5dd8a,\r
+ ic67f24,\r
+ hq3f921,\r
+ jpfc90a\r
+ );\r
+parameter ksb988c = 8'hbc, kdcc461 = 8'hfe, go6230c = 8'hfb, ng11860 = 8'hfd, je8c302 = 8'hf7, bl61812 = 8'hb5, bnc096 = 8'h42, of604b6 = 8'hc5, ep25b5 = 8'h50;\r
+parameter ukf531 = 2'b00, of7a989 = 2'b01, kqd4c4c = 2'b10;\r
+parameter tuca4a0 = 4'h0, fa52503 = 4'h1, wy9281a = 4'h2, jr940d1 = 4'h3, jra068f = 4'h4, rv347f = 4'h5, ks1a3ff = 4'h6, osd1ff8 = 4'h7, sj8ffc0 = 4'h8, uv7fe04 = 4'h9, goff024 = 4'hA, enf8121 = 4'hB, jcc090c = 4'hC, zm4863 = 4'hD, wy2431b = 4'hE, ou218d9 = 4'hF;\r
+input eaea698;\r
+input rst_n;\r
+input mr_main_reset;\r
+input [1:0] jceec52;\r
+input [7:0] fn45967;\r
+input tx_en;\r
+input tx_er;\r
+input [15:0] tu5dd8a;\r
+output [7:0] ic67f24;\r
+output hq3f921;\r
+output jpfc90a;\r
+wire eaea698;\r
+wire rst_n;\r
+wire [1:0] jceec52;\r
+wire [7:0] fn45967;\r
+wire tx_en;\r
+wire tx_er;\r
+wire [15:0] tu5dd8a;\r
+reg [7:0] ic67f24;\r
+reg [7:0] sueab8f;\r
+reg [7:0] pf55c79;\r
+reg anae3ce;\r
+reg hq3f921;\r
+reg gd8f3a7;\r
+reg jpfc90a;\r
+reg [7:0] uice9eb;\r
+reg ld74f58;\r
+reg nga7ac7;\r
+reg [3:0] rt6ee96;\r
+reg [3:0] ea774b7;\r
+reg [3:0] jr2ddd2;\r
+reg jpc7792;\r
+reg ym3bc97;\r
+reg mede4b8;\r
+reg [1:0] gbf25c0;\r
+reg ym92e05;\r
+wire wy97029 = ((jceec52 != gbf25c0) && !ym3bc97) || ym92e05;\r
+reg dm484c5;\r
+reg [(20*8):1] sh7aca3;\r
+reg db94722;\r
+reg wy98a9e;\r
+reg hoc54f0;\r
+reg [7 : 0] fc2a782;\r
+reg [7 : 0] ic53c12;\r
+reg bn9e091;\r
+reg uif048e;\r
+reg [7 : 0] co82470;\r
+reg wl12381;\r
+reg fp91c0c;\r
+reg [3 : 0] ph84769;\r
+reg [3 : 0] sj23b4e;\r
+reg [3 : 0] ykf08ed;\r
+reg ntcde7;\r
+reg jc66f3f;\r
+reg rv379fb;\r
+reg [1 : 0] ecbcfde;\r
+reg ofe7ef1;\r
+reg do3f78d;\r
+reg fafbc6b;\r
+reg [(20 * 8) : 1] ohb162b;\r
+reg [2047:0] bl7edd9;\r
+wire [20:0] nrf6ece;\r
+\r
+localparam zmb7676 = 21,wlbb3b3 = 32'hfdffd48b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+function [7:0] vve653a;\r
+input [7:0] fp329d6;\r
+input ph94eb6;\r
+input zma75b6;\r
+input [7:0] je3adb2;\r
+\r
+begin if(ph94eb6 == 1'b0 && zma75b6 == 1'b1 && je3adb2 != 8'b00001111) vve653a = kdcc461; else if(ph94eb6 == 1'b1 && zma75b6 == 1'b1) vve653a = kdcc461; else vve653a = fp329d6;\r
+end\r
+endfunction\r
+function hb6b8c6;\r
+input [7:0] fp329d6;\r
+input ph94eb6;\r
+input zma75b6;\r
+input [7:0] je3adb2;\r
+\r
+begin if(ph94eb6 == 1'b0 && zma75b6 == 1'b1 && je3adb2 != 8'b00001111) hb6b8c6 = 1'b1; else if(ph94eb6 == 1'b1 && zma75b6 == 1'b1) hb6b8c6 = 1'b1; else hb6b8c6 = fp329d6;\r
+end\r
+endfunction\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin : ie34eaf\r
+if(!rst_n) begin uice9eb <= 8'd0; nga7ac7 <= 1'd0; ld74f58 <= 1'd0; end\r
+else begin uice9eb <= fn45967; nga7ac7 <= wy98a9e; ld74f58 <= hoc54f0; end\r
+end\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin : uid14d4\r
+if(!rst_n) begin gbf25c0 <= 1'd0; ym92e05 <= 1'd0; end\r
+else begin gbf25c0 <= jceec52; ym92e05 <= (jceec52 != ecbcfde) && jc66f3f; end\r
+end\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin : hq20d0f if(!rst_n) jpc7792 <= 1'b0; else begin if(ph84769 == rv347f) jpc7792 <= ~ntcde7; else jpc7792 <= ntcde7; end\r
+end\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin : fn64f87\r
+if(!rst_n) mede4b8 <= 1'd0;\r
+else mede4b8 <= jc66f3f;\r
+end\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin : ieb9205 if (!rst_n) begin rt6ee96 <= tuca4a0; ea774b7 <= tuca4a0; dm484c5 <= 1'b0; end else if (db94722) begin rt6ee96 <= tuca4a0; ea774b7 <= tuca4a0; dm484c5 <= 1'b0; end else begin ea774b7 <= ph84769; if (do3f78d) begin rt6ee96 <= tuca4a0; dm484c5 <= 1'b0; end else begin rt6ee96 <= ykf08ed; case(fafbc6b) 0: begin if (ph84769 == fa52503) begin dm484c5 <= 1'b1; end end 1: begin if ( (ph84769 != tuca4a0) && (ph84769 != fa52503) ) begin dm484c5 <= 1'b0; end end default: begin dm484c5 <= fafbc6b; end endcase\r
+ end end\r
+end\r
+\r
+\r
+\r
+\r
+always @(ph84769 or jceec52 or wy98a9e or hoc54f0 or jc66f3f)\r
+begin : rtc8cce case (ph84769) tuca4a0 : jr2ddd2 = fa52503; fa52503 : begin if (jceec52 == of7a989) jr2ddd2 = wy9281a;\r
+\r
+ else if (jceec52 == ukf531) jr2ddd2 = tuca4a0; else if (jceec52 == kqd4c4c) begin if (wy98a9e) begin if(hoc54f0 == 1'b0) jr2ddd2 = ks1a3ff; else jr2ddd2 = wy2431b; end else jr2ddd2 = tuca4a0; end else jr2ddd2 = tuca4a0; end wy9281a : jr2ddd2 = jr940d1; jr940d1 : jr2ddd2 = jra068f; jra068f : jr2ddd2 = rv347f; rv347f : jr2ddd2 = wy9281a; ks1a3ff : begin if (wy98a9e == 1'b1) jr2ddd2 = osd1ff8; else begin if (hoc54f0 == 1'b0) jr2ddd2 = sj8ffc0; else jr2ddd2 = enf8121; end end osd1ff8 : begin if (wy98a9e == 1'b1) jr2ddd2 = osd1ff8; else begin if (hoc54f0 == 1'b0) jr2ddd2 = sj8ffc0; else jr2ddd2 = enf8121; end end sj8ffc0 : jr2ddd2 = uv7fe04; uv7fe04 : begin if (jc66f3f == 1'b0) jr2ddd2 = tuca4a0; else jr2ddd2 = goff024; end goff024 : jr2ddd2 = tuca4a0; enf8121 : begin if (hoc54f0 == 1'b0) jr2ddd2 = jcc090c; else jr2ddd2 = zm4863; end jcc090c : jr2ddd2 = uv7fe04; zm4863 : begin if (wy98a9e == 1'b0 && hoc54f0 == 1'b0) jr2ddd2 = jcc090c; else if (wy98a9e == 1'b1 && hoc54f0 == 1'b1) jr2ddd2 = wy2431b; else if (wy98a9e == 1'b1 && hoc54f0 == 1'b0) jr2ddd2 = ks1a3ff; else jr2ddd2 = zm4863; end wy2431b : jr2ddd2 = ou218d9; ou218d9 : begin if (wy98a9e == 1'b1) jr2ddd2 = osd1ff8; else begin if (hoc54f0 == 1'b0) jr2ddd2 = sj8ffc0; else jr2ddd2 = enf8121; end end default : jr2ddd2 = tuca4a0; endcase\r
+end \r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n)\r
+begin : ir33f6c\r
+if(!rst_n) begin ic67f24 <= ksb988c; sueab8f <= ksb988c; pf55c79 <= ksb988c; hq3f921 <= 1'b1; anae3ce <= 1'b1; jpfc90a <= 1'b0; gd8f3a7 <= 1'b0; end\r
+else begin if(sj23b4e < 7) ic67f24 <= fc2a782; else ic67f24 <= ic53c12;\r
+ hq3f921 <= bn9e091; jpfc90a <= uif048e; gd8f3a7 <= 1'b0;\r
+ case(ph84769) tuca4a0 : begin sueab8f <= ksb988c; anae3ce <= 1'b1; end fa52503 : begin sueab8f <= ep25b5; anae3ce <= 1'b0; gd8f3a7 <= ~fafbc6b; end wy9281a : begin sueab8f <= ksb988c; anae3ce <= 1'b1; end jr940d1 : begin sueab8f <= ntcde7 ? bnc096 : bl61812; anae3ce <= 1'b0; end jra068f : begin sueab8f <= tu5dd8a[7:0]; anae3ce <= 1'b0; end rv347f : begin sueab8f <= tu5dd8a[15:8]; anae3ce <= 1'b0; end ks1a3ff : begin sueab8f <= go6230c; anae3ce <= 1'b1; end wy2431b : begin pf55c79 <= go6230c; anae3ce <= 1'b1; end osd1ff8 : begin pf55c79 <= vve653a(co82470, fp91c0c, wl12381, co82470); anae3ce <= hb6b8c6(1'b0, fp91c0c, wl12381, co82470); end sj8ffc0 : begin pf55c79 <= ng11860; anae3ce <= 1'b1; end uv7fe04, goff024, jcc090c : begin pf55c79 <= je8c302; anae3ce <= 1'b1; end enf8121 : begin pf55c79 <= vve653a(ng11860, fp91c0c, wl12381, co82470); anae3ce <= 1'b1; end zm4863 : begin pf55c79 <= vve653a(je8c302, fp91c0c, wl12381, co82470); anae3ce <= 1'b1; end ou218d9 : begin pf55c79 <= kdcc461; anae3ce <= 1'b1; end default : begin pf55c79 <= ksb988c; anae3ce <= 1'b1; end endcase\r
+end\r
+end \r
+always @(ph84769 or rv379fb)\r
+begin : su6206b case(ph84769) tuca4a0 : ym3bc97 = 1'b1; fa52503 : ym3bc97 = 1'b0; wy9281a : ym3bc97 = 1'b1; jr940d1 : ym3bc97 = 1'b0; jra068f : ym3bc97 = 1'b1; rv347f : ym3bc97 = 1'b0; ks1a3ff : ym3bc97 = ~rv379fb; wy2431b : ym3bc97 = ~rv379fb; osd1ff8 : ym3bc97 = ~rv379fb; sj8ffc0 : ym3bc97 = ~rv379fb; uv7fe04 : ym3bc97 = ~rv379fb; goff024 : ym3bc97 = ~rv379fb; jcc090c : ym3bc97 = ~rv379fb; enf8121 : ym3bc97 = ~rv379fb; zm4863 : ym3bc97 = ~rv379fb; ou218d9 : ym3bc97 = ~rv379fb; default : ym3bc97 = 1'b1; endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+always @(ph84769)\r
+begin case (ph84769) tuca4a0 : sh7aca3 = "IDLE_A"; fa52503 : sh7aca3 = "IDLE_B"; wy9281a : sh7aca3 = "CFG_A"; jr940d1 : sh7aca3 = "CFG_B"; jra068f : sh7aca3 = "CFG_C"; rv347f : sh7aca3 = "CFG_D"; ks1a3ff : sh7aca3 = "START_OF_PACKET"; osd1ff8 : sh7aca3 = "TX_DATA"; sj8ffc0 : sh7aca3 = "END_OF_PACKET_NOEXT"; uv7fe04 : sh7aca3 = "EPD2_NOEXT"; goff024 : sh7aca3 = "EPD3"; enf8121 : sh7aca3 = "END_OF_PACKET_EXT"; jcc090c : sh7aca3 = "EXTEND_BY_1"; zm4863 : sh7aca3 = "CARRIER_EXTEND"; wy2431b : sh7aca3 = "START_ERROR"; ou218d9 : sh7aca3 = "TX_DATA_ERROR"; default : sh7aca3 = " ERROR "; endcase\r
+end\r
+\r
+always@* begin db94722<=nrf6ece[0];wy98a9e<=nrf6ece[1];hoc54f0<=nrf6ece[2];fc2a782<={sueab8f>>1,nrf6ece[3]};ic53c12<={pf55c79>>1,nrf6ece[4]};bn9e091<=nrf6ece[5];uif048e<=nrf6ece[6];co82470<={uice9eb>>1,nrf6ece[7]};wl12381<=nrf6ece[8];fp91c0c<=nrf6ece[9];ph84769<={rt6ee96>>1,nrf6ece[10]};sj23b4e<={ea774b7>>1,nrf6ece[11]};ykf08ed<={jr2ddd2>>1,nrf6ece[12]};ntcde7<=nrf6ece[13];jc66f3f<=nrf6ece[14];rv379fb<=nrf6ece[15];ecbcfde<={gbf25c0>>1,nrf6ece[16]};ofe7ef1<=nrf6ece[17];do3f78d<=nrf6ece[18];fafbc6b<=nrf6ece[19];ohb162b<={sh7aca3>>1,nrf6ece[20]};end\r
+always@* begin bl7edd9[2047]<=tx_en;bl7edd9[2046]<=tx_er;bl7edd9[2044]<=sueab8f[0];bl7edd9[2040]<=pf55c79[0];bl7edd9[2032]<=anae3ce;bl7edd9[2017]<=gd8f3a7;bl7edd9[1987]<=uice9eb[0];bl7edd9[1927]<=ld74f58;bl7edd9[1865]<=gbf25c0[0];bl7edd9[1806]<=nga7ac7;bl7edd9[1682]<=ym92e05;bl7edd9[1565]<=rt6ee96[0];bl7edd9[1317]<=wy97029;bl7edd9[1172]<=sh7aca3[1];bl7edd9[1082]<=ea774b7[0];bl7edd9[1023]<=mr_main_reset;bl7edd9[932]<=mede4b8;bl7edd9[586]<=dm484c5;bl7edd9[466]<=ym3bc97;bl7edd9[233]<=jpc7792;bl7edd9[116]<=jr2ddd2[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module shd3a74 ( eaea698,\r
+ rst_n,\r
+ hq3f921,\r
+ vv74a74,\r
+ rva53a7,\r
+ cb29d3f,\r
+ mr4e9fc,\r
+ zx74fe4,\r
+ jpfc90a,\r
+ hq3f93b );\r
+input eaea698;\r
+input rst_n;\r
+input hq3f921;\r
+input [7:0] vv74a74;\r
+input rva53a7;\r
+input cb29d3f;\r
+input mr4e9fc;\r
+input zx74fe4;\r
+input jpfc90a;\r
+output [9:0] hq3f93b;\r
+reg [9:0] hq3f93b;\r
+reg [7:0] blf6935;\r
+reg irb49ae;\r
+wire qva4d75;\r
+reg [9:6] qv26bac;\r
+reg [5:0] tw35d65;\r
+reg [9:6] anaeb2b;\r
+reg [5:0] al7595d;\r
+reg coacaed, mr65768, mg2bb45, rg5da29, uved14a;\r
+reg cm68a55, nr452a8, cb29546, rt4aa35, nr551ae;\r
+reg nga8d77;\r
+reg [7 : 0] ui46bba;\r
+reg fc35dd0;\r
+reg sjaee83;\r
+reg me7741d;\r
+reg xlba0e9;\r
+reg jcd074f;\r
+reg [7 : 0] hq83a7b;\r
+reg gd1d3da;\r
+reg pfe9ed5;\r
+reg [9 : 6] nr4f6ae;\r
+reg [5 : 0] ld7b577;\r
+reg [9 : 6] rgdabbf;\r
+reg [5 : 0] xwd5dfb;\r
+reg mtaefda;\r
+reg qg77ed5;\r
+reg zzbf6a8;\r
+reg zkfb547;\r
+reg zkdaa3b;\r
+reg jcd51db;\r
+reg hqa8edb;\r
+reg zk476da;\r
+reg wy3b6d3;\r
+reg aydb699;\r
+reg [2047:0] bl7edd9;\r
+wire [23:0] nrf6ece;\r
+\r
+localparam zmb7676 = 24,wlbb3b3 = 32'hfdffd30b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin if (rst_n == 1'b0) irb49ae <= 1'b0; else irb49ae <= aydb699; end\r
+\r
+\r
+assign qva4d75 = fc35dd0 ? sjaee83 : gd1d3da;\r
+\r
+\r
+\r
+always @(posedge eaea698 or negedge rst_n) begin if (rst_n == 1'b0) hq3f93b <= 10'b00_0000_0000; else hq3f93b <= {rgdabbf[9:6], xwd5dfb[5:0]}; end\r
+\r
+\r
+\r
+\r
+always @(ui46bba or me7741d or xlba0e9 or pfe9ed5 or jcd074f)\r
+begin if(jcd074f && !pfe9ed5) begin if (me7741d) blf6935 = {ui46bba[7:6], 1'b1, ui46bba[4:0]}; if (xlba0e9) blf6935 = 8'b110_00101; else blf6935 = ui46bba; end else blf6935 = ui46bba;\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always@(hq83a7b or nga8d77)\r
+begin: ene282c\r
+case (hq83a7b[3:0]) 4'b0000 : begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = 1; tw35d65[2] = 1; tw35d65[3] = hq83a7b[3]; tw35d65[4] = hq83a7b[4]; tw35d65[5] = hq83a7b[4]; coacaed = hq83a7b[4]; mr65768 = !hq83a7b[4]; mg2bb45 = !hq83a7b[4]; rg5da29 = hq83a7b[4]; end 4'b1000 , 4'b0100 , 4'b0010 , 4'b0001 : begin if (hq83a7b[4] == 0) begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = hq83a7b[2]; tw35d65[3] = hq83a7b[3]; tw35d65[4] = 1; tw35d65[5] = 0; coacaed = hq83a7b[4]; mr65768 = !hq83a7b[4]; mg2bb45 = !hq83a7b[4]; rg5da29 = hq83a7b[4]; end else if (hq83a7b[3] == 0) begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = hq83a7b[2]; tw35d65[3] = hq83a7b[3]; tw35d65[4] = hq83a7b[4]; tw35d65[5] = 1; coacaed = 0; mr65768 = 0; mg2bb45 = 0; rg5da29 = 0; end else begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = 1; tw35d65[3] = hq83a7b[3]; tw35d65[4] = 0; tw35d65[5] = 0; coacaed = 0; mr65768 = 1; mg2bb45 = 1; rg5da29 = 0; end end 4'b1100 : begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = hq83a7b[2]; tw35d65[3] = hq83a7b[3]; tw35d65[4] = hq83a7b[4]; if (nga8d77 == 1 && hq83a7b[4] == 1) begin coacaed = nga8d77; mr65768 = !nga8d77; mg2bb45 = 0; rg5da29 = 1; tw35d65[5] = 1; end else begin coacaed = 0; mr65768 = 0; mg2bb45 = 0; rg5da29 = 0; if (hq83a7b[4] == 0) tw35d65[5] = 1; else tw35d65[5] = 0; end end 4'b0011 , 4'b1010 , 4'b0101 , 4'b1001 , 4'b0110 : begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = hq83a7b[2]; tw35d65[3] = hq83a7b[3]; tw35d65[4] = hq83a7b[4]; coacaed = 0; mr65768 = 0; mg2bb45 = 0; rg5da29 = 0; if (hq83a7b[4] == 0) tw35d65[5] = 1; else tw35d65[5] = 0; end 4'b1111: begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = 0; tw35d65[2] = hq83a7b[2]; tw35d65[3] = 0; tw35d65[4] = hq83a7b[4]; tw35d65[5] = hq83a7b[4]; coacaed = hq83a7b[4]; mr65768 = !hq83a7b[4]; mg2bb45 = !hq83a7b[4]; rg5da29 = hq83a7b[4]; end 4'b0111 : begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = hq83a7b[2]; tw35d65[3] = hq83a7b[3]; tw35d65[4] = hq83a7b[4]; tw35d65[5] = 0; mr65768 = 0; coacaed = hq83a7b[4]; mg2bb45 = 0; rg5da29 = 1; end 4'b1101 , 4'b1011 , 4'b1110 : begin tw35d65[0] = hq83a7b[0]; tw35d65[1] = hq83a7b[1]; tw35d65[2] = hq83a7b[2]; tw35d65[3] = hq83a7b[3]; tw35d65[4] = hq83a7b[4]; tw35d65[5] = 0; mr65768 = 0; coacaed = hq83a7b[4]; mg2bb45 = 0; rg5da29 = hq83a7b[4]; end default : begin tw35d65[5:0] = 0; coacaed = 0; mr65768 = 0; mg2bb45 = 0; rg5da29 = 0; end\r
+endcase\r
+end\r
+\r
+\r
+\r
+\r
+always@(mtaefda or qg77ed5 or zzbf6a8 or zkfb547 or pfe9ed5 or ld7b577)\r
+begin: xy2aed0\r
+if ((zzbf6a8 && pfe9ed5) || (zkfb547 && !pfe9ed5) || (!zzbf6a8 && !zkfb547)) begin al7595d[5:0] = ld7b577[5:0]; if (!mtaefda && !qg77ed5) uved14a = pfe9ed5; else uved14a = mtaefda;\r
+end\r
+else begin al7595d[0] = !ld7b577[0]; al7595d[1] = !ld7b577[1]; al7595d[2] = !ld7b577[2]; al7595d[3] = !ld7b577[3]; al7595d[4] = !ld7b577[4]; al7595d[5] = !ld7b577[5]; if (!mtaefda && !qg77ed5) uved14a = pfe9ed5; else uved14a = !mtaefda;\r
+end\r
+end\r
+\r
+\r
+\r
+always@(hq83a7b or nga8d77 or xwd5dfb or zkdaa3b)\r
+begin: mec165b\r
+case (hq83a7b[7:5]) 3'b000 : begin qv26bac[6] = hq83a7b[5]; qv26bac[7] = !hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = 0; cb29546 = 1; rt4aa35 = 0; cm68a55 = 0; nr452a8 = 1; end 3'b001 , 3'b010 , 3'b101 , 3'b110 : begin qv26bac[6] = hq83a7b[5]; qv26bac[7] = hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = !hq83a7b[7]; if (nga8d77 == 1) cb29546 = 1; else cb29546 = 0; rt4aa35 = 0; cm68a55 = 0; nr452a8 = 0; end 3'b011 : begin qv26bac[6] = hq83a7b[5]; qv26bac[7] = hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = 0; cb29546 = 0; rt4aa35 = 1; cm68a55 = 0; nr452a8 = 0; end 3'b100 : begin qv26bac[6] = hq83a7b[5]; qv26bac[7] = hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = 0; cb29546 = 1; rt4aa35 = 0; cm68a55 = 0; nr452a8 = 1; end 3'b111 : begin if ((xwd5dfb[4] == 1 && xwd5dfb[5] == 1 && zkdaa3b == 0) || (xwd5dfb[4] == 0 && xwd5dfb[5] == 0 && zkdaa3b == 1) || (nga8d77 == 1)) begin qv26bac[6] = 0; qv26bac[7] = hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = 1; cb29546 = 0; rt4aa35 = 1; cm68a55 = 1; nr452a8 = 0; end else begin qv26bac[6] = hq83a7b[5]; qv26bac[7] = hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = 0; cb29546 = 0; rt4aa35 = 1; cm68a55 = 1; nr452a8 = 0; end end default : begin qv26bac[6] = hq83a7b[5]; qv26bac[7] = hq83a7b[6]; qv26bac[8] = hq83a7b[7]; qv26bac[9] = 0; cb29546 = 0; rt4aa35 = 0; cm68a55 = 0; nr452a8 = 0; end\r
+endcase\r
+end\r
+\r
+\r
+\r
+always@(jcd51db or hqa8edb or zk476da or wy3b6d3 or zkdaa3b or nr4f6ae)\r
+begin: ux1d29f\r
+if ((zk476da && zkdaa3b) || (wy3b6d3 && !zkdaa3b) || (!zk476da && !wy3b6d3)) begin anaeb2b[9:6] = nr4f6ae[9:6]; if (!jcd51db && !hqa8edb) nr551ae = zkdaa3b; else nr551ae = jcd51db;\r
+end\r
+else begin anaeb2b[6] = !nr4f6ae[6]; anaeb2b[7] = !nr4f6ae[7]; anaeb2b[8] = !nr4f6ae[8]; anaeb2b[9] = !nr4f6ae[9]; if (!jcd51db && !hqa8edb) nr551ae = zkdaa3b; else nr551ae = !jcd51db;\r
+end\r
+end\r
+\r
+always@* begin nga8d77<=nrf6ece[0];ui46bba<={vv74a74>>1,nrf6ece[1]};fc35dd0<=nrf6ece[2];sjaee83<=nrf6ece[3];me7741d<=nrf6ece[4];xlba0e9<=nrf6ece[5];jcd074f<=nrf6ece[6];hq83a7b<={blf6935>>1,nrf6ece[7]};gd1d3da<=nrf6ece[8];pfe9ed5<=nrf6ece[9];nr4f6ae<={qv26bac>>1,nrf6ece[10]};ld7b577<={tw35d65>>1,nrf6ece[11]};rgdabbf<={anaeb2b>>1,nrf6ece[12]};xwd5dfb<={al7595d>>1,nrf6ece[13]};mtaefda<=nrf6ece[14];qg77ed5<=nrf6ece[15];zzbf6a8<=nrf6ece[16];zkfb547<=nrf6ece[17];zkdaa3b<=nrf6ece[18];jcd51db<=nrf6ece[19];hqa8edb<=nrf6ece[20];zk476da<=nrf6ece[21];wy3b6d3<=nrf6ece[22];aydb699<=nrf6ece[23];end\r
+always@* begin bl7edd9[2047]<=vv74a74[0];bl7edd9[2046]<=rva53a7;bl7edd9[2044]<=cb29d3f;bl7edd9[2040]<=mr4e9fc;bl7edd9[2032]<=zx74fe4;bl7edd9[2016]<=jpfc90a;bl7edd9[1985]<=blf6935[0];bl7edd9[1922]<=irb49ae;bl7edd9[1796]<=qva4d75;bl7edd9[1544]<=qv26bac[6];bl7edd9[1302]<=cb29546;bl7edd9[1115]<=nr551ae;bl7edd9[1105]<=rg5da29;bl7edd9[1041]<=tw35d65[0];bl7edd9[1023]<=hq3f921;bl7edd9[651]<=nr452a8;bl7edd9[557]<=rt4aa35;bl7edd9[552]<=mg2bb45;bl7edd9[325]<=cm68a55;bl7edd9[276]<=mr65768;bl7edd9[162]<=uved14a;bl7edd9[138]<=coacaed;bl7edd9[69]<=al7595d[0];bl7edd9[34]<=anaeb2b[6];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module sgmii_gbe_pcs32 (\r
+ \r
+ tx_clk_125 ,\r
+ serdes_recovered_clk ,\r
+ rx_clk_125 ,\r
+ rst_n ,\r
+ gbe_mode ,\r
+ sgmii_mode ,\r
+ signal_detect ,\r
+ debug_link_timer_short,\r
+ operational_rate,\r
+ rx_compensation_err,\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+ tx_clock_enable_sink ,\r
+ tx_clock_enable_source ,\r
+\r
+ rx_clock_enable_sink ,\r
+ rx_clock_enable_source ,\r
+`else\r
+ tx_clk_mii ,\r
+ rx_clk_mii ,\r
+`endif\r
+ \r
+ \r
+ \r
+ rx_data ,\r
+ rx_kcntl ,\r
+ rx_even ,\r
+ rx_disp_err ,\r
+ rx_cv_err ,\r
+ rx_err_decode_mode ,\r
+\r
+ \r
+ tx_d ,\r
+ tx_en ,\r
+ tx_er ,\r
+\r
+ \r
+ mr_adv_ability ,\r
+ mr_an_enable , \r
+ mr_main_reset , \r
+ mr_restart_an , \r
+\r
+ mr_an_complete , \r
+ mr_lp_adv_ability , \r
+ mr_page_rx , \r
+ \r
+ \r
+ \r
+ rx_d ,\r
+ rx_dv ,\r
+ rx_er ,\r
+ col ,\r
+ crs ,\r
+ \r
+ \r
+ tx_data,\r
+ tx_kcntl,\r
+ tx_disparity_cntl\r
+ ) ;\r
+input tx_clk_125 ;\r
+input serdes_recovered_clk ;\r
+input rx_clk_125 ;\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+input tx_clock_enable_sink;\r
+output tx_clock_enable_source;\r
+input rx_clock_enable_sink;\r
+output rx_clock_enable_source;\r
+\r
+`else\r
+input tx_clk_mii;\r
+input rx_clk_mii;\r
+\r
+`endif\r
+input rst_n ;\r
+input signal_detect ;\r
+input gbe_mode ;\r
+input sgmii_mode ;\r
+input debug_link_timer_short ;\r
+input [1:0] operational_rate;\r
+output rx_compensation_err;\r
+input [7:0] rx_data ;\r
+input rx_kcntl ;\r
+input rx_even ;\r
+input rx_disp_err ;\r
+input rx_cv_err ;\r
+input rx_err_decode_mode ;\r
+input [7:0] tx_d ;\r
+input tx_en ;\r
+input tx_er ;\r
+input [15:0] mr_adv_ability;\r
+input mr_an_enable;\r
+input mr_main_reset;\r
+input mr_restart_an;\r
+output mr_an_complete;\r
+output [15:0] mr_lp_adv_ability;\r
+output mr_page_rx;\r
+output [7:0] rx_d ;\r
+output rx_dv ;\r
+output rx_er ;\r
+output col ;\r
+output crs ;\r
+output [7:0] tx_data ;\r
+output tx_kcntl ;\r
+output tx_disparity_cntl ;\r
+\r
+`ifdef SGMII_YES_ENC\r
+wire [7:0] xy1e251 ;\r
+wire psf128a ;\r
+wire gd8f3a7 ;\r
+\r
+`endif\r
+wire [1:0] jceec52 ;\r
+wire [15:0] fcbbb1 ;\r
+wire [15:0] tu5dd8a ;\r
+wire [15:0] mr_adv_ability ;\r
+wire [15:0] mr_lp_adv_ability ;\r
+wire baa25a8;\r
+wire [7:0] jebda89;\r
+wire czed44b;\r
+wire qt6a25d;\r
+wire rx_compensation_err;\r
+wire ri89765;\r
+wire mr4bb2a;\r
+wire xw5d954;\r
+wire rtecaa2;\r
+wire cz65516;\r
+wire tu76293;\r
+reg xl27f82;\r
+reg xy3fc17;\r
+reg fafe0ba;\r
+reg uif05d3;\r
+reg co82e98;\r
+reg sj174c0;\r
+reg tuebfab;\r
+reg xj5fd5b;\r
+reg tufeadf;\r
+reg ykf56fa;\r
+reg kfab7d0;\r
+reg yx5be84;\r
+reg bydf425;\r
+reg uifa12a;\r
+reg lqd0951;\r
+reg vx84a8a;\r
+reg ri25457;\r
+wire [7:0] ba2a2bb;\r
+wire en515da;\r
+wire ux8aed5;\r
+wire [7:0] jc576ac;\r
+wire epbb562;\r
+wire nedab15;\r
+wire rgd58ab;\r
+wire ouac55a;\r
+reg go62ad1;\r
+reg qi15689;\r
+reg mtab449;\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+reg pf5a24c;\r
+reg cmd1266;\r
+\r
+`endif\r
+parameter DYNAMIC_LT_10MBPS = 340;\r
+parameter DYNAMIC_HT_10MBPS = 680;\r
+parameter DYNAMIC_LT_100MBPS = 34;\r
+parameter DYNAMIC_HT_100MBPS = 68;\r
+parameter DYNAMIC_LT_1000MBPS = 16;\r
+parameter DYNAMIC_HT_1000MBPS = 32;\r
+parameter STATIC_LO_THRESH = 16;\r
+parameter STATIC_HI_THRESH = 32;\r
+parameter LINK_TIMER_SH = 21'h1fff01;\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+\r
+`else\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_CTC_STATIC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_NO_CTC\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_ENC\r
+\r
+`else\r
+\r
+`endif\r
+reg zzba604;\r
+reg xyb28e4;\r
+reg do143f6;\r
+reg gb6afaf;\r
+reg [1 : 0] oh338da;\r
+reg [7 : 0] qi3b914;\r
+reg czdc8a0;\r
+reg hq1411f;\r
+reg kq7d70f;\r
+reg faeb878;\r
+reg me5c3c3;\r
+reg [7 : 0] jce1e19;\r
+reg wy98a9e;\r
+reg hoc54f0;\r
+reg zz1c8b9;\r
+reg db94722;\r
+reg ksa3917;\r
+reg [1 : 0] tja08fb;\r
+reg [15 : 0] mg88bdd;\r
+reg [15 : 0] dz45ee9;\r
+reg dme4504;\r
+reg [7 : 0] vv7ba7a;\r
+reg rtdd3d6;\r
+reg zxe9eb7;\r
+reg pf4f5be;\r
+reg al7adf7;\r
+reg end6fbc;\r
+reg twb7de5;\r
+reg wlbef2a;\r
+reg byf199c;\r
+reg vk86d9b;\r
+reg oh36cda;\r
+reg gqb66d4;\r
+reg ymb36a1;\r
+reg ph9b509;\r
+reg dmda84e;\r
+reg nt9729;\r
+reg gb4b94e;\r
+reg ay5ca74;\r
+reg mee53a0;\r
+reg oh29d01;\r
+reg en4e80c;\r
+reg nr74064;\r
+reg bna0326;\r
+reg do1937;\r
+reg fpc9bb;\r
+reg zx64ddf;\r
+reg [7 : 0] kf26efe;\r
+reg zz377f5;\r
+reg wlbbfaf;\r
+reg [7 : 0] xwdfd7c;\r
+reg tufebe3;\r
+reg ykf5f1a;\r
+reg phaf8d1;\r
+reg ps7c68f;\r
+reg vve347a;\r
+reg co1a3d6;\r
+reg cmd1eb0;\r
+reg [2047:0] bl7edd9;\r
+wire [57:0] nrf6ece;\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+\r
+`else\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_ENC\r
+\r
+`endif\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+\r
+`endif\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+\r
+`else\r
+\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_YES_CTC_STATIC\r
+\r
+\r
+`endif\r
+\r
+`ifdef SGMII_NO_CTC\r
+\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_YES_ENC\r
+\r
+\r
+\r
+`else\r
+\r
+\r
+`endif\r
+\r
+localparam zmb7676 = 58,wlbb3b3 = 32'hfdffca8b;\r
+localparam [31:0] czd9d9d = wlbb3b3;\r
+localparam vi76760 = wlbb3b3 & 4'hf;\r
+localparam [11:0] gq9d818 = 'h7ff;\r
+wire [(1 << vi76760) -1:0] kd60607;\r
+reg [zmb7676-1:0] yz181ef;\r
+reg [vi76760-1:0] oh7beb [0:1];\r
+reg [vi76760-1:0] qgefae7;\r
+reg ww7d739;\r
+integer xweb9c8;\r
+integer ay5ce43;\r
+\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+`else\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_YES_ENC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+`else\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_YES_CTC_STATIC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_NO_CTC\r
+\r
+\r
+`endif\r
+\r
+\r
+`ifdef SGMII_YES_ENC\r
+\r
+\r
+`else\r
+\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+\r
+`else\r
+\r
+\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_YES_ENC\r
+\r
+\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+\r
+\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+assign mr4bb2a = ({hq1411f, faeb878, kq7d70f} == 3'b100) ? 1'b1 : 1'b0;\r
+assign xw5d954 = ({hq1411f, faeb878, kq7d70f} == 3'b111) ? 1'b1 : 1'b0;\r
+assign rtecaa2 = al7adf7 | end6fbc;\r
+\r
+assign cz65516 = faeb878 | kq7d70f;\r
+\r
+assign baa25a8 = (me5c3c3) ? twb7de5 : wlbef2a;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge tx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin go62ad1 <= 1'b0; end else begin go62ad1 <= ~vve347a; end\r
+end\r
+always @(posedge rx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin qi15689 <= 1'b0; end else begin qi15689 <= ~co1a3d6; end\r
+end\r
+always @(posedge serdes_recovered_clk or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin mtab449 <= 1'b0; end else begin mtab449 <= ~cmd1eb0; end\r
+end\r
+\r
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+ always @(posedge tx_clk_mii or negedge rst_n) begin if (rst_n == 1'b0) begin pf5a24c <= 1'b0; end else begin pf5a24c <= ~pf5a24c; end end always @(posedge rx_clk_mii or negedge rst_n) begin if (rst_n == 1'b0) begin cmd1266 <= 1'b0; end else begin cmd1266 <= ~cmd1266; end end\r
+\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+ hq25f53 dz64746 ( .rst_n ( nr74064 ) , .gbe_mode ( zzba604 ) , .eaea698 ( tx_clk_125 ) , .ay534c0 ( tx_clock_enable_sink ) , .zz8b93f ( tx_clock_enable_source ) , .aa90a ( oh338da ) , .blcd797 ( jce1e19 ) , .pf6bcbe ( hoc54f0 ) , .qt5e5f7 ( wy98a9e ) ,\r
+ .qi97de4 ( ba2a2bb ) , .mtbef21 ( en515da ) , .wjf790c ( ux8aed5 ) ); yx5b9d8 co8811d ( .rst_n ( do1937 ) , .gbe_mode ( zzba604 ) , .eaea698 ( rx_clk_125 ) , .ay534c0 ( rx_clock_enable_sink ) , .zz8b93f ( rx_clock_enable_source ) , .aa90a ( oh338da ) , .blcd797 ( xwdfd7c ) , .pf6bcbe ( tufebe3 ) , .qt5e5f7 ( ykf5f1a ) , .hdaff1b ( phaf8d1 ) , .en7f8df ( ps7c68f ) ,\r
+ .qi97de4 ( rx_d ) , .mtbef21 ( rx_er ) , .wjf790c ( rx_dv ), .xje6cdf ( col ) , .yz366ff ( crs ) );\r
+\r
+`else\r
+ oh39ef9 dz64746 ( .rst_n ( nr74064 ) , .gbe_mode ( zzba604 ) , .lqdf35e ( tx_clk_mii ) , .zxf9af2 ( oh338da ) , .blcd797 ( jce1e19 ) , .pf6bcbe ( hoc54f0 ) , .qt5e5f7 ( wy98a9e ) ,\r
+ .mrf2fbc ( tx_clk_125 ) , .qi97de4 ( ba2a2bb ) , .mtbef21 ( en515da ) , .wjf790c ( ux8aed5 ) ); vx9b22c co8811d ( .rst_n ( nr74064 ) , .gbe_mode ( zzba604 ) , .lqdf35e ( rx_clk_125 ) , .blcd797 ( xwdfd7c ) , .pf6bcbe ( tufebe3 ) , .qt5e5f7 ( ykf5f1a ) , .hdaff1b ( phaf8d1 ) , .en7f8df ( ps7c68f ) ,\r
+ .mrf2fbc ( rx_clk_mii ) , .pfe37e6 ( oh338da ) , .qi97de4 ( rx_d ) , .mtbef21 ( rx_er ) , .wjf790c ( rx_dv ), .xje6cdf ( col ) , .yz366ff ( crs ) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+ ieac3e6 # (.DYNAMIC_HT_1000MBPS(DYNAMIC_HT_1000MBPS), .DYNAMIC_LT_1000MBPS(DYNAMIC_LT_1000MBPS), .DYNAMIC_HT_100MBPS (DYNAMIC_HT_100MBPS), .DYNAMIC_LT_100MBPS (DYNAMIC_LT_100MBPS), .DYNAMIC_HT_10MBPS (DYNAMIC_HT_10MBPS), .DYNAMIC_LT_10MBPS (DYNAMIC_LT_10MBPS)) co244ea ( .nr61f31 (zx64ddf), .qif98e (do1937), .tu76293 (byf199c), .hbe6383 (zz1c8b9), .gbe_mode (zzba604), .operational_rate (oh338da), .en7078f (serdes_recovered_clk), .fc83c7c (qi3b914), .pu1e3e2 (czdc8a0), .dmf1f12 (dme4504), .cb8f893 (rx_clk_125), .vi7c49c (jebda89), .qte24e2 (czed44b), .qv12714 (qt6a25d), .hq938a4 (rx_compensation_err) );\r
+\r
+`endif\r
+\r
+\r
+\r
+`ifdef SGMII_YES_CTC_STATIC\r
+ fadf356 # (.STATIC_HI_THRESH(STATIC_HI_THRESH), .STATIC_LO_THRESH(STATIC_LO_THRESH)) co244ea ( .nr61f31 (zx64ddf), .qif98e (do1937), .en7078f (serdes_recovered_clk), .fc83c7c (qi3b914), .pu1e3e2 (czdc8a0), .dmf1f12 (dme4504), .cb8f893 (rx_clk_125), .vi7c49c (jebda89), .qte24e2 (czed44b), .qv12714 (qt6a25d), .hq938a4 (rx_compensation_err) );\r
+\r
+`endif\r
+\r
+\r
+\r
+`ifdef SGMII_NO_CTC\r
+ eac16a7 co244ea ( .nr61f31 (zx64ddf), .qif98e (do1937), .en7078f (serdes_recovered_clk), .fc83c7c (qi3b914), .pu1e3e2 (czdc8a0), .dmf1f12 (dme4504), .cb8f893 (rx_clk_125), .vi7c49c (jebda89), .qte24e2 (czed44b), .qv12714 (qt6a25d), .hq938a4 (rx_compensation_err) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+\r
+fp8bd4a fp8bd4a ( .lsad934 ( rx_clk_125 ) , .rst_n ( do1937 ) , .mr_main_reset ( 1'b0 ) ,\r
+ .signal_detect ( do143f6 ) , .rx_data ( vv7ba7a ) , .rx_kcntl ( rtdd3d6 ) , .baa25a8 ( zxe9eb7 ) ,\r
+ .sj8a10b ( sj8a10b ) , .rx_even ( ri89765 ) );\r
+\r
+\r
+\r
+\r
+\r
+\r
+kf95b26 kf95b26 ( .lsad934 ( rx_clk_125 ) , .rst_n ( do1937 ) , .mr_main_reset ( 1'b0 ) ,\r
+ .rx_data ( vv7ba7a ) , .rx_kcntl ( rtdd3d6 ) , .baa25a8 ( zxe9eb7 ) ,\r
+ .sj8a10b ( sj8a10b ) , .rx_even ( pf4f5be ) , .jceec52 ( tja08fb ) ,\r
+ .fcbbb1( fcbbb1 ) , .ps42af3 ( ps42af3 ) , .qi1579a ( qi1579a ) , .mtabcd1 ( mtabcd1 ) , .sh5e68d ( jc576ac ) , .dzf346c ( nedab15 ) , .cb9a367 ( epbb562 ) );\r
+\r
+\r
+\r
+\r
+ hq11045 # (.LINK_TIMER_SH(LINK_TIMER_SH)) hq11045 ( .eaea698 ( tx_clk_125 ) , .rst_n ( nr74064 ) , .gbe_mode ( zzba604 ) , .sgmii_mode ( xyb28e4 ) , .mr_main_reset ( 1'b0 ) , .mr_restart_an ( ksa3917 ) , .mr_an_enable ( zz1c8b9 ) , .mr_adv_ability ( mr_adv_ability ) , .mr_lp_adv_ability ( mr_lp_adv_ability ) , .mr_page_rx ( mr_page_rx ) , .mr_an_complete ( mr_an_complete ) , .ip70450 ( gb6afaf ) ,\r
+ .sj8a10b ( sj8a10b ) ,\r
+ .zx5085d ( mtabcd1 ) , .db842ee ( qi1579a ) , .ym21776 ( ps42af3 ) ,\r
+ .fcbbb1 ( mg88bdd ) , .tu5dd8a ( tu5dd8a ) , .jceec52 ( jceec52 ), .tu76293 ( tu76293 ) );\r
+\r
+\r
+`ifdef SGMII_YES_ENC\r
+ an2c1c8 an2c1c8 ( .eaea698 ( tx_clk_125 ) , .rst_n ( nr74064 ) , .mr_main_reset ( 1'b0 ), .fn45967 ( kf26efe ) , .tx_en ( wlbbfaf ) , .tx_er ( zz377f5 ) , .jceec52 ( tja08fb ) , .tu5dd8a ( dz45ee9 ) , .ic67f24 ( xy1e251 ) , .hq3f921 ( psf128a ), .jpfc90a ( gd8f3a7 ) );\r
+ shd3a74 shd3a74 ( .eaea698 (tx_clk_125), .rst_n (nr74064), .hq3f921 (psf128a), .vv74a74 (xy1e251), .rva53a7 (1'b0), .cb29d3f (1'b0), .mr4e9fc (1'b0), .zx74fe4 (1'b1), .jpfc90a (gd8f3a7), .hq3f93b ({tx_disparity_cntl, tx_kcntl, tx_data}) );\r
+\r
+`else\r
+ an2c1c8 an2c1c8 ( .eaea698 ( tx_clk_125 ) , .rst_n ( nr74064 ) , .mr_main_reset ( 1'b0 ), .fn45967 ( kf26efe ) , .tx_en ( wlbbfaf ) , .tx_er ( zz377f5 ) , .jceec52 ( tja08fb ) , .tu5dd8a ( dz45ee9 ) , .ic67f24 ( tx_data ) , .hq3f921 ( tx_kcntl ), .jpfc90a ( tx_disparity_cntl ) );\r
+\r
+`endif\r
+\r
+\r
+\r
+\r
+\r
+assign rgd58ab = rx_dv & wy98a9e;\r
+assign ouac55a = rx_dv;\r
+\r
+\r
+always @(posedge tx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin xl27f82 <= 1'b0; xy3fc17 <= 1'b0; fafe0ba <= 1'b0; uif05d3 <= 1'b0; co82e98 <= 1'b0; sj174c0 <= 1'b0; tuebfab <= 1'b0; xj5fd5b <= 1'b0; tufeadf <= 1'b0; ykf56fa <= 1'b0; kfab7d0 <= 1'b1; yx5be84 <= 1'b1; bydf425 <= 1'b0; end else begin xl27f82 <= zzba604; xy3fc17 <= vk86d9b; kfab7d0 <= db94722; yx5be84 <= oh29d01;\r
+ fafe0ba <= oh36cda; uif05d3 <= oh36cda & (~gqb66d4); co82e98 <= ~oh36cda & (gqb66d4); sj174c0 <= ymb36a1 || ph9b509; tuebfab <= dmda84e; xj5fd5b <= nt9729; tufeadf <= gb4b94e; ykf56fa <= dmda84e | nt9729 | gb4b94e | ay5ca74; bydf425 <= ~(en4e80c | mee53a0); end\r
+end\r
+\r
+\r
+always @(posedge rx_clk_125 or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin uifa12a <= 1'b0; lqd0951 <= 1'b0; end else begin uifa12a <= nr74064; lqd0951 <= bna0326; end\r
+end\r
+always @(posedge serdes_recovered_clk or negedge rst_n)\r
+begin if (rst_n == 1'b0) begin vx84a8a <= 1'b0; ri25457 <= 1'b0; end else begin vx84a8a <= nr74064; ri25457 <= fpc9bb; end\r
+end\r
+\r
+\r
+\r
+always@* begin zzba604<=nrf6ece[0];xyb28e4<=nrf6ece[1];do143f6<=nrf6ece[2];gb6afaf<=nrf6ece[3];oh338da<={operational_rate>>1,nrf6ece[4]};qi3b914<={rx_data>>1,nrf6ece[5]};czdc8a0<=nrf6ece[6];hq1411f<=nrf6ece[7];kq7d70f<=nrf6ece[8];faeb878<=nrf6ece[9];me5c3c3<=nrf6ece[10];jce1e19<={tx_d>>1,nrf6ece[11]};wy98a9e<=nrf6ece[12];hoc54f0<=nrf6ece[13];zz1c8b9<=nrf6ece[14];db94722<=nrf6ece[15];ksa3917<=nrf6ece[16];tja08fb<={jceec52>>1,nrf6ece[17]};mg88bdd<={fcbbb1>>1,nrf6ece[18]};dz45ee9<={tu5dd8a>>1,nrf6ece[19]};dme4504<=nrf6ece[20];vv7ba7a<={jebda89>>1,nrf6ece[21]};rtdd3d6<=nrf6ece[22];zxe9eb7<=nrf6ece[23];pf4f5be<=nrf6ece[24];al7adf7<=nrf6ece[25];end6fbc<=nrf6ece[26];twb7de5<=nrf6ece[27];wlbef2a<=nrf6ece[28];byf199c<=nrf6ece[29];vk86d9b<=nrf6ece[30];oh36cda<=nrf6ece[31];gqb66d4<=nrf6ece[32];ymb36a1<=nrf6ece[33];ph9b509<=nrf6ece[34];dmda84e<=nrf6ece[35];nt9729<=nrf6ece[36];gb4b94e<=nrf6ece[37];ay5ca74<=nrf6ece[38];mee53a0<=nrf6ece[39];oh29d01<=nrf6ece[40];en4e80c<=nrf6ece[41];nr74064<=nrf6ece[42];bna0326<=nrf6ece[43];do1937<=nrf6ece[44];fpc9bb<=nrf6ece[45];zx64ddf<=nrf6ece[46];kf26efe<={ba2a2bb>>1,nrf6ece[47]};zz377f5<=nrf6ece[48];wlbbfaf<=nrf6ece[49];xwdfd7c<={jc576ac>>1,nrf6ece[50]};tufebe3<=nrf6ece[51];ykf5f1a<=nrf6ece[52];phaf8d1<=nrf6ece[53];ps7c68f<=nrf6ece[54];vve347a<=nrf6ece[55];co1a3d6<=nrf6ece[56];cmd1eb0<=nrf6ece[57];end\r
+always@* begin bl7edd9[2047]<=sgmii_mode;bl7edd9[2046]<=signal_detect;bl7edd9[2044]<=debug_link_timer_short;bl7edd9[2040]<=operational_rate[0];bl7edd9[2032]<=rx_data[0];bl7edd9[2017]<=rx_kcntl;bl7edd9[1987]<=rx_even;bl7edd9[1926]<=rx_disp_err;bl7edd9[1805]<=sj174c0;bl7edd9[1804]<=rx_cv_err;bl7edd9[1803]<=qt6a25d;bl7edd9[1761]<=fafe0ba;bl7edd9[1668]<=bydf425;bl7edd9[1562]<=tuebfab;bl7edd9[1560]<=rx_err_decode_mode;bl7edd9[1558]<=ri89765;bl7edd9[1550]<=mr_restart_an;bl7edd9[1475]<=uif05d3;bl7edd9[1464]<=xl27f82;bl7edd9[1288]<=uifa12a;bl7edd9[1076]<=xj5fd5b;bl7edd9[1072]<=tx_d[0];bl7edd9[1069]<=mr4bb2a;bl7edd9[1056]<=vx84a8a;bl7edd9[1052]<=jceec52[0];bl7edd9[1028]<=jc576ac[0];bl7edd9[1023]<=gbe_mode;bl7edd9[902]<=co82e98;bl7edd9[901]<=czed44b;bl7edd9[880]<=xy3fc17;bl7edd9[834]<=yx5be84;bl7edd9[775]<=mr_main_reset;bl7edd9[732]<=tu76293;bl7edd9[621]<=mtab449;bl7edd9[528]<=lqd0951;bl7edd9[514]<=ux8aed5;bl7edd9[450]<=jebda89[0];bl7edd9[417]<=kfab7d0;bl7edd9[387]<=mr_an_enable;bl7edd9[366]<=cz65516;bl7edd9[310]<=qi15689;bl7edd9[257]<=en515da;bl7edd9[225]<=baa25a8;bl7edd9[208]<=ykf56fa;bl7edd9[193]<=tx_er;bl7edd9[183]<=rtecaa2;bl7edd9[155]<=go62ad1;bl7edd9[128]<=ba2a2bb[0];bl7edd9[112]<=tu5dd8a[0];bl7edd9[104]<=tufeadf;bl7edd9[96]<=tx_en;bl7edd9[91]<=xw5d954;bl7edd9[77]<=ouac55a;bl7edd9[64]<=ri25457;bl7edd9[56]<=fcbbb1[0];bl7edd9[38]<=rgd58ab;bl7edd9[19]<=nedab15;bl7edd9[9]<=epbb562;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43<zmb7676; ay5ce43=ay5ce43+1) begin yz181ef[ay5ce43] = kd60607[qgefae7]; ww7d739 = ^(qgefae7 & oh7beb[0]); qgefae7 = {qgefae7, ww7d739}; end end \r
+endmodule\r
+\r
+\r